repo_name
stringlengths 6
79
| path
stringlengths 5
236
| copies
stringclasses 54
values | size
stringlengths 1
8
| content
stringlengths 0
1.04M
⌀ | license
stringclasses 15
values |
---|---|---|---|---|---|
vira-lytvyn/labsAndOthersNiceThings | HardwareAndSoftwareOfNeuralNetworks/Lab_12/Lab_12_2/DC7.vhd | 1 | 902 | Library IEEE;
use IEEE.std_logic_1164.all;
entity DC7 is
port( A: in std_logic_vector (3 downto 0);
Q: out std_logic_vector (6 downto 0));
end entity DC7;
architecture Behave of DC7 is
begin
process (A)
begin
case A is
when "0000" => Q <= "0000001";
when "0001" => Q <= "1001111";
when "0010" => Q <= "0010011";
when "0011" => Q <= "0000110";
when "0100" => Q <= "1001100";
when "0101" => Q <= "0100100";
when "0110" => Q <= "0100000";
when "0111" => Q <= "0001111";
when "1000" => Q <= "0000000";
when "1001" => Q <= "0000100";
when "1010" => Q <= "0001000";
when "1011" => Q <= "1100000";
when "1100" => Q <= "1110010";
when "1101" => Q <= "1000010";
when "1110" => Q <= "0110000";
when "1111" => Q <= "0111000";
when others => Q <= "0000001";
end case;
end process;
end Behave; | gpl-2.0 |
v3best/R7Lite | R7Lite_PCIE/fpga_code/r7lite_DMA/ipcore_dir/k7_prime_fifo_plain/simulation/k7_prime_fifo_plain_dgen.vhd | 1 | 4581 | --------------------------------------------------------------------------------
--
-- FIFO Generator Core Demo Testbench
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: k7_prime_fifo_plain_dgen.vhd
--
-- Description:
-- Used for write interface stimulus generation
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.all;
USE IEEE.std_logic_arith.all;
USE IEEE.std_logic_misc.all;
LIBRARY work;
USE work.k7_prime_fifo_plain_pkg.ALL;
ENTITY k7_prime_fifo_plain_dgen IS
GENERIC (
C_DIN_WIDTH : INTEGER := 32;
C_DOUT_WIDTH : INTEGER := 32;
C_CH_TYPE : INTEGER := 0;
TB_SEED : INTEGER := 2
);
PORT (
RESET : IN STD_LOGIC;
WR_CLK : IN STD_LOGIC;
PRC_WR_EN : IN STD_LOGIC;
FULL : IN STD_LOGIC;
WR_EN : OUT STD_LOGIC;
WR_DATA : OUT STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0)
);
END ENTITY;
ARCHITECTURE fg_dg_arch OF k7_prime_fifo_plain_dgen IS
CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH);
CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH,8);
SIGNAL pr_w_en : STD_LOGIC := '0';
SIGNAL rand_num : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 DOWNTO 0);
SIGNAL wr_data_i : STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0);
BEGIN
WR_EN <= PRC_WR_EN ;
WR_DATA <= wr_data_i AFTER 100 ns;
----------------------------------------------
-- Generation of DATA
----------------------------------------------
gen_stim:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE
rd_gen_inst1:k7_prime_fifo_plain_rng
GENERIC MAP(
WIDTH => 8,
SEED => TB_SEED+N
)
PORT MAP(
CLK => WR_CLK,
RESET => RESET,
RANDOM_NUM => rand_num(8*(N+1)-1 downto 8*N),
ENABLE => pr_w_en
);
END GENERATE;
pr_w_en <= PRC_WR_EN AND NOT FULL;
wr_data_i <= rand_num(C_DIN_WIDTH-1 DOWNTO 0);
END ARCHITECTURE;
| gpl-2.0 |
v3best/R7Lite | R7Lite_PCIE/fpga_code/r7lite_DMA/ipcore_dir/wr_fifo32to256/simulation/wr_fifo32to256_rng.vhd | 1 | 3905 | --------------------------------------------------------------------------------
--
-- FIFO Generator Core Demo Testbench
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: wr_fifo32to256_rng.vhd
--
-- Description:
-- Used for generation of pseudo random numbers
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.all;
USE IEEE.std_logic_arith.all;
USE IEEE.std_logic_misc.all;
ENTITY wr_fifo32to256_rng IS
GENERIC (
WIDTH : integer := 8;
SEED : integer := 3);
PORT (
CLK : IN STD_LOGIC;
RESET : IN STD_LOGIC;
ENABLE : IN STD_LOGIC;
RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0));
END ENTITY;
ARCHITECTURE rg_arch OF wr_fifo32to256_rng IS
BEGIN
PROCESS (CLK,RESET)
VARIABLE rand_temp : STD_LOGIC_VECTOR(width-1 DOWNTO 0):=conv_std_logic_vector(SEED,width);
VARIABLE temp : STD_LOGIC := '0';
BEGIN
IF(RESET = '1') THEN
rand_temp := conv_std_logic_vector(SEED,width);
temp := '0';
ELSIF (CLK'event AND CLK = '1') THEN
IF (ENABLE = '1') THEN
temp := rand_temp(width-1) xnor rand_temp(width-3) xnor rand_temp(width-4) xnor rand_temp(width-5);
rand_temp(width-1 DOWNTO 1) := rand_temp(width-2 DOWNTO 0);
rand_temp(0) := temp;
END IF;
END IF;
RANDOM_NUM <= rand_temp;
END PROCESS;
END ARCHITECTURE;
| gpl-2.0 |
freecores/w11 | rtl/sys_gen/w11a/nexys2/sys_conf.vhd | 1 | 3842 | -- $Id: sys_conf.vhd 509 2013-04-21 20:46:20Z mueller $
--
-- Copyright 2010-2013 by Walter F.J. Mueller <[email protected]>
--
-- This program is free software; you may redistribute and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- Software Foundation, either version 2, or at your option any later version.
--
-- This program is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
-- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for complete details.
--
------------------------------------------------------------------------------
-- Package Name: sys_conf
-- Description: Definitions for sys_w11a_n2 (for synthesis)
--
-- Dependencies: -
-- Tool versions: xst 11.4, 13.1; ghdl 0.26-0.29
-- Revision History:
-- Date Rev Version Comment
-- 2013-04-21 509 1.2 add fx2 settings
-- 2011-11-19 428 1.1.1 use clksys=56 (58 no closure after numeric_std...)
-- 2010-11-27 341 1.1 add dcm and memctl related constants (clksys=58)
-- 2010-05-05 295 1.0 Initial version (derived from _s3 version)
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.slvtypes.all;
-- valid system clock / delay combinations:
-- div mul clksys read0 read1 write
-- 1 1 50.0 2 2 3
-- 25 27 54.0 3 3 3
-- 25 29 58.0 3 3 4
package sys_conf is
constant sys_conf_clkfx_divide : positive := 25;
constant sys_conf_clkfx_multiply : positive := 28; -- ==> 56 MHz
constant sys_conf_memctl_read0delay : positive := 3;
constant sys_conf_memctl_read1delay : positive := sys_conf_memctl_read0delay;
constant sys_conf_memctl_writedelay : positive := 4;
constant sys_conf_ser2rri_defbaud : integer := 115200; -- default 115k baud
-- fx2 settings: petowidth=10 -> 2^10 30 MHz clocks -> ~33 usec
constant sys_conf_fx2_petowidth : positive := 10;
constant sys_conf_fx2_ccwidth : positive := 5;
constant sys_conf_hio_debounce : boolean := true; -- instantiate debouncers
constant sys_conf_bram : integer := 0; -- no bram, use cache
constant sys_conf_bram_awidth : integer := 14; -- bram size (16 kB)
constant sys_conf_mem_losize : integer := 8#167777#; -- 4 MByte
--constant sys_conf_mem_losize : integer := 8#003777#; -- 128 kByte (debug)
-- constant sys_conf_bram : integer := 1; -- bram only
-- constant sys_conf_bram_awidth : integer := 15; -- bram size (32 kB)
-- constant sys_conf_mem_losize : integer := 8#000777#; -- 32 kByte
constant sys_conf_cache_fmiss : slbit := '0'; -- cache enabled
-- derived constants
constant sys_conf_clksys : integer :=
(50000000/sys_conf_clkfx_divide)*sys_conf_clkfx_multiply;
constant sys_conf_clksys_mhz : integer := sys_conf_clksys/1000000;
constant sys_conf_ser2rri_cdinit : integer :=
(sys_conf_clksys/sys_conf_ser2rri_defbaud)-1;
end package sys_conf;
-- Note: mem_losize holds 16 MSB of the PA of the addressable memory
-- 2 211 111 111 110 000 000 000
-- 1 098 765 432 109 876 543 210
--
-- 0 000 000 011 111 111 000 000 -> 00037777 --> 14bit --> 16 kByte
-- 0 000 000 111 111 111 000 000 -> 00077777 --> 15bit --> 32 kByte
-- 0 000 001 111 111 111 000 000 -> 00177777 --> 16bit --> 64 kByte
-- 0 000 011 111 111 111 000 000 -> 00377777 --> 17bit --> 128 kByte
-- 0 011 111 111 111 111 000 000 -> 03777777 --> 20bit --> 1 MByte
-- 1 110 111 111 111 111 000 000 -> 16777777 --> 22bit --> 4 MByte
-- upper 256 kB excluded for 11/70 UB
| gpl-2.0 |
freecores/w11 | rtl/vlib/serport/serport_uart_autobaud.vhd | 2 | 6460 | -- $Id: serport_uart_autobaud.vhd 417 2011-10-22 10:30:29Z mueller $
--
-- Copyright 2007-2011 by Walter F.J. Mueller <[email protected]>
--
-- This program is free software; you may redistribute and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- Software Foundation, either version 2, or at your option any later version.
--
-- This program is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
-- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for complete details.
--
------------------------------------------------------------------------------
-- Module Name: serport_uart_autobaud - syn
-- Description: serial port UART - autobauder
--
-- Dependencies: -
-- Test bench: tb/tb_serport_autobaud
-- Target Devices: generic
-- Tool versions: xst 8.2, 9.1, 9.2, 11.4, 13.1; ghdl 0.18-0.29
-- Revision History:
-- Date Rev Version Comment
-- 2011-10-22 417 1.0.4 now numeric_std clean
-- 2010-04-18 279 1.0.3 change ccnt start value to -3, better rounding
-- 2007-10-14 89 1.0.2 all instantiation with CDINIT=0
-- 2007-10-12 88 1.0.1 avoid ieee.std_logic_unsigned, use cast to unsigned
-- 2007-06-30 62 1.0 Initial version
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.slvtypes.all;
entity serport_uart_autobaud is -- serial port uart: autobauder
generic (
CDWIDTH : positive := 13; -- clk divider width
CDINIT: natural := 15); -- clk divider initial/reset setting
port (
CLK : in slbit; -- clock
CE_MSEC : in slbit; -- 1 msec clock enable
RESET : in slbit; -- reset
RXSD : in slbit; -- receive serial data (uart view)
CLKDIV : out slv(CDWIDTH-1 downto 0); -- clock divider setting
ACT : out slbit; -- active; if 1 clkdiv is invalid
DONE : out slbit -- resync done
);
end serport_uart_autobaud;
architecture syn of serport_uart_autobaud is
type state_type is (
s_idle,
s_break,
s_wait,
s_sync
);
type regs_type is record
ccnt : slv(CDWIDTH-1+3 downto 0); -- clock divider counter
mcnt : slv7; -- msec counter
seen1 : slbit; -- seen a '1' in this msec
state : state_type; -- state
end record regs_type;
-- Note on initialization of ccnt:
-- - in the current logic ccnt is incremented n-1 times when n is number
-- clock cycles with a RXD of '0'. When running at 50 MBaud, ccnt will
-- be incremented 7 (not 8!) times.
-- - the three LSBs of ccnt should be at 100 under perfect conditions, this
-- gives the best rounded estimate of CLKDIV.
-- - therefore ccnt is inititialized with 111111.101: 101 + 111 -> 1100
-- --> ccntinit = -3
constant ccntinit : slv(CDWIDTH-1+3 downto 0) :=
slv(to_unsigned(2**(CDWIDTH+3)-3, CDWIDTH+3));
constant mcntzero : slv7 := (others=>'0');
constant mcntlast : slv7 := (others=>'1');
constant regs_init : regs_type := (
slv(to_unsigned(CDINIT,CDWIDTH))&"000",
(others=>'0'),
'0',
s_idle
);
signal R_REGS : regs_type := regs_init; -- state registers
signal N_REGS : regs_type := regs_init; -- next value state regs
begin
assert CDINIT <= 2**CDWIDTH-1
report "assert(CDINIT <= 2**CDWIDTH-1): CDINIT too large for given CDWIDTH"
severity FAILURE;
proc_regs: process (CLK)
begin
if rising_edge(CLK) then
if RESET = '1' then
R_REGS <= regs_init;
else
R_REGS <= N_REGS;
end if;
end if;
end process proc_regs;
proc_next: process (R_REGS, CE_MSEC, RESET, RXSD)
variable r : regs_type := regs_init;
variable n : regs_type := regs_init;
variable iact : slbit := '0';
variable idone : slbit := '0';
begin
r := R_REGS;
n := R_REGS;
iact := '1';
idone := '0';
case r.state is
when s_idle => -- s_idle: idle, detect break --------
iact := '0';
if CE_MSEC = '1' then -- if end of msec
if r.seen1 = '0' then -- if no '1' seen on RXD
n.mcnt := slv(unsigned(r.mcnt) + 1); -- up break timer counter
if r.mcnt = mcntlast then -- after 127 msec
n.state := s_break; -- break detected !
end if;
else -- otherwise if '1' seen
n.mcnt := mcntzero; -- clear break timer again
end if;
n.seen1 := RXSD; -- latch current RXD value
else -- otherwise if not at end-of-msec
n.seen1 := r.seen1 or RXSD; -- remember whether RXS=1 seen
end if;
when s_break => -- s_break: detect end of break ------
if RXSD = '1' then -- if end of break seen
n.state := s_wait; -- to s_wait to wait for sync char
n.ccnt := ccntinit; -- and initialize ccnt
end if; -- otherwise stay in s_break
when s_wait => -- s_wait: wait for sync char --------
if RXSD = '0' then -- if start bit if sync char seen
n.state := s_sync; -- to s_sync to wait for end of '0'
end if; -- otherwise stay in s_wait
when s_sync => -- s_sync: wait for end of '0' bits --
if RXSD = '1' then -- if end of '0' bits seen
n.state := s_idle; -- to s_idle, autobauding done
idone := '1'; -- emit done pulse
else -- otherwise still in '0' of sync
n.ccnt := slv(unsigned(n.ccnt) + 1); -- increment ccnt
end if;
when others => null; -- -----------------------------------
end case;
N_REGS <= n;
CLKDIV <= r.ccnt(CDWIDTH-1+3 downto 3);
ACT <= iact or RESET;
DONE <= idone;
end process proc_next;
end syn;
| gpl-2.0 |
freecores/w11 | rtl/vlib/rlink/rlink_core.vhd | 2 | 40169 | -- $Id: rlink_core.vhd 427 2011-11-19 21:04:11Z mueller $
--
-- Copyright 2007-2011 by Walter F.J. Mueller <[email protected]>
--
-- This program is free software; you may redistribute and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- Software Foundation, either version 2, or at your option any later version.
--
-- This program is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
-- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for complete details.
--
------------------------------------------------------------------------------
-- Module Name: rlink_core - syn
-- Description: rlink core with 9bit interface
--
-- Dependencies: comlib/crc8
--
-- Test bench: tb/tb_rlink_direct
-- tb/tb_rlink_serport
-- tb/tb_rlink_tba_ttcombo
--
-- Target Devices: generic
-- Tool versions: xst 8.2, 9.1, 9.2, 11.4, 12.1, 13.1; ghdl 0.18-0.29
--
-- Synthesized (xst):
-- Date Rev ise Target flop lutl lutm slic t peri
-- 2010-12-04 343 12.1 M53d xc3s1000-4 155 322 0 199 s 8.9
-- 2010-06-06 302 11.4 L68 xc3s1000-4 151 323 0 197 s 8.9
-- 2010-04-03 274 11.4 L68 xc3s1000-4 148 313 0 190 s 8.0
-- 2009-07-11 232 10.1.03 K39 xc3s1000-4 147 321 0 197 s 8.3
--
-- Revision History:
-- Date Rev Version Comment
-- 2011-11-19 427 3.1.3 now numeric_std clean
-- 2010-12-25 348 3.1.2 drop RL_FLUSH support, add RL_MONI for rlink_core;
-- 2010-12-24 347 3.1.1 rename: CP_*->RL->*
-- 2010-12-22 346 3.1 wblk dcrc error: send nak, transit to s_error now;
-- rename stat flags: [cd]crc->[cd]err, ioto->rbnak,
-- ioerr->rberr; '111' cmd now aborts via s_txnak and
-- sets cerr flag; set [cd]err on eop/nak aborts;
-- 2010-12-04 343 3.0 renamed rri_ -> rlink_; rbus V3 interface: use now
-- aval,re,we; add new states: s_rstart, s_wstart
-- 2010-06-20 308 2.6 use rbinit,rbreq,rbwe state flops to drive rb_mreq;
-- now nak on reserved cmd 111; use do_comma_abort();
-- 2010-06-18 306 2.5.1 rename rbus data fields to _rbf_
-- 2010-06-06 302 2.5 use sop/eop framing instead of soc+chaining
-- 2010-06-03 299 2.1.2 drop unneeded unsigned casts; change init encoding
-- 2010-05-02 287 2.1.1 ren CE_XSEC->CE_INT,RP_STAT->RB_STAT,AP_LAM->RB_LAM
-- drop RP_IINT signal from interfaces
-- 2010-04-03 274 2.1 add CP_FLUSH output
-- 2009-07-12 233 2.0.1 remove snoopers
-- 2008-08-24 162 2.0 with new rb_mreq/rb_sres interface
-- 2008-03-02 121 1.1.1 comment out snoopers
-- 2007-11-24 98 1.1 new internal init handling (addr=11111111)
-- 2007-10-12 88 1.0.1 avoid ieee.std_logic_unsigned, use cast to unsigned
-- 2007-09-15 82 1.0 Initial version, fully functional
-- 2007-06-17 58 0.5 First preliminary version
------------------------------------------------------------------------------
--
-- Overall protocol:
-- _idle : expect
-- sop -> _txsop (echo sop, , to _txsop, _rxcmd)
-- eop -> _txeop (send nak,eop , to _txnak, _txeop, _idle)
-- nak -> _txnak (silently ignore nak)
-- attn -> _txito (send ito , to _idle)
-- data -> _idle (silently ignore data)
-- _error: expect
-- sop -> _txnak (send nak , to _txnak, _error)
-- eop -> _txeop (echo eop , to _txeop, _idle)
-- nak -> _txnak (echo nak , to _txnak, _error)
-- attn -> _txito (silently ignore attn)
-- data -> _idle (silently ignore data)
-- _rxcmd: expect
-- sop -> _txnak (send nak , to _txnak, _error)
-- eop -> _txeop (echo eop , to _txeop, _idle)
-- nak -> _txnak (echo nak , to _txnak, _error)
-- attn -> _txito (silently ignore attn)
-- data -> _idle (decode command)
-- _rx...: expect
-- sop -> _txnak (send nak , to _txnak, _error)
-- eop -> _txnak (send nak,eop , to _txnak, _txeop, _idle)
-- nak -> _txnak (echo nak , to _txnak, _error)
-- attn -> _txito (silently ignore attn)
-- data -> _idle (decode data)
--
-- 7 supported commands:
--
-- 000 read reg (rreg):
-- rx: cmd addr ccrc
-- tx: cmd dl dh stat crc
-- seq: _rxcmd _rxaddr _rxccrc (_txcmd|_txnak)
-- _rstart _rreg _txdatl _txdath _txstat _txcrc -> _rxcmd
--
-- 001 read blk (rblk):
-- rx: cmd addr cnt ccrc
-- tx: cmd cnt dl dh ... stat crc
-- seq: _rxcmd _rxaddr _rxcnt _rxccrc (_txcmd|_txnak) _txcnt
-- {_rstart _rreg _txdatl _txdath _blk}*
-- _txstat _txcrc -> _rxcmd
--
-- 010 write reg (wreg):
-- rx: cmd addr dl dh ccrc
-- tx: cmd stat crc
-- seq: _rxcmd _rxaddr _rxdatl _rxdath _rxccrc (_txcmd|_txnak)
-- _wstart _wreg _txstat _txcrc -> _rxcmd
--
-- 011 write blk (wblk):
-- rx: cmd addr cnt ccrc dl dh ... dcrc
-- tx: cmd stat crc
-- seq: _rxcmd _rxaddr _rxcnt _rxccrc (_txcmd|_txnak)
-- {_rxdatl _rxdath _wstart _wreg _blk}*
-- _rxdcrc _txstat _txcrc -> (_rxcmd|_txnak)
--
-- 100 read stat (stat):
-- rx: cmd ccrc
-- tx: cmd ccmd dl dh stat crc
-- seq: _rxcmd _rxccrc (_txcmd|_txnak)
-- _txccmd _txdatl _txdath _txstat _txcrc -> _rxcmd
--
-- 101 read attn (attn):
-- rx: cmd ccrc
-- tx: cmd dl dh stat crc
-- seq: _rxcmd _rxccrc (_txcmd|_txnak)
-- _attn _txdatl _txdath _txstat _txcrc -> _rxcmd
--
-- 110 write init (init):
-- rx: cmd addr dl dh ccrc
-- tx: cmd stat crc
-- seq: _rxcmd _rxaddr _rxdatl _rxdath _rxccrc (_txcmd|_txnak)
-- _txstat _txcrc -> _rxcmd
-- like wreg, but no rp_we - rp_hold, just a 1 cycle rp_init pulse
--
-- 111 is currently not a legal command and causes a nak
-- seq: _txnak
--
-- The state bits nakcerr and nakderr determine whether cerr/derr is set
-- when s_txnak is entered. cerr is '1' during command receive, derr is '1'
-- during data wblk data receive phase:
-- nakcerr set in s_rxcmd (when command received, unless it's stat)
-- clr in s_txcmd (when wblk)
-- clr in s_txnak
-- clr in s_txcrc (for sucessful completion)
-- nakderr set in s_txcmd (when wblk)
-- clr in s_txnak
-- clr in s_txcrc (for sucessful completion)
--
-- The different rbus cycle types are encoded as:
--
-- init aval re we
-- 0 0 0 0 idle
-- 0 0 1 0 not allowed
-- 0 0 0 1 not allowed
-- 0 1 1 0 read
-- 0 1 0 1 write
-- 1 0 0 0 internal init
-- 1 0 0 1 external init
-- 1 0 1 0 not allowed
-- * * 1 1 not allowed
-- 1 1 * * not allowed
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.slvtypes.all;
use work.comlib.all;
use work.rblib.all;
use work.rlinklib.all;
entity rlink_core is -- rlink core with 9bit interface
generic (
ATOWIDTH : positive := 5; -- access timeout counter width
ITOWIDTH : positive := 6); -- idle timeout counter width
port (
CLK : in slbit; -- clock
CE_INT : in slbit := '0'; -- rri ito time unit clock enable
RESET : in slbit; -- reset
RL_DI : in slv9; -- rlink 9b: data in
RL_ENA : in slbit; -- rlink 9b: data enable
RL_BUSY : out slbit; -- rlink 9b: data busy
RL_DO : out slv9; -- rlink 9b: data out
RL_VAL : out slbit; -- rlink 9b: data valid
RL_HOLD : in slbit; -- rlink 9b: data hold
RL_MONI : out rl_moni_type; -- rlink: monitor port
RB_MREQ : out rb_mreq_type; -- rbus: request
RB_SRES : in rb_sres_type; -- rbus: response
RB_LAM : in slv16; -- rbus: look at me
RB_STAT : in slv3 -- rbus: status flags
);
end entity rlink_core;
architecture syn of rlink_core is
type state_type is (
s_idle, -- s_idle: wait for sop
s_txito, -- s_txito: send timeout symbol
s_txsop, -- s_txsop: send sop
s_txnak, -- s_txnak: send nak
s_txeop, -- s_txeop: send eop
s_error, -- s_error: wait for eop
s_rxcmd, -- s_rxcmd: wait for cmd
s_rxaddr, -- s_rxaddr: wait for addr
s_rxdatl, -- s_rxdatl: wait for data low
s_rxdath, -- s_rxdath: wait for data high
s_rxcnt, -- s_rxcnt: wait for count
s_rxccrc, -- s_rxccrc: wait for command crc
s_txcmd, -- s_txcmd: send cmd
s_txcnt, -- s_txcnt: send cnt
s_rstart, -- s_rstart: start reg or blk read
s_rreg, -- s_rreg: do reg or blk read
s_txdatl, -- s_txdatl: send data low
s_txdath, -- s_txdath: send data high
s_wstart, -- s_wstart: start reg or blk write
s_wreg, -- s_wreg: do reg or blk write
s_blk, -- s_blk: block count handling
s_rxdcrc, -- s_rxdcrc: wait for data crc
s_attn, -- s_attn: handle attention flags
s_txccmd, -- s_txccmd: send last command
s_txstat, -- s_txstat: send status
s_txcrc -- s_txcrc: send crc
);
type regs_type is record
state : state_type; -- state
rcmd : slv8; -- received command
ccmd : slv8; -- current command
addr : slv8; -- register address
dil : slv8; -- input data, lsb
dih : slv8; -- input data, msb
dol : slv8; -- output data, lsb
doh : slv8; -- output data, msb
cnt : slv8; -- block transfer count
attn : slv16; -- attn mask
atocnt : slv(ATOWIDTH-1 downto 0); -- access timeout counter
itocnt : slv(ITOWIDTH-1 downto 0); -- idle timeout counter
itoval : slv(ITOWIDTH-1 downto 0); -- idle timeout value
itoena : slbit; -- idle timeout enable flag
anena : slbit; -- attn notification enable flag
andone : slbit; -- attn notification done
cerr : slbit; -- stat: command error
derr : slbit; -- stat: data error
rbnak: slbit; -- stat: rbus no ack or timeout
rberr : slbit; -- stat: rbus err bit set
nakeop : slbit; -- send eop after nak
nakcerr : slbit; -- set cerr after nak
nakderr : slbit; -- set derr after nak
rbinit : slbit; -- rbus init signal
rbaval : slbit; -- rbus aval signal
rbre : slbit; -- rbus re signal
rbwe : slbit; -- rbus we signal
moneop : slbit; -- rl_moni: eop send pulse
monattn : slbit; -- rl_moni: attn send pulse
monlamp : slbit; -- rl_moni: attn pending state
stat : slv3; -- external status flags
end record regs_type;
constant atocnt_init : slv(ATOWIDTH-1 downto 0) := (others=>'1');
constant itocnt_init : slv(ITOWIDTH-1 downto 0) := (others=>'0');
constant c_idle : slv4 := "0000";
constant c_sop : slv4 := "0001";
constant c_eop : slv4 := "0010";
constant c_nak : slv4 := "0011";
constant c_attn : slv4 := "0100";
constant regs_init : regs_type := (
s_idle, --
(others=>'0'), -- rcmd
(others=>'0'), -- ccmd
(others=>'0'), -- addr
(others=>'0'), -- dil
(others=>'0'), -- dih
(others=>'0'), -- dol
(others=>'0'), -- doh
(others=>'0'), -- cnt
(others=>'0'), -- attn
atocnt_init, -- atocnt
itocnt_init, -- itocnt
itocnt_init, -- itoval
'0', -- itoena
'0','0', -- anena, andone
'0','0','0','0', -- stat flags
'0','0','0', -- nakeop,nakcerr,nakderr
'0','0','0','0', -- rbinit,rbaval,rbre,rbwe
'0','0','0', -- moneop,monattn,monlamp
(others=>'0') -- stat
);
signal R_REGS : regs_type := regs_init; -- state registers
signal N_REGS : regs_type := regs_init; -- next value state regs
signal CRC_RESET : slbit := '0';
signal ICRC_ENA : slbit := '0';
signal OCRC_ENA : slbit := '0';
signal ICRC_OUT : slv8 := (others=>'0');
signal OCRC_OUT : slv8 := (others=>'0');
signal OCRC_IN : slv8 := (others=>'0');
begin
assert ITOWIDTH<=8
report "assert(ITOWIDTH<=8): max byte size ITO counter supported"
severity failure;
ICRC : crc8 -- crc generator for input data
port map (
CLK => CLK,
RESET => CRC_RESET,
ENA => ICRC_ENA,
DI => RL_DI(7 downto 0),
CRC => ICRC_OUT
);
OCRC : crc8 -- crc generator for output data
port map (
CLK => CLK,
RESET => CRC_RESET,
ENA => OCRC_ENA,
DI => OCRC_IN,
CRC => OCRC_OUT
);
proc_regs: process (CLK)
begin
if rising_edge(CLK) then
if RESET = '1' then
R_REGS <= regs_init;
else
R_REGS <= N_REGS;
end if;
end if;
end process proc_regs;
proc_next: process (R_REGS, CE_INT, RL_DI, RL_ENA, RL_HOLD, RB_LAM,
RB_SRES, RB_STAT, ICRC_OUT, OCRC_OUT)
variable r : regs_type := regs_init;
variable n : regs_type := regs_init;
variable ival : slbit := '0';
variable ibusy : slbit := '0';
variable ido : slv9 := (others=>'0');
variable ato_go : slbit := '0';
variable ato_end : slbit := '0';
variable ito_go : slbit := '0';
variable ito_end : slbit := '0';
variable crcreset : slbit := '0';
variable icrcena : slbit := '0';
variable ocrcena : slbit := '0';
variable has_attn : slbit := '0';
variable snd_attn : slbit := '0';
variable idi8 : slv8 := (others=>'0');
variable is_comma : slbit := '0';
variable comma_typ : slv4 := "0000";
procedure do_comma_abort(nstate : inout state_type;
nnakeop : inout slbit;
comma_typ : in slv4) is
begin
if comma_typ=c_sop or comma_typ=c_eop or comma_typ=c_nak then
if comma_typ = c_eop then
nnakeop := '1';
end if;
nstate := s_txnak; -- next: send nak
end if;
end procedure do_comma_abort;
begin
r := R_REGS;
n := R_REGS;
idi8 := RL_DI(7 downto 0); -- get data part of RL_DI
is_comma := RL_DI(8); -- get comma marker
comma_typ := RL_DI(3 downto 0); -- get comma type
n.rbinit := '0'; -- clear rb(init|aval|re|we) by default
n.rbaval := '0'; -- they must always be set by the
n.rbre := '0'; -- 'previous state'
n.rbwe := '0'; --
n.moneop := '0'; -- default '0', only set by states
n.monattn := '0'; -- "
n.monlamp := '0'; --
ibusy := '1'; -- default is to hold input
ival := '0';
ido := (others=>'0');
crcreset := '0';
icrcena := '0';
ocrcena := '0';
for i in RB_LAM'range loop -- handle attention "LAM's"
if RB_LAM(i) = '1' then -- if LAM bit set
n.attn(i) := '1'; -- set attention bit
end if;
end loop;
has_attn := '0';
snd_attn := '0';
if unsigned(r.attn) /= 0 then -- is any of the attn bits set ?
has_attn := '1';
if r.anena='1' and r.andone='0' then -- is attn notification to be send ?
snd_attn := '1';
n.monlamp := '1'; -- set lamp flag in rl_moni
end if;
end if;
ato_go := '0'; -- default: keep access timeout in reset
ato_end := '0';
if unsigned(r.atocnt) = 0 then -- if access timeout count at zero
ato_end := '1'; -- signal expiration
end if;
ito_go := '0'; -- default: keep idle timeout in reset
ito_end := '0';
if unsigned(r.itocnt) = 0 then -- if idle timeout count at zero
ito_end := '1'; -- signal expiration
end if;
case r.state is
when s_idle => -- s_idle: wait for sop --------------
ito_go := '1'; -- idle timeout active
if snd_attn = '1' then -- if attn notification to be send
n.state := s_txito; -- next: send ito byte
else
ibusy := '0'; -- accept input
if RL_ENA = '1' then -- if input
if is_comma = '1' then -- if comma
case comma_typ is
when c_sop => -- if sop
crcreset := '1'; -- reset crc generators
n.state := s_txsop; -- next: echo it
when c_eop => -- if eop (unexpected)
n.nakeop := '1'; -- send nak,eop
n.state := s_txnak; -- next: send nak
when c_attn => -- if attn
n.state := s_txito; -- next: send ito byte
when others => null; -- other commas: silently ignore
end case;
else -- if normal data
n.state := s_idle; -- silently dropped
end if;
elsif (r.itoena='1' and -- if ito enable, expired and XSEC
ito_end='1' and CE_INT='1') then
n.state := s_txito; -- next: send ito byte
end if;
end if;
when s_txito => -- s_txito: send timeout symbol ------
if has_attn = '1' then
ido := c_rlink_dat_attn; -- if attn pending: send attn symbol
n.andone := '1';
else
ido := c_rlink_dat_idle; -- otherwise: send idle symbol
end if;
ival := '1';
if RL_HOLD = '0' then -- wait for accept
n.monattn := has_attn; -- signal on rl_moni
n.state := s_idle; -- next: wait for sop
end if;
when s_txsop => -- s_txsop: send sop -----------------
ido := c_rlink_dat_sop; -- send sop character
ival := '1';
if RL_HOLD = '0' then -- wait for accept
n.state := s_rxcmd; -- next: read first command
end if;
when s_txnak => -- s_txnak: send nak -----------------
ido := c_rlink_dat_nak; -- send nak character
ival := '1';
if RL_HOLD = '0' then -- wait for accept
n.nakeop := '0'; -- clear all 'do on nak' state flags
n.nakcerr := '0';
n.nakderr := '0';
if r.nakcerr = '1' then -- if setting cerr requested
n.cerr := '1'; -- do it
end if;
if r.nakderr = '1' then -- if settung derr requested
n.derr := '1'; -- do it
end if;
if r.nakeop = '1' then -- if eop after nak requested
n.state := s_txeop; -- next: send eop
else
n.state := s_error; -- next: error state, wait for eop
end if;
end if;
when s_txeop => -- s_txeop: send eop -----------------
ido := c_rlink_dat_eop; -- send eop character
ival := '1';
if RL_HOLD = '0' then -- wait for accept
n.moneop := '1'; -- signal on rl_moni
n.state := s_idle; -- next: idle state, wait for sop
end if;
when s_error => -- s_error: wait for eop -------------
ibusy := '0'; -- accept input
if RL_ENA = '1' then
if is_comma = '1' then -- if comma
case comma_typ is
when c_sop => -- if sop (unexpected)
n.state := s_txnak; -- next: send nak
when c_eop => -- if eop
n.state := s_txeop; -- next: echo eop
when c_nak => -- if nak
n.state := s_txnak; -- next: echo nak
when others => null; -- other commas: silently ignore
end case;
else -- if normal data
n.state := s_error; -- silently dropped
end if;
end if;
when s_rxcmd => -- s_rxcmd: wait for cmd -------------
ibusy := '0'; -- accept input
if RL_ENA = '1' then
if is_comma = '1' then -- if comma
case comma_typ is
when c_sop => -- if sop (unexpected)
n.state := s_txnak; -- next: send nak
when c_eop => -- if eop
n.state := s_txeop; -- next: echo eop
when c_nak => -- if nak
n.state := s_txnak; -- next: echo nak
when others => null; --other commas: silently ignore
end case;
else -- if not comma
icrcena := '1'; -- update input crc
n.rcmd := idi8; -- latch received command code
-- unless the command is stat
if RL_DI(c_rlink_cmd_rbf_code) /= c_rlink_cmd_stat then
n.nakcerr := '1'; -- set cerr on eop/nak abort
end if;
case RL_DI(c_rlink_cmd_rbf_code) is
when c_rlink_cmd_rreg |
c_rlink_cmd_rblk |
c_rlink_cmd_wreg |
c_rlink_cmd_wblk |
c_rlink_cmd_init => -- for commands needing addr(data)
n.state := s_rxaddr; -- next: read address
when c_rlink_cmd_stat |
c_rlink_cmd_attn => -- stat and attn commands
n.state := s_rxccrc; -- next: read command crc
when others =>
n.state := s_txnak; -- next: send nak
end case; -- rcmd,ccmd always hold good cmd
end if;
end if;
when s_rxaddr => -- s_rxaddr: wait for addr -----------
ibusy := '0'; -- accept input
if RL_ENA = '1' then
if is_comma = '1' then -- if comma
do_comma_abort(n.state, n.nakeop, comma_typ);
else
icrcena := '1'; -- update input crc
n.addr := idi8; -- latch read address
case r.rcmd(c_rlink_cmd_rbf_code) is
when c_rlink_cmd_rreg => -- for rreg command
n.state := s_rxccrc; -- next: read command crc
when c_rlink_cmd_wreg |
c_rlink_cmd_init => -- for wreg, init command
n.state := s_rxdatl; -- next: read data lsb
when others => -- for rblk or wblk
n.state := s_rxcnt; -- next: read count
end case;
end if;
end if;
when s_rxdatl => -- s_rxdatl: wait for data low -------
ibusy := '0'; -- accept input
if RL_ENA = '1' then
if is_comma = '1' then -- if comma
do_comma_abort(n.state, n.nakeop, comma_typ);
else
icrcena := '1'; -- update input crc
n.dil := idi8; -- latch data lsb part
n.state := s_rxdath; -- next: read data msb
end if;
end if;
when s_rxdath => -- s_rxdath: wait for data high ------
ibusy := '0'; -- accept input
if RL_ENA = '1' then
if is_comma = '1' then -- if comma
do_comma_abort(n.state, n.nakeop, comma_typ);
else
icrcena := '1'; -- update input crc
n.dih := idi8; -- latch data msb part
if r.rcmd(c_rlink_cmd_rbf_code) = c_rlink_cmd_wblk then -- if wblk
n.rbaval := '1'; -- prepare rbus cycle
n.state := s_wstart; -- next: start write reg
else -- otherwise
n.state := s_rxccrc; -- next: read command crc
end if;
end if;
end if;
when s_rxcnt => -- s_rxcnt: wait for count -----------
ibusy := '0'; -- accept input
if RL_ENA = '1' then
if is_comma = '1' then -- if comma
do_comma_abort(n.state, n.nakeop, comma_typ);
else
icrcena := '1'; -- update input crc
n.cnt := idi8; -- latch count
n.state := s_rxccrc; -- next: read command crc
end if;
end if;
when s_rxccrc => -- s_rxccrc: wait for command crc ----
ibusy := '0'; -- accept input
if RL_ENA = '1' then
if is_comma = '1' then -- if comma
do_comma_abort(n.state, n.nakeop, comma_typ);
else
if idi8 /= ICRC_OUT then -- if crc error
-- unless the command is stat
if r.rcmd(c_rlink_cmd_rbf_code) /= c_rlink_cmd_stat then
n.cerr := '1'; -- set command error flag
end if;
n.state := s_txnak; -- next: send nak
else -- if crc ok
n.state := s_txcmd; -- next: echo command
end if;
end if;
end if;
when s_txcmd => -- s_txcmd: send cmd -----------------
ido := '0' & r.rcmd; -- send read command
ival := '1';
if RL_HOLD = '0' then -- wait for accept
ocrcena := '1'; -- update output crc
if r.rcmd(c_rlink_cmd_rbf_code) /= c_rlink_cmd_stat then --unless stat
n.ccmd := r.rcmd; -- latch current command in ccmd
n.stat := RB_STAT; -- latch external status bits
n.cerr := '0';
n.derr := '0';
n.rbnak := '0';
n.rberr := '0';
end if;
n.nakcerr := '0'; -- all command rx done up to here
case r.rcmd(c_rlink_cmd_rbf_code) is -- main command dispatcher
when c_rlink_cmd_rreg => -- rreg ----------------
n.rbaval := '1'; -- prepare rbus cycle
n.state := s_rstart; -- next: start read reg
when c_rlink_cmd_rblk => -- rblk ----------------
n.state := s_txcnt;
when c_rlink_cmd_wreg => -- wreg ----------------
n.rbaval := '1'; -- prepare rbus cycle
n.state := s_wstart; -- next: start write reg
when c_rlink_cmd_wblk => -- wblk ----------------
n.nakderr := '1'; -- set derr on eop/nak abort
n.state := s_rxdatl;
when c_rlink_cmd_stat => -- stat ----------------
n.state := s_txccmd;
when c_rlink_cmd_attn => -- attn ----------------
n.state := s_attn;
when c_rlink_cmd_init => -- init ----------------
n.rbinit := '1'; -- send init pulse
if r.addr(7 downto 3) = "11111" then -- is internal init
if r.addr(2 downto 0) = "111" then -- is rri init
n.anena := r.dih(c_rlink_iint_rbf_anena - 8);
n.itoena := r.dih(c_rlink_iint_rbf_itoena - 8);
n.itoval := r.dil(ITOWIDTH-1 downto 0);
-- note: itocnt will load in next
-- cycle because ito_go=0, so no
-- action required here
end if;
else -- is external init
n.rbwe := '1'; -- send init with we
end if;
n.state := s_txstat;
when others => -- '111' ---------------
n.state := s_txnak; -- send NAK on reserved command
end case;
end if;
when s_txcnt => -- s_txcnt: send cnt -----------------
ido := '0' & r.cnt; -- send cnt
ival := '1';
if RL_HOLD = '0' then -- wait for accept
ocrcena := '1'; -- update output crc
n.rbaval := '1'; -- prepare rbus cycle
n.state := s_rstart; -- next: start first read reg
end if;
when s_rstart => -- s_rstart: start reg or blk read ---
n.rbaval := '1'; -- start actual read cycle
n.rbre := '1';
n.state := s_rreg; -- next: reg read
when s_rreg => -- s_rreg: do reg or blk read --------
-- this state handles all rbus reads
ato_go := '1'; -- activate timeout counter
if RB_SRES.err = '1' then -- latch rbus error flag
n.rberr := '1';
end if;
n.doh := RB_SRES.dout(15 downto 8); -- latch data
n.dol := RB_SRES.dout( 7 downto 0);
n.stat := RB_STAT; -- latch external status bits
if RB_SRES.busy='0' or ato_end='1' then -- wait for non-busy or timeout
if RB_SRES.busy='1' and ato_end='1' then -- if timeout and still busy
n.rbnak := '1'; -- set rbus nak flag
elsif RB_SRES.ack = '0' then -- if non-busy and no ack
n.rbnak := '1'; -- set rbus nak flag
end if;
n.state := s_txdatl; -- next: send data lsb
else -- otherwise rbus read continues
n.rbaval := '1'; -- extend cycle
n.rbre := '1';
end if;
when s_txdatl => -- s_txdatl: send data low -----------
ido := '0' & r.dol; -- send data
ival := '1';
if RL_HOLD = '0' then -- wait for accept
ocrcena := '1'; -- update output crc
n.state := s_txdath; -- next: send data msb
end if;
when s_txdath => -- s_txdath: send data high
ido := '0' & r.doh; -- send data
ival := '1';
if RL_HOLD = '0' then -- wait for accept
ocrcena := '1'; -- update output crc
if r.rcmd(c_rlink_cmd_rbf_code) = c_rlink_cmd_rblk then -- if rblk
n.state := s_blk; -- next: block count handling
else -- otherwise
n.state := s_txstat; -- next: send stat
end if;
end if;
when s_wstart => -- s_wstart: start reg or blk write --
n.rbaval := '1'; -- start actual write cycle
n.rbwe := '1';
n.state := s_wreg; -- next: reg write
when s_wreg => -- s_wreg: do reg or blk write -------
-- this state handles all rbus writes
ato_go := '1'; -- activate timeout counter
if RB_SRES.err = '1' then -- latch rbus error flag
n.rberr := '1';
end if;
n.stat := RB_STAT; -- latch external status bits
if RB_SRES.busy='0' or ato_end='1' then -- wait for non-busy or timeout
if RB_SRES.busy='1' and ato_end='1' then -- if timeout and still busy
n.rbnak := '1'; -- set rbus nak flag
elsif RB_SRES.ack='0' then -- if non-busy and no ack
n.rbnak := '1'; -- set rbus nak flag
end if;
if r.rcmd(c_rlink_cmd_rbf_code) = c_rlink_cmd_wblk then -- if wblk
n.state := s_blk; -- next: block count handling
else -- otherwise
n.state := s_txstat; -- next: send stat
end if;
else -- otherwise rbus write continues
n.rbaval := '1'; -- extend cycle
n.rbwe := '1';
end if;
when s_blk => -- s_blk: block count handling -------
n.cnt := slv(unsigned(r.cnt) - 1);-- decrement transfer count
if unsigned(r.cnt) = 0 then -- if last transfer
if r.rcmd(c_rlink_cmd_rbf_code) = c_rlink_cmd_rblk then -- if rblk
n.state := s_txstat; -- next: send stat
else -- otherwise
n.state := s_rxdcrc; -- next: read data crc
end if;
else -- otherwise more to transfer
if r.rcmd(c_rlink_cmd_rbf_code) = c_rlink_cmd_rblk then -- if rblk
n.rbaval := '1'; -- prepare rbus cycle
n.state := s_rstart; -- next: start read blk
else -- otherwise
n.state := s_rxdatl; -- next: read data
end if;
end if;
when s_rxdcrc => -- s_rxdcrc: wait for data crc -------
ibusy := '0'; -- accept input
if RL_ENA = '1' then
if is_comma = '1' then -- if comma
do_comma_abort(n.state, n.nakeop, comma_typ);
else
if idi8 /= ICRC_OUT then -- if crc error
n.derr := '1'; -- set data error flag
end if;
n.state := s_txstat; -- next: echo command
end if;
end if;
when s_attn => -- s_attn: handle attention flags ----
n.dol := r.attn(7 downto 0); -- move attention flags to do buffer
n.doh := r.attn(15 downto 8);
n.attn := RB_LAM; -- LAM in current cycle send next time
n.andone := '0'; -- reenable attn nofification
n.state := s_txdatl; -- next: send data lsb
when s_txccmd => -- s_txccmd: send last command
ido := '0' & r.ccmd; -- send last accepted command
ival := '1';
if RL_HOLD = '0' then -- wait for accept
ocrcena := '1'; -- update output crc
n.state := s_txdatl; -- next: send last data lsb
end if;
when s_txstat => -- s_txstat: send status -------------
ido := (others=>'0');
ido(c_rlink_stat_rbf_stat) := r.stat;
ido(c_rlink_stat_rbf_attn) := has_attn;
ido(c_rlink_stat_rbf_cerr) := r.cerr;
ido(c_rlink_stat_rbf_derr) := r.derr;
ido(c_rlink_stat_rbf_rbnak) := r.rbnak;
ido(c_rlink_stat_rbf_rberr) := r.rberr;
ival := '1';
if RL_HOLD ='0' then -- wait for accept
ocrcena := '1'; -- update output crc
n.state := s_txcrc; -- next: send crc
end if;
when s_txcrc => -- s_txcrc: send crc -----------------
ido := "0" & OCRC_OUT; -- send crc code
ival := '1';
if RL_HOLD = '0' then -- wait for accept
-- if dcrc seen in wblk
if r.rcmd(c_rlink_cmd_rbf_code)=c_rlink_cmd_wblk and r.derr='1' then
n.state := s_txnak; -- next: send nak
else -- otherwise
n.nakcerr := '0'; -- clear 'set on nak' requests
n.nakderr := '0';
n.state := s_rxcmd; -- next: read command or eop
end if;
end if;
when others => null; -- <> --------------------------------
end case;
if ato_go = '0' then -- handle access timeout counter
n.atocnt := atocnt_init; -- if ato_go=0, keep in reset
else
n.atocnt := slv(unsigned(r.atocnt) - 1);-- otherwise count down
end if;
if ito_go = '0' then -- handle idle timeout counter
n.itocnt := r.itoval; -- if ito_go=0, keep at start value
else
if CE_INT = '1' then
n.itocnt := slv(unsigned(r.itocnt) - 1);-- otherwise cnt dn every CE_INT
end if;
end if;
N_REGS <= n;
RL_BUSY <= ibusy;
RL_DO <= ido;
RL_VAL <= ival;
RL_MONI.eop <= r.moneop;
RL_MONI.attn <= r.monattn;
RL_MONI.lamp <= r.monlamp;
RB_MREQ <= rb_mreq_init;
RB_MREQ.aval <= r.rbaval;
RB_MREQ.re <= r.rbre;
RB_MREQ.we <= r.rbwe;
RB_MREQ.init <= r.rbinit;
RB_MREQ.addr <= r.addr;
RB_MREQ.din <= r.dih & r.dil;
CRC_RESET <= crcreset;
ICRC_ENA <= icrcena;
OCRC_ENA <= ocrcena;
OCRC_IN <= ido(7 downto 0);
end process proc_next;
end syn;
| gpl-2.0 |
freecores/w11 | rtl/sys_gen/tst_serloop/tst_serloop.vhd | 1 | 7740 | -- $Id: tst_serloop.vhd 476 2013-01-26 22:23:53Z mueller $
--
-- Copyright 2011- by Walter F.J. Mueller <[email protected]>
--
-- This program is free software; you may redistribute and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- Software Foundation, either version 2, or at your option any later version.
--
-- This program is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
-- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for complete details.
--
------------------------------------------------------------------------------
-- Module Name: tst_serloop - syn
-- Description: simple stand-alone tester for serport components
--
-- Dependencies: -
-- Test bench: -
--
-- Target Devices: generic
-- Tool versions: xst 13.1; ghdl 0.29
--
-- Revision History:
-- Date Rev Version Comment
-- 2011-12-10 438 1.0.2 clr fecnt when abact; add rxui(cnt|dat) regs
-- 2011-12-09 437 1.0.1 rename serport stat->moni port
-- 2011-11-06 420 1.0 Initial version
-- 2011-10-14 416 0.5 First draft
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.slvtypes.all;
use work.serportlib.all;
use work.tst_serlooplib.all;
-- ----------------------------------------------------------------------------
entity tst_serloop is -- tester for serport components
port (
CLK : in slbit; -- clock
RESET : in slbit; -- reset
CE_MSEC : in slbit; -- msec pulse
HIO_CNTL : in hio_cntl_type; -- humanio controls
HIO_STAT : out hio_stat_type; -- humanio status
SER_MONI : in serport_moni_type; -- serport monitor
RXDATA : in slv8; -- receiver data out
RXVAL : in slbit; -- receiver data valid
RXHOLD : out slbit; -- receiver data hold
TXDATA : out slv8; -- transmit data in
TXENA : out slbit; -- transmit data enable
TXBUSY : in slbit -- transmit busy
);
end tst_serloop;
architecture syn of tst_serloop is
type regs_type is record
rxdata : slv8; -- next rx char
txdata : slv8; -- next tx char
rxfecnt : slv16; -- rx frame error counter
rxoecnt : slv16; -- rx overrun error counter
rxsecnt : slv16; -- rx sequence error counter
rxcnt : slv32; -- rx char counter
txcnt : slv32; -- tx char counter
rxuicnt : slv8; -- rx unsolicited input counter
rxuidat : slv8; -- rx unsolicited input data
rxokcnt : slv16; -- rxok 1->0 transition counter
txokcnt : slv16; -- txok 1->0 transition counter
rxok_1 : slbit; -- rxok last cycle
txok_1 : slbit; -- txok last cycle
rxthrottle : slbit; -- rx throttle flag
end record regs_type;
constant regs_init : regs_type := (
(others=>'0'), -- rxdata
(others=>'0'), -- txdata
(others=>'0'), -- rxfecnt
(others=>'0'), -- rxoecnt
(others=>'0'), -- rxsecnt
(others=>'0'), -- rxcnt
(others=>'0'), -- txcnt
(others=>'0'), -- rxuicnt
(others=>'0'), -- rxuidat
(others=>'0'), -- rxokcnt
(others=>'0'), -- txokcnt
'0','0', -- rxok_1,txok_1
'0' -- rxthrottle
);
signal R_REGS : regs_type := regs_init; -- state registers
signal N_REGS : regs_type := regs_init; -- next value state regs
begin
proc_regs: process (CLK)
begin
if rising_edge(CLK) then
if RESET = '1' then
R_REGS <= regs_init;
else
R_REGS <= N_REGS;
end if;
end if;
end process proc_regs;
proc_next: process (R_REGS, CE_MSEC, HIO_CNTL, SER_MONI,
RXDATA, RXVAL, TXBUSY)
variable r : regs_type := regs_init;
variable n : regs_type := regs_init;
variable irxhold : slbit := '1';
variable itxena : slbit := '0';
variable itxdata : slv8 := (others=>'0');
variable skipxon : slbit := '0';
function nextchar(skipxon: in slbit; data: in slv8) return slv8 is
variable inc : slv8 := (others=>'0');
begin
inc := "00000001";
if skipxon='1' and (data=c_serport_xon or data=c_serport_xoff) then
inc := "00000010";
end if;
return slv(unsigned(data)+unsigned(inc));
end function nextchar;
begin
r := R_REGS;
n := R_REGS;
irxhold := '1';
itxena := '0';
itxdata := RXDATA;
if HIO_CNTL.mode = c_mode_txblast then
itxdata := r.txdata;
end if;
skipxon := '0';
if HIO_CNTL.enaxon='1' and HIO_CNTL.enaesc='0' then
skipxon := '1';
end if;
if HIO_CNTL.enathrottle = '1' then
if CE_MSEC = '1' then
n.rxthrottle := not r.rxthrottle;
end if;
else
n.rxthrottle := '0';
end if;
case HIO_CNTL.mode is
when c_mode_idle =>
null;
when c_mode_rxblast =>
if RXVAL='1' and r.rxthrottle='0' then
irxhold := '0';
if RXDATA /= r.rxdata then
n.rxsecnt := slv(unsigned(r.rxsecnt) + 1);
end if;
n.rxdata := nextchar(skipxon, RXDATA);
end if;
when c_mode_txblast =>
if TXBUSY = '0' then
itxena := '1';
n.txdata := nextchar(skipxon, r.txdata);
end if;
irxhold := '0';
if RXVAL = '1' then
n.rxuicnt := slv(unsigned(r.rxuicnt) + 1);
n.rxuidat := RXDATA;
end if;
when c_mode_loop =>
if RXVAL='1' and r.rxthrottle='0' and TXBUSY = '0' then
irxhold := '0';
itxena := '1';
end if;
when others => null;
end case;
if SER_MONI.abact = '1' then -- if auto bauder active
n.rxfecnt := (others=>'0'); -- reset frame error counter
else -- otherwise
if SER_MONI.rxerr = '1' then -- count rx frame errors
n.rxfecnt := slv(unsigned(r.rxfecnt) + 1);
end if;
end if;
if SER_MONI.rxovr = '1' then
n.rxoecnt := slv(unsigned(r.rxoecnt) + 1);
end if;
if RXVAL='1' and irxhold='0' then
n.rxcnt := slv(unsigned(r.rxcnt) + 1);
end if;
if itxena = '1' then
n.txcnt := slv(unsigned(r.txcnt) + 1);
end if;
n.rxok_1 := SER_MONI.rxok;
n.txok_1 := SER_MONI.txok;
if SER_MONI.rxok='0' and r.rxok_1='1' then
n.rxokcnt := slv(unsigned(r.rxokcnt) + 1);
end if;
if SER_MONI.txok='0' and r.txok_1='1' then
n.txokcnt := slv(unsigned(r.txokcnt) + 1);
end if;
N_REGS <= n;
RXHOLD <= irxhold;
TXENA <= itxena;
TXDATA <= itxdata;
HIO_STAT.rxfecnt <= r.rxfecnt;
HIO_STAT.rxoecnt <= r.rxoecnt;
HIO_STAT.rxsecnt <= r.rxsecnt;
HIO_STAT.rxcnt <= r.rxcnt;
HIO_STAT.txcnt <= r.txcnt;
HIO_STAT.rxuicnt <= r.rxuicnt;
HIO_STAT.rxuidat <= r.rxuidat;
HIO_STAT.rxokcnt <= r.rxokcnt;
HIO_STAT.txokcnt <= r.txokcnt;
end process proc_next;
end syn;
| gpl-2.0 |
freecores/w11 | rtl/sys_gen/tst_fx2loop/nexys3/sys_tst_fx2loop_n3.vhd | 1 | 12625 | -- $Id: sys_tst_fx2loop_n3.vhd 538 2013-10-06 17:21:25Z mueller $
--
-- Copyright 2012-2013 by Walter F.J. Mueller <[email protected]>
--
-- This program is free software; you may redistribute and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- Software Foundation, either version 2, or at your option any later version.
--
-- This program is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
-- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for complete details.
--
------------------------------------------------------------------------------
-- Module Name: sys_tst_fx2loop_n3 - syn
-- Description: test of Cypress EZ-USB FX2 controller
--
-- Dependencies: vlib/xlib/s6_cmt_sfs
-- vlib/genlib/clkdivce
-- bpgen/sn_humanio
-- tst_fx2loop_hiomap
-- tst_fx2loop
-- bplib/fx2lib/fx2_2fifoctl_as [sys_conf_fx2_type="as2"]
-- bplib/fx2lib/fx2_2fifoctl_ic [sys_conf_fx2_type="ic2"]
-- bplib/fx2lib/fx2_3fifoctl_ic [sys_conf_fx2_type="ic3"]
-- bplib/nxcramlib/nx_cram_dummy
--
-- Test bench: -
--
-- Target Devices: generic
-- Tool versions: xst 13.3, 14.5, 14.6; ghdl 0.29
--
-- Synthesized (xst):
-- Date Rev ise Target flop lutl lutm slic t peri ctl/MHz
-- 2013-04-25 510 14.5 P58f xc6slx16-2 416 516 68 199 p 5.3 ic3/150
-- 2013-04-24 510 13.3 O76d xc6slx16-2 417 674 68 228 p 5.3 ic3/175
-- 2012-04-09 461 13.3 O76d xc6slx16-2 429 620 48 232 p 7.2 ic3/100
--
-- 2013-04-25 510 14.5 P58f xc6slx16-2 349 427 48 163 p 5.4 ic2/150
-- 2013-04-24 510 13.3 O76d xc6slx16-2 355 569 48 208 p 5.4 ic2/175
-- 2012-04-09 461 13.3 O76d xc6slx16-2 347 499 32 175 p 7.9 ic2/100
--
-- 2013-04-24 510 13.3 O76d xc6slx16-2 299 486 32 175 p FAIL as2/100
-- 2012-04-09 461 13.3 O76d xc6slx16-2 299 460 32 164 p FAIL as2/100
--
-- Revision History:
-- Date Rev Version Comment
-- 2013-10-06 538 1.1 pll support, use clksys_vcodivide ect
-- 2013-04-24 510 1.0.1 CLKDIV.CDUWIDTH now 8, support >127 sysclk
-- 2012-04-09 461 1.0 Initial version (derived from sys_tst_fx2loop_n2)
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.slvtypes.all;
use work.xlib.all;
use work.genlib.all;
use work.bpgenlib.all;
use work.tst_fx2looplib.all;
use work.fx2lib.all;
use work.nxcramlib.all;
use work.sys_conf.all;
-- ----------------------------------------------------------------------------
entity sys_tst_fx2loop_n3 is -- top level
-- implements nexys3_aif + fx2 pins
port (
I_CLK100 : in slbit; -- 100 MHz clock
I_RXD : in slbit; -- receive data (board view)
O_TXD : out slbit; -- transmit data (board view)
I_SWI : in slv8; -- n3 switches
I_BTN : in slv5; -- n3 buttons
O_LED : out slv8; -- n3 leds
O_ANO_N : out slv4; -- 7 segment disp: anodes (act.low)
O_SEG_N : out slv8; -- 7 segment disp: segments (act.low)
O_MEM_CE_N : out slbit; -- cram: chip enable (act.low)
O_MEM_BE_N : out slv2; -- cram: byte enables (act.low)
O_MEM_WE_N : out slbit; -- cram: write enable (act.low)
O_MEM_OE_N : out slbit; -- cram: output enable (act.low)
O_MEM_ADV_N : out slbit; -- cram: address valid (act.low)
O_MEM_CLK : out slbit; -- cram: clock
O_MEM_CRE : out slbit; -- cram: command register enable
I_MEM_WAIT : in slbit; -- cram: mem wait
O_MEM_ADDR : out slv23; -- cram: address lines
IO_MEM_DATA : inout slv16; -- cram: data lines
O_PPCM_CE_N : out slbit; -- ppcm: ...
O_PPCM_RST_N : out slbit; -- ppcm: ...
I_FX2_IFCLK : in slbit; -- fx2: interface clock
O_FX2_FIFO : out slv2; -- fx2: fifo address
I_FX2_FLAG : in slv4; -- fx2: fifo flags
O_FX2_SLRD_N : out slbit; -- fx2: read enable (act.low)
O_FX2_SLWR_N : out slbit; -- fx2: write enable (act.low)
O_FX2_SLOE_N : out slbit; -- fx2: output enable (act.low)
O_FX2_PKTEND_N : out slbit; -- fx2: packet end (act.low)
IO_FX2_DATA : inout slv8 -- fx2: data lines
);
end sys_tst_fx2loop_n3;
architecture syn of sys_tst_fx2loop_n3 is
signal CLK : slbit := '0';
signal RESET : slbit := '0';
signal CE_USEC : slbit := '0';
signal CE_MSEC : slbit := '0';
signal SWI : slv8 := (others=>'0');
signal BTN : slv5 := (others=>'0');
signal LED : slv8 := (others=>'0');
signal DSP_DAT : slv16 := (others=>'0');
signal DSP_DP : slv4 := (others=>'0');
signal LED_MAP : slv8 := (others=>'0');
signal HIO_CNTL : hio_cntl_type := hio_cntl_init;
signal HIO_STAT : hio_stat_type := hio_stat_init;
signal FX2_RXDATA : slv8 := (others=>'0');
signal FX2_RXVAL : slbit := '0';
signal FX2_RXHOLD : slbit := '0';
signal FX2_RXAEMPTY : slbit := '0';
signal FX2_TXDATA : slv8 := (others=>'0');
signal FX2_TXENA : slbit := '0';
signal FX2_TXBUSY : slbit := '0';
signal FX2_TXAFULL : slbit := '0';
signal FX2_TX2DATA : slv8 := (others=>'0');
signal FX2_TX2ENA : slbit := '0';
signal FX2_TX2BUSY : slbit := '1';
signal FX2_TX2AFULL : slbit := '0';
signal FX2_MONI : fx2ctl_moni_type := fx2ctl_moni_init;
begin
assert (sys_conf_clksys mod 1000000) = 0
report "assert sys_conf_clksys on MHz grid"
severity failure;
GEN_CLKSYS : s6_cmt_sfs
generic map (
VCO_DIVIDE => sys_conf_clksys_vcodivide,
VCO_MULTIPLY => sys_conf_clksys_vcomultiply,
OUT_DIVIDE => sys_conf_clksys_outdivide,
CLKIN_PERIOD => 10.0,
CLKIN_JITTER => 0.01,
STARTUP_WAIT => false,
GEN_TYPE => sys_conf_clksys_gentype)
port map (
CLKIN => I_CLK100,
CLKFX => CLK,
LOCKED => open
);
CLKDIV : clkdivce
generic map (
CDUWIDTH => 8, -- good for up to 255 MHz !
USECDIV => sys_conf_clksys_mhz,
MSECDIV => 1000)
port map (
CLK => CLK,
CE_USEC => CE_USEC,
CE_MSEC => CE_MSEC
);
HIO : sn_humanio
generic map (
BWIDTH => 5,
DEBOUNCE => sys_conf_hio_debounce)
port map (
CLK => CLK,
RESET => '0',
CE_MSEC => CE_MSEC,
SWI => SWI,
BTN => BTN,
LED => LED,
DSP_DAT => DSP_DAT,
DSP_DP => DSP_DP,
I_SWI => I_SWI,
I_BTN => I_BTN,
O_LED => O_LED,
O_ANO_N => O_ANO_N,
O_SEG_N => O_SEG_N
);
RESET <= BTN(0); -- BTN(0) will reset tester !!
HIOMAP : tst_fx2loop_hiomap
port map (
CLK => CLK,
RESET => RESET,
HIO_CNTL => HIO_CNTL,
HIO_STAT => HIO_STAT,
FX2_MONI => FX2_MONI,
SWI => SWI,
BTN => BTN(3 downto 0),
LED => LED_MAP,
DSP_DAT => DSP_DAT,
DSP_DP => DSP_DP
);
proc_led: process (SWI, LED_MAP, FX2_TX2BUSY, FX2_TX2ENA,
FX2_TXBUSY, FX2_TXENA, FX2_RXHOLD, FX2_RXVAL)
begin
if SWI(4) = '1' then
LED(7) <= '0';
LED(6) <= '0';
LED(5) <= FX2_TX2BUSY;
LED(4) <= FX2_TX2ENA;
LED(3) <= FX2_TXBUSY;
LED(2) <= FX2_TXENA;
LED(1) <= FX2_RXHOLD;
LED(0) <= FX2_RXVAL;
else
LED <= LED_MAP;
end if;
end process proc_led;
TST : tst_fx2loop
port map (
CLK => CLK,
RESET => RESET,
CE_MSEC => CE_MSEC,
HIO_CNTL => HIO_CNTL,
HIO_STAT => HIO_STAT,
FX2_MONI => FX2_MONI,
RXDATA => FX2_RXDATA,
RXVAL => FX2_RXVAL,
RXHOLD => FX2_RXHOLD,
TXDATA => FX2_TXDATA,
TXENA => FX2_TXENA,
TXBUSY => FX2_TXBUSY,
TX2DATA => FX2_TX2DATA,
TX2ENA => FX2_TX2ENA,
TX2BUSY => FX2_TX2BUSY
);
FX2_CNTL_AS : if sys_conf_fx2_type = "as2" generate
CNTL : fx2_2fifoctl_as
generic map (
RXFAWIDTH => 5,
TXFAWIDTH => 5,
CCWIDTH => sys_conf_fx2_ccwidth,
RXAEMPTY_THRES => 1,
TXAFULL_THRES => 1,
PETOWIDTH => sys_conf_fx2_petowidth,
RDPWLDELAY => sys_conf_fx2_rdpwldelay,
RDPWHDELAY => sys_conf_fx2_rdpwhdelay,
WRPWLDELAY => sys_conf_fx2_wrpwldelay,
WRPWHDELAY => sys_conf_fx2_wrpwhdelay,
FLAGDELAY => sys_conf_fx2_flagdelay)
port map (
CLK => CLK,
CE_USEC => CE_USEC,
RESET => RESET,
RXDATA => FX2_RXDATA,
RXVAL => FX2_RXVAL,
RXHOLD => FX2_RXHOLD,
RXAEMPTY => FX2_RXAEMPTY,
TXDATA => FX2_TXDATA,
TXENA => FX2_TXENA,
TXBUSY => FX2_TXBUSY,
TXAFULL => FX2_TXAFULL,
MONI => FX2_MONI,
I_FX2_IFCLK => I_FX2_IFCLK,
O_FX2_FIFO => O_FX2_FIFO,
I_FX2_FLAG => I_FX2_FLAG,
O_FX2_SLRD_N => O_FX2_SLRD_N,
O_FX2_SLWR_N => O_FX2_SLWR_N,
O_FX2_SLOE_N => O_FX2_SLOE_N,
O_FX2_PKTEND_N => O_FX2_PKTEND_N,
IO_FX2_DATA => IO_FX2_DATA
);
end generate FX2_CNTL_AS;
FX2_CNTL_IC : if sys_conf_fx2_type = "ic2" generate
CNTL : fx2_2fifoctl_ic
generic map (
RXFAWIDTH => 5,
TXFAWIDTH => 5,
PETOWIDTH => sys_conf_fx2_petowidth,
CCWIDTH => sys_conf_fx2_ccwidth,
RXAEMPTY_THRES => 1,
TXAFULL_THRES => 1)
port map (
CLK => CLK,
RESET => RESET,
RXDATA => FX2_RXDATA,
RXVAL => FX2_RXVAL,
RXHOLD => FX2_RXHOLD,
RXAEMPTY => FX2_RXAEMPTY,
TXDATA => FX2_TXDATA,
TXENA => FX2_TXENA,
TXBUSY => FX2_TXBUSY,
TXAFULL => FX2_TXAFULL,
MONI => FX2_MONI,
I_FX2_IFCLK => I_FX2_IFCLK,
O_FX2_FIFO => O_FX2_FIFO,
I_FX2_FLAG => I_FX2_FLAG,
O_FX2_SLRD_N => O_FX2_SLRD_N,
O_FX2_SLWR_N => O_FX2_SLWR_N,
O_FX2_SLOE_N => O_FX2_SLOE_N,
O_FX2_PKTEND_N => O_FX2_PKTEND_N,
IO_FX2_DATA => IO_FX2_DATA
);
end generate FX2_CNTL_IC;
FX2_CNTL_IC3 : if sys_conf_fx2_type = "ic3" generate
CNTL : fx2_3fifoctl_ic
generic map (
RXFAWIDTH => 5,
TXFAWIDTH => 5,
PETOWIDTH => sys_conf_fx2_petowidth,
CCWIDTH => sys_conf_fx2_ccwidth,
RXAEMPTY_THRES => 1,
TXAFULL_THRES => 1,
TX2AFULL_THRES => 1)
port map (
CLK => CLK,
RESET => RESET,
RXDATA => FX2_RXDATA,
RXVAL => FX2_RXVAL,
RXHOLD => FX2_RXHOLD,
RXAEMPTY => FX2_RXAEMPTY,
TXDATA => FX2_TXDATA,
TXENA => FX2_TXENA,
TXBUSY => FX2_TXBUSY,
TXAFULL => FX2_TXAFULL,
TX2DATA => FX2_TX2DATA,
TX2ENA => FX2_TX2ENA,
TX2BUSY => FX2_TX2BUSY,
TX2AFULL => FX2_TX2AFULL,
MONI => FX2_MONI,
I_FX2_IFCLK => I_FX2_IFCLK,
O_FX2_FIFO => O_FX2_FIFO,
I_FX2_FLAG => I_FX2_FLAG,
O_FX2_SLRD_N => O_FX2_SLRD_N,
O_FX2_SLWR_N => O_FX2_SLWR_N,
O_FX2_SLOE_N => O_FX2_SLOE_N,
O_FX2_PKTEND_N => O_FX2_PKTEND_N,
IO_FX2_DATA => IO_FX2_DATA
);
end generate FX2_CNTL_IC3;
SRAM_PROT : nx_cram_dummy -- connect CRAM to protection dummy
port map (
O_MEM_CE_N => O_MEM_CE_N,
O_MEM_BE_N => O_MEM_BE_N,
O_MEM_WE_N => O_MEM_WE_N,
O_MEM_OE_N => O_MEM_OE_N,
O_MEM_ADV_N => O_MEM_ADV_N,
O_MEM_CLK => O_MEM_CLK,
O_MEM_CRE => O_MEM_CRE,
I_MEM_WAIT => I_MEM_WAIT,
O_MEM_ADDR => O_MEM_ADDR,
IO_MEM_DATA => IO_MEM_DATA
);
O_PPCM_CE_N <= '1'; -- keep parallel PCM memory disabled
O_PPCM_RST_N <= '1'; --
O_TXD <= I_RXD; -- loop-back in serial port...
end syn;
| gpl-2.0 |
freecores/w11 | rtl/sys_gen/tst_serloop/s3board/sys_conf.vhd | 2 | 1484 | -- $Id: sys_conf.vhd 441 2011-12-20 17:01:16Z mueller $
--
-- Copyright 2011- by Walter F.J. Mueller <[email protected]>
--
-- This program is free software; you may redistribute and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- Software Foundation, either version 2, or at your option any later version.
--
-- This program is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
-- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for complete details.
--
------------------------------------------------------------------------------
-- Package Name: sys_conf
-- Description: Definitions for sys_tst_serloop_s3 (for synthesis)
--
-- Dependencies: -
-- Tool versions: xst 13.1; ghdl 0.29
-- Revision History:
-- Date Rev Version Comment
-- 2011-11-13 424 1.0 Initial version
-- 2011-10-25 419 0.5 First draft
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.slvtypes.all;
package sys_conf is
constant sys_conf_clkdiv_usecdiv : integer := 60; -- default usec
constant sys_conf_clkdiv_msecdiv : integer := 1000; -- default msec
constant sys_conf_hio_debounce : boolean := true; -- instantiate debouncers
constant sys_conf_uart_cdinit : integer := 521-1; -- 60000000/115200
end package sys_conf;
| gpl-2.0 |
freecores/w11 | rtl/bplib/bpgen/sn_4x7segctl.vhd | 2 | 5432 | -- $Id: sn_4x7segctl.vhd 410 2011-09-18 11:23:09Z mueller $
--
-- Copyright 2007-2011 by Walter F.J. Mueller <[email protected]>
--
-- This program is free software; you may redistribute and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- Software Foundation, either version 2, or at your option any later version.
--
-- This program is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
-- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for complete details.
--
------------------------------------------------------------------------------
-- Module Name: sn_4x7segctl - syn
-- Description: Quad 7 segment display controller (for s3board and nexys2/3)
--
-- Dependencies: -
-- Test bench: -
-- Target Devices: generic
-- Tool versions: xst 8.2, 9.1, 9.2, 11.4, 12.1; ghdl 0.18-0.29
-- Revision History:
-- Date Rev Version Comment
-- 2011-09-17 410 1.2.1 now numeric_std clean
-- 2011-07-30 400 1.2 digit dark in last quarter (not 16 clocks)
-- 2011-07-08 390 1.1.2 renamed from s3_dispdrv
-- 2010-04-17 278 1.1.1 renamed from dispdrv
-- 2010-03-29 272 1.1 add all ANO off time to allow to driver turn-off
-- delay and to avoid cross talk between digits
-- 2007-12-16 101 1.0.1 use _N for active low
-- 2007-09-16 83 1.0 Initial version
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.slvtypes.all;
entity sn_4x7segctl is -- Quad 7 segment display controller
generic (
CDWIDTH : positive := 6); -- clk divider width (must be >= 5)
port (
CLK : in slbit; -- clock
DIN : in slv16; -- data
DP : in slv4; -- decimal points
ANO_N : out slv4; -- anodes (act.low)
SEG_N : out slv8 -- segements (act.low)
);
end sn_4x7segctl;
architecture syn of sn_4x7segctl is
type regs_type is record
cdiv : slv(CDWIDTH-1 downto 0); -- clock divider counter
dcnt : slv2; -- digit counter
end record regs_type;
constant regs_init : regs_type := (
slv(to_unsigned(0,CDWIDTH)),
(others=>'0')
);
type hex2segtbl_type is array (0 to 15) of slv7;
constant hex2segtbl : hex2segtbl_type :=
("0111111", -- 0: "0000"
"0000110", -- 1: "0001"
"1011011", -- 2: "0010"
"1001111", -- 3: "0011"
"1100110", -- 4: "0100"
"1101101", -- 5: "0101"
"1111101", -- 6: "0110"
"0000111", -- 7: "0111"
"1111111", -- 8: "1000"
"1101111", -- 9: "1001"
"1110111", -- a: "1010"
"1111100", -- b: "1011"
"0111001", -- c: "1100"
"1011110", -- d: "1101"
"1111001", -- e: "1110"
"1110001" -- f: "1111"
);
signal R_REGS : regs_type := regs_init; -- state registers
signal N_REGS : regs_type := regs_init; -- next value state regs
begin
assert CDWIDTH >= 5
report "assert(CDWIDTH >= 5): CDWIDTH too small"
severity FAILURE;
proc_regs: process (CLK)
begin
if rising_edge(CLK) then
R_REGS <= N_REGS;
end if;
end process proc_regs;
proc_next: process (R_REGS, DIN, DP)
variable r : regs_type := regs_init;
variable n : regs_type := regs_init;
variable cano : slv4 := "0000";
variable chex : slv4 := "0000";
variable cdp : slbit := '0';
begin
r := R_REGS;
n := R_REGS;
n.cdiv := slv(unsigned(r.cdiv) - 1);
if unsigned(r.cdiv) = 0 then
n.dcnt := slv(unsigned(r.dcnt) + 1);
end if;
chex := "0000";
cdp := '0';
case r.dcnt is
when "00" => chex := DIN( 3 downto 0); cdp := DP(0);
when "01" => chex := DIN( 7 downto 4); cdp := DP(1);
when "10" => chex := DIN(11 downto 8); cdp := DP(2);
when "11" => chex := DIN(15 downto 12); cdp := DP(3);
when others => chex := "----"; cdp := '-';
end case;
-- the logic below ensures that the anode PNP driver transistor is switched
-- off in the last quarter of the digit cycle.This prevents 'cross talk'
-- between digits due to transistor turn off delays.
-- For a nexys2 board at 50 MHz observed:
-- no or 4 cycles gap well visible cross talk
-- with 8 cycles still some weak cross talk
-- with 16 cycles none is visible.
-- --> The turn-off delay of the anode driver PNP's this therefore
-- larger 160 ns and below 320 ns.
-- As consquence CDWIDTH should be at least 6 for 50 MHz and 7 for 100 MHz.
cano := "1111";
if r.cdiv(CDWIDTH-1 downto CDWIDTH-2) /= "00" then
cano(to_integer(unsigned(r.dcnt))) := '0';
end if;
N_REGS <= n;
ANO_N <= cano;
SEG_N <= not (cdp & hex2segtbl(to_integer(unsigned(chex))));
end process proc_next;
end syn;
| gpl-2.0 |
freecores/w11 | rtl/ibus/ibdr_dl11.vhd | 2 | 13865 | -- $Id: ibdr_dl11.vhd 427 2011-11-19 21:04:11Z mueller $
--
-- Copyright 2008-2011 by Walter F.J. Mueller <[email protected]>
--
-- This program is free software; you may redistribute and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- Software Foundation, either version 2, or at your option any later version.
--
-- This program is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
-- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for complete details.
--
------------------------------------------------------------------------------
-- Module Name: ibdr_dl11 - syn
-- Description: ibus dev(rem): DL11-A/B
--
-- Dependencies: -
-- Test bench: -
-- Target Devices: generic
-- Tool versions: xst 8.2, 9.1, 9.2, 10.1, 12.1, 13.1; ghdl 0.18-0.29
--
-- Synthesized (xst):
-- Date Rev ise Target flop lutl lutm slic t peri
-- 2010-10-17 333 12.1 M53d xc3s1000-4 39 126 0 72 s 7.6
-- 2009-07-12 233 10.1.03 K39 xc3s1000-4 38 119 0 69 s 6.3
-- 2009-07-11 232 10.1.03 K39 xc3s1000-4 23 61 0 40 s 5.5
--
-- Revision History:
-- Date Rev Version Comment
-- 2011-11-18 427 1.2.2 now numeric_std clean
-- 2010-10-23 335 1.2.1 rename RRI_LAM->RB_LAM;
-- 2010-10-17 333 1.2 use ibus V2 interface
-- 2010-06-11 303 1.1 use IB_MREQ.racc instead of RRI_REQ
-- 2009-07-12 233 1.0.5 add RESET, CE_USEC port; implement input rate limit
-- 2008-08-22 161 1.0.6 use iblib; add EI_ACK_* to proc_next sens. list
-- 2008-05-09 144 1.0.5 use intreq flop, use EI_ACK
-- 2008-03-22 128 1.0.4 rename xdone -> xval (no functional change)
-- 2008-01-27 115 1.0.3 bugfix: set ilam when rbuf read by cpu;
-- add xdone and rrdy bits to rri xbuf read
-- 2008-01-20 113 1.0.2 fix maint mode logic (proper double buffer now)
-- 2008-01-20 112 1.0.1 use BRESET
-- 2008-01-05 108 1.0 Initial version
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.slvtypes.all;
use work.iblib.all;
-- ----------------------------------------------------------------------------
entity ibdr_dl11 is -- ibus dev(rem): DL11-A/B
generic (
IB_ADDR : slv16 := slv(to_unsigned(8#177560#,16)));
port (
CLK : in slbit; -- clock
CE_USEC : in slbit; -- usec pulse
RESET : in slbit; -- system reset
BRESET : in slbit; -- ibus reset
RB_LAM : out slbit; -- remote attention
IB_MREQ : in ib_mreq_type; -- ibus request
IB_SRES : out ib_sres_type; -- ibus response
EI_REQ_RX : out slbit; -- interrupt request, receiver
EI_REQ_TX : out slbit; -- interrupt request, transmitter
EI_ACK_RX : in slbit; -- interrupt acknowledge, receiver
EI_ACK_TX : in slbit -- interrupt acknowledge, transmitter
);
end ibdr_dl11;
architecture syn of ibdr_dl11 is
constant ibaddr_rcsr : slv2 := "00"; -- rcsr address offset
constant ibaddr_rbuf : slv2 := "01"; -- rbuf address offset
constant ibaddr_xcsr : slv2 := "10"; -- xcsr address offset
constant ibaddr_xbuf : slv2 := "11"; -- xbuf address offset
subtype rcsr_ibf_rrlim is integer range 14 downto 12;
constant rcsr_ibf_rdone : integer := 7;
constant rcsr_ibf_rie : integer := 6;
constant xcsr_ibf_xrdy : integer := 7;
constant xcsr_ibf_xie : integer := 6;
constant xcsr_ibf_xmaint: integer := 2;
constant xbuf_ibf_xval : integer := 8;
constant xbuf_ibf_rrdy : integer := 9;
type regs_type is record -- state registers
ibsel : slbit; -- ibus select
rrlim : slv3; -- rcsr: receiver rate limit
rdone : slbit; -- rcsr: receiver done
rie : slbit; -- rcsr: receiver interrupt enable
rbuf : slv8; -- rbuf:
rval : slbit; -- rx rbuf valid
rintreq : slbit; -- rx interrupt request
rdlybsy : slbit; -- rx delay busy
rdlycnt : slv10; -- rx delay counter
xrdy : slbit; -- xcsr: transmitter ready
xie : slbit; -- xcsr: transmitter interrupt enable
xmaint : slbit; -- xcsr: maintenance mode
xbuf : slv8; -- xbuf:
xintreq : slbit; -- tx interrupt request
end record regs_type;
constant regs_init : regs_type := (
'0', -- ibsel
(others=>'0'), -- rrlim
'0','0', -- rdone, rie
(others=>'0'), -- rbuf
'0','0','0', -- rval,rintreq,rdlybsy
(others=>'0'), -- rdlycnt
'1', -- xrdy !! is set !!
'0','0', -- xie,xmaint
(others=>'0'), -- xbuf
'0' -- xintreq
);
signal R_REGS : regs_type := regs_init;
signal N_REGS : regs_type := regs_init;
begin
proc_regs: process (CLK)
begin
if rising_edge(CLK) then
if BRESET = '1' then
R_REGS <= regs_init;
if RESET = '0' then -- if RESET=0 we do just an ibus reset
R_REGS.rrlim <= N_REGS.rrlim; -- don't reset rx rate limit
R_REGS.rdlybsy <= N_REGS.rdlybsy; -- don't reset rx delay busy
R_REGS.rdlycnt <= N_REGS.rdlycnt; -- don't reset rx delay counter
end if;
else
R_REGS <= N_REGS;
end if;
end if;
end process proc_regs;
proc_next : process (CE_USEC, R_REGS, IB_MREQ, EI_ACK_RX, EI_ACK_TX)
variable r : regs_type := regs_init;
variable n : regs_type := regs_init;
variable idout : slv16 := (others=>'0');
variable ibreq : slbit := '0';
variable ibrd : slbit := '0';
variable ibw0 : slbit := '0';
variable ibw1 : slbit := '0';
variable ilam : slbit := '0';
variable rdlystart : slbit := '0';
variable rdlyinit : slv10 := (others=>'0');
begin
r := R_REGS;
n := R_REGS;
idout := (others=>'0');
ibreq := IB_MREQ.re or IB_MREQ.we;
ibrd := IB_MREQ.re;
ibw0 := IB_MREQ.we and IB_MREQ.be0;
ibw1 := IB_MREQ.we and IB_MREQ.be1;
ilam := '0';
rdlystart := '0';
-- ibus address decoder
n.ibsel := '0';
if IB_MREQ.aval='1' and
IB_MREQ.addr(12 downto 3)=IB_ADDR(12 downto 3) then
n.ibsel := '1';
end if;
-- ibus transactions
if r.ibsel = '1' then
case IB_MREQ.addr(2 downto 1) is
when ibaddr_rcsr => -- RCSR -- receive control status ----
idout(rcsr_ibf_rdone) := r.rdone;
idout(rcsr_ibf_rie) := r.rie;
if IB_MREQ.racc = '0' then -- cpu ---------------------
if ibw0 = '1' then
n.rie := IB_MREQ.din(rcsr_ibf_rie);
if IB_MREQ.din(rcsr_ibf_rie) = '1' then
if r.rdone='1' and r.rie='0' then -- ie set while done=1
n.rintreq := '1'; -- request interrupt
end if;
else
n.rintreq := '0';
end if;
end if;
else -- rri ---------------------
idout(rcsr_ibf_rrlim) := r.rrlim;
if ibw1 = '1' then
n.rrlim := IB_MREQ.din(rcsr_ibf_rrlim);
end if;
end if;
when ibaddr_rbuf => -- RBUF -- receive data buffer -------
idout(r.rbuf'range) := r.rbuf;
if IB_MREQ.racc = '0' then -- cpu ---------------------
if ibrd = '1' then
n.rdone := '0'; -- clear DONE
n.rval := '0'; -- clear rbuf valid
n.rintreq := '0'; -- clear pending interrupts
rdlystart := '1'; -- start rx delay counter
if r.xmaint = '0' then -- if not in loop-back
ilam := '1'; -- request rb attention
end if;
end if;
else -- rri ---------------------
if ibw0 = '1' then
n.rbuf := IB_MREQ.din(n.rbuf'range);
n.rval := '1'; -- set rbuf valid
if r.rdlybsy = '0' then -- if rdly timer not running
n.rdone := '1'; -- set DONE
if r.rie = '1' then -- if rx interrupt enabled
n.rintreq := '1'; -- request interrupt
end if;
end if;
end if;
end if;
when ibaddr_xcsr => -- XCSR -- transmit control status ---
idout(xcsr_ibf_xrdy) := r.xrdy;
idout(xcsr_ibf_xie) := r.xie;
idout(xcsr_ibf_xmaint):= r.xmaint;
if IB_MREQ.racc = '0' then -- cpu ---------------------
if ibw0 = '1' then
n.xie := IB_MREQ.din(xcsr_ibf_xie);
if IB_MREQ.din(xcsr_ibf_xie) = '1' then
if r.xrdy='1' and r.xie='0' then -- ie set while ready=1
n.xintreq := '1'; -- request interrupt
end if;
else
n.xintreq := '0';
end if;
n.xmaint := IB_MREQ.din(xcsr_ibf_xmaint);
end if;
end if;
when ibaddr_xbuf => -- XBUF -- transmit data buffer ------
if IB_MREQ.racc = '0' then -- cpu ---------------------
if ibw0 = '1' then
n.xbuf := IB_MREQ.din(n.xbuf'range);
n.xrdy := '0';
n.xintreq := '0';
if r.xmaint = '0' then
ilam := '1';
end if;
end if;
else -- rri ---------------------
idout(r.xbuf'range) := r.xbuf;
if r.xmaint = '0' then -- if not in maintenace mode
idout(xbuf_ibf_xval) := not r.xrdy;
idout(xbuf_ibf_rrdy) := not r.rval;
end if;
if ibrd = '1' then
n.xrdy := '1';
if r.xie = '1' then
n.xintreq := '1';
end if;
end if;
end if;
when others => null;
end case;
else -- if unselected handle loop-back
if r.xmaint = '1' and -- if in maintenace mode
r.xrdy='0' and -- and transmit pending
r.rdone='0' and -- and receive buffer empty
r.rdlybsy='0' then -- and rdly timer not running
n.rbuf := r.xbuf; -- copy transmit to receive buffer
n.xrdy := '1'; -- mark transmit done
n.rdone := '1'; -- make receive done
if r.rie = '1' then -- if rx interrupt enabled
n.rintreq := '1'; -- request it
end if;
if r.xie = '1' then -- if tx interrupt enabled
n.xintreq := '1'; -- request it
end if;
end if;
end if;
-- other state changes
rdlyinit := (others=>'0');
case r.rrlim is
when "000" => rdlyinit := "0000000000"; -- rlim=0 -> disabled
when "001" => rdlyinit := "0000000011"; -- rlim=1 -> delay by 3+ usec
when "010" => rdlyinit := "0000001111"; -- rlim=2 -> delay by 15+ usec
when "011" => rdlyinit := "0000111111"; -- rlim=3 -> delay by 63+ usec
when "100" => rdlyinit := "0001111111"; -- rlim=4 -> delay by 127+ usec
when "101" => rdlyinit := "0011111111"; -- rlim=5 -> delay by 255+ usec
when "110" => rdlyinit := "0111111111"; -- rlim=6 -> delay by 511+ usec
when "111" => rdlyinit := "1111111111"; -- rlim=7 -> delay by 1023+ usec
when others => null;
end case;
if rdlystart = '1' then -- if rdly timer start requested
n.rdlycnt := rdlyinit; -- init counter
if r.rrlim /= "000" then -- rate limiter enabled ?
n.rdlybsy := '1'; -- set busy
end if;
elsif CE_USEC = '1' then -- if end-of-usec
n.rdlycnt := slv(unsigned(r.rdlycnt) - 1); -- decrement
if r.rdlybsy='1' and -- if delay busy
unsigned(r.rdlycnt) = 0 then -- and counter at zero
n.rdlybsy := '0'; -- clear busy
if n.rval = '1' then -- if rbuf is valid or is set
-- valid this cycle (use n.!!)
n.rdone := '1'; -- set DONE
if r.rie = '1' then -- if rx interrupt enabled
n.rintreq := '1'; -- request interrupt
end if;
end if;
end if;
end if;
if EI_ACK_RX = '1' then
n.rintreq := '0';
end if;
if EI_ACK_TX = '1' then
n.xintreq := '0';
end if;
N_REGS <= n;
IB_SRES.dout <= idout;
IB_SRES.ack <= r.ibsel and ibreq;
IB_SRES.busy <= '0';
RB_LAM <= ilam;
EI_REQ_RX <= r.rintreq;
EI_REQ_TX <= r.xintreq;
end process proc_next;
end syn;
| gpl-2.0 |
freecores/w11 | rtl/w11a/pdp11_cache.vhd | 2 | 16370 | -- $Id: pdp11_cache.vhd 427 2011-11-19 21:04:11Z mueller $
--
-- Copyright 2008-2011 by Walter F.J. Mueller <[email protected]>
--
-- This program is free software; you may redistribute and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- Software Foundation, either version 2, or at your option any later version.
--
-- This program is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
-- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for complete details.
--
------------------------------------------------------------------------------
-- Module Name: pdp11_cache - syn
-- Description: pdp11: cache
--
-- Dependencies: memlib/ram_2swsr_rfirst_gen
-- Test bench: -
-- Target Devices: generic
-- Tool versions: xst 8.2, 9.1, 9.2, 13.1; ghdl 0.18-0.29
-- Revision History:
-- Date Rev Version Comment
-- 2011-11-18 427 1.0.3 now numeric_std clean
-- 2008-02-23 118 1.0.2 ce cache in s_idle to avoid U's in sim
-- factor invariants out of if's; fix tag rmiss logic
-- 2008-02-17 117 1.0.1 use em_(mreq|sres) interface; use req,we for mem
-- recode, ghdl doesn't like partial vector port maps
-- 2008-02-16 116 1.0 Initial version
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.slvtypes.all;
use work.memlib.all;
use work.pdp11.all;
entity pdp11_cache is -- cache
port (
CLK : in slbit; -- clock
GRESET : in slbit; -- global reset
EM_MREQ : in em_mreq_type; -- em request
EM_SRES : out em_sres_type; -- em response
FMISS : in slbit; -- force miss
CHIT : out slbit; -- cache hit flag
MEM_REQ : out slbit; -- memory: request
MEM_WE : out slbit; -- memory: write enable
MEM_BUSY : in slbit; -- memory: controller busy
MEM_ACK_R : in slbit; -- memory: acknowledge read
MEM_ADDR : out slv20; -- memory: address
MEM_BE : out slv4; -- memory: byte enable
MEM_DI : out slv32; -- memory: data in (memory view)
MEM_DO : in slv32 -- memory: data out (memory view)
);
end pdp11_cache;
architecture syn of pdp11_cache is
type state_type is (
s_idle, -- s_idle: wait for req
s_read, -- s_read: read cycle
s_rmiss, -- s_rmiss: read miss
s_write -- s_write: write cycle
);
type regs_type is record
state : state_type; -- state
addr_w : slbit; -- address - word select
addr_l : slv11; -- address - cache line address
addr_t : slv9; -- address - cache tag part
be : slv4; -- byte enables (at 4 byte level)
di : slv16; -- data
end record regs_type;
constant regs_init : regs_type := (
s_idle, -- state
'0', -- addr_w
(others=>'0'), -- addr_l
(others=>'0'), -- addr_t
(others=>'0'), -- be
(others=>'0') -- di
);
signal R_REGS : regs_type := regs_init; -- state registers
signal N_REGS : regs_type := regs_init; -- next value state regs
signal CMEM_TAG_CEA : slbit := '0';
signal CMEM_TAG_CEB : slbit := '0';
signal CMEM_TAG_WEA : slbit := '0';
signal CMEM_TAG_WEB : slbit := '0';
signal CMEM_TAG_DIB : slv9 := (others=>'0');
signal CMEM_TAG_DOA : slv9 := (others=>'0');
signal CMEM_DAT_CEA : slbit := '0';
signal CMEM_DAT_CEB : slbit := '0';
signal CMEM_DAT_WEA : slv4 := "0000";
signal CMEM_DAT_WEB : slv4 := "0000";
signal CMEM_DIA_0 : slv9 := (others=>'0');
signal CMEM_DIA_1 : slv9 := (others=>'0');
signal CMEM_DIA_2 : slv9 := (others=>'0');
signal CMEM_DIA_3 : slv9 := (others=>'0');
signal CMEM_DIB_0 : slv9 := (others=>'0');
signal CMEM_DIB_1 : slv9 := (others=>'0');
signal CMEM_DIB_2 : slv9 := (others=>'0');
signal CMEM_DIB_3 : slv9 := (others=>'0');
signal CMEM_DOA_0 : slv9 := (others=>'0');
signal CMEM_DOA_1 : slv9 := (others=>'0');
signal CMEM_DOA_2 : slv9 := (others=>'0');
signal CMEM_DOA_3 : slv9 := (others=>'0');
begin
CMEM_TAG : ram_2swsr_rfirst_gen
generic map (
AWIDTH => 11,
DWIDTH => 9)
port map (
CLKA => CLK,
CLKB => CLK,
ENA => CMEM_TAG_CEA,
ENB => CMEM_TAG_CEB,
WEA => CMEM_TAG_WEA,
WEB => CMEM_TAG_WEB,
ADDRA => EM_MREQ.addr(12 downto 2),
ADDRB => R_REGS.addr_l,
DIA => EM_MREQ.addr(21 downto 13),
DIB => CMEM_TAG_DIB,
DOA => CMEM_TAG_DOA,
DOB => open
);
CMEM_DAT0 : ram_2swsr_rfirst_gen
generic map (
AWIDTH => 11,
DWIDTH => 9)
port map (
CLKA => CLK,
CLKB => CLK,
ENA => CMEM_DAT_CEA,
ENB => CMEM_DAT_CEB,
WEA => CMEM_DAT_WEA(0),
WEB => CMEM_DAT_WEB(0),
ADDRA => EM_MREQ.addr(12 downto 2),
ADDRB => R_REGS.addr_l,
DIA => CMEM_DIA_0,
DIB => CMEM_DIB_0,
DOA => CMEM_DOA_0,
DOB => open
);
CMEM_DAT1 : ram_2swsr_rfirst_gen
generic map (
AWIDTH => 11,
DWIDTH => 9)
port map (
CLKA => CLK,
CLKB => CLK,
ENA => CMEM_DAT_CEA,
ENB => CMEM_DAT_CEB,
WEA => CMEM_DAT_WEA(1),
WEB => CMEM_DAT_WEB(1),
ADDRA => EM_MREQ.addr(12 downto 2),
ADDRB => R_REGS.addr_l,
DIA => CMEM_DIA_1,
DIB => CMEM_DIB_1,
DOA => CMEM_DOA_1,
DOB => open
);
CMEM_DAT2 : ram_2swsr_rfirst_gen
generic map (
AWIDTH => 11,
DWIDTH => 9)
port map (
CLKA => CLK,
CLKB => CLK,
ENA => CMEM_DAT_CEA,
ENB => CMEM_DAT_CEB,
WEA => CMEM_DAT_WEA(2),
WEB => CMEM_DAT_WEB(2),
ADDRA => EM_MREQ.addr(12 downto 2),
ADDRB => R_REGS.addr_l,
DIA => CMEM_DIA_2,
DIB => CMEM_DIB_2,
DOA => CMEM_DOA_2,
DOB => open
);
CMEM_DAT3 : ram_2swsr_rfirst_gen
generic map (
AWIDTH => 11,
DWIDTH => 9)
port map (
CLKA => CLK,
CLKB => CLK,
ENA => CMEM_DAT_CEA,
ENB => CMEM_DAT_CEB,
WEA => CMEM_DAT_WEA(3),
WEB => CMEM_DAT_WEB(3),
ADDRA => EM_MREQ.addr(12 downto 2),
ADDRB => R_REGS.addr_l,
DIA => CMEM_DIA_3,
DIB => CMEM_DIB_3,
DOA => CMEM_DOA_3,
DOB => open
);
proc_regs: process (CLK)
begin
if rising_edge(CLK) then
if GRESET = '1' then
R_REGS <= regs_init;
else
R_REGS <= N_REGS;
end if;
end if;
end process proc_regs;
proc_next: process (R_REGS, EM_MREQ, FMISS,
CMEM_TAG_DOA,
CMEM_DOA_0, CMEM_DOA_1, CMEM_DOA_2, CMEM_DOA_3,
MEM_BUSY, MEM_ACK_R, MEM_DO)
variable r : regs_type := regs_init;
variable n : regs_type := regs_init;
variable iaddr_w : slbit := '0';
variable iaddr_l : slv11 := (others=>'0');
variable iaddr_t : slv9 := (others=>'0');
variable itagok : slbit := '0';
variable ivalok : slbit := '0';
variable icmem_tag_cea : slbit := '0';
variable icmem_tag_ceb : slbit := '0';
variable icmem_tag_wea : slbit := '0';
variable icmem_tag_web : slbit := '0';
variable icmem_tag_dib : slv9 := (others=>'0');
variable icmem_dat_cea : slbit := '0';
variable icmem_dat_ceb : slbit := '0';
variable icmem_dat_wea : slv4 := "0000";
variable icmem_dat_web : slv4 := "0000";
variable icmem_val_doa : slv4 := "0000";
variable icmem_dat_doa : slv32 := (others=>'0');
variable icmem_val_dib : slv4 := "0000";
variable icmem_dat_dib : slv32 := (others=>'0');
variable iackr : slbit := '0';
variable iackw : slbit := '0';
variable ichit : slbit := '0';
variable iosel : slv2 := "11";
variable imem_reqr : slbit := '0';
variable imem_reqw : slbit := '0';
variable imem_be : slv4 := "0000";
begin
r := R_REGS;
n := R_REGS;
iaddr_w := EM_MREQ.addr(1); -- get word select
iaddr_l := EM_MREQ.addr(12 downto 2); -- get cache line addr
iaddr_t := EM_MREQ.addr(21 downto 13); -- get cache tag part
icmem_tag_cea := '0';
icmem_tag_ceb := '0';
icmem_tag_wea := '0';
icmem_tag_web := '0';
icmem_tag_dib := r.addr_t; -- default, local define whenver used
icmem_dat_cea := '0';
icmem_dat_ceb := '0';
icmem_dat_wea := "0000";
icmem_dat_web := "0000";
icmem_val_dib := "0000";
icmem_dat_dib := MEM_DO; -- default, local define whenver used
icmem_val_doa(0) := CMEM_DOA_0(8);
icmem_dat_doa( 7 downto 0) := CMEM_DOA_0(7 downto 0);
icmem_val_doa(1) := CMEM_DOA_1(8);
icmem_dat_doa(15 downto 8) := CMEM_DOA_1(7 downto 0);
icmem_val_doa(2) := CMEM_DOA_2(8);
icmem_dat_doa(23 downto 16) := CMEM_DOA_2(7 downto 0);
icmem_val_doa(3) := CMEM_DOA_3(8);
icmem_dat_doa(31 downto 24) := CMEM_DOA_3(7 downto 0);
itagok := '0';
if CMEM_TAG_DOA = r.addr_t then -- cache tag hit
itagok := '1';
end if;
ivalok := '0';
if (icmem_val_doa and r.be) = r.be then
ivalok := '1';
end if;
iackr := '0';
iackw := '0';
ichit := '0';
iosel := "11"; -- default to ext. mem data
-- this prevents U's from cache bram's
-- to propagate to dout in beginning...
imem_reqr := '0';
imem_reqw := '0';
imem_be := r.be;
case r.state is
when s_idle => -- s_idle: wait for req
n.addr_w := iaddr_w; -- capture address: word select
n.addr_l := iaddr_l; -- capture address: cache line addr
n.addr_t := iaddr_t; -- capture address: cache tag part
n.be := "0000";
icmem_tag_cea := '1'; -- access cache tag port A
icmem_dat_cea := '1'; -- access cache data port A
if iaddr_w = '0' then -- capture byte enables at 4 byte lvl
n.be(1 downto 0) := EM_MREQ.be;
else
n.be(3 downto 2) := EM_MREQ.be;
end if;
n.di := EM_MREQ.din; -- capture data
if EM_MREQ.req = '1' then -- if access requested
if EM_MREQ.we = '0' then -- if READ requested
n.state := s_read; -- next: read
else -- if WRITE requested
icmem_tag_wea := '1'; -- write tag
icmem_dat_wea := n.be; -- write cache data
n.state := s_write; -- next: write
end if;
end if;
when s_read => -- s_read: read cycle
iosel := '0' & r.addr_w; -- output select: cache
imem_be := "1111"; -- mem read: all 4 bytes
if EM_MREQ.cancel = '0' then
if FMISS='0' and itagok='1' and ivalok='1' then -- read tag&val hit
iackr := '1'; -- signal read acknowledge
ichit := '1'; -- signal cache hit
n.state := s_idle; -- next: back to idle
else -- read miss
if MEM_BUSY = '0' then -- if mem not busy
imem_reqr :='1'; -- request mem read
n.state := s_rmiss; -- next: rmiss, wait for mem data
end if;
end if;
else
n.state := s_idle; -- next: back to idle
end if;
when s_rmiss => -- s_rmiss: read cycle
iosel := '1' & r.addr_w; -- output select: memory
icmem_tag_web := '1'; -- cache update: write tag
icmem_tag_dib := r.addr_t; -- cache update: new tag
icmem_val_dib := "1111"; -- cache update: all valid
icmem_dat_dib := MEM_DO; -- cache update: data from mem
icmem_dat_web := "1111"; -- cache update: write all 4 bytes
if MEM_ACK_R = '1' then -- mem data valid
iackr := '1'; -- signal read acknowledge
icmem_tag_ceb := '1'; -- access cache tag port B
icmem_dat_ceb := '1'; -- access cache data port B
n.state := s_idle; -- next: back to idle
end if;
when s_write => -- s_write: write cycle
icmem_tag_dib := CMEM_TAG_DOA; -- cache restore: last state
icmem_dat_dib := icmem_dat_doa; -- cache restore: last state
if EM_MREQ.cancel = '0' then -- request ok
if MEM_BUSY = '0' then -- if mem not busy
if itagok = '0' then -- if write tag miss
icmem_dat_ceb := '1'; -- access cache (invalidate)
icmem_dat_web := not r.be; -- write missed bytes
icmem_val_dib := "0000"; -- invalidate missed bytes
end if;
imem_reqw := '1'; -- write back to main memory
iackw := '1'; -- and done
n.state := s_idle; -- next: back to idle
end if;
else -- request canceled -> restore
icmem_tag_ceb := '1'; -- access cache line
icmem_tag_web := '1'; -- write tag
icmem_dat_ceb := '1'; -- access cache line
icmem_dat_web := "1111"; -- restore cache line
icmem_val_dib := icmem_val_doa; -- cache restore: last state
n.state := s_idle; -- next: back to idle
end if;
when others => null;
end case;
N_REGS <= n;
CMEM_TAG_CEA <= icmem_tag_cea;
CMEM_TAG_CEB <= icmem_tag_ceb;
CMEM_TAG_WEA <= icmem_tag_wea;
CMEM_TAG_WEB <= icmem_tag_web;
CMEM_TAG_DIB <= icmem_tag_dib;
CMEM_DAT_CEA <= icmem_dat_cea;
CMEM_DAT_CEB <= icmem_dat_ceb;
CMEM_DAT_WEA <= icmem_dat_wea;
CMEM_DAT_WEB <= icmem_dat_web;
CMEM_DIA_0(8) <= '1';
CMEM_DIA_0(7 downto 0) <= EM_MREQ.din( 7 downto 0);
CMEM_DIA_1(8) <= '1';
CMEM_DIA_1(7 downto 0) <= EM_MREQ.din(15 downto 8);
CMEM_DIA_2(8) <= '1';
CMEM_DIA_2(7 downto 0) <= EM_MREQ.din( 7 downto 0);
CMEM_DIA_3(8) <= '1';
CMEM_DIA_3(7 downto 0) <= EM_MREQ.din(15 downto 8);
CMEM_DIB_0(8) <= icmem_val_dib(0);
CMEM_DIB_0(7 downto 0) <= icmem_dat_dib(7 downto 0);
CMEM_DIB_1(8) <= icmem_val_dib(1);
CMEM_DIB_1(7 downto 0) <= icmem_dat_dib(15 downto 8);
CMEM_DIB_2(8) <= icmem_val_dib(2);
CMEM_DIB_2(7 downto 0) <= icmem_dat_dib(23 downto 16);
CMEM_DIB_3(8) <= icmem_val_dib(3);
CMEM_DIB_3(7 downto 0) <= icmem_dat_dib(31 downto 24);
EM_SRES <= em_sres_init;
EM_SRES.ack_r <= iackr;
EM_SRES.ack_w <= iackw;
case iosel is
when "00" => EM_SRES.dout <= icmem_dat_doa(15 downto 0);
when "01" => EM_SRES.dout <= icmem_dat_doa(31 downto 16);
when "10" => EM_SRES.dout <= MEM_DO(15 downto 0);
when "11" => EM_SRES.dout <= MEM_DO(31 downto 16);
when others => null;
end case;
CHIT <= ichit;
MEM_REQ <= imem_reqr or imem_reqw;
MEM_WE <= imem_reqw;
MEM_ADDR <= r.addr_t & r.addr_l;
MEM_BE <= imem_be;
MEM_DI <= r.di & r.di;
end process proc_next;
end syn;
| gpl-2.0 |
freecores/w11 | rtl/sys_gen/tst_rlink_cuff/nexys3/ic/tb/tb_tst_rlink_cuff_ic_n3.vhd | 1 | 1527 | -- $Id: tb_tst_rlink_cuff_ic_n3.vhd 512 2013-04-28 07:44:02Z mueller $
--
-- Copyright 2013- by Walter F.J. Mueller <[email protected]>
--
-- This program is free software; you may redistribute and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- Software Foundation, either version 2, or at your option any later version.
--
-- This program is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
-- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for complete details.
--
------------------------------------------------------------------------------
-- Module Name: tb_tst_rlink_cuff_ic_n3
-- Description: Configuration for tb_tst_rlink_cuff_ic_n3 for
-- tb_nexys3_fusp_cuff
--
-- Dependencies: sys_tst_rlink_cuff_n3 (fx2_type = 'ic2')
--
-- To test: sys_tst_rlink_cuff_n3 (fx2_type = 'ic2')
--
-- Verified:
-- Date Rev Code ghdl ise Target Comment
-- 2013-01-xx xxx - 0.29 13.3 O76d xc6slx16-2 u:???
--
-- Revision History:
-- Date Rev Version Comment
-- 2013-04-27 512 1.0 Initial version
------------------------------------------------------------------------------
configuration tb_tst_rlink_cuff_ic_n3 of tb_nexys3_fusp_cuff is
for sim
for all : nexys3_fusp_cuff_aif
use entity work.sys_tst_rlink_cuff_n3;
end for;
end for;
end tb_tst_rlink_cuff_ic_n3;
| gpl-2.0 |
freecores/w11 | rtl/ibus/ib_sres_or_2.vhd | 2 | 2410 | -- $Id: ib_sres_or_2.vhd 335 2010-10-24 22:24:23Z mueller $
--
-- Copyright 2007-2010 by Walter F.J. Mueller <[email protected]>
--
-- This program is free software; you may redistribute and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- Software Foundation, either version 2, or at your option any later version.
--
-- This program is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
-- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for complete details.
--
------------------------------------------------------------------------------
-- Module Name: ib_sres_or_2 - syn
-- Description: ibus: result or, 2 input
--
-- Dependencies: -
-- Test bench: tb/tb_pdp11_core (implicit)
-- Target Devices: generic
-- Tool versions: xst 8.1, 8.2, 9.1, 9.2, 12.1; ghdl 0.18-0.29
--
-- Revision History:
-- Date Rev Version Comment
-- 2010-10-23 335 1.1 add ib_sres_or_mon
-- 2008-08-22 161 1.0.2 renamed pdp11_ibres_ -> ib_sres_; use iblib
-- 2008-01-05 110 1.0.1 rename IB_MREQ(ena->req) SRES(sel->ack, hold->busy)
-- 2007-12-29 107 1.0 Initial version
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.slvtypes.all;
use work.iblib.all;
-- ----------------------------------------------------------------------------
entity ib_sres_or_2 is -- ibus result or, 2 input
port (
IB_SRES_1 : in ib_sres_type; -- ib_sres input 1
IB_SRES_2 : in ib_sres_type := ib_sres_init; -- ib_sres input 2
IB_SRES_OR : out ib_sres_type -- ib_sres or'ed output
);
end ib_sres_or_2;
architecture syn of ib_sres_or_2 is
begin
proc_comb : process (IB_SRES_1, IB_SRES_2)
begin
IB_SRES_OR.ack <= IB_SRES_1.ack or
IB_SRES_2.ack;
IB_SRES_OR.busy <= IB_SRES_1.busy or
IB_SRES_2.busy;
IB_SRES_OR.dout <= IB_SRES_1.dout or
IB_SRES_2.dout;
end process proc_comb;
-- synthesis translate_off
ORMON : ib_sres_or_mon
port map (
IB_SRES_1 => IB_SRES_1,
IB_SRES_2 => IB_SRES_2,
IB_SRES_3 => ib_sres_init,
IB_SRES_4 => ib_sres_init
);
-- synthesis translate_on
end syn;
| gpl-2.0 |
freecores/w11 | rtl/vlib/serport/serport_xonrx.vhd | 1 | 4232 | -- $Id: serport_xonrx.vhd 476 2013-01-26 22:23:53Z mueller $
--
-- Copyright 2011- by Walter F.J. Mueller <[email protected]>
--
-- This program is free software; you may redistribute and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- Software Foundation, either version 2, or at your option any later version.
--
-- This program is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
-- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for complete details.
--
------------------------------------------------------------------------------
-- Module Name: serport_xonrx - syn
-- Description: serial port: xon/xoff logic rx path
--
-- Dependencies: -
-- Test bench: -
-- Target Devices: generic
-- Tool versions: xst 13.1; ghdl 0.29
-- Revision History:
-- Date Rev Version Comment
-- 2011-10-22 417 1.0 Initial version
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.slvtypes.all;
use work.serportlib.all;
entity serport_xonrx is -- serial port: xon/xoff logic rx path
port (
CLK : in slbit; -- clock
RESET : in slbit; -- reset
ENAXON : in slbit; -- enable xon/xoff handling
ENAESC : in slbit; -- enable xon/xoff escaping
UART_RXDATA : in slv8; -- uart data out
UART_RXVAL : in slbit; -- uart data valid
RXDATA : out slv8; -- user data out
RXVAL : out slbit; -- user data valid
RXHOLD : in slbit; -- user data hold
RXOVR : out slbit; -- user data overrun
TXOK : out slbit -- tx channel ok
);
end serport_xonrx;
architecture syn of serport_xonrx is
type regs_type is record
txok : slbit; -- tx channel ok state
escseen : slbit; -- escape seen
rxdata : slv8; -- user rxdata
rxval : slbit; -- user rxval
rxovr : slbit; -- user rxovr
end record regs_type;
constant regs_init : regs_type := (
'1', -- txok (startup default is ok !!)
'0', -- escseen
(others=>'0'), -- rxdata
'0','0' -- rxval,rxovr
);
signal R_REGS : regs_type := regs_init; -- state registers
signal N_REGS : regs_type := regs_init; -- next value state regs
begin
proc_regs: process (CLK)
begin
if rising_edge(CLK) then
if RESET = '1' then
R_REGS <= regs_init;
else
R_REGS <= N_REGS;
end if;
end if;
end process proc_regs;
proc_next: process (R_REGS, ENAXON, ENAESC, UART_RXDATA, UART_RXVAL, RXHOLD)
variable r : regs_type := regs_init;
variable n : regs_type := regs_init;
begin
r := R_REGS;
n := R_REGS;
if ENAXON = '0' then
n.txok := '1';
end if;
if ENAESC = '0' then
n.escseen := '0';
end if;
n.rxovr := '0'; -- ensure single clock pulse
if UART_RXVAL = '1' then
if ENAXON='1' and UART_RXDATA=c_serport_xon then
n.txok := '1';
elsif ENAXON='1' and UART_RXDATA=c_serport_xoff then
n.txok := '0';
elsif ENAESC='1' and UART_RXDATA=c_serport_xesc then
n.escseen := '1';
else
if r.escseen = '1' then
n.escseen := '0';
end if;
if r.rxval = '0' then
n.rxval := '1';
if r.escseen = '1' then
n.rxdata := not UART_RXDATA;
else
n.rxdata := UART_RXDATA;
end if;
else
n.rxovr := '1';
end if;
end if;
end if;
if r.rxval='1' and RXHOLD='0' then
n.rxval := '0';
end if;
N_REGS <= n;
RXDATA <= r.rxdata;
RXVAL <= r.rxval;
RXOVR <= r.rxovr;
TXOK <= r.txok;
end process proc_next;
end syn;
| gpl-2.0 |
freecores/w11 | rtl/vlib/simlib/simbus.vhd | 1 | 1960 | -- $Id: simbus.vhd 444 2011-12-25 10:04:58Z mueller $
--
-- Copyright 2007-2011 by Walter F.J. Mueller <[email protected]>
--
-- This program is free software; you may redistribute and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- Software Foundation, either version 2, or at your option any later version.
--
-- This program is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
-- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for complete details.
--
------------------------------------------------------------------------------
-- Package Name: simbus
-- Description: Global signals for support control in test benches
--
-- Dependencies: -
-- Tool versions: xst 8.2, 9.1, 9.2, 11.4, 13.1; ghdl 0.18-0.29
-- Revision History:
-- Date Rev Version Comment
-- 2011-12-23 444 2.0 remove global clock cycle signal
-- 2010-04-24 282 1.1 add SB_(VAL|ADDR|DATA)
-- 2008-03-24 129 1.0.1 use 31 bits for SB_CLKCYCLE
-- 2007-08-27 76 1.0 Initial version
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.slvtypes.all;
package simbus is
signal SB_CLKSTOP : slbit := '0'; -- global clock stop
signal SB_CNTL : slv16 := (others=>'0'); -- global signals tb -> uut
signal SB_STAT : slv16 := (others=>'0'); -- global signals uut -> tb
signal SB_VAL : slbit := '0'; -- init bcast valid
signal SB_ADDR : slv8 := (others=>'0'); -- init bcast address
signal SB_DATA : slv16 := (others=>'0'); -- init bcast data
-- Note: SB_CNTL, SB_VAL, SB_ADDR, SB_DATA can have weak ('L','H') and
-- strong ('0','1') drivers. Therefore always remove strenght before
-- using, e.g. with to_x01()
end package simbus;
| gpl-2.0 |
freecores/w11 | rtl/sys_gen/tst_serloop/nexys2/tb/tb_tst_serloop1_n2.vhd | 1 | 3995 | -- $Id: tb_tst_serloop1_n2.vhd 444 2011-12-25 10:04:58Z mueller $
--
-- Copyright 2011- by Walter F.J. Mueller <[email protected]>
--
-- This program is free software; you may redistribute and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- Software Foundation, either version 2, or at your option any later version.
--
-- This program is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
-- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for complete details.
--
------------------------------------------------------------------------------
-- Module Name: tb_tst_serloop1_n2 - sim
-- Description: Test bench for sys_tst_serloop1_n2
--
-- Dependencies: simlib/simclk
-- sys_tst_serloop2_n2 [UUT]
-- tb/tb_tst_serloop
--
-- To test: sys_tst_serloop1_n2
--
-- Target Devices: generic
--
-- Revision History:
-- Date Rev Version Comment
-- 2011-12-23 444 1.1 use new simclk; remove clksys output hack
-- 2011-12-16 439 1.0 Initial version
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_textio.all;
use std.textio.all;
use work.slvtypes.all;
use work.xlib.all;
use work.simlib.all;
entity tb_tst_serloop1_n2 is
end tb_tst_serloop1_n2;
architecture sim of tb_tst_serloop1_n2 is
signal CLK50 : slbit := '0';
signal CLK_STOP : slbit := '0';
signal I_RXD : slbit := '1';
signal O_TXD : slbit := '1';
signal I_SWI : slv8 := (others=>'0');
signal I_BTN : slv4 := (others=>'0');
signal O_FUSP_RTS_N : slbit := '0';
signal I_FUSP_CTS_N : slbit := '0';
signal I_FUSP_RXD : slbit := '1';
signal O_FUSP_TXD : slbit := '1';
signal RXD : slbit := '1';
signal TXD : slbit := '1';
signal SWI : slv8 := (others=>'0');
signal BTN : slv4 := (others=>'0');
signal FUSP_RTS_N : slbit := '0';
signal FUSP_CTS_N : slbit := '0';
signal FUSP_RXD : slbit := '1';
signal FUSP_TXD : slbit := '1';
constant clock_period : time := 20 ns;
constant clock_offset : time := 200 ns;
constant delay_time : time := 2 ns;
begin
SYSCLK : simclk
generic map (
PERIOD => clock_period,
OFFSET => clock_offset)
port map (
CLK => CLK50,
CLK_STOP => CLK_STOP
);
UUT : entity work.sys_tst_serloop1_n2
port map (
I_CLK50 => CLK50,
I_RXD => I_RXD,
O_TXD => O_TXD,
I_SWI => I_SWI,
I_BTN => I_BTN,
O_LED => open,
O_ANO_N => open,
O_SEG_N => open,
O_MEM_CE_N => open,
O_MEM_BE_N => open,
O_MEM_WE_N => open,
O_MEM_OE_N => open,
O_MEM_ADV_N => open,
O_MEM_CLK => open,
O_MEM_CRE => open,
I_MEM_WAIT => '0',
O_MEM_ADDR => open,
IO_MEM_DATA => open,
O_FLA_CE_N => open,
O_FUSP_RTS_N => O_FUSP_RTS_N,
I_FUSP_CTS_N => I_FUSP_CTS_N,
I_FUSP_RXD => I_FUSP_RXD,
O_FUSP_TXD => O_FUSP_TXD
);
GENTB : entity work.tb_tst_serloop
port map (
CLKS => CLK50,
CLKH => CLK50,
CLK_STOP => CLK_STOP,
P0_RXD => RXD,
P0_TXD => TXD,
P0_RTS_N => '0',
P0_CTS_N => open,
P1_RXD => FUSP_RXD,
P1_TXD => FUSP_TXD,
P1_RTS_N => FUSP_RTS_N,
P1_CTS_N => FUSP_CTS_N,
SWI => SWI,
BTN => BTN
);
I_RXD <= RXD after delay_time;
TXD <= O_TXD after delay_time;
FUSP_RTS_N <= O_FUSP_RTS_N after delay_time;
I_FUSP_CTS_N <= FUSP_CTS_N after delay_time;
I_FUSP_RXD <= FUSP_RXD after delay_time;
FUSP_TXD <= O_FUSP_TXD after delay_time;
I_SWI <= SWI after delay_time;
I_BTN <= BTN after delay_time;
end sim;
| gpl-2.0 |
rodrigosurita/new-crpuf | vhdl/src/std_logic_arith.vhd | 1 | 70493 | --------------------------------------------------------------------------
-- --
-- Copyright (c) 1990,1991,1992 by Synopsys, Inc. All rights reserved. --
-- --
-- This source file may be used and distributed without restriction --
-- provided that this copyright statement is not removed from the file --
-- and that any derivative work contains this copyright notice. --
-- --
-- Package name: STD_LOGIC_ARITH --
-- --
-- Purpose: --
-- A set of arithemtic, conversion, and comparison functions --
-- for SIGNED, UNSIGNED, SMALL_INT, INTEGER, --
-- STD_ULOGIC, STD_LOGIC, and STD_LOGIC_VECTOR. --
-- --
--------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
package std_logic_arith is
type UNSIGNED is array (NATURAL range <>) of STD_LOGIC;
type SIGNED is array (NATURAL range <>) of STD_LOGIC;
subtype SMALL_INT is INTEGER range 0 to 1;
function "+"(L: UNSIGNED; R: UNSIGNED) return UNSIGNED;
function "+"(L: SIGNED; R: SIGNED) return SIGNED;
function "+"(L: UNSIGNED; R: SIGNED) return SIGNED;
function "+"(L: SIGNED; R: UNSIGNED) return SIGNED;
function "+"(L: UNSIGNED; R: INTEGER) return UNSIGNED;
function "+"(L: INTEGER; R: UNSIGNED) return UNSIGNED;
function "+"(L: SIGNED; R: INTEGER) return SIGNED;
function "+"(L: INTEGER; R: SIGNED) return SIGNED;
function "+"(L: UNSIGNED; R: STD_ULOGIC) return UNSIGNED;
function "+"(L: STD_ULOGIC; R: UNSIGNED) return UNSIGNED;
function "+"(L: SIGNED; R: STD_ULOGIC) return SIGNED;
function "+"(L: STD_ULOGIC; R: SIGNED) return SIGNED;
function "+"(L: UNSIGNED; R: UNSIGNED) return STD_LOGIC_VECTOR;
function "+"(L: SIGNED; R: SIGNED) return STD_LOGIC_VECTOR;
function "+"(L: UNSIGNED; R: SIGNED) return STD_LOGIC_VECTOR;
function "+"(L: SIGNED; R: UNSIGNED) return STD_LOGIC_VECTOR;
function "+"(L: UNSIGNED; R: INTEGER) return STD_LOGIC_VECTOR;
function "+"(L: INTEGER; R: UNSIGNED) return STD_LOGIC_VECTOR;
function "+"(L: SIGNED; R: INTEGER) return STD_LOGIC_VECTOR;
function "+"(L: INTEGER; R: SIGNED) return STD_LOGIC_VECTOR;
function "+"(L: UNSIGNED; R: STD_ULOGIC) return STD_LOGIC_VECTOR;
function "+"(L: STD_ULOGIC; R: UNSIGNED) return STD_LOGIC_VECTOR;
function "+"(L: SIGNED; R: STD_ULOGIC) return STD_LOGIC_VECTOR;
function "+"(L: STD_ULOGIC; R: SIGNED) return STD_LOGIC_VECTOR;
function "-"(L: UNSIGNED; R: UNSIGNED) return UNSIGNED;
function "-"(L: SIGNED; R: SIGNED) return SIGNED;
function "-"(L: UNSIGNED; R: SIGNED) return SIGNED;
function "-"(L: SIGNED; R: UNSIGNED) return SIGNED;
function "-"(L: UNSIGNED; R: INTEGER) return UNSIGNED;
function "-"(L: INTEGER; R: UNSIGNED) return UNSIGNED;
function "-"(L: SIGNED; R: INTEGER) return SIGNED;
function "-"(L: INTEGER; R: SIGNED) return SIGNED;
function "-"(L: UNSIGNED; R: STD_ULOGIC) return UNSIGNED;
function "-"(L: STD_ULOGIC; R: UNSIGNED) return UNSIGNED;
function "-"(L: SIGNED; R: STD_ULOGIC) return SIGNED;
function "-"(L: STD_ULOGIC; R: SIGNED) return SIGNED;
function "-"(L: UNSIGNED; R: UNSIGNED) return STD_LOGIC_VECTOR;
function "-"(L: SIGNED; R: SIGNED) return STD_LOGIC_VECTOR;
function "-"(L: UNSIGNED; R: SIGNED) return STD_LOGIC_VECTOR;
function "-"(L: SIGNED; R: UNSIGNED) return STD_LOGIC_VECTOR;
function "-"(L: UNSIGNED; R: INTEGER) return STD_LOGIC_VECTOR;
function "-"(L: INTEGER; R: UNSIGNED) return STD_LOGIC_VECTOR;
function "-"(L: SIGNED; R: INTEGER) return STD_LOGIC_VECTOR;
function "-"(L: INTEGER; R: SIGNED) return STD_LOGIC_VECTOR;
function "-"(L: UNSIGNED; R: STD_ULOGIC) return STD_LOGIC_VECTOR;
function "-"(L: STD_ULOGIC; R: UNSIGNED) return STD_LOGIC_VECTOR;
function "-"(L: SIGNED; R: STD_ULOGIC) return STD_LOGIC_VECTOR;
function "-"(L: STD_ULOGIC; R: SIGNED) return STD_LOGIC_VECTOR;
function "+"(L: UNSIGNED) return UNSIGNED;
function "+"(L: SIGNED) return SIGNED;
function "-"(L: SIGNED) return SIGNED;
function "ABS"(L: SIGNED) return SIGNED;
function "+"(L: UNSIGNED) return STD_LOGIC_VECTOR;
function "+"(L: SIGNED) return STD_LOGIC_VECTOR;
function "-"(L: SIGNED) return STD_LOGIC_VECTOR;
function "ABS"(L: SIGNED) return STD_LOGIC_VECTOR;
function "*"(L: UNSIGNED; R: UNSIGNED) return UNSIGNED;
function "*"(L: SIGNED; R: SIGNED) return SIGNED;
function "*"(L: SIGNED; R: UNSIGNED) return SIGNED;
function "*"(L: UNSIGNED; R: SIGNED) return SIGNED;
function "*"(L: UNSIGNED; R: UNSIGNED) return STD_LOGIC_VECTOR;
function "*"(L: SIGNED; R: SIGNED) return STD_LOGIC_VECTOR;
function "*"(L: SIGNED; R: UNSIGNED) return STD_LOGIC_VECTOR;
function "*"(L: UNSIGNED; R: SIGNED) return STD_LOGIC_VECTOR;
function "<"(L: UNSIGNED; R: UNSIGNED) return BOOLEAN;
function "<"(L: SIGNED; R: SIGNED) return BOOLEAN;
function "<"(L: UNSIGNED; R: SIGNED) return BOOLEAN;
function "<"(L: SIGNED; R: UNSIGNED) return BOOLEAN;
function "<"(L: UNSIGNED; R: INTEGER) return BOOLEAN;
function "<"(L: INTEGER; R: UNSIGNED) return BOOLEAN;
function "<"(L: SIGNED; R: INTEGER) return BOOLEAN;
function "<"(L: INTEGER; R: SIGNED) return BOOLEAN;
function "<="(L: UNSIGNED; R: UNSIGNED) return BOOLEAN;
function "<="(L: SIGNED; R: SIGNED) return BOOLEAN;
function "<="(L: UNSIGNED; R: SIGNED) return BOOLEAN;
function "<="(L: SIGNED; R: UNSIGNED) return BOOLEAN;
function "<="(L: UNSIGNED; R: INTEGER) return BOOLEAN;
function "<="(L: INTEGER; R: UNSIGNED) return BOOLEAN;
function "<="(L: SIGNED; R: INTEGER) return BOOLEAN;
function "<="(L: INTEGER; R: SIGNED) return BOOLEAN;
function ">"(L: UNSIGNED; R: UNSIGNED) return BOOLEAN;
function ">"(L: SIGNED; R: SIGNED) return BOOLEAN;
function ">"(L: UNSIGNED; R: SIGNED) return BOOLEAN;
function ">"(L: SIGNED; R: UNSIGNED) return BOOLEAN;
function ">"(L: UNSIGNED; R: INTEGER) return BOOLEAN;
function ">"(L: INTEGER; R: UNSIGNED) return BOOLEAN;
function ">"(L: SIGNED; R: INTEGER) return BOOLEAN;
function ">"(L: INTEGER; R: SIGNED) return BOOLEAN;
function ">="(L: UNSIGNED; R: UNSIGNED) return BOOLEAN;
function ">="(L: SIGNED; R: SIGNED) return BOOLEAN;
function ">="(L: UNSIGNED; R: SIGNED) return BOOLEAN;
function ">="(L: SIGNED; R: UNSIGNED) return BOOLEAN;
function ">="(L: UNSIGNED; R: INTEGER) return BOOLEAN;
function ">="(L: INTEGER; R: UNSIGNED) return BOOLEAN;
function ">="(L: SIGNED; R: INTEGER) return BOOLEAN;
function ">="(L: INTEGER; R: SIGNED) return BOOLEAN;
function "="(L: UNSIGNED; R: UNSIGNED) return BOOLEAN;
function "="(L: SIGNED; R: SIGNED) return BOOLEAN;
function "="(L: UNSIGNED; R: SIGNED) return BOOLEAN;
function "="(L: SIGNED; R: UNSIGNED) return BOOLEAN;
function "="(L: UNSIGNED; R: INTEGER) return BOOLEAN;
function "="(L: INTEGER; R: UNSIGNED) return BOOLEAN;
function "="(L: SIGNED; R: INTEGER) return BOOLEAN;
function "="(L: INTEGER; R: SIGNED) return BOOLEAN;
function "/="(L: UNSIGNED; R: UNSIGNED) return BOOLEAN;
function "/="(L: SIGNED; R: SIGNED) return BOOLEAN;
function "/="(L: UNSIGNED; R: SIGNED) return BOOLEAN;
function "/="(L: SIGNED; R: UNSIGNED) return BOOLEAN;
function "/="(L: UNSIGNED; R: INTEGER) return BOOLEAN;
function "/="(L: INTEGER; R: UNSIGNED) return BOOLEAN;
function "/="(L: SIGNED; R: INTEGER) return BOOLEAN;
function "/="(L: INTEGER; R: SIGNED) return BOOLEAN;
function SHL(ARG: UNSIGNED; COUNT: UNSIGNED) return UNSIGNED;
function SHL(ARG: SIGNED; COUNT: UNSIGNED) return SIGNED;
function SHR(ARG: UNSIGNED; COUNT: UNSIGNED) return UNSIGNED;
function SHR(ARG: SIGNED; COUNT: UNSIGNED) return SIGNED;
function CONV_INTEGER(ARG: INTEGER) return INTEGER;
function CONV_INTEGER(ARG: UNSIGNED) return INTEGER;
function CONV_INTEGER(ARG: SIGNED) return INTEGER;
function CONV_INTEGER(ARG: STD_ULOGIC) return SMALL_INT;
function CONV_UNSIGNED(ARG: INTEGER; SIZE: INTEGER) return UNSIGNED;
function CONV_UNSIGNED(ARG: UNSIGNED; SIZE: INTEGER) return UNSIGNED;
function CONV_UNSIGNED(ARG: SIGNED; SIZE: INTEGER) return UNSIGNED;
function CONV_UNSIGNED(ARG: STD_ULOGIC; SIZE: INTEGER) return UNSIGNED;
function CONV_SIGNED(ARG: INTEGER; SIZE: INTEGER) return SIGNED;
function CONV_SIGNED(ARG: UNSIGNED; SIZE: INTEGER) return SIGNED;
function CONV_SIGNED(ARG: SIGNED; SIZE: INTEGER) return SIGNED;
function CONV_SIGNED(ARG: STD_ULOGIC; SIZE: INTEGER) return SIGNED;
function CONV_STD_LOGIC_VECTOR(ARG: INTEGER; SIZE: INTEGER)
return STD_LOGIC_VECTOR;
function CONV_STD_LOGIC_VECTOR(ARG: UNSIGNED; SIZE: INTEGER)
return STD_LOGIC_VECTOR;
function CONV_STD_LOGIC_VECTOR(ARG: SIGNED; SIZE: INTEGER)
return STD_LOGIC_VECTOR;
function CONV_STD_LOGIC_VECTOR(ARG: STD_ULOGIC; SIZE: INTEGER)
return STD_LOGIC_VECTOR;
-- zero extend STD_LOGIC_VECTOR (ARG) to SIZE,
-- SIZE < 0 is same as SIZE = 0
-- returns STD_LOGIC_VECTOR(SIZE-1 downto 0)
function EXT(ARG: STD_LOGIC_VECTOR; SIZE: INTEGER) return STD_LOGIC_VECTOR;
-- sign extend STD_LOGIC_VECTOR (ARG) to SIZE,
-- SIZE < 0 is same as SIZE = 0
-- return STD_LOGIC_VECTOR(SIZE-1 downto 0)
function SXT(ARG: STD_LOGIC_VECTOR; SIZE: INTEGER) return STD_LOGIC_VECTOR;
end Std_logic_arith;
library IEEE;
use IEEE.std_logic_1164.all;
package body std_logic_arith is
function max(L, R: INTEGER) return INTEGER is
begin
if L > R then
return L;
else
return R;
end if;
end;
function min(L, R: INTEGER) return INTEGER is
begin
if L < R then
return L;
else
return R;
end if;
end;
-- synopsys synthesis_off
type tbl_type is array (STD_ULOGIC) of STD_ULOGIC;
constant tbl_BINARY : tbl_type :=
('X', 'X', '0', '1', 'X', 'X', '0', '1', 'X');
-- synopsys synthesis_on
-- synopsys synthesis_off
type tbl_mvl9_boolean is array (STD_ULOGIC) of boolean;
constant IS_X : tbl_mvl9_boolean :=
(true, true, false, false, true, true, false, false, true);
-- synopsys synthesis_on
function MAKE_BINARY(A : STD_ULOGIC) return STD_ULOGIC is
-- synopsys built_in SYN_FEED_THRU
begin
-- synopsys synthesis_off
if (IS_X(A)) then
assert false
report "There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es)."
severity warning;
return ('X');
end if;
return tbl_BINARY(A);
-- synopsys synthesis_on
end;
function MAKE_BINARY(A : UNSIGNED) return UNSIGNED is
-- synopsys built_in SYN_FEED_THRU
variable one_bit : STD_ULOGIC;
variable result : UNSIGNED (A'range);
begin
-- synopsys synthesis_off
for i in A'range loop
if (IS_X(A(i))) then
assert false
report "There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es)."
severity warning;
result := (others => 'X');
return result;
end if;
result(i) := tbl_BINARY(A(i));
end loop;
return result;
-- synopsys synthesis_on
end;
function MAKE_BINARY(A : UNSIGNED) return SIGNED is
-- synopsys built_in SYN_FEED_THRU
variable one_bit : STD_ULOGIC;
variable result : SIGNED (A'range);
begin
-- synopsys synthesis_off
for i in A'range loop
if (IS_X(A(i))) then
assert false
report "There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es)."
severity warning;
result := (others => 'X');
return result;
end if;
result(i) := tbl_BINARY(A(i));
end loop;
return result;
-- synopsys synthesis_on
end;
function MAKE_BINARY(A : SIGNED) return UNSIGNED is
-- synopsys built_in SYN_FEED_THRU
variable one_bit : STD_ULOGIC;
variable result : UNSIGNED (A'range);
begin
-- synopsys synthesis_off
for i in A'range loop
if (IS_X(A(i))) then
assert false
report "There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es)."
severity warning;
result := (others => 'X');
return result;
end if;
result(i) := tbl_BINARY(A(i));
end loop;
return result;
-- synopsys synthesis_on
end;
function MAKE_BINARY(A : SIGNED) return SIGNED is
-- synopsys built_in SYN_FEED_THRU
variable one_bit : STD_ULOGIC;
variable result : SIGNED (A'range);
begin
-- synopsys synthesis_off
for i in A'range loop
if (IS_X(A(i))) then
assert false
report "There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es)."
severity warning;
result := (others => 'X');
return result;
end if;
result(i) := tbl_BINARY(A(i));
end loop;
return result;
-- synopsys synthesis_on
end;
function MAKE_BINARY(A : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is
-- synopsys built_in SYN_FEED_THRU
variable one_bit : STD_ULOGIC;
variable result : STD_LOGIC_VECTOR (A'range);
begin
-- synopsys synthesis_off
for i in A'range loop
if (IS_X(A(i))) then
assert false
report "There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es)."
severity warning;
result := (others => 'X');
return result;
end if;
result(i) := tbl_BINARY(A(i));
end loop;
return result;
-- synopsys synthesis_on
end;
function MAKE_BINARY(A : UNSIGNED) return STD_LOGIC_VECTOR is
-- synopsys built_in SYN_FEED_THRU
variable one_bit : STD_ULOGIC;
variable result : STD_LOGIC_VECTOR (A'range);
begin
-- synopsys synthesis_off
for i in A'range loop
if (IS_X(A(i))) then
assert false
report "There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es)."
severity warning;
result := (others => 'X');
return result;
end if;
result(i) := tbl_BINARY(A(i));
end loop;
return result;
-- synopsys synthesis_on
end;
function MAKE_BINARY(A : SIGNED) return STD_LOGIC_VECTOR is
-- synopsys built_in SYN_FEED_THRU
variable one_bit : STD_ULOGIC;
variable result : STD_LOGIC_VECTOR (A'range);
begin
-- synopsys synthesis_off
for i in A'range loop
if (IS_X(A(i))) then
assert false
report "There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es)."
severity warning;
result := (others => 'X');
return result;
end if;
result(i) := tbl_BINARY(A(i));
end loop;
return result;
-- synopsys synthesis_on
end;
-- Type propagation function which returns a signed type with the
-- size of the left arg.
function LEFT_SIGNED_ARG(A,B: SIGNED) return SIGNED is
variable Z: SIGNED (A'left downto 0);
-- pragma return_port_name Z
begin
return(Z);
end;
-- Type propagation function which returns an unsigned type with the
-- size of the left arg.
function LEFT_UNSIGNED_ARG(A,B: UNSIGNED) return UNSIGNED is
variable Z: UNSIGNED (A'left downto 0);
-- pragma return_port_name Z
begin
return(Z);
end;
-- Type propagation function which returns a signed type with the
-- size of the result of a signed multiplication
function MULT_SIGNED_ARG(A,B: SIGNED) return SIGNED is
variable Z: SIGNED ((A'length+B'length-1) downto 0);
-- pragma return_port_name Z
begin
return(Z);
end;
-- Type propagation function which returns an unsigned type with the
-- size of the result of a unsigned multiplication
function MULT_UNSIGNED_ARG(A,B: UNSIGNED) return UNSIGNED is
variable Z: UNSIGNED ((A'length+B'length-1) downto 0);
-- pragma return_port_name Z
begin
return(Z);
end;
function mult(A,B: SIGNED) return SIGNED is
variable BA: SIGNED((A'length+B'length-1) downto 0);
variable PA: SIGNED((A'length+B'length-1) downto 0);
variable AA: SIGNED(A'length downto 0);
variable neg: STD_ULOGIC;
constant one : UNSIGNED(1 downto 0) := "01";
-- pragma map_to_operator MULT_TC_OP
-- pragma type_function MULT_SIGNED_ARG
-- pragma return_port_name Z
begin
if (A(A'left) = 'X' or B(B'left) = 'X') then
PA := (others => 'X');
return(PA);
end if;
PA := (others => '0');
neg := B(B'left) xor A(A'left);
BA := CONV_SIGNED(('0' & ABS(B)),(A'length+B'length));
AA := '0' & ABS(A);
for i in 0 to A'length-1 loop
if AA(i) = '1' then
PA := PA+BA;
end if;
BA := SHL(BA,one);
end loop;
if (neg= '1') then
return(-PA);
else
return(PA);
end if;
end;
function mult(A,B: UNSIGNED) return UNSIGNED is
variable BA: UNSIGNED((A'length+B'length-1) downto 0);
variable PA: UNSIGNED((A'length+B'length-1) downto 0);
constant one : UNSIGNED(1 downto 0) := "01";
-- pragma map_to_operator MULT_UNS_OP
-- pragma type_function MULT_UNSIGNED_ARG
-- pragma return_port_name Z
begin
if (A(A'left) = 'X' or B(B'left) = 'X') then
PA := (others => 'X');
return(PA);
end if;
PA := (others => '0');
BA := CONV_UNSIGNED(B,(A'length+B'length));
for i in 0 to A'length-1 loop
if A(i) = '1' then
PA := PA+BA;
end if;
BA := SHL(BA,one);
end loop;
return(PA);
end;
-- subtract two signed numbers of the same length
-- both arrays must have range (msb downto 0)
function minus(A, B: SIGNED) return SIGNED is
variable carry: STD_ULOGIC;
variable BV: STD_ULOGIC_VECTOR (A'left downto 0);
variable sum: SIGNED (A'left downto 0);
-- pragma map_to_operator SUB_TC_OP
-- pragma type_function LEFT_SIGNED_ARG
-- pragma return_port_name Z
begin
if (A(A'left) = 'X' or B(B'left) = 'X') then
sum := (others => 'X');
return(sum);
end if;
carry := '1';
BV := not STD_ULOGIC_VECTOR(B);
for i in 0 to A'left loop
sum(i) := A(i) xor BV(i) xor carry;
carry := (A(i) and BV(i)) or
(A(i) and carry) or
(carry and BV(i));
end loop;
return sum;
end;
-- add two signed numbers of the same length
-- both arrays must have range (msb downto 0)
function plus(A, B: SIGNED) return SIGNED is
variable carry: STD_ULOGIC;
variable BV, sum: SIGNED (A'left downto 0);
-- pragma map_to_operator ADD_TC_OP
-- pragma type_function LEFT_SIGNED_ARG
-- pragma return_port_name Z
begin
if (A(A'left) = 'X' or B(B'left) = 'X') then
sum := (others => 'X');
return(sum);
end if;
carry := '0';
BV := B;
for i in 0 to A'left loop
sum(i) := A(i) xor BV(i) xor carry;
carry := (A(i) and BV(i)) or
(A(i) and carry) or
(carry and BV(i));
end loop;
return sum;
end;
-- subtract two unsigned numbers of the same length
-- both arrays must have range (msb downto 0)
function unsigned_minus(A, B: UNSIGNED) return UNSIGNED is
variable carry: STD_ULOGIC;
variable BV: STD_ULOGIC_VECTOR (A'left downto 0);
variable sum: UNSIGNED (A'left downto 0);
-- pragma map_to_operator SUB_UNS_OP
-- pragma type_function LEFT_UNSIGNED_ARG
-- pragma return_port_name Z
begin
if (A(A'left) = 'X' or B(B'left) = 'X') then
sum := (others => 'X');
return(sum);
end if;
carry := '1';
BV := not STD_ULOGIC_VECTOR(B);
for i in 0 to A'left loop
sum(i) := A(i) xor BV(i) xor carry;
carry := (A(i) and BV(i)) or
(A(i) and carry) or
(carry and BV(i));
end loop;
return sum;
end;
-- add two unsigned numbers of the same length
-- both arrays must have range (msb downto 0)
function unsigned_plus(A, B: UNSIGNED) return UNSIGNED is
variable carry: STD_ULOGIC;
variable BV, sum: UNSIGNED (A'left downto 0);
-- pragma map_to_operator ADD_UNS_OP
-- pragma type_function LEFT_UNSIGNED_ARG
-- pragma return_port_name Z
begin
if (A(A'left) = 'X' or B(B'left) = 'X') then
sum := (others => 'X');
return(sum);
end if;
carry := '0';
BV := B;
for i in 0 to A'left loop
sum(i) := A(i) xor BV(i) xor carry;
carry := (A(i) and BV(i)) or
(A(i) and carry) or
(carry and BV(i));
end loop;
return sum;
end;
function "*"(L: SIGNED; R: SIGNED) return SIGNED is
-- pragma label_applies_to mult
-- synopsys subpgm_id 296
begin
return mult(CONV_SIGNED(L, L'length),
CONV_SIGNED(R, R'length)); -- pragma label mult
end;
function "*"(L: UNSIGNED; R: UNSIGNED) return UNSIGNED is
-- pragma label_applies_to mult
-- synopsys subpgm_id 295
begin
return mult(CONV_UNSIGNED(L, L'length),
CONV_UNSIGNED(R, R'length)); -- pragma label mult
end;
function "*"(L: UNSIGNED; R: SIGNED) return SIGNED is
-- pragma label_applies_to mult
-- synopsys subpgm_id 297
begin
return mult(CONV_SIGNED(L, L'length+1),
CONV_SIGNED(R, R'length)); -- pragma label mult
end;
function "*"(L: SIGNED; R: UNSIGNED) return SIGNED is
-- pragma label_applies_to mult
-- synopsys subpgm_id 298
begin
return mult(CONV_SIGNED(L, L'length),
CONV_SIGNED(R, R'length+1)); -- pragma label mult
end;
function "*"(L: SIGNED; R: SIGNED) return STD_LOGIC_VECTOR is
-- pragma label_applies_to mult
-- synopsys subpgm_id 301
begin
return STD_LOGIC_VECTOR (
mult(-- pragma label mult
CONV_SIGNED(L, L'length), CONV_SIGNED(R, R'length)));
end;
function "*"(L: UNSIGNED; R: UNSIGNED) return STD_LOGIC_VECTOR is
-- pragma label_applies_to mult
-- synopsys subpgm_id 300
begin
return STD_LOGIC_VECTOR (
mult(-- pragma label mult
CONV_UNSIGNED(L, L'length), CONV_UNSIGNED(R, R'length)));
end;
function "*"(L: UNSIGNED; R: SIGNED) return STD_LOGIC_VECTOR is
-- pragma label_applies_to mult
-- synopsys subpgm_id 302
begin
return STD_LOGIC_VECTOR (
mult(-- pragma label mult
CONV_SIGNED(L, L'length+1), CONV_SIGNED(R, R'length)));
end;
function "*"(L: SIGNED; R: UNSIGNED) return STD_LOGIC_VECTOR is
-- pragma label_applies_to mult
-- synopsys subpgm_id 303
begin
return STD_LOGIC_VECTOR (
mult(-- pragma label mult
CONV_SIGNED(L, L'length), CONV_SIGNED(R, R'length+1)));
end;
function "+"(L: UNSIGNED; R: UNSIGNED) return UNSIGNED is
-- pragma label_applies_to plus
-- synopsys subpgm_id 236
constant length: INTEGER := max(L'length, R'length);
begin
return unsigned_plus(CONV_UNSIGNED(L, length),
CONV_UNSIGNED(R, length)); -- pragma label plus
end;
function "+"(L: SIGNED; R: SIGNED) return SIGNED is
-- pragma label_applies_to plus
-- synopsys subpgm_id 237
constant length: INTEGER := max(L'length, R'length);
begin
return plus(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label plus
end;
function "+"(L: UNSIGNED; R: SIGNED) return SIGNED is
-- pragma label_applies_to plus
-- synopsys subpgm_id 238
constant length: INTEGER := max(L'length + 1, R'length);
begin
return plus(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label plus
end;
function "+"(L: SIGNED; R: UNSIGNED) return SIGNED is
-- pragma label_applies_to plus
-- synopsys subpgm_id 239
constant length: INTEGER := max(L'length, R'length + 1);
begin
return plus(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label plus
end;
function "+"(L: UNSIGNED; R: INTEGER) return UNSIGNED is
-- pragma label_applies_to plus
-- synopsys subpgm_id 240
constant length: INTEGER := L'length + 1;
begin
return CONV_UNSIGNED(
plus( -- pragma label plus
CONV_SIGNED(L, length), CONV_SIGNED(R, length)), length-1);
end;
function "+"(L: INTEGER; R: UNSIGNED) return UNSIGNED is
-- pragma label_applies_to plus
-- synopsys subpgm_id 241
constant length: INTEGER := R'length + 1;
begin
return CONV_UNSIGNED(
plus( -- pragma label plus
CONV_SIGNED(L, length), CONV_SIGNED(R, length)), length-1);
end;
function "+"(L: SIGNED; R: INTEGER) return SIGNED is
-- pragma label_applies_to plus
-- synopsys subpgm_id 242
constant length: INTEGER := L'length;
begin
return plus(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label plus
end;
function "+"(L: INTEGER; R: SIGNED) return SIGNED is
-- pragma label_applies_to plus
-- synopsys subpgm_id 243
constant length: INTEGER := R'length;
begin
return plus(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label plus
end;
function "+"(L: UNSIGNED; R: STD_ULOGIC) return UNSIGNED is
-- pragma label_applies_to plus
-- synopsys subpgm_id 244
constant length: INTEGER := L'length;
begin
return unsigned_plus(CONV_UNSIGNED(L, length),
CONV_UNSIGNED(R, length)) ; -- pragma label plus
end;
function "+"(L: STD_ULOGIC; R: UNSIGNED) return UNSIGNED is
-- pragma label_applies_to plus
-- synopsys subpgm_id 245
constant length: INTEGER := R'length;
begin
return unsigned_plus(CONV_UNSIGNED(L, length),
CONV_UNSIGNED(R, length)); -- pragma label plus
end;
function "+"(L: SIGNED; R: STD_ULOGIC) return SIGNED is
-- pragma label_applies_to plus
-- synopsys subpgm_id 246
constant length: INTEGER := L'length;
begin
return plus(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label plus
end;
function "+"(L: STD_ULOGIC; R: SIGNED) return SIGNED is
-- pragma label_applies_to plus
-- synopsys subpgm_id 247
constant length: INTEGER := R'length;
begin
return plus(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label plus
end;
function "+"(L: UNSIGNED; R: UNSIGNED) return STD_LOGIC_VECTOR is
-- pragma label_applies_to plus
-- synopsys subpgm_id 260
constant length: INTEGER := max(L'length, R'length);
begin
return STD_LOGIC_VECTOR (
unsigned_plus(-- pragma label plus
CONV_UNSIGNED(L, length), CONV_UNSIGNED(R, length)));
end;
function "+"(L: SIGNED; R: SIGNED) return STD_LOGIC_VECTOR is
-- pragma label_applies_to plus
-- synopsys subpgm_id 261
constant length: INTEGER := max(L'length, R'length);
begin
return STD_LOGIC_VECTOR (
plus(-- pragma label plus
CONV_SIGNED(L, length), CONV_SIGNED(R, length)));
end;
function "+"(L: UNSIGNED; R: SIGNED) return STD_LOGIC_VECTOR is
-- pragma label_applies_to plus
-- synopsys subpgm_id 262
constant length: INTEGER := max(L'length + 1, R'length);
begin
return STD_LOGIC_VECTOR (
plus(-- pragma label plus
CONV_SIGNED(L, length), CONV_SIGNED(R, length)));
end;
function "+"(L: SIGNED; R: UNSIGNED) return STD_LOGIC_VECTOR is
-- pragma label_applies_to plus
-- synopsys subpgm_id 263
constant length: INTEGER := max(L'length, R'length + 1);
begin
return STD_LOGIC_VECTOR (
plus(-- pragma label plus
CONV_SIGNED(L, length), CONV_SIGNED(R, length)));
end;
function "+"(L: UNSIGNED; R: INTEGER) return STD_LOGIC_VECTOR is
-- pragma label_applies_to plus
-- synopsys subpgm_id 264
constant length: INTEGER := L'length + 1;
begin
return STD_LOGIC_VECTOR (CONV_UNSIGNED(
plus( -- pragma label plus
CONV_SIGNED(L, length), CONV_SIGNED(R, length)), length-1));
end;
function "+"(L: INTEGER; R: UNSIGNED) return STD_LOGIC_VECTOR is
-- pragma label_applies_to plus
-- synopsys subpgm_id 265
constant length: INTEGER := R'length + 1;
begin
return STD_LOGIC_VECTOR (CONV_UNSIGNED(
plus( -- pragma label plus
CONV_SIGNED(L, length), CONV_SIGNED(R, length)), length-1));
end;
function "+"(L: SIGNED; R: INTEGER) return STD_LOGIC_VECTOR is
-- pragma label_applies_to plus
-- synopsys subpgm_id 266
constant length: INTEGER := L'length;
begin
return STD_LOGIC_VECTOR (
plus(-- pragma label plus
CONV_SIGNED(L, length), CONV_SIGNED(R, length)));
end;
function "+"(L: INTEGER; R: SIGNED) return STD_LOGIC_VECTOR is
-- pragma label_applies_to plus
-- synopsys subpgm_id 267
constant length: INTEGER := R'length;
begin
return STD_LOGIC_VECTOR (
plus(-- pragma label plus
CONV_SIGNED(L, length), CONV_SIGNED(R, length)));
end;
function "+"(L: UNSIGNED; R: STD_ULOGIC) return STD_LOGIC_VECTOR is
-- pragma label_applies_to plus
-- synopsys subpgm_id 268
constant length: INTEGER := L'length;
begin
return STD_LOGIC_VECTOR (
unsigned_plus(-- pragma label plus
CONV_UNSIGNED(L, length), CONV_UNSIGNED(R, length))) ;
end;
function "+"(L: STD_ULOGIC; R: UNSIGNED) return STD_LOGIC_VECTOR is
-- pragma label_applies_to plus
-- synopsys subpgm_id 269
constant length: INTEGER := R'length;
begin
return STD_LOGIC_VECTOR (
unsigned_plus(-- pragma label plus
CONV_UNSIGNED(L, length), CONV_UNSIGNED(R, length)));
end;
function "+"(L: SIGNED; R: STD_ULOGIC) return STD_LOGIC_VECTOR is
-- pragma label_applies_to plus
-- synopsys subpgm_id 270
constant length: INTEGER := L'length;
begin
return STD_LOGIC_VECTOR (
plus(-- pragma label plus
CONV_SIGNED(L, length), CONV_SIGNED(R, length)));
end;
function "+"(L: STD_ULOGIC; R: SIGNED) return STD_LOGIC_VECTOR is
-- pragma label_applies_to plus
-- synopsys subpgm_id 271
constant length: INTEGER := R'length;
begin
return STD_LOGIC_VECTOR (
plus(-- pragma label plus
CONV_SIGNED(L, length), CONV_SIGNED(R, length)));
end;
function "-"(L: UNSIGNED; R: UNSIGNED) return UNSIGNED is
-- pragma label_applies_to minus
-- synopsys subpgm_id 248
constant length: INTEGER := max(L'length, R'length);
begin
return unsigned_minus(CONV_UNSIGNED(L, length),
CONV_UNSIGNED(R, length)); -- pragma label minus
end;
function "-"(L: SIGNED; R: SIGNED) return SIGNED is
-- pragma label_applies_to minus
-- synopsys subpgm_id 249
constant length: INTEGER := max(L'length, R'length);
begin
return minus(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label minus
end;
function "-"(L: UNSIGNED; R: SIGNED) return SIGNED is
-- pragma label_applies_to minus
-- synopsys subpgm_id 250
constant length: INTEGER := max(L'length + 1, R'length);
begin
return minus(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label minus
end;
function "-"(L: SIGNED; R: UNSIGNED) return SIGNED is
-- pragma label_applies_to minus
-- synopsys subpgm_id 251
constant length: INTEGER := max(L'length, R'length + 1);
begin
return minus(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label minus
end;
function "-"(L: UNSIGNED; R: INTEGER) return UNSIGNED is
-- pragma label_applies_to minus
-- synopsys subpgm_id 252
constant length: INTEGER := L'length + 1;
begin
return CONV_UNSIGNED(
minus( -- pragma label minus
CONV_SIGNED(L, length), CONV_SIGNED(R, length)), length-1);
end;
function "-"(L: INTEGER; R: UNSIGNED) return UNSIGNED is
-- pragma label_applies_to minus
-- synopsys subpgm_id 253
constant length: INTEGER := R'length + 1;
begin
return CONV_UNSIGNED(
minus( -- pragma label minus
CONV_SIGNED(L, length), CONV_SIGNED(R, length)), length-1);
end;
function "-"(L: SIGNED; R: INTEGER) return SIGNED is
-- pragma label_applies_to minus
-- synopsys subpgm_id 254
constant length: INTEGER := L'length;
begin
return minus(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label minus
end;
function "-"(L: INTEGER; R: SIGNED) return SIGNED is
-- pragma label_applies_to minus
-- synopsys subpgm_id 255
constant length: INTEGER := R'length;
begin
return minus(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label minus
end;
function "-"(L: UNSIGNED; R: STD_ULOGIC) return UNSIGNED is
-- pragma label_applies_to minus
-- synopsys subpgm_id 256
constant length: INTEGER := L'length + 1;
begin
return CONV_UNSIGNED(
minus( -- pragma label minus
CONV_SIGNED(L, length), CONV_SIGNED(R, length)), length-1);
end;
function "-"(L: STD_ULOGIC; R: UNSIGNED) return UNSIGNED is
-- pragma label_applies_to minus
-- synopsys subpgm_id 257
constant length: INTEGER := R'length + 1;
begin
return CONV_UNSIGNED(
minus( -- pragma label minus
CONV_SIGNED(L, length), CONV_SIGNED(R, length)), length-1);
end;
function "-"(L: SIGNED; R: STD_ULOGIC) return SIGNED is
-- pragma label_applies_to minus
-- synopsys subpgm_id 258
constant length: INTEGER := L'length;
begin
return minus(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label minus
end;
function "-"(L: STD_ULOGIC; R: SIGNED) return SIGNED is
-- pragma label_applies_to minus
-- synopsys subpgm_id 259
constant length: INTEGER := R'length;
begin
return minus(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label minus
end;
function "-"(L: UNSIGNED; R: UNSIGNED) return STD_LOGIC_VECTOR is
-- pragma label_applies_to minus
-- synopsys subpgm_id 272
constant length: INTEGER := max(L'length, R'length);
begin
return STD_LOGIC_VECTOR (
unsigned_minus(-- pragma label minus
CONV_UNSIGNED(L, length), CONV_UNSIGNED(R, length)));
end;
function "-"(L: SIGNED; R: SIGNED) return STD_LOGIC_VECTOR is
-- pragma label_applies_to minus
-- synopsys subpgm_id 273
constant length: INTEGER := max(L'length, R'length);
begin
return STD_LOGIC_VECTOR (
minus(-- pragma label minus
CONV_SIGNED(L, length), CONV_SIGNED(R, length)));
end;
function "-"(L: UNSIGNED; R: SIGNED) return STD_LOGIC_VECTOR is
-- pragma label_applies_to minus
-- synopsys subpgm_id 274
constant length: INTEGER := max(L'length + 1, R'length);
begin
return STD_LOGIC_VECTOR (
minus(-- pragma label minus
CONV_SIGNED(L, length), CONV_SIGNED(R, length)));
end;
function "-"(L: SIGNED; R: UNSIGNED) return STD_LOGIC_VECTOR is
-- pragma label_applies_to minus
-- synopsys subpgm_id 275
constant length: INTEGER := max(L'length, R'length + 1);
begin
return STD_LOGIC_VECTOR (
minus(-- pragma label minus
CONV_SIGNED(L, length), CONV_SIGNED(R, length)));
end;
function "-"(L: UNSIGNED; R: INTEGER) return STD_LOGIC_VECTOR is
-- pragma label_applies_to minus
-- synopsys subpgm_id 276
constant length: INTEGER := L'length + 1;
begin
return STD_LOGIC_VECTOR (CONV_UNSIGNED(
minus( -- pragma label minus
CONV_SIGNED(L, length), CONV_SIGNED(R, length)), length-1));
end;
function "-"(L: INTEGER; R: UNSIGNED) return STD_LOGIC_VECTOR is
-- pragma label_applies_to minus
-- synopsys subpgm_id 277
constant length: INTEGER := R'length + 1;
begin
return STD_LOGIC_VECTOR (CONV_UNSIGNED(
minus( -- pragma label minus
CONV_SIGNED(L, length), CONV_SIGNED(R, length)), length-1));
end;
function "-"(L: SIGNED; R: INTEGER) return STD_LOGIC_VECTOR is
-- pragma label_applies_to minus
-- synopsys subpgm_id 278
constant length: INTEGER := L'length;
begin
return STD_LOGIC_VECTOR (
minus(-- pragma label minus
CONV_SIGNED(L, length), CONV_SIGNED(R, length)));
end;
function "-"(L: INTEGER; R: SIGNED) return STD_LOGIC_VECTOR is
-- pragma label_applies_to minus
-- synopsys subpgm_id 279
constant length: INTEGER := R'length;
begin
return STD_LOGIC_VECTOR (
minus(-- pragma label minus
CONV_SIGNED(L, length), CONV_SIGNED(R, length)));
end;
function "-"(L: UNSIGNED; R: STD_ULOGIC) return STD_LOGIC_VECTOR is
-- pragma label_applies_to minus
-- synopsys subpgm_id 280
constant length: INTEGER := L'length + 1;
begin
return STD_LOGIC_VECTOR (CONV_UNSIGNED(
minus( -- pragma label minus
CONV_SIGNED(L, length), CONV_SIGNED(R, length)), length-1));
end;
function "-"(L: STD_ULOGIC; R: UNSIGNED) return STD_LOGIC_VECTOR is
-- pragma label_applies_to minus
-- synopsys subpgm_id 281
constant length: INTEGER := R'length + 1;
begin
return STD_LOGIC_VECTOR (CONV_UNSIGNED(
minus( -- pragma label minus
CONV_SIGNED(L, length), CONV_SIGNED(R, length)), length-1));
end;
function "-"(L: SIGNED; R: STD_ULOGIC) return STD_LOGIC_VECTOR is
-- pragma label_applies_to minus
-- synopsys subpgm_id 282
constant length: INTEGER := L'length;
begin
return STD_LOGIC_VECTOR (
minus(-- pragma label minus
CONV_SIGNED(L, length), CONV_SIGNED(R, length)));
end;
function "-"(L: STD_ULOGIC; R: SIGNED) return STD_LOGIC_VECTOR is
-- pragma label_applies_to minus
-- synopsys subpgm_id 283
constant length: INTEGER := R'length;
begin
return STD_LOGIC_VECTOR (
minus(-- pragma label minus
CONV_SIGNED(L, length), CONV_SIGNED(R, length)));
end;
function "+"(L: UNSIGNED) return UNSIGNED is
-- synopsys subpgm_id 284
begin
return L;
end;
function "+"(L: SIGNED) return SIGNED is
-- synopsys subpgm_id 285
begin
return L;
end;
function "-"(L: SIGNED) return SIGNED is
-- pragma label_applies_to minus
-- synopsys subpgm_id 286
begin
return 0 - L; -- pragma label minus
end;
function "ABS"(L: SIGNED) return SIGNED is
-- synopsys subpgm_id 287
begin
if (L(L'left) = '0' or L(L'left) = 'L') then
return L;
else
return 0 - L;
end if;
end;
function "+"(L: UNSIGNED) return STD_LOGIC_VECTOR is
-- synopsys subpgm_id 289
begin
return STD_LOGIC_VECTOR (L);
end;
function "+"(L: SIGNED) return STD_LOGIC_VECTOR is
-- synopsys subpgm_id 290
begin
return STD_LOGIC_VECTOR (L);
end;
function "-"(L: SIGNED) return STD_LOGIC_VECTOR is
-- pragma label_applies_to minus
-- synopsys subpgm_id 292
variable tmp: SIGNED(L'length-1 downto 0);
begin
tmp := 0 - L; -- pragma label minus
return STD_LOGIC_VECTOR (tmp);
end;
function "ABS"(L: SIGNED) return STD_LOGIC_VECTOR is
-- synopsys subpgm_id 294
variable tmp: SIGNED(L'length-1 downto 0);
begin
if (L(L'left) = '0' or L(L'left) = 'L') then
return STD_LOGIC_VECTOR (L);
else
tmp := 0 - L;
return STD_LOGIC_VECTOR (tmp);
end if;
end;
-- Type propagation function which returns the type BOOLEAN
function UNSIGNED_RETURN_BOOLEAN(A,B: UNSIGNED) return BOOLEAN is
variable Z: BOOLEAN;
-- pragma return_port_name Z
begin
return(Z);
end;
-- Type propagation function which returns the type BOOLEAN
function SIGNED_RETURN_BOOLEAN(A,B: SIGNED) return BOOLEAN is
variable Z: BOOLEAN;
-- pragma return_port_name Z
begin
return(Z);
end;
-- compare two signed numbers of the same length
-- both arrays must have range (msb downto 0)
function is_less(A, B: SIGNED) return BOOLEAN is
constant sign: INTEGER := A'left;
variable a_is_0, b_is_1, result : boolean;
-- pragma map_to_operator LT_TC_OP
-- pragma type_function SIGNED_RETURN_BOOLEAN
-- pragma return_port_name Z
begin
if A(sign) /= B(sign) then
result := A(sign) = '1';
else
result := FALSE;
for i in 0 to sign-1 loop
a_is_0 := A(i) = '0';
b_is_1 := B(i) = '1';
result := (a_is_0 and b_is_1) or
(a_is_0 and result) or
(b_is_1 and result);
end loop;
end if;
return result;
end;
-- compare two signed numbers of the same length
-- both arrays must have range (msb downto 0)
function is_less_or_equal(A, B: SIGNED) return BOOLEAN is
constant sign: INTEGER := A'left;
variable a_is_0, b_is_1, result : boolean;
-- pragma map_to_operator LEQ_TC_OP
-- pragma type_function SIGNED_RETURN_BOOLEAN
-- pragma return_port_name Z
begin
if A(sign) /= B(sign) then
result := A(sign) = '1';
else
result := TRUE;
for i in 0 to sign-1 loop
a_is_0 := A(i) = '0';
b_is_1 := B(i) = '1';
result := (a_is_0 and b_is_1) or
(a_is_0 and result) or
(b_is_1 and result);
end loop;
end if;
return result;
end;
-- compare two unsigned numbers of the same length
-- both arrays must have range (msb downto 0)
function unsigned_is_less(A, B: UNSIGNED) return BOOLEAN is
constant sign: INTEGER := A'left;
variable a_is_0, b_is_1, result : boolean;
-- pragma map_to_operator LT_UNS_OP
-- pragma type_function UNSIGNED_RETURN_BOOLEAN
-- pragma return_port_name Z
begin
result := FALSE;
for i in 0 to sign loop
a_is_0 := A(i) = '0';
b_is_1 := B(i) = '1';
result := (a_is_0 and b_is_1) or
(a_is_0 and result) or
(b_is_1 and result);
end loop;
return result;
end;
-- compare two unsigned numbers of the same length
-- both arrays must have range (msb downto 0)
function unsigned_is_less_or_equal(A, B: UNSIGNED) return BOOLEAN is
constant sign: INTEGER := A'left;
variable a_is_0, b_is_1, result : boolean;
-- pragma map_to_operator LEQ_UNS_OP
-- pragma type_function UNSIGNED_RETURN_BOOLEAN
-- pragma return_port_name Z
begin
result := TRUE;
for i in 0 to sign loop
a_is_0 := A(i) = '0';
b_is_1 := B(i) = '1';
result := (a_is_0 and b_is_1) or
(a_is_0 and result) or
(b_is_1 and result);
end loop;
return result;
end;
function "<"(L: UNSIGNED; R: UNSIGNED) return BOOLEAN is
-- pragma label_applies_to lt
-- synopsys subpgm_id 305
constant length: INTEGER := max(L'length, R'length);
begin
return unsigned_is_less(CONV_UNSIGNED(L, length),
CONV_UNSIGNED(R, length)); -- pragma label lt
end;
function "<"(L: SIGNED; R: SIGNED) return BOOLEAN is
-- pragma label_applies_to lt
-- synopsys subpgm_id 306
constant length: INTEGER := max(L'length, R'length);
begin
return is_less(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label lt
end;
function "<"(L: UNSIGNED; R: SIGNED) return BOOLEAN is
-- pragma label_applies_to lt
-- synopsys subpgm_id 307
constant length: INTEGER := max(L'length + 1, R'length);
begin
return is_less(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label lt
end;
function "<"(L: SIGNED; R: UNSIGNED) return BOOLEAN is
-- pragma label_applies_to lt
-- synopsys subpgm_id 308
constant length: INTEGER := max(L'length, R'length + 1);
begin
return is_less(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label lt
end;
function "<"(L: UNSIGNED; R: INTEGER) return BOOLEAN is
-- pragma label_applies_to lt
-- synopsys subpgm_id 309
constant length: INTEGER := L'length + 1;
begin
return is_less(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label lt
end;
function "<"(L: INTEGER; R: UNSIGNED) return BOOLEAN is
-- pragma label_applies_to lt
-- synopsys subpgm_id 310
constant length: INTEGER := R'length + 1;
begin
return is_less(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label lt
end;
function "<"(L: SIGNED; R: INTEGER) return BOOLEAN is
-- pragma label_applies_to lt
-- synopsys subpgm_id 311
constant length: INTEGER := L'length;
begin
return is_less(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label lt
end;
function "<"(L: INTEGER; R: SIGNED) return BOOLEAN is
-- pragma label_applies_to lt
-- synopsys subpgm_id 312
constant length: INTEGER := R'length;
begin
return is_less(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label lt
end;
function "<="(L: UNSIGNED; R: UNSIGNED) return BOOLEAN is
-- pragma label_applies_to leq
-- synopsys subpgm_id 314
constant length: INTEGER := max(L'length, R'length);
begin
return unsigned_is_less_or_equal(CONV_UNSIGNED(L, length),
CONV_UNSIGNED(R, length)); -- pragma label leq
end;
function "<="(L: SIGNED; R: SIGNED) return BOOLEAN is
-- pragma label_applies_to leq
-- synopsys subpgm_id 315
constant length: INTEGER := max(L'length, R'length);
begin
return is_less_or_equal(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label leq
end;
function "<="(L: UNSIGNED; R: SIGNED) return BOOLEAN is
-- pragma label_applies_to leq
-- synopsys subpgm_id 316
constant length: INTEGER := max(L'length + 1, R'length);
begin
return is_less_or_equal(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label leq
end;
function "<="(L: SIGNED; R: UNSIGNED) return BOOLEAN is
-- pragma label_applies_to leq
-- synopsys subpgm_id 317
constant length: INTEGER := max(L'length, R'length + 1);
begin
return is_less_or_equal(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label leq
end;
function "<="(L: UNSIGNED; R: INTEGER) return BOOLEAN is
-- pragma label_applies_to leq
-- synopsys subpgm_id 318
constant length: INTEGER := L'length + 1;
begin
return is_less_or_equal(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label leq
end;
function "<="(L: INTEGER; R: UNSIGNED) return BOOLEAN is
-- pragma label_applies_to leq
-- synopsys subpgm_id 319
constant length: INTEGER := R'length + 1;
begin
return is_less_or_equal(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label leq
end;
function "<="(L: SIGNED; R: INTEGER) return BOOLEAN is
-- pragma label_applies_to leq
-- synopsys subpgm_id 320
constant length: INTEGER := L'length;
begin
return is_less_or_equal(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label leq
end;
function "<="(L: INTEGER; R: SIGNED) return BOOLEAN is
-- pragma label_applies_to leq
-- synopsys subpgm_id 321
constant length: INTEGER := R'length;
begin
return is_less_or_equal(CONV_SIGNED(L, length),
CONV_SIGNED(R, length)); -- pragma label leq
end;
function ">"(L: UNSIGNED; R: UNSIGNED) return BOOLEAN is
-- pragma label_applies_to gt
-- synopsys subpgm_id 323
constant length: INTEGER := max(L'length, R'length);
begin
return unsigned_is_less(CONV_UNSIGNED(R, length),
CONV_UNSIGNED(L, length)); -- pragma label gt
end;
function ">"(L: SIGNED; R: SIGNED) return BOOLEAN is
-- pragma label_applies_to gt
-- synopsys subpgm_id 324
constant length: INTEGER := max(L'length, R'length);
begin
return is_less(CONV_SIGNED(R, length),
CONV_SIGNED(L, length)); -- pragma label gt
end;
function ">"(L: UNSIGNED; R: SIGNED) return BOOLEAN is
-- pragma label_applies_to gt
-- synopsys subpgm_id 325
constant length: INTEGER := max(L'length + 1, R'length);
begin
return is_less(CONV_SIGNED(R, length),
CONV_SIGNED(L, length)); -- pragma label gt
end;
function ">"(L: SIGNED; R: UNSIGNED) return BOOLEAN is
-- pragma label_applies_to gt
-- synopsys subpgm_id 326
constant length: INTEGER := max(L'length, R'length + 1);
begin
return is_less(CONV_SIGNED(R, length),
CONV_SIGNED(L, length)); -- pragma label gt
end;
function ">"(L: UNSIGNED; R: INTEGER) return BOOLEAN is
-- pragma label_applies_to gt
-- synopsys subpgm_id 327
constant length: INTEGER := L'length + 1;
begin
return is_less(CONV_SIGNED(R, length),
CONV_SIGNED(L, length)); -- pragma label gt
end;
function ">"(L: INTEGER; R: UNSIGNED) return BOOLEAN is
-- pragma label_applies_to gt
-- synopsys subpgm_id 328
constant length: INTEGER := R'length + 1;
begin
return is_less(CONV_SIGNED(R, length),
CONV_SIGNED(L, length)); -- pragma label gt
end;
function ">"(L: SIGNED; R: INTEGER) return BOOLEAN is
-- pragma label_applies_to gt
-- synopsys subpgm_id 329
constant length: INTEGER := L'length;
begin
return is_less(CONV_SIGNED(R, length),
CONV_SIGNED(L, length)); -- pragma label gt
end;
function ">"(L: INTEGER; R: SIGNED) return BOOLEAN is
-- pragma label_applies_to gt
-- synopsys subpgm_id 330
constant length: INTEGER := R'length;
begin
return is_less(CONV_SIGNED(R, length),
CONV_SIGNED(L, length)); -- pragma label gt
end;
function ">="(L: UNSIGNED; R: UNSIGNED) return BOOLEAN is
-- pragma label_applies_to geq
-- synopsys subpgm_id 332
constant length: INTEGER := max(L'length, R'length);
begin
return unsigned_is_less_or_equal(CONV_UNSIGNED(R, length),
CONV_UNSIGNED(L, length)); -- pragma label geq
end;
function ">="(L: SIGNED; R: SIGNED) return BOOLEAN is
-- pragma label_applies_to geq
-- synopsys subpgm_id 333
constant length: INTEGER := max(L'length, R'length);
begin
return is_less_or_equal(CONV_SIGNED(R, length),
CONV_SIGNED(L, length)); -- pragma label geq
end;
function ">="(L: UNSIGNED; R: SIGNED) return BOOLEAN is
-- pragma label_applies_to geq
-- synopsys subpgm_id 334
constant length: INTEGER := max(L'length + 1, R'length);
begin
return is_less_or_equal(CONV_SIGNED(R, length),
CONV_SIGNED(L, length)); -- pragma label geq
end;
function ">="(L: SIGNED; R: UNSIGNED) return BOOLEAN is
-- pragma label_applies_to geq
-- synopsys subpgm_id 335
constant length: INTEGER := max(L'length, R'length + 1);
begin
return is_less_or_equal(CONV_SIGNED(R, length),
CONV_SIGNED(L, length)); -- pragma label geq
end;
function ">="(L: UNSIGNED; R: INTEGER) return BOOLEAN is
-- pragma label_applies_to geq
-- synopsys subpgm_id 336
constant length: INTEGER := L'length + 1;
begin
return is_less_or_equal(CONV_SIGNED(R, length),
CONV_SIGNED(L, length)); -- pragma label geq
end;
function ">="(L: INTEGER; R: UNSIGNED) return BOOLEAN is
-- pragma label_applies_to geq
-- synopsys subpgm_id 337
constant length: INTEGER := R'length + 1;
begin
return is_less_or_equal(CONV_SIGNED(R, length),
CONV_SIGNED(L, length)); -- pragma label geq
end;
function ">="(L: SIGNED; R: INTEGER) return BOOLEAN is
-- pragma label_applies_to geq
-- synopsys subpgm_id 338
constant length: INTEGER := L'length;
begin
return is_less_or_equal(CONV_SIGNED(R, length),
CONV_SIGNED(L, length)); -- pragma label geq
end;
function ">="(L: INTEGER; R: SIGNED) return BOOLEAN is
-- pragma label_applies_to geq
-- synopsys subpgm_id 339
constant length: INTEGER := R'length;
begin
return is_less_or_equal(CONV_SIGNED(R, length),
CONV_SIGNED(L, length)); -- pragma label geq
end;
-- for internal use only. Assumes SIGNED arguments of equal length.
function bitwise_eql(L: STD_ULOGIC_VECTOR; R: STD_ULOGIC_VECTOR)
return BOOLEAN is
-- pragma built_in SYN_EQL
begin
for i in L'range loop
if L(i) /= R(i) then
return FALSE;
end if;
end loop;
return TRUE;
end;
-- for internal use only. Assumes SIGNED arguments of equal length.
function bitwise_neq(L: STD_ULOGIC_VECTOR; R: STD_ULOGIC_VECTOR)
return BOOLEAN is
-- pragma built_in SYN_NEQ
begin
for i in L'range loop
if L(i) /= R(i) then
return TRUE;
end if;
end loop;
return FALSE;
end;
function "="(L: UNSIGNED; R: UNSIGNED) return BOOLEAN is
-- synopsys subpgm_id 341
constant length: INTEGER := max(L'length, R'length);
begin
return bitwise_eql( STD_ULOGIC_VECTOR( CONV_UNSIGNED(L, length) ),
STD_ULOGIC_VECTOR( CONV_UNSIGNED(R, length) ) );
end;
function "="(L: SIGNED; R: SIGNED) return BOOLEAN is
-- synopsys subpgm_id 342
constant length: INTEGER := max(L'length, R'length);
begin
return bitwise_eql( STD_ULOGIC_VECTOR( CONV_SIGNED(L, length) ),
STD_ULOGIC_VECTOR( CONV_SIGNED(R, length) ) );
end;
function "="(L: UNSIGNED; R: SIGNED) return BOOLEAN is
-- synopsys subpgm_id 343
constant length: INTEGER := max(L'length + 1, R'length);
begin
return bitwise_eql( STD_ULOGIC_VECTOR( CONV_SIGNED(L, length) ),
STD_ULOGIC_VECTOR( CONV_SIGNED(R, length) ) );
end;
function "="(L: SIGNED; R: UNSIGNED) return BOOLEAN is
-- synopsys subpgm_id 344
constant length: INTEGER := max(L'length, R'length + 1);
begin
return bitwise_eql( STD_ULOGIC_VECTOR( CONV_SIGNED(L, length) ),
STD_ULOGIC_VECTOR( CONV_SIGNED(R, length) ) );
end;
function "="(L: UNSIGNED; R: INTEGER) return BOOLEAN is
-- synopsys subpgm_id 345
constant length: INTEGER := L'length + 1;
begin
return bitwise_eql( STD_ULOGIC_VECTOR( CONV_SIGNED(L, length) ),
STD_ULOGIC_VECTOR( CONV_SIGNED(R, length) ) );
end;
function "="(L: INTEGER; R: UNSIGNED) return BOOLEAN is
-- synopsys subpgm_id 346
constant length: INTEGER := R'length + 1;
begin
return bitwise_eql( STD_ULOGIC_VECTOR( CONV_SIGNED(L, length) ),
STD_ULOGIC_VECTOR( CONV_SIGNED(R, length) ) );
end;
function "="(L: SIGNED; R: INTEGER) return BOOLEAN is
-- synopsys subpgm_id 347
constant length: INTEGER := L'length;
begin
return bitwise_eql( STD_ULOGIC_VECTOR( CONV_SIGNED(L, length) ),
STD_ULOGIC_VECTOR( CONV_SIGNED(R, length) ) );
end;
function "="(L: INTEGER; R: SIGNED) return BOOLEAN is
-- synopsys subpgm_id 348
constant length: INTEGER := R'length;
begin
return bitwise_eql( STD_ULOGIC_VECTOR( CONV_SIGNED(L, length) ),
STD_ULOGIC_VECTOR( CONV_SIGNED(R, length) ) );
end;
function "/="(L: UNSIGNED; R: UNSIGNED) return BOOLEAN is
-- synopsys subpgm_id 350
constant length: INTEGER := max(L'length, R'length);
begin
return bitwise_neq( STD_ULOGIC_VECTOR( CONV_UNSIGNED(L, length) ),
STD_ULOGIC_VECTOR( CONV_UNSIGNED(R, length) ) );
end;
function "/="(L: SIGNED; R: SIGNED) return BOOLEAN is
-- synopsys subpgm_id 351
constant length: INTEGER := max(L'length, R'length);
begin
return bitwise_neq( STD_ULOGIC_VECTOR( CONV_SIGNED(L, length) ),
STD_ULOGIC_VECTOR( CONV_SIGNED(R, length) ) );
end;
function "/="(L: UNSIGNED; R: SIGNED) return BOOLEAN is
-- synopsys subpgm_id 352
constant length: INTEGER := max(L'length + 1, R'length);
begin
return bitwise_neq( STD_ULOGIC_VECTOR( CONV_SIGNED(L, length) ),
STD_ULOGIC_VECTOR( CONV_SIGNED(R, length) ) );
end;
function "/="(L: SIGNED; R: UNSIGNED) return BOOLEAN is
-- synopsys subpgm_id 353
constant length: INTEGER := max(L'length, R'length + 1);
begin
return bitwise_neq( STD_ULOGIC_VECTOR( CONV_SIGNED(L, length) ),
STD_ULOGIC_VECTOR( CONV_SIGNED(R, length) ) );
end;
function "/="(L: UNSIGNED; R: INTEGER) return BOOLEAN is
-- synopsys subpgm_id 354
constant length: INTEGER := L'length + 1;
begin
return bitwise_neq( STD_ULOGIC_VECTOR( CONV_SIGNED(L, length) ),
STD_ULOGIC_VECTOR( CONV_SIGNED(R, length) ) );
end;
function "/="(L: INTEGER; R: UNSIGNED) return BOOLEAN is
-- synopsys subpgm_id 355
constant length: INTEGER := R'length + 1;
begin
return bitwise_neq( STD_ULOGIC_VECTOR( CONV_SIGNED(L, length) ),
STD_ULOGIC_VECTOR( CONV_SIGNED(R, length) ) );
end;
function "/="(L: SIGNED; R: INTEGER) return BOOLEAN is
-- synopsys subpgm_id 356
constant length: INTEGER := L'length;
begin
return bitwise_neq( STD_ULOGIC_VECTOR( CONV_SIGNED(L, length) ),
STD_ULOGIC_VECTOR( CONV_SIGNED(R, length) ) );
end;
function "/="(L: INTEGER; R: SIGNED) return BOOLEAN is
-- synopsys subpgm_id 357
constant length: INTEGER := R'length;
begin
return bitwise_neq( STD_ULOGIC_VECTOR( CONV_SIGNED(L, length) ),
STD_ULOGIC_VECTOR( CONV_SIGNED(R, length) ) );
end;
function SHL(ARG: UNSIGNED; COUNT: UNSIGNED) return UNSIGNED is
-- synopsys subpgm_id 358
constant control_msb: INTEGER := COUNT'length - 1;
variable control: UNSIGNED (control_msb downto 0);
constant result_msb: INTEGER := ARG'length-1;
subtype rtype is UNSIGNED (result_msb downto 0);
variable result, temp: rtype;
begin
control := MAKE_BINARY(COUNT);
-- synopsys synthesis_off
if (control(0) = 'X') then
result := rtype'(others => 'X');
return result;
end if;
-- synopsys synthesis_on
result := ARG;
for i in 0 to control_msb loop
if control(i) = '1' then
temp := rtype'(others => '0');
if 2**i <= result_msb then
temp(result_msb downto 2**i) :=
result(result_msb - 2**i downto 0);
end if;
result := temp;
end if;
end loop;
return result;
end;
function SHL(ARG: SIGNED; COUNT: UNSIGNED) return SIGNED is
-- synopsys subpgm_id 359
constant control_msb: INTEGER := COUNT'length - 1;
variable control: UNSIGNED (control_msb downto 0);
constant result_msb: INTEGER := ARG'length-1;
subtype rtype is SIGNED (result_msb downto 0);
variable result, temp: rtype;
begin
control := MAKE_BINARY(COUNT);
-- synopsys synthesis_off
if (control(0) = 'X') then
result := rtype'(others => 'X');
return result;
end if;
-- synopsys synthesis_on
result := ARG;
for i in 0 to control_msb loop
if control(i) = '1' then
temp := rtype'(others => '0');
if 2**i <= result_msb then
temp(result_msb downto 2**i) :=
result(result_msb - 2**i downto 0);
end if;
result := temp;
end if;
end loop;
return result;
end;
function SHR(ARG: UNSIGNED; COUNT: UNSIGNED) return UNSIGNED is
-- synopsys subpgm_id 360
constant control_msb: INTEGER := COUNT'length - 1;
variable control: UNSIGNED (control_msb downto 0);
constant result_msb: INTEGER := ARG'length-1;
subtype rtype is UNSIGNED (result_msb downto 0);
variable result, temp: rtype;
begin
control := MAKE_BINARY(COUNT);
-- synopsys synthesis_off
if (control(0) = 'X') then
result := rtype'(others => 'X');
return result;
end if;
-- synopsys synthesis_on
result := ARG;
for i in 0 to control_msb loop
if control(i) = '1' then
temp := rtype'(others => '0');
if 2**i <= result_msb then
temp(result_msb - 2**i downto 0) :=
result(result_msb downto 2**i);
end if;
result := temp;
end if;
end loop;
return result;
end;
function SHR(ARG: SIGNED; COUNT: UNSIGNED) return SIGNED is
-- synopsys subpgm_id 361
constant control_msb: INTEGER := COUNT'length - 1;
variable control: UNSIGNED (control_msb downto 0);
constant result_msb: INTEGER := ARG'length-1;
subtype rtype is SIGNED (result_msb downto 0);
variable result, temp: rtype;
variable sign_bit: STD_ULOGIC;
begin
control := MAKE_BINARY(COUNT);
-- synopsys synthesis_off
if (control(0) = 'X') then
result := rtype'(others => 'X');
return result;
end if;
-- synopsys synthesis_on
result := ARG;
sign_bit := ARG(ARG'left);
for i in 0 to control_msb loop
if control(i) = '1' then
temp := rtype'(others => sign_bit);
if 2**i <= result_msb then
temp(result_msb - 2**i downto 0) :=
result(result_msb downto 2**i);
end if;
result := temp;
end if;
end loop;
return result;
end;
function CONV_INTEGER(ARG: INTEGER) return INTEGER is
-- synopsys subpgm_id 365
begin
return ARG;
end;
function CONV_INTEGER(ARG: UNSIGNED) return INTEGER is
variable result: INTEGER;
variable tmp: STD_ULOGIC;
-- synopsys built_in SYN_UNSIGNED_TO_INTEGER
-- synopsys subpgm_id 366
begin
-- synopsys synthesis_off
assert ARG'length <= 31
report "ARG is too large in CONV_INTEGER"
severity FAILURE;
result := 0;
for i in ARG'range loop
result := result * 2;
tmp := tbl_BINARY(ARG(i));
if tmp = '1' then
result := result + 1;
elsif tmp = 'X' then
assert false
report "There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es)."
severity warning;
assert false
report "CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0."
severity WARNING;
return 0;
end if;
end loop;
return result;
-- synopsys synthesis_on
end;
function CONV_INTEGER(ARG: SIGNED) return INTEGER is
variable result: INTEGER;
variable tmp: STD_ULOGIC;
-- synopsys built_in SYN_SIGNED_TO_INTEGER
-- synopsys subpgm_id 367
begin
-- synopsys synthesis_off
assert ARG'length <= 32
report "ARG is too large in CONV_INTEGER"
severity FAILURE;
result := 0;
for i in ARG'range loop
if i /= ARG'left then
result := result * 2;
tmp := tbl_BINARY(ARG(i));
if tmp = '1' then
result := result + 1;
elsif tmp = 'X' then
assert false
report "There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es)."
severity warning;
assert false
report "CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0."
severity WARNING;
return 0;
end if;
end if;
end loop;
tmp := MAKE_BINARY(ARG(ARG'left));
if tmp = '1' then
if ARG'length = 32 then
result := (result - 2**30) - 2**30;
else
result := result - (2 ** (ARG'length-1));
end if;
end if;
return result;
-- synopsys synthesis_on
end;
function CONV_INTEGER(ARG: STD_ULOGIC) return SMALL_INT is
variable tmp: STD_ULOGIC;
-- synopsys built_in SYN_FEED_THRU
-- synopsys subpgm_id 370
begin
-- synopsys synthesis_off
tmp := tbl_BINARY(ARG);
if tmp = '1' then
return 1;
elsif tmp = 'X' then
assert false
report "CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0."
severity WARNING;
return 0;
else
return 0;
end if;
-- synopsys synthesis_on
end;
-- convert an integer to a unsigned STD_ULOGIC_VECTOR
function CONV_UNSIGNED(ARG: INTEGER; SIZE: INTEGER) return UNSIGNED is
variable result: UNSIGNED(SIZE-1 downto 0);
variable temp: integer;
-- synopsys built_in SYN_INTEGER_TO_UNSIGNED
-- synopsys subpgm_id 371
begin
-- synopsys synthesis_off
temp := ARG;
for i in 0 to SIZE-1 loop
if (temp mod 2) = 1 then
result(i) := '1';
else
result(i) := '0';
end if;
if temp > 0 then
temp := temp / 2;
else
temp := (temp - 1) / 2; -- simulate ASR
end if;
end loop;
return result;
-- synopsys synthesis_on
end;
function CONV_UNSIGNED(ARG: UNSIGNED; SIZE: INTEGER) return UNSIGNED is
constant msb: INTEGER := min(ARG'length, SIZE) - 1;
subtype rtype is UNSIGNED (SIZE-1 downto 0);
variable new_bounds: UNSIGNED (ARG'length-1 downto 0);
variable result: rtype;
-- synopsys built_in SYN_ZERO_EXTEND
-- synopsys subpgm_id 372
begin
-- synopsys synthesis_off
new_bounds := MAKE_BINARY(ARG);
if (new_bounds(0) = 'X') then
result := rtype'(others => 'X');
return result;
end if;
result := rtype'(others => '0');
result(msb downto 0) := new_bounds(msb downto 0);
return result;
-- synopsys synthesis_on
end;
function CONV_UNSIGNED(ARG: SIGNED; SIZE: INTEGER) return UNSIGNED is
constant msb: INTEGER := min(ARG'length, SIZE) - 1;
subtype rtype is UNSIGNED (SIZE-1 downto 0);
variable new_bounds: UNSIGNED (ARG'length-1 downto 0);
variable result: rtype;
-- synopsys built_in SYN_SIGN_EXTEND
-- synopsys subpgm_id 373
begin
-- synopsys synthesis_off
new_bounds := MAKE_BINARY(ARG);
if (new_bounds(0) = 'X') then
result := rtype'(others => 'X');
return result;
end if;
result := rtype'(others => new_bounds(new_bounds'left));
result(msb downto 0) := new_bounds(msb downto 0);
return result;
-- synopsys synthesis_on
end;
function CONV_UNSIGNED(ARG: STD_ULOGIC; SIZE: INTEGER) return UNSIGNED is
subtype rtype is UNSIGNED (SIZE-1 downto 0);
variable result: rtype;
-- synopsys built_in SYN_ZERO_EXTEND
-- synopsys subpgm_id 375
begin
-- synopsys synthesis_off
result := rtype'(others => '0');
result(0) := MAKE_BINARY(ARG);
if (result(0) = 'X') then
result := rtype'(others => 'X');
end if;
return result;
-- synopsys synthesis_on
end;
-- convert an integer to a 2's complement STD_ULOGIC_VECTOR
function CONV_SIGNED(ARG: INTEGER; SIZE: INTEGER) return SIGNED is
variable result: SIGNED (SIZE-1 downto 0);
variable temp: integer;
-- synopsys built_in SYN_INTEGER_TO_SIGNED
-- synopsys subpgm_id 376
begin
-- synopsys synthesis_off
temp := ARG;
for i in 0 to SIZE-1 loop
if (temp mod 2) = 1 then
result(i) := '1';
else
result(i) := '0';
end if;
if temp > 0 then
temp := temp / 2;
elsif (temp > integer'low) then
temp := (temp - 1) / 2; -- simulate ASR
else
temp := temp / 2; -- simulate ASR
end if;
end loop;
return result;
-- synopsys synthesis_on
end;
function CONV_SIGNED(ARG: UNSIGNED; SIZE: INTEGER) return SIGNED is
constant msb: INTEGER := min(ARG'length, SIZE) - 1;
subtype rtype is SIGNED (SIZE-1 downto 0);
variable new_bounds : SIGNED (ARG'length-1 downto 0);
variable result: rtype;
-- synopsys built_in SYN_ZERO_EXTEND
-- synopsys subpgm_id 377
begin
-- synopsys synthesis_off
new_bounds := MAKE_BINARY(ARG);
if (new_bounds(0) = 'X') then
result := rtype'(others => 'X');
return result;
end if;
result := rtype'(others => '0');
result(msb downto 0) := new_bounds(msb downto 0);
return result;
-- synopsys synthesis_on
end;
function CONV_SIGNED(ARG: SIGNED; SIZE: INTEGER) return SIGNED is
constant msb: INTEGER := min(ARG'length, SIZE) - 1;
subtype rtype is SIGNED (SIZE-1 downto 0);
variable new_bounds : SIGNED (ARG'length-1 downto 0);
variable result: rtype;
-- synopsys built_in SYN_SIGN_EXTEND
-- synopsys subpgm_id 378
begin
-- synopsys synthesis_off
new_bounds := MAKE_BINARY(ARG);
if (new_bounds(0) = 'X') then
result := rtype'(others => 'X');
return result;
end if;
result := rtype'(others => new_bounds(new_bounds'left));
result(msb downto 0) := new_bounds(msb downto 0);
return result;
-- synopsys synthesis_on
end;
function CONV_SIGNED(ARG: STD_ULOGIC; SIZE: INTEGER) return SIGNED is
subtype rtype is SIGNED (SIZE-1 downto 0);
variable result: rtype;
-- synopsys built_in SYN_ZERO_EXTEND
-- synopsys subpgm_id 380
begin
-- synopsys synthesis_off
result := rtype'(others => '0');
result(0) := MAKE_BINARY(ARG);
if (result(0) = 'X') then
result := rtype'(others => 'X');
end if;
return result;
-- synopsys synthesis_on
end;
-- convert an integer to an STD_LOGIC_VECTOR
function CONV_STD_LOGIC_VECTOR(ARG: INTEGER; SIZE: INTEGER) return STD_LOGIC_VECTOR is
variable result: STD_LOGIC_VECTOR (SIZE-1 downto 0);
variable temp: integer;
-- synopsys built_in SYN_INTEGER_TO_BIT_VECTOR
-- synopsys subpgm_id 381
begin
-- synopsys synthesis_off
temp := ARG;
for i in 0 to SIZE-1 loop
if (temp mod 2) = 1 then
result(i) := '1';
else
result(i) := '0';
end if;
if temp > 0 then
temp := temp / 2;
elsif (temp > integer'low) then
temp := (temp - 1) / 2; -- simulate ASR
else
temp := temp / 2; -- simulate ASR
end if;
end loop;
return result;
-- synopsys synthesis_on
end;
function CONV_STD_LOGIC_VECTOR(ARG: UNSIGNED; SIZE: INTEGER) return STD_LOGIC_VECTOR is
constant msb: INTEGER := min(ARG'length, SIZE) - 1;
subtype rtype is STD_LOGIC_VECTOR (SIZE-1 downto 0);
variable new_bounds : STD_LOGIC_VECTOR (ARG'length-1 downto 0);
variable result: rtype;
-- synopsys built_in SYN_ZERO_EXTEND
-- synopsys subpgm_id 382
begin
-- synopsys synthesis_off
new_bounds := MAKE_BINARY(ARG);
if (new_bounds(0) = 'X') then
result := rtype'(others => 'X');
return result;
end if;
result := rtype'(others => '0');
result(msb downto 0) := new_bounds(msb downto 0);
return result;
-- synopsys synthesis_on
end;
function CONV_STD_LOGIC_VECTOR(ARG: SIGNED; SIZE: INTEGER) return STD_LOGIC_VECTOR is
constant msb: INTEGER := min(ARG'length, SIZE) - 1;
subtype rtype is STD_LOGIC_VECTOR (SIZE-1 downto 0);
variable new_bounds : STD_LOGIC_VECTOR (ARG'length-1 downto 0);
variable result: rtype;
-- synopsys built_in SYN_SIGN_EXTEND
-- synopsys subpgm_id 383
begin
-- synopsys synthesis_off
new_bounds := MAKE_BINARY(ARG);
if (new_bounds(0) = 'X') then
result := rtype'(others => 'X');
return result;
end if;
result := rtype'(others => new_bounds(new_bounds'left));
result(msb downto 0) := new_bounds(msb downto 0);
return result;
-- synopsys synthesis_on
end;
function CONV_STD_LOGIC_VECTOR(ARG: STD_ULOGIC; SIZE: INTEGER) return STD_LOGIC_VECTOR is
subtype rtype is STD_LOGIC_VECTOR (SIZE-1 downto 0);
variable result: rtype;
-- synopsys built_in SYN_ZERO_EXTEND
-- synopsys subpgm_id 384
begin
-- synopsys synthesis_off
result := rtype'(others => '0');
result(0) := MAKE_BINARY(ARG);
if (result(0) = 'X') then
result := rtype'(others => 'X');
end if;
return result;
-- synopsys synthesis_on
end;
function EXT(ARG: STD_LOGIC_VECTOR; SIZE: INTEGER)
return STD_LOGIC_VECTOR is
constant msb: INTEGER := min(ARG'length, SIZE) - 1;
subtype rtype is STD_LOGIC_VECTOR (SIZE-1 downto 0);
variable new_bounds: STD_LOGIC_VECTOR (ARG'length-1 downto 0);
variable result: rtype;
-- synopsys built_in SYN_ZERO_EXTEND
-- synopsys subpgm_id 385
begin
-- synopsys synthesis_off
new_bounds := MAKE_BINARY(ARG);
if (new_bounds(0) = 'X') then
result := rtype'(others => 'X');
return result;
end if;
result := rtype'(others => '0');
result(msb downto 0) := new_bounds(msb downto 0);
return result;
-- synopsys synthesis_on
end;
function SXT(ARG: STD_LOGIC_VECTOR; SIZE: INTEGER) return STD_LOGIC_VECTOR is
constant msb: INTEGER := min(ARG'length, SIZE) - 1;
subtype rtype is STD_LOGIC_VECTOR (SIZE-1 downto 0);
variable new_bounds : STD_LOGIC_VECTOR (ARG'length-1 downto 0);
variable result: rtype;
-- synopsys built_in SYN_SIGN_EXTEND
-- synopsys subpgm_id 386
begin
-- synopsys synthesis_off
new_bounds := MAKE_BINARY(ARG);
if (new_bounds(0) = 'X') then
result := rtype'(others => 'X');
return result;
end if;
result := rtype'(others => new_bounds(new_bounds'left));
result(msb downto 0) := new_bounds(msb downto 0);
return result;
-- synopsys synthesis_on
end;
end std_logic_arith;
| gpl-2.0 |
freecores/t400 | rtl/vhdl/t400_dmem_ctrl.vhd | 1 | 8275 | -------------------------------------------------------------------------------
--
-- The Data memory controller.
--
-- $Id: t400_dmem_ctrl.vhd,v 1.1.1.1 2006-05-06 01:56:44 arniml Exp $
--
-- Copyright (c) 2006 Arnim Laeuger ([email protected])
--
-- All rights reserved
--
-- Redistribution and use in source and synthezised forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
--
-- Redistributions in synthesized form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
-- specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-- Please report bugs to the author, but before you do so, please
-- make sure that this is not a derivative work and that
-- you have the latest version of this file.
--
-- The latest version of this file can be found at:
-- http://www.opencores.org/cvsweb.shtml/t400/
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.t400_opt_pack.all;
use work.t400_pack.all;
entity t400_dmem_ctrl is
generic (
opt_type_g : integer := t400_opt_type_420_c
);
port (
-- System Interface -------------------------------------------------------
ck_i : in std_logic;
ck_en_i : in boolean;
por_i : in boolean;
res_i : in boolean;
-- Control Interface ------------------------------------------------------
dmem_op_i : in dmem_op_t;
b_op_i : in b_op_t;
dec_data_i : in dec_data_t;
a_i : in dw_t;
q_high_i : in dw_t;
b_o : out b_t;
-- Data Memory Interface --------------------------------------------------
dm_addr_o : out dm_addr_t;
dm_data_i : in dw_t;
dm_data_o : out dw_t;
dm_we_o : out std_logic
);
end t400_dmem_ctrl;
library ieee;
use ieee.numeric_std.all;
architecture rtl of t400_dmem_ctrl is
signal br_q : unsigned(br_range_t);
signal bd_q : unsigned(bd_range_t);
begin
-----------------------------------------------------------------------------
-- Process b_reg
--
-- Purpose:
-- Implements the B register.
--
b_reg: process (ck_i, por_i)
begin
if por_i then
br_q <= (others => '0');
bd_q <= (others => '0');
elsif ck_i'event and ck_i = '1' then
if res_i then
-- synchronous reset upon external reset event
br_q <= (others => '0');
bd_q <= (others => '0');
elsif ck_en_i then
case b_op_i is
-- Set Bd from accumulator ------------------------------------------
when B_SET_BD =>
bd_q <= unsigned(a_i);
-- Set Br from accumulator ------------------------------------------
when B_SET_BR =>
br_q <= unsigned(a_i(1 downto 0));
-- Set Br and Bd from decoder data ----------------------------------
when B_SET_B =>
br_q <= unsigned(dec_data_i(br_range_t));
bd_q <= unsigned(dec_data_i(bd_range_t));
-- Set Br and Bd from decoder data, increment value for Bd ----------
when B_SET_B_INC =>
br_q <= unsigned(dec_data_i(br_range_t));
bd_q <= unsigned(dec_data_i(bd_range_t)) + 1;
-- XOR Br with decoder data -----------------------------------------
when B_XOR_BR =>
br_q <= br_q xor unsigned(dec_data_i(br_range_t));
-- Increment Bd -----------------------------------------------------
when B_INC_BD =>
bd_q <= bd_q + 1;
-- Increment Bd -----------------------------------------------------
when B_DEC_BD =>
bd_q <= bd_q - 1;
when others =>
null;
end case;
end if;
end if;
end process b_reg;
--
-----------------------------------------------------------------------------
-----------------------------------------------------------------------------
-- Process data_mux
--
-- Purpose:
-- Multiplexes the data for writing to the memory.
--
data_mux: process (dmem_op_i,
br_q, bd_q,
a_i,
q_high_i,
dec_data_i,
dm_data_i,
ck_en_i)
variable dm_addr_v : dm_addr_t;
variable dm_data_v : dw_t;
variable dm_we_v : std_logic;
variable bd_v : std_logic_vector(2 downto 0);
begin
-- default assignment
dm_addr_v(br_range_t) := std_logic_vector(br_q);
dm_addr_v(bd_range_t) := std_logic_vector(bd_q);
dm_data_v := (others => '0');
dm_we_v := '0';
case dmem_op_i is
-- Read data memory, indexed by B ---------------------------------------
when DMEM_RB =>
null;
-- Write data memory, indexed by B, source is Q -------------------------
when DMEM_WB_SRC_Q =>
dm_we_v := '1';
dm_data_v := q_high_i;
-- Write data memory, indexed by B, source is decoder data --------------
when DMEM_WB_SRC_DEC =>
dm_we_v := '1';
dm_data_v := dec_data_i(bd_range_t);
-- Write data memory, indexed by B, source is accumulator ---------------
when DMEM_WB_SRC_A =>
dm_we_v := '1';
dm_data_v := a_i;
-- Read data memory, indexed by decoder data ----------------------------
when DMEM_RDEC =>
dm_addr_v := dec_data_i(br_range_t'high downto 0);
-- Write data memory, indexed by decoder data, source is accumulator ----
when DMEM_WDEC_SRC_A =>
dm_we_v := '1';
dm_addr_v := dec_data_i(br_range_t'high downto 0);
dm_data_v := a_i;
-- Write data memory, indexed by B, set bit -----------------------------
when DMEM_WB_SET_BIT =>
dm_we_v := '1';
dm_data_v := dm_data_i or dec_data_i(dw_range_t);
-- Write data memory, indexed by B, reset bit ---------------------------
when DMEM_WB_RES_BIT =>
dm_we_v := '1';
dm_data_v := dm_data_i and not dec_data_i(dw_range_t);
when others =>
null;
end case;
-- adjust address vector for 41xL family members
if opt_type_g = t400_opt_type_410_c then
dm_addr_v := '0' & dm_addr_v(br_range_t) &
dm_addr_v(bd_range_t'high-1 downto 0);
end if;
dm_addr_o <= dm_addr_v;
if ck_en_i then
dm_we_o <= dm_we_v;
else
dm_we_o <= '0';
end if;
dm_data_o <= dm_data_v;
end process data_mux;
--
-----------------------------------------------------------------------------
-----------------------------------------------------------------------------
-- Output mapping
-----------------------------------------------------------------------------
b_o(br_range_t) <= std_logic_vector(br_q);
b_o(bd_range_t) <= std_logic_vector(bd_q);
end rtl;
-------------------------------------------------------------------------------
-- File History:
--
-- $Log: not supported by cvs2svn $
-------------------------------------------------------------------------------
| gpl-2.0 |
freecores/t400 | rtl/vhdl/t400_stack.vhd | 1 | 4724 | -------------------------------------------------------------------------------
--
-- The stack unit.
--
-- $Id: t400_stack.vhd,v 1.3 2006-06-11 02:12:11 arniml Exp $
--
-- Copyright (c) 2006 Arnim Laeuger ([email protected])
--
-- All rights reserved
--
-- Redistribution and use in source and synthezised forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
--
-- Redistributions in synthesized form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
-- specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-- Please report bugs to the author, but before you do so, please
-- make sure that this is not a derivative work and that
-- you have the latest version of this file.
--
-- The latest version of this file can be found at:
-- http://www.opencores.org/cvsweb.shtml/t400/
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.t400_opt_pack.all;
use work.t400_pack.all;
entity t400_stack is
generic (
opt_type_g : integer := t400_opt_type_420_c
);
port (
-- System Interface -------------------------------------------------------
ck_i : in std_logic;
ck_en_i : in boolean;
por_i : in boolean;
-- Stack Control Interface ------------------------------------------------
op_i : in stack_op_t;
-- Program Counter Interface ----------------------------------------------
pc_i : in pc_t;
pc_o : out pc_t
);
end t400_stack;
-- pragma translate_off
use work.tb_pack.tb_sa_s;
-- pragma translate_on
architecture rtl of t400_stack is
signal sa_q,
sb_q,
sc_q : pc_t;
begin
-----------------------------------------------------------------------------
-- Process stack
--
-- Purpose:
-- Implements the stack consisting of SA, SB, SC.
-- SC is skipped when it's a 41xL.
--
stack: process (ck_i, por_i)
variable t41x_type_v : boolean;
begin
if por_i then
sa_q <= (others => '0');
sb_q <= (others => '0');
sc_q <= (others => '0');
elsif ck_i'event and ck_i = '1' then
-- determine type
t41x_type_v := opt_type_g = t400_opt_type_410_c;
if ck_en_i then
case op_i is
when STACK_PUSH =>
sa_q <= pc_i;
sb_q <= sa_q;
if not t41x_type_v then
sc_q <= sb_q;
else
sc_q <= (others => '0');
end if;
when STACK_POP =>
sa_q <= sb_q;
if not t41x_type_v then
sb_q <= sc_q;
end if;
when others =>
null;
end case;
end if;
end if;
end process stack;
--
-----------------------------------------------------------------------------
-- pragma translate_off
-- instrument interrupt testbench
tb_sa_s <= sa_q;
-- pragma translate_on
-----------------------------------------------------------------------------
-- Output mapping
-----------------------------------------------------------------------------
pc_o <= sa_q;
end rtl;
-------------------------------------------------------------------------------
-- File History:
--
-- $Log: not supported by cvs2svn $
-- Revision 1.2 2006/05/27 19:15:59 arniml
-- instrument testbench
--
-- Revision 1.1.1.1 2006/05/06 01:56:45 arniml
-- import from local CVS repository, LOC_CVS_0_1
--
-------------------------------------------------------------------------------
| gpl-2.0 |
freecores/t400 | bench/vhdl/tb_t410.vhd | 1 | 4618 | -------------------------------------------------------------------------------
--
-- Testbench for the T410 system toplevel.
--
-- $Id: tb_t410.vhd,v 1.1 2006-06-11 22:19:32 arniml Exp $
--
-- Copyright (c) 2006 Arnim Laeuger ([email protected])
--
-- All rights reserved
--
-- Redistribution and use in source and synthezised forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
--
-- Redistributions in synthesized form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
-- specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-- Please report bugs to the author, but before you do so, please
-- make sure that this is not a derivative work and that
-- you have the latest version of this file.
--
-- The latest version of this file can be found at:
-- http://www.opencores.org/cvsweb.shtml/t400/
--
-------------------------------------------------------------------------------
entity tb_t410 is
end tb_t410;
library ieee;
use ieee.std_logic_1164.all;
use work.t400_system_comp_pack.t410;
use work.tb_pack.tb_elems;
use work.t400_opt_pack.all;
architecture behav of tb_t410 is
-- 210.4 kHz clock
constant period_c : time := 4.75 us;
signal ck_s : std_logic;
signal reset_n_s : std_logic;
signal io_l_s : std_logic_vector(7 downto 0);
signal io_d_s : std_logic_vector(3 downto 0);
signal io_g_s : std_logic_vector(3 downto 0);
signal si_s,
so_s,
sk_s : std_logic;
signal vdd_s : std_logic;
begin
vdd_s <= '1';
reset_n_s <= '1';
-----------------------------------------------------------------------------
-- DUT
-----------------------------------------------------------------------------
t410_b : t410
generic map (
opt_ck_div_g => t400_opt_ck_div_8_c
)
port map (
ck_i => ck_s,
ck_en_i => vdd_s,
reset_n_i => reset_n_s,
si_i => si_s,
so_o => so_s,
sk_o => sk_s,
io_l_b => io_l_s,
io_d_o => io_d_s,
io_g_b => io_g_s
);
io_l_s <= (others => 'H');
io_d_s <= (others => 'H');
io_g_s <= (others => 'H');
-----------------------------------------------------------------------------
-- Testbench elements
-----------------------------------------------------------------------------
tb_elems_b : tb_elems
generic map (
period_g => period_c,
d_width_g => 4,
g_width_g => 4
)
port map (
io_l_i => io_l_s,
io_d_i => io_d_s,
io_g_i => io_g_s,
io_in_o => open,
so_i => so_s,
si_o => si_s,
sk_i => sk_s,
ck_o => ck_s
);
end behav;
-------------------------------------------------------------------------------
-- File History:
--
-- $Log: not supported by cvs2svn $
-- Revision 1.6 2006/06/05 18:50:45 arniml
-- remove obsolete en_clk_s
--
-- Revision 1.5 2006/05/27 19:10:12 arniml
-- explicitly select clock divider 8
--
-- Revision 1.4 2006/05/23 01:18:26 arniml
-- consider IN port
--
-- Revision 1.3 2006/05/15 21:56:02 arniml
-- moved elements to separate design unit tb_elems
--
-- Revision 1.2 2006/05/06 13:34:25 arniml
-- remove delta cycle filter on sk_s
--
-- Revision 1.1.1.1 2006/05/06 01:56:44 arniml
-- import from local CVS repository, LOC_CVS_0_1
--
-------------------------------------------------------------------------------
| gpl-2.0 |
EPiCS/reconos | demos/matrixmul/hw/hwt_matrixmul_v2_00_a/hdl/vhdl/hwt_matrixmul.vhd | 2 | 14885 | ------------------------------------------------------------------------------
-- hwt_matrixmul - entity/architecture pair
------------------------------------------------------------------------------
-- Filename: hwt_matrixmul
-- Version: 2.00.a
-- Description: ReconOS matrix multiplier hardware thread (VHDL).
-- Date: Wed June 7 16:32:00 2013
-- VHDL Standard: VHDL'93
-- Author: Achim Loesch
------------------------------------------------------------------------------
-- Feel free to modify this file.
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
library reconos_v3_01_a;
use reconos_v3_01_a.reconos_pkg.all;
------------------------------------------------------------------------------
-- Entity Section
------------------------------------------------------------------------------
entity hwt_matrixmul is
port (
-- OSIF FIFO ports
OSIF_FIFO_Sw2Hw_Data : in std_logic_vector(31 downto 0);
OSIF_FIFO_Sw2Hw_Fill : in std_logic_vector(15 downto 0);
OSIF_FIFO_Sw2Hw_Empty : in std_logic;
OSIF_FIFO_Sw2Hw_RE : out std_logic;
OSIF_FIFO_Hw2Sw_Data : out std_logic_vector(31 downto 0);
OSIF_FIFO_Hw2Sw_Rem : in std_logic_vector(15 downto 0);
OSIF_FIFO_Hw2Sw_Full : in std_logic;
OSIF_FIFO_Hw2Sw_WE : out std_logic;
-- MEMIF FIFO ports
MEMIF_FIFO_Hwt2Mem_Data : out std_logic_vector(31 downto 0);
MEMIF_FIFO_Hwt2Mem_Rem : in std_logic_vector(15 downto 0);
MEMIF_FIFO_Hwt2Mem_Full : in std_logic;
MEMIF_FIFO_Hwt2Mem_WE : out std_logic;
MEMIF_FIFO_Mem2Hwt_Data : in std_logic_vector(31 downto 0);
MEMIF_FIFO_Mem2Hwt_Fill : in std_logic_vector(15 downto 0);
MEMIF_FIFO_Mem2Hwt_Empty : in std_logic;
MEMIF_FIFO_Mem2Hwt_RE : out std_logic;
HWT_Clk : in std_logic;
HWT_Rst : in std_logic
);
attribute SIGIS : string;
attribute SIGIS of HWT_Clk : signal is "Clk";
attribute SIGIS of HWT_Rst : signal is "Rst";
end hwt_matrixmul;
------------------------------------------------------------------------------
-- Architecture Section
------------------------------------------------------------------------------
architecture implementation of hwt_matrixmul is
type STATE_TYPE is (
STATE_GET_ADDR2MADDRS,
STATE_READ_MADDRS,
STATE_READ_MATRIX_B,
STATE_READ_MATRIX_ROW_FROM_A,
STATE_MULTIPLY_MATRIX_ROW,
STATE_WRITE_MATRIX_ROW_TO_C,
STATE_ACK,
STATE_THREAD_EXIT
);
component matrixmultiplier is
generic (
G_LINE_LEN_MATRIX : integer := 128;
G_RAM_DATA_WIDTH : integer := 32;
G_RAM_SIZE_MATRIX_A_C : integer := 128;
G_RAM_ADDR_WIDTH_MATRIX_A_C : integer := 7;
G_RAM_SIZE_MATRIX_B : integer := 16384;
G_RAM_ADDR_WIDTH_MATRIX_B : integer := 14
);
port (
clk : in std_logic;
reset : in std_logic;
start : in std_logic;
done : out std_logic;
o_RAM_A_Addr : out std_logic_vector(0 to G_RAM_ADDR_WIDTH_MATRIX_A_C - 1);
i_RAM_A_Data : in std_logic_vector(0 to G_RAM_DATA_WIDTH - 1);
o_RAM_B_Addr : out std_logic_vector(0 to G_RAM_ADDR_WIDTH_MATRIX_B - 1);
i_RAM_B_Data : in std_logic_vector(0 to G_RAM_DATA_WIDTH - 1);
o_RAM_C_Addr : out std_logic_vector(0 to G_RAM_ADDR_WIDTH_MATRIX_A_C - 1);
o_RAM_C_Data : out std_logic_vector(0 to G_RAM_DATA_WIDTH - 1);
o_RAM_C_WE : out std_logic
);
end component;
--constant C_LINE_LEN_MATRIX : integer := 128;
-- Use the following line for testing purposes.
constant C_LINE_LEN_MATRIX : integer := 4;
-- const for matrixes A and C
constant C_LOCAL_RAM_SIZE_MATRIX_A_C : integer := C_LINE_LEN_MATRIX;
constant C_LOCAL_RAM_ADDR_WIDTH_MATRIX_A_C : integer := clog2(C_LOCAL_RAM_SIZE_MATRIX_A_C);
constant C_LOCAL_RAM_SIZE_IN_BYTES_MATRIX_A_C : integer := 4*C_LOCAL_RAM_SIZE_MATRIX_A_C;
type LOCAL_MEMORY_TYPE_MATRIX_A_C is array(0 to C_LOCAL_RAM_SIZE_MATRIX_A_C - 1) of std_logic_vector(31 downto 0);
-- const for matrix B
constant C_LOCAL_RAM_SIZE_MATRIX_B : integer := C_LINE_LEN_MATRIX*C_LINE_LEN_MATRIX;
constant C_LOCAL_RAM_ADDR_WIDTH_MATRIX_B : integer := clog2(C_LOCAL_RAM_SIZE_MATRIX_B);
constant C_LOCAL_RAM_SIZE_IN_BYTES_MATRIX_B : integer := 4*C_LOCAL_RAM_SIZE_MATRIX_B;
type LOCAL_MEMORY_TYPE_MATRIX_B is array(0 to C_LOCAL_RAM_SIZE_MATRIX_B - 1) of std_logic_vector(31 downto 0);
-- communication with microblaze core
constant C_MBOX_RECV : std_logic_vector(31 downto 0) := x"00000000";
constant C_MBOX_SEND : std_logic_vector(31 downto 0) := x"00000001";
signal ignore : std_logic_vector(31 downto 0);
-- maddr is an acronym for "matrix address" (address that points to a matrix)
constant C_MADDRS : integer := 3;
type MADDR_BOX_TYPE is array(0 to C_MADDRS-1) of std_logic_vector(31 downto 0);
-- container for adresses pointing to the first element of matrixes A, B and C
signal maddrs : MADDR_BOX_TYPE;
-- points to pointers to the matrixes
signal addr2maddrs : std_logic_vector(31 downto 0);
-- temporary signals
signal temp_addr_A : std_logic_vector(31 downto 0);
signal temp_addr_C : std_logic_vector(31 downto 0);
-- fsm state
signal state : STATE_TYPE;
-- additional data for memif interfaces
signal len_data_MATRIX_A_C : std_logic_vector(23 downto 0);
signal len_data_MATRIX_B : std_logic_vector(23 downto 0);
-- osif, memif and different local BRAM interfaces
signal i_osif : i_osif_t;
signal o_osif : o_osif_t;
signal i_memif : i_memif_t;
signal o_memif : o_memif_t;
signal i_ram_A : i_ram_t;
signal o_ram_A : o_ram_t;
signal i_ram_B : i_ram_t;
signal o_ram_B : o_ram_t;
signal i_ram_C : i_ram_t;
signal o_ram_C : o_ram_t;
signal o_RAM_A_Addr_reconos : std_logic_vector(0 to C_LOCAL_RAM_ADDR_WIDTH_MATRIX_A_C - 1);
signal o_RAM_A_Addr_reconos_2 : std_logic_vector(0 to 31);
signal o_RAM_A_Data_reconos : std_logic_vector(0 to 31);
signal o_RAM_A_WE_reconos : std_logic;
signal i_RAM_A_Data_reconos : std_logic_vector(0 to 31);
signal o_RAM_B_Addr_reconos : std_logic_vector(0 to C_LOCAL_RAM_ADDR_WIDTH_MATRIX_B - 1);
signal o_RAM_B_Addr_reconos_2 : std_logic_vector(0 to 31);
signal o_RAM_B_Data_reconos : std_logic_vector(0 to 31);
signal o_RAM_B_WE_reconos : std_logic;
signal i_RAM_B_Data_reconos : std_logic_vector(0 to 31);
signal o_RAM_C_Addr_reconos : std_logic_vector(0 to C_LOCAL_RAM_ADDR_WIDTH_MATRIX_A_C - 1);
signal o_RAM_C_Addr_reconos_2 : std_logic_vector(0 to 31);
signal o_RAM_C_Data_reconos : std_logic_vector(0 to 31);
signal o_RAM_C_WE_reconos : std_logic;
signal i_RAM_C_Data_reconos : std_logic_vector(0 to 31);
signal o_RAM_A_Addr_mul : std_logic_vector(0 to C_LOCAL_RAM_ADDR_WIDTH_MATRIX_A_C - 1);
signal i_RAM_A_Data_mul : std_logic_vector(0 to 31);
signal o_RAM_B_Addr_mul : std_logic_vector(0 to C_LOCAL_RAM_ADDR_WIDTH_MATRIX_B - 1);
signal i_RAM_B_Data_mul : std_logic_vector(0 to 31);
signal o_RAM_C_Addr_mul : std_logic_vector(0 to C_LOCAL_RAM_ADDR_WIDTH_MATRIX_A_C - 1);
signal o_RAM_C_Data_mul : std_logic_vector(0 to 31);
signal o_RAM_C_WE_mul : std_logic;
shared variable local_ram_a : LOCAL_MEMORY_TYPE_MATRIX_A_C;
shared variable local_ram_b : LOCAL_MEMORY_TYPE_MATRIX_B;
shared variable local_ram_c : LOCAL_MEMORY_TYPE_MATRIX_A_C;
signal multiplier_start : std_logic;
signal multiplier_done : std_logic;
signal clk, rst : std_logic;
begin
clk <= HWT_Clk;
rst <= HWT_Rst;
-- local BRAM read and write access
local_ram_ctrl_1 : process (clk) is
begin
if (clk'event and clk = '1') then
if (o_RAM_A_WE_reconos = '1') then
local_ram_A(conv_integer(unsigned(o_RAM_A_Addr_reconos))) := o_RAM_A_Data_reconos;
end if;
if (o_RAM_B_WE_reconos = '1') then
local_ram_B(conv_integer(unsigned(o_RAM_B_Addr_reconos))) := o_RAM_B_Data_reconos;
end if;
if (o_RAM_C_WE_reconos = '0') then
i_RAM_C_Data_reconos <= local_ram_C(conv_integer(unsigned(o_RAM_C_Addr_reconos)));
end if;
end if;
end process;
local_ram_ctrl_2 : process (clk) is
begin
if (rising_edge(clk)) then
if (o_RAM_C_WE_mul = '1') then
local_ram_C(conv_integer(unsigned(o_RAM_C_Addr_mul))) := o_RAM_C_Data_mul;
else
i_RAM_A_Data_mul <= local_ram_A(conv_integer(unsigned(o_RAM_A_Addr_mul)));
i_RAM_B_Data_mul <= local_ram_B(conv_integer(unsigned(o_RAM_B_Addr_mul)));
end if;
end if;
end process;
-- the matrix multiplication module
matrixmultiplier_i : matrixmultiplier
generic map(
G_LINE_LEN_MATRIX => C_LINE_LEN_MATRIX,
G_RAM_DATA_WIDTH => 32,
G_RAM_SIZE_MATRIX_A_C => C_LOCAL_RAM_SIZE_MATRIX_A_C,
G_RAM_ADDR_WIDTH_MATRIX_A_C => C_LOCAL_RAM_ADDR_WIDTH_MATRIX_A_C,
G_RAM_SIZE_MATRIX_B => C_LOCAL_RAM_SIZE_MATRIX_B,
G_RAM_ADDR_WIDTH_MATRIX_B => C_LOCAL_RAM_ADDR_WIDTH_MATRIX_B
)
port map(
clk => clk,
reset => rst,
start => multiplier_start,
done => multiplier_done,
o_RAM_A_Addr => o_RAM_A_Addr_mul,
i_RAM_A_Data => i_RAM_A_Data_mul,
o_RAM_B_Addr => o_RAM_B_Addr_mul,
i_RAM_B_Data => i_RAM_B_Data_mul,
o_RAM_C_Addr => o_RAM_C_Addr_mul,
o_RAM_C_Data => o_RAM_C_Data_mul,
o_RAM_C_WE => o_RAM_C_WE_mul
);
-- setup interfaces (FIFOs, FSL,...)
-- ReconOS initilization
osif_setup (
i_osif,
o_osif,
OSIF_FIFO_Sw2Hw_Data,
OSIF_FIFO_Sw2Hw_Fill,
OSIF_FIFO_Sw2Hw_Empty,
OSIF_FIFO_Hw2Sw_Rem,
OSIF_FIFO_Hw2Sw_Full,
OSIF_FIFO_Sw2Hw_RE,
OSIF_FIFO_Hw2Sw_Data,
OSIF_FIFO_Hw2Sw_WE
);
memif_setup (
i_memif,
o_memif,
MEMIF_FIFO_Mem2Hwt_Data,
MEMIF_FIFO_Mem2Hwt_Fill,
MEMIF_FIFO_Mem2Hwt_Empty,
MEMIF_FIFO_Hwt2Mem_Rem,
MEMIF_FIFO_Hwt2Mem_Full,
MEMIF_FIFO_Mem2Hwt_RE,
MEMIF_FIFO_Hwt2Mem_Data,
MEMIF_FIFO_Hwt2Mem_WE
);
ram_setup (
i_ram_A,
o_ram_A,
o_RAM_A_Addr_reconos_2,
o_RAM_A_WE_reconos,
o_RAM_A_Data_reconos,
i_RAM_A_Data_reconos
);
ram_setup (
i_ram_B,
o_ram_B,
o_RAM_B_Addr_reconos_2,
o_RAM_B_WE_reconos,
o_RAM_B_Data_reconos,
i_RAM_B_Data_reconos
);
ram_setup (
i_ram_C,
o_ram_C,
o_RAM_C_Addr_reconos_2,
o_RAM_C_WE_reconos,
o_RAM_C_Data_reconos,
i_RAM_C_Data_reconos
);
o_RAM_A_Addr_reconos(0 to C_LOCAL_RAM_ADDR_WIDTH_MATRIX_A_C - 1) <= o_RAM_A_Addr_reconos_2((32-C_LOCAL_RAM_ADDR_WIDTH_MATRIX_A_C) to 31);
o_RAM_B_Addr_reconos(0 to C_LOCAL_RAM_ADDR_WIDTH_MATRIX_B - 1) <= o_RAM_B_Addr_reconos_2((32-C_LOCAL_RAM_ADDR_WIDTH_MATRIX_B ) to 31);
o_RAM_C_Addr_reconos(0 to C_LOCAL_RAM_ADDR_WIDTH_MATRIX_A_C - 1) <= o_RAM_C_Addr_reconos_2((32-C_LOCAL_RAM_ADDR_WIDTH_MATRIX_A_C) to 31);
reconos_fsm : process(clk, rst, o_osif, o_memif, o_ram_a, o_ram_b, o_ram_c) is
variable done : boolean;
variable addr_pos : integer;
variable calculated_rows : integer;
begin
if (rst = '1') then
osif_reset(o_osif);
memif_reset(o_memif);
ram_reset(o_ram_A);
ram_reset(o_ram_B);
ram_reset(o_ram_C);
multiplier_start <= '0';
done := false;
calculated_rows := 0;
len_data_MATRIX_A_C <= conv_std_logic_vector(C_LOCAL_RAM_SIZE_IN_BYTES_MATRIX_A_C, 24);
len_data_MATRIX_B <= conv_std_logic_vector(C_LOCAL_RAM_SIZE_IN_BYTES_MATRIX_B , 24);
-- important to know:
-- maddrs(0) = C, maddrs(1) = B, maddrs(2) = A
addr2maddrs <= (others => '0');
addr_pos := C_MADDRS - 1;
for i in 0 to (C_MADDRS - 1) loop
maddrs(i) <= (others => '0');
end loop;
temp_addr_A <= (others => '0');
temp_addr_C <= (others => '0');
state <= STATE_GET_ADDR2MADDRS;
elsif (clk'event and clk = '1') then
case state is
-- Get address pointing to the addresses pointing to the 3 matrixes via FSL.
when STATE_GET_ADDR2MADDRS =>
osif_mbox_get(i_osif, o_osif, C_MBOX_RECV, addr2maddrs, done);
if (done) then
if (addr2maddrs = x"FFFFFFFF") then
state <= STATE_THREAD_EXIT;
else
addr2maddrs <= addr2maddrs(31 downto 2) & "00";
addr_pos := C_MADDRS - 1;
state <= STATE_READ_MADDRS;
end if;
end if;
-- Read addresses pointing to input matrixes A, B and output matrix C from main memory.
when STATE_READ_MADDRS =>
memif_read_word(i_memif, o_memif, addr2maddrs, maddrs(addr_pos), done);
if done then
if (addr_pos = 0) then
state <= STATE_READ_MATRIX_B;
else
addr_pos := addr_pos - 1;
addr2maddrs <= conv_std_logic_vector(unsigned(addr2maddrs) + 4, 32);
end if;
end if;
-- Read matrix B from main memory.
when STATE_READ_MATRIX_B =>
memif_read(i_ram_B, o_ram_B, i_memif, o_memif, maddrs(1), X"00000000", len_data_MATRIX_B, done);
if done then
temp_addr_A <= maddrs(2);
temp_addr_C <= maddrs(0);
state <= STATE_READ_MATRIX_ROW_FROM_A;
end if;
-- Read a row of matrix A.
when STATE_READ_MATRIX_ROW_FROM_A =>
memif_read(i_ram_A, o_ram_A, i_memif, o_memif, temp_addr_A, X"00000000", len_data_MATRIX_A_C, done);
if done then
multiplier_start <= '1';
state <= STATE_MULTIPLY_MATRIX_ROW;
end if;
-- Multiply row of matrix A with matrix B.
when STATE_MULTIPLY_MATRIX_ROW =>
multiplier_start <= '0';
if (multiplier_done = '1') then
calculated_rows := calculated_rows + 1;
state <= STATE_WRITE_MATRIX_ROW_TO_C;
end if;
-- Write multiplication result (row of matrix C) to main memory.
when STATE_WRITE_MATRIX_ROW_TO_C =>
memif_write(i_ram_C, o_ram_C, i_memif, o_memif, X"00000000", temp_addr_C, len_data_MATRIX_A_C, done);
if (done) then
if (calculated_rows < C_LINE_LEN_MATRIX) then
-- Calculate new temporary addresses
-- => to fetch next matrix row of matrix A
-- => to store calculated values to next matrix row of matrix C
temp_addr_A <= conv_std_logic_vector(unsigned(temp_addr_A) + C_LINE_LEN_MATRIX*4, 32);
temp_addr_C <= conv_std_logic_vector(unsigned(temp_addr_C) + C_LINE_LEN_MATRIX*4, 32);
state <= STATE_READ_MATRIX_ROW_FROM_A;
else
state <= STATE_ACK;
end if;
end if;
-- We finished calculating matrix multiplication A * B = C.
when STATE_ACK =>
osif_mbox_put(i_osif, o_osif, C_MBOX_SEND, maddrs(addr_pos), ignore, done);
if (done) then
calculated_rows := 0;
addr_pos := C_MADDRS - 1;
temp_addr_A <= (others => '0');
temp_addr_C <= (others => '0');
state <= STATE_GET_ADDR2MADDRS;
end if;
-- Terminate hardware thread.
when STATE_THREAD_EXIT =>
osif_thread_exit(i_osif, o_osif);
end case;
end if;
end process;
end architecture implementation;
| gpl-2.0 |
EPiCS/reconos | pcores/reconos_memif_mmu_zynq_v1_00_a/hdl/vhdl/reconos_memif_mmu_zynq.vhd | 2 | 11465 | -- ____ _____
-- ________ _________ ____ / __ \/ ___/
-- / ___/ _ \/ ___/ __ \/ __ \/ / / /\__ \
-- / / / __/ /__/ /_/ / / / / /_/ /___/ /
-- /_/ \___/\___/\____/_/ /_/\____//____/
--
-- ======================================================================
--
-- title: IP-Core - MEMIF MMU
--
-- project: ReconOS
-- author: Christoph Rüthing, University of Paderborn
-- description: The memory management unit enables virtual address
-- support. Therefore it performs page table walks,
-- manages a TLB for faster translation and handles
-- page fault via the proc control unit.
--
-- ======================================================================
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_misc.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
library reconos_memif_mmu_zynq_v1_00_a;
use reconos_memif_mmu_zynq_v1_00_a.tlb;
entity reconos_memif_mmu_zynq is
generic (
C_CTRL_FIFO_WIDTH : integer := 32;
C_MEMIF_LENGTH_WIDTH : integer := 24;
C_TLB_SIZE : integer := 128
);
port (
-- Input FIFO ports from the HWTs (via burst converter and transaction control)
CTRL_FIFO_In_Data : in std_logic_vector(C_CTRL_FIFO_WIDTH - 1 downto 0);
CTRL_FIFO_In_Fill : in std_logic_vector(15 downto 0);
CTRL_FIFO_In_Empty : in std_logic;
CTRL_FIFO_In_RE : out std_logic;
-- Output FIFO ports to memory controller
CTRL_FIFO_Out_Data : out std_logic_vector(C_CTRL_FIFO_WIDTH - 1 downto 0);
CTRL_FIFO_Out_Fill : out std_logic_vector(15 downto 0);
CTRL_FIFO_Out_Empty : out std_logic;
CTRL_FIFO_Out_RE : in std_logic;
-- Seperate control and data FIFOs (emulated) for page table walks
CTRL_FIFO_Mmu_Data : out std_logic_vector(C_CTRL_FIFO_WIDTH - 1 downto 0);
CTRL_FIFO_Mmu_Fill : out std_logic_vector(15 downto 0);
CTRL_FIFO_Mmu_Empty : out std_logic;
CTRL_FIFO_Mmu_RE : in std_logic;
MEMIF_FIFO_Mmu_Data : in std_logic_vector(C_CTRL_FIFO_WIDTH - 1 downto 0);
MEMIF_FIFO_Mmu_Rem : out std_logic_vector(15 downto 0);
MEMIF_FIFO_Mmu_Full : out std_logic;
MEMIF_FIFO_Mmu_WE : in std_logic;
-- MMU ports
MMU_Pgf : out std_logic;
MMU_Fault_addr : out std_logic_vector(31 downto 0);
MMU_Retry : in std_logic;
MMU_Pgd : in std_logic_vector(31 downto 0);
MMU_Tlb_Hits : out std_logic_vector(31 downto 0);
MMU_Tlb_Misses : out std_logic_vector(31 downto 0);
MMU_Clk : in std_logic;
MMU_Rst : in std_logic;
DEBUG_DATA : out std_logic_vector(203 downto 0)
);
attribute SIGIS : string;
attribute SIGIS of MMU_Clk : signal is "Clk";
attribute SIGIS of MMU_Rst : signal is "Rst";
end entity reconos_memif_mmu_zynq;
architecture implementation of reconos_memif_mmu_zynq is
constant C_MEMIF_CMD_WIDTH : integer := C_CTRL_FIFO_WIDTH - C_MEMIF_LENGTH_WIDTH;
signal ctrl_in_re : std_logic;
signal ctrl_out_data : std_logic_vector(C_CTRL_FIFO_WIDTH - 1 downto 0);
signal ctrl_out_fill : std_logic_vector(15 downto 0);
signal ctrl_out_empty : std_logic;
signal ctrl_mmu_data : std_logic_vector(C_CTRL_FIFO_WIDTH - 1 downto 0);
signal ctrl_mmu_fill : std_logic_vector(15 downto 0);
signal ctrl_mmu_empty : std_logic;
-- MMU signals
type STATE_TYPE is (WAIT_REQUEST, READ_CMD, READ_ADDR,
READ_L1_ENTRY_0, READ_L1_ENTRY_1, READ_L1_ENTRY_2,
READ_L2_ENTRY_0, READ_L2_ENTRY_1, READ_L2_ENTRY_2,
WRITE_CMD, WRITE_ADDR, PAGE_FAULT);
signal state : STATE_TYPE;
signal pgf : std_logic;
signal tlb_hits : std_logic_vector(31 downto 0);
signal tlb_misses : std_logic_vector(31 downto 0);
-- these signals contain the received request data unchanged
signal ctrl_cmd : std_logic_vector(C_MEMIF_CMD_WIDTH - 1 downto 0);
signal ctrl_length : std_logic_vector(C_MEMIF_LENGTH_WIDTH - 1 downto 0);
signal ctrl_addr : std_logic_vector(C_CTRL_FIFO_WIDTH - 1 downto 0);
signal l1_table_addr : std_logic_vector(31 downto 0); -- address of the level 1 page table
signal l1_descriptor_addr : std_logic_vector(31 downto 0); -- address of the level 1 page table entry
signal l2_table_addr : std_logic_vector(31 downto 0); -- address of the level 2 page table
signal l2_descriptor_addr : std_logic_vector(31 downto 0); -- address of the level 2 page table entry
signal small_page_addr : std_logic_vector(31 downto 0); -- page table entry
signal physical_addr : std_logic_vector(31 downto 0); -- physical address
signal tlb_hit : std_logic;
signal tlb_tag : std_logic_vector(19 downto 0);
signal tlb_do : std_logic_vector(19 downto 0);
signal tlb_di : std_logic_vector(19 downto 0);
signal tlb_we : std_logic;
signal clk : std_logic;
signal rst : std_logic;
begin
DEBUG_DATA(0) <= '1' when state = WAIT_REQUEST else '0';
DEBUG_DATA(1) <= '1' when state = READ_CMD else '0';
DEBUG_DATA(2) <= '1' when state = READ_ADDR else '0';
DEBUG_DATA(3) <= '1' when state = READ_L1_ENTRY_0 else '0';
DEBUG_DATA(4) <= '1' when state = READ_L1_ENTRY_1 else '0';
DEBUG_DATA(5) <= '1' when state = READ_L1_ENTRY_2 else '0';
DEBUG_DATA(6) <= '1' when state = READ_L2_ENTRY_0 else '0';
DEBUG_DATA(7) <= '1' when state = READ_L2_ENTRY_1 else '0';
DEBUG_DATA(8) <= '1' when state = READ_L2_ENTRY_2 else '0';
DEBUG_DATA(9) <= '1' when state = WRITE_CMD else '0';
DEBUG_DATA(10) <= '1' when state = WRITE_ADDR else '0';
DEBUG_DATA(11) <= '1' when state = PAGE_FAULT else '0';
DEBUG_DATA(203 downto 172) <= l1_table_addr;
DEBUG_DATA(171 downto 140) <= l1_descriptor_addr;
DEBUG_DATA(139 downto 108) <= l2_table_addr;
DEBUG_DATA(107 downto 76) <= l2_descriptor_addr;
DEBUG_DATA(75 downto 44) <= small_page_addr;
DEBUG_DATA(43 downto 12) <= physical_addr;
clk <= MMU_Clk;
rst <= MMU_Rst;
CTRL_FIFO_In_RE <= ctrl_in_re;
CTRL_FIFO_Out_Data <= ctrl_out_data;
CTRL_FIFO_Out_Fill <= ctrl_out_fill;
CTRL_FIFO_Out_Empty <= ctrl_out_empty;
CTRL_FIFO_Mmu_Data <= ctrl_mmu_data;
CTRL_FIFO_Mmu_Fill <= ctrl_mmu_fill;
CTRL_FIFO_Mmu_Empty <= ctrl_mmu_empty;
MEMIF_FIFO_Mmu_Rem <= X"1111";
MEMIF_FIFO_Mmu_Full <= '0';
MMU_Pgf <= pgf;
MMU_Fault_Addr <= ctrl_addr;
MMU_Tlb_Hits <= tlb_hits;
MMU_Tlb_Misses <= tlb_misses;
-- some address calculations based on the page table architecture
-- for detailed information look into the TRM on page 80
l1_table_addr <= MMU_Pgd;
l1_descriptor_addr <= l1_table_addr(31 downto 14) & ctrl_addr(31 downto 20) & "00";
l2_descriptor_addr <= l2_table_addr(31 downto 10) & ctrl_addr(19 downto 12) & "00";
physical_addr <= small_page_addr(31 downto 12) & ctrl_addr(11 downto 0);
mmu_proc : process(clk,rst) is
begin
if rst = '1' then
state <= WAIT_REQUEST;
ctrl_cmd <= (others => '0');
ctrl_length <= (others => '0');
ctrl_addr <= (others => '0');
ctrl_out_empty <= '1';
ctrl_out_fill <= (others => '0');
ctrl_out_data <= (others => '0');
ctrl_in_re <= '0';
ctrl_mmu_empty <= '1';
ctrl_mmu_fill <= (others => '0');
ctrl_mmu_data <= (others => '0');
pgf <= '0';
tlb_hits <= (others => '0');
tlb_misses <= (others => '0');
elsif rising_edge(clk) then
tlb_we <= '0';
case state is
when WAIT_REQUEST =>
-- start reading if there are 2 word in FIFO
--if CTRL_FIFO_In_Empty = '0' and CTRL_FIFO_In_Fill >= X"0001" then
ctrl_in_re <= '1';
state <= READ_CMD;
--end if;
when READ_CMD =>
-- read cmd and length
if CTRL_FIFO_In_Empty = '0' then
ctrl_cmd <= CTRL_FIFO_In_Data(31 downto C_MEMIF_LENGTH_WIDTH);
ctrl_length <= CTRL_FIFO_In_Data(C_MEMIF_LENGTH_WIDTH - 1 downto 0);
state <= READ_ADDR;
end if;
when READ_ADDR =>
-- read address
if CTRL_FIFO_In_Empty = '0' then
ctrl_addr <= CTRL_FIFO_In_Data;
ctrl_in_re <= '0';
state <= READ_L1_ENTRY_0;
end if;
when READ_L1_ENTRY_0 =>
if tlb_hit = '1' then
small_page_addr(31 downto 12) <= tlb_do;
ctrl_out_empty <= '0';
ctrl_out_fill <= X"0001";
ctrl_out_data <= ctrl_cmd & ctrl_length;
tlb_hits <= tlb_hits + 1;
state <= WRITE_CMD;
else
-- write command to memory controller
ctrl_mmu_empty <= '0';
ctrl_mmu_fill <= X"0001";
ctrl_mmu_data <= X"00000004";
if CTRL_FIFO_Mmu_RE = '1' and ctrl_mmu_empty = '0' then
ctrl_mmu_fill <= X"0000";
ctrl_mmu_data <= l1_descriptor_addr;
tlb_misses <= tlb_misses + 1;
state <= READ_L1_ENTRY_1;
end if;
end if;
when READ_L1_ENTRY_1 =>
if CTRL_FIFO_Mmu_RE = '1' and ctrl_mmu_empty = '0' then
ctrl_mmu_empty <= '1';
ctrl_mmu_fill <= X"0000";
state <= READ_L1_ENTRY_2;
end if;
when READ_L1_ENTRY_2 =>
if MEMIF_FIFO_Mmu_WE = '1' then
l2_table_addr <= MEMIF_FIFO_Mmu_Data;
if MEMIF_FIFO_Mmu_Data(1 downto 0) = "00" then
pgf <= '1';
state <= PAGE_FAULT;
else
state <= READ_L2_ENTRY_0;
end if;
end if;
when READ_L2_ENTRY_0 =>
ctrl_mmu_empty <= '0';
ctrl_mmu_fill <= X"0001";
ctrl_mmu_data <= X"00000004";
if CTRL_FIFO_Mmu_RE = '1' and ctrl_mmu_empty = '0' then
ctrl_mmu_fill <= X"0000";
ctrl_mmu_data <= l2_descriptor_addr;
state <= READ_L2_ENTRY_1;
end if;
when READ_L2_ENTRY_1 =>
if CTRL_FIFO_Mmu_RE = '1' and ctrl_mmu_empty = '0' then
ctrl_mmu_empty <= '1';
ctrl_mmu_fill <= X"0000";
state <= READ_L2_ENTRY_2;
end if;
when READ_L2_ENTRY_2 =>
if MEMIF_FIFO_Mmu_WE = '1' then
small_page_addr <= MEMIF_FIFO_Mmu_Data;
if MEMIF_FIFO_Mmu_Data(1 downto 0) = "00" then
pgf <= '1';
state <= PAGE_FAULT;
else
ctrl_out_empty <= '0';
ctrl_out_fill <= X"0001";
ctrl_out_data <= ctrl_cmd & ctrl_length;
tlb_we <= '1';
state <= WRITE_CMD;
end if;
end if;
when WRITE_CMD =>
if CTRL_FIFO_Out_RE = '1' then
ctrl_out_fill <= X"0000";
ctrl_out_data <= physical_addr;
state <= WRITE_ADDR;
end if;
when WRITE_ADDR =>
if CTRL_FIFO_Out_RE = '1' then
ctrl_out_empty <= '1';
ctrl_out_fill <= X"0000";
state <= WAIT_REQUEST;
end if;
when PAGE_FAULT =>
pgf <= '0';
if MMU_Retry = '1' then
pgf <= '0';
state <= READ_L1_ENTRY_0;
end if;
end case;
end if;
end process mmu_proc;
tlb_tag <= ctrl_addr(31 downto 12);
tlb_di <= small_page_addr(31 downto 12);
tlb_gen : if C_TLB_SIZE > 0 generate
tlb : entity reconos_memif_mmu_zynq_v1_00_a.tlb
generic map (
C_TLB_SIZE => C_TLB_SIZE,
C_TAG_SIZE => 20,
C_DATA_SIZE => 20
)
port map (
TLB_Tag => tlb_tag,
TLB_DI => tlb_di,
TLB_DO => tlb_do,
TLB_WE => tlb_we,
TLB_Hit => tlb_hit,
TLB_Clk => clk,
TLB_Rst => rst
);
end generate;
end architecture implementation;
| gpl-2.0 |
freecores/t400 | bench/vhdl/tb_t420-c.vhd | 1 | 845 | -------------------------------------------------------------------------------
--
-- Testbench for the T420 system toplevel.
--
-- $Id: tb_t420-c.vhd,v 1.1 2006-05-15 22:21:59 arniml Exp $
--
-- Copyright (c) 2006, Arnim Laeuger ([email protected])
--
-- All rights reserved
--
-------------------------------------------------------------------------------
configuration tb_t420_behav_c0 of tb_t420 is
for behav
for t420_b: t420
use configuration work.t420_struct_c0;
end for;
for tb_elems_b: tb_elems
use configuration work.tb_elems_behav_c0;
end for;
end for;
end tb_t420_behav_c0;
-------------------------------------------------------------------------------
-- File History:
--
-- $Log: not supported by cvs2svn $
-------------------------------------------------------------------------------
| gpl-2.0 |
openPOWERLINK/openPOWERLINK_V2 | hardware/ipcore/common/lib/src/clkXingRtl.vhd | 3 | 8481 | -------------------------------------------------------------------------------
--! @file clkXingRtl.vhd
--
--! @brief Clock Crossing Bus converter
--
--! @details Used to transfer a faster slave interface to a slower one.
--
-------------------------------------------------------------------------------
--
-- (c) B&R Industrial Automation GmbH, 2014
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- 3. Neither the name of B&R nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without prior written permission. For written
-- permission, please contact [email protected]
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
-- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
--! need reduce or operation
use ieee.std_logic_misc.OR_REDUCE;
--! Common library
library libcommon;
--! Use common library global package
use libcommon.global.all;
entity clkXing is
generic (
gCsNum : natural := 2;
gDataWidth : natural := 32
);
port (
iArst : in std_logic;
--fast
iFastClk : in std_logic;
iFastCs : in std_logic_vector(gCsNum-1 downto 0);
iFastRNW : in std_logic;
oFastReaddata : out std_logic_vector(gDataWidth-1 downto 0);
oFastWrAck : out std_logic;
oFastRdAck : out std_logic;
--slow
iSlowClk : in std_logic;
oSlowCs : out std_logic_vector(gCsNum-1 downto 0);
oSlowRNW : out std_logic;
iSlowReaddata : in std_logic_vector(gDataWidth-1 downto 0);
iSlowWrAck : in std_logic;
iSlowRdAck : in std_logic
);
end entity;
architecture rtl of clkXing is
--! Fsm type
type tFsm is (sIdle, sTransfer);
--! Clock domain registers
type tRegClkDomain is record
chipselect : std_logic_vector(gCsNum-1 downto 0);
rnw : std_logic;
sync : std_logic;
ack : std_logic;
fsm : tFsm;
end record;
--! Register init
constant cRegClkDomainInit : tRegClkDomain := (
chipselect => (others => cInactivated),
rnw => cInactivated,
sync => cInactivated,
ack => cInactivated,
fsm => sIdle
);
--! Slow clock domain register
signal slowClkReg : tRegClkDomain;
signal slowClkReg_next : tRegClkDomain;
--! Fast clock domain register
signal fastClkReg : tRegClkDomain;
signal fastClkReg_next : tRegClkDomain;
--! Readdata register
signal readdataReg : std_logic_vector(iSlowReaddata'range);
signal readdataReg_next : std_logic_vector(readdataReg'range);
--! Transferred sync signal (fast ---> slow)
signal tranSync_sync : std_logic;
--! Transferred ack signal (slow ---> fast)
signal tranAck_sync : std_logic;
begin
-- Map registers to outputs
-- FAST
oFastWrAck <= fastClkReg.ack and not iFastRNW;
oFastRdAck <= fastClkReg.ack and iFastRNW;
oFastReaddata <= readdataReg;
-- SLOW
oSlowCs <= slowClkReg.chipselect;
oSlowRNW <= iFastRNW;
--! Fast clock domain logic
fastComb : process (
fastClkReg,
tranAck_sync,
iFastCs, iFastRNW
)
begin
-- General defaults to avoid latches
fastClkReg_next <= fastClkReg;
-- Inactivate pulsing registers
fastClkReg_next.sync <= cInactivated;
-- Assign transferred signals
fastClkReg_next.ack <= tranAck_sync;
case fastClkReg.fsm is
when sIdle =>
if OR_REDUCE(iFastCs) = cActivated then
fastClkReg_next.fsm <= sTransfer;
fastClkReg_next.sync <= cActivated;
fastClkReg_next.chipselect <= iFastCs;
fastClkReg_next.rnw <= iFastRNW;
end if;
when sTransfer =>
if fastClkReg.ack = cActivated then
fastClkReg_next.fsm <= sIdle;
end if;
end case;
end process fastComb;
--! Slow clock domain logic
slowComb : process (
slowClkReg, fastClkReg, readdataReg,
tranSync_sync,
iSlowWrAck, iSlowRdAck, iSlowReaddata
)
begin
-- Default
slowClkReg_next <= slowClkReg;
readdataReg_next <= readdataReg;
-- Inactivate pulsing registers
slowClkReg_next.ack <= cInactivated;
-- Assign transferred signals
slowClkReg_next.sync <= tranSync_sync;
case slowClkReg.fsm is
when sIdle =>
if slowClkReg.sync = cActivated then
slowClkReg_next.fsm <= sTransfer;
end if;
when sTransfer =>
slowClkReg_next.chipselect <= fastClkReg.chipselect;
slowClkReg_next.rnw <= fastClkReg.rnw;
if iSlowRdAck = cActivated or iSlowWrAck = cActivated then
slowClkReg_next.fsm <= sIdle;
slowClkReg_next.chipselect <= (others => cInactivated);
slowClkReg_next.ack <= cActivated;
if iSlowRdAck = cActivated then
readdataReg_next <= iSlowReaddata;
end if;
end if;
end case;
end process slowComb;
--! Fast clock registers
fastClockReg : process(iArst, iFastClk)
begin
if iArst = cActivated then
fastClkReg <= cRegClkDomainInit;
elsif rising_edge(iFastClk) then
fastClkReg <= fastClkReg_next;
end if;
end process fastClockReg;
--! Slow clock registers
slowClockReg : process(iArst, iSlowClk)
begin
if iArst = cActivated then
slowClkReg <= cRegClkDomainInit;
readdataReg <= (others => cInactivated);
elsif rising_edge(iSlowClk) then
slowClkReg <= slowClkReg_next;
readdataReg <= readdataReg_next;
end if;
end process slowClockReg;
--! Transfer sync pulse to slow clock domain
tranSyncToSlow : entity libcommon.syncTog
generic map (
gStages => 2,
gInit => cInactivated
)
port map (
iSrc_rst => iArst,
iSrc_clk => iFastClk,
iSrc_data => fastClkReg.sync,
iDst_rst => iArst,
iDst_clk => iSlowClk,
oDst_data => tranSync_sync
);
--! Transfer ack pulse to fast clock domain
tranAckToFast : entity libcommon.syncTog
generic map (
gStages => 2,
gInit => cInactivated
)
port map (
iSrc_rst => iArst,
iSrc_clk => iSlowClk,
iSrc_data => slowClkReg.ack,
iDst_rst => iArst,
iDst_clk => iFastClk,
oDst_data => tranAck_sync
);
end architecture;
| gpl-2.0 |
hpeng2/ECE492_Group4_Project | Ryans_stuff/tracking_camera/tracking_camera_system/testbench/tracking_camera_system_tb/simulation/submodules/tracking_camera_system_jtag_uart_0_avalon_jtag_slave_translator.vhd | 1 | 12724 | -- tracking_camera_system_jtag_uart_0_avalon_jtag_slave_translator.vhd
-- Generated using ACDS version 12.1sp1 243 at 2015.02.13.13:59:38
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity tracking_camera_system_jtag_uart_0_avalon_jtag_slave_translator is
generic (
AV_ADDRESS_W : integer := 1;
AV_DATA_W : integer := 32;
UAV_DATA_W : integer := 32;
AV_BURSTCOUNT_W : integer := 1;
AV_BYTEENABLE_W : integer := 1;
UAV_BYTEENABLE_W : integer := 4;
UAV_ADDRESS_W : integer := 25;
UAV_BURSTCOUNT_W : integer := 3;
AV_READLATENCY : integer := 0;
USE_READDATAVALID : integer := 0;
USE_WAITREQUEST : integer := 1;
USE_UAV_CLKEN : integer := 0;
AV_SYMBOLS_PER_WORD : integer := 4;
AV_ADDRESS_SYMBOLS : integer := 0;
AV_BURSTCOUNT_SYMBOLS : integer := 0;
AV_CONSTANT_BURST_BEHAVIOR : integer := 0;
UAV_CONSTANT_BURST_BEHAVIOR : integer := 0;
AV_REQUIRE_UNALIGNED_ADDRESSES : integer := 0;
CHIPSELECT_THROUGH_READLATENCY : integer := 0;
AV_READ_WAIT_CYCLES : integer := 1;
AV_WRITE_WAIT_CYCLES : integer := 0;
AV_SETUP_WAIT_CYCLES : integer := 0;
AV_DATA_HOLD_CYCLES : integer := 0
);
port (
clk : in std_logic := '0'; -- clk.clk
reset : in std_logic := '0'; -- reset.reset
uav_address : in std_logic_vector(24 downto 0) := (others => '0'); -- avalon_universal_slave_0.address
uav_burstcount : in std_logic_vector(2 downto 0) := (others => '0'); -- .burstcount
uav_read : in std_logic := '0'; -- .read
uav_write : in std_logic := '0'; -- .write
uav_waitrequest : out std_logic; -- .waitrequest
uav_readdatavalid : out std_logic; -- .readdatavalid
uav_byteenable : in std_logic_vector(3 downto 0) := (others => '0'); -- .byteenable
uav_readdata : out std_logic_vector(31 downto 0); -- .readdata
uav_writedata : in std_logic_vector(31 downto 0) := (others => '0'); -- .writedata
uav_lock : in std_logic := '0'; -- .lock
uav_debugaccess : in std_logic := '0'; -- .debugaccess
av_address : out std_logic_vector(0 downto 0); -- avalon_anti_slave_0.address
av_write : out std_logic; -- .write
av_read : out std_logic; -- .read
av_readdata : in std_logic_vector(31 downto 0) := (others => '0'); -- .readdata
av_writedata : out std_logic_vector(31 downto 0); -- .writedata
av_waitrequest : in std_logic := '0'; -- .waitrequest
av_chipselect : out std_logic; -- .chipselect
av_beginbursttransfer : out std_logic;
av_begintransfer : out std_logic;
av_burstcount : out std_logic_vector(0 downto 0);
av_byteenable : out std_logic_vector(0 downto 0);
av_clken : out std_logic;
av_debugaccess : out std_logic;
av_lock : out std_logic;
av_outputenable : out std_logic;
av_readdatavalid : in std_logic := '0';
av_writebyteenable : out std_logic_vector(0 downto 0);
uav_clken : in std_logic := '0'
);
end entity tracking_camera_system_jtag_uart_0_avalon_jtag_slave_translator;
architecture rtl of tracking_camera_system_jtag_uart_0_avalon_jtag_slave_translator is
component altera_merlin_slave_translator is
generic (
AV_ADDRESS_W : integer := 30;
AV_DATA_W : integer := 32;
UAV_DATA_W : integer := 32;
AV_BURSTCOUNT_W : integer := 4;
AV_BYTEENABLE_W : integer := 4;
UAV_BYTEENABLE_W : integer := 4;
UAV_ADDRESS_W : integer := 32;
UAV_BURSTCOUNT_W : integer := 4;
AV_READLATENCY : integer := 0;
USE_READDATAVALID : integer := 1;
USE_WAITREQUEST : integer := 1;
USE_UAV_CLKEN : integer := 0;
AV_SYMBOLS_PER_WORD : integer := 4;
AV_ADDRESS_SYMBOLS : integer := 0;
AV_BURSTCOUNT_SYMBOLS : integer := 0;
AV_CONSTANT_BURST_BEHAVIOR : integer := 0;
UAV_CONSTANT_BURST_BEHAVIOR : integer := 0;
AV_REQUIRE_UNALIGNED_ADDRESSES : integer := 0;
CHIPSELECT_THROUGH_READLATENCY : integer := 0;
AV_READ_WAIT_CYCLES : integer := 0;
AV_WRITE_WAIT_CYCLES : integer := 0;
AV_SETUP_WAIT_CYCLES : integer := 0;
AV_DATA_HOLD_CYCLES : integer := 0
);
port (
clk : in std_logic := 'X'; -- clk
reset : in std_logic := 'X'; -- reset
uav_address : in std_logic_vector(24 downto 0) := (others => 'X'); -- address
uav_burstcount : in std_logic_vector(2 downto 0) := (others => 'X'); -- burstcount
uav_read : in std_logic := 'X'; -- read
uav_write : in std_logic := 'X'; -- write
uav_waitrequest : out std_logic; -- waitrequest
uav_readdatavalid : out std_logic; -- readdatavalid
uav_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable
uav_readdata : out std_logic_vector(31 downto 0); -- readdata
uav_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
uav_lock : in std_logic := 'X'; -- lock
uav_debugaccess : in std_logic := 'X'; -- debugaccess
av_address : out std_logic_vector(0 downto 0); -- address
av_write : out std_logic; -- write
av_read : out std_logic; -- read
av_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
av_writedata : out std_logic_vector(31 downto 0); -- writedata
av_waitrequest : in std_logic := 'X'; -- waitrequest
av_chipselect : out std_logic; -- chipselect
av_begintransfer : out std_logic; -- begintransfer
av_beginbursttransfer : out std_logic; -- beginbursttransfer
av_burstcount : out std_logic_vector(0 downto 0); -- burstcount
av_byteenable : out std_logic_vector(0 downto 0); -- byteenable
av_readdatavalid : in std_logic := 'X'; -- readdatavalid
av_writebyteenable : out std_logic_vector(0 downto 0); -- writebyteenable
av_lock : out std_logic; -- lock
av_clken : out std_logic; -- clken
uav_clken : in std_logic := 'X'; -- clken
av_debugaccess : out std_logic; -- debugaccess
av_outputenable : out std_logic -- outputenable
);
end component altera_merlin_slave_translator;
begin
jtag_uart_0_avalon_jtag_slave_translator : component altera_merlin_slave_translator
generic map (
AV_ADDRESS_W => AV_ADDRESS_W,
AV_DATA_W => AV_DATA_W,
UAV_DATA_W => UAV_DATA_W,
AV_BURSTCOUNT_W => AV_BURSTCOUNT_W,
AV_BYTEENABLE_W => AV_BYTEENABLE_W,
UAV_BYTEENABLE_W => UAV_BYTEENABLE_W,
UAV_ADDRESS_W => UAV_ADDRESS_W,
UAV_BURSTCOUNT_W => UAV_BURSTCOUNT_W,
AV_READLATENCY => AV_READLATENCY,
USE_READDATAVALID => USE_READDATAVALID,
USE_WAITREQUEST => USE_WAITREQUEST,
USE_UAV_CLKEN => USE_UAV_CLKEN,
AV_SYMBOLS_PER_WORD => AV_SYMBOLS_PER_WORD,
AV_ADDRESS_SYMBOLS => AV_ADDRESS_SYMBOLS,
AV_BURSTCOUNT_SYMBOLS => AV_BURSTCOUNT_SYMBOLS,
AV_CONSTANT_BURST_BEHAVIOR => AV_CONSTANT_BURST_BEHAVIOR,
UAV_CONSTANT_BURST_BEHAVIOR => UAV_CONSTANT_BURST_BEHAVIOR,
AV_REQUIRE_UNALIGNED_ADDRESSES => AV_REQUIRE_UNALIGNED_ADDRESSES,
CHIPSELECT_THROUGH_READLATENCY => CHIPSELECT_THROUGH_READLATENCY,
AV_READ_WAIT_CYCLES => AV_READ_WAIT_CYCLES,
AV_WRITE_WAIT_CYCLES => AV_WRITE_WAIT_CYCLES,
AV_SETUP_WAIT_CYCLES => AV_SETUP_WAIT_CYCLES,
AV_DATA_HOLD_CYCLES => AV_DATA_HOLD_CYCLES
)
port map (
clk => clk, -- clk.clk
reset => reset, -- reset.reset
uav_address => uav_address, -- avalon_universal_slave_0.address
uav_burstcount => uav_burstcount, -- .burstcount
uav_read => uav_read, -- .read
uav_write => uav_write, -- .write
uav_waitrequest => uav_waitrequest, -- .waitrequest
uav_readdatavalid => uav_readdatavalid, -- .readdatavalid
uav_byteenable => uav_byteenable, -- .byteenable
uav_readdata => uav_readdata, -- .readdata
uav_writedata => uav_writedata, -- .writedata
uav_lock => uav_lock, -- .lock
uav_debugaccess => uav_debugaccess, -- .debugaccess
av_address => av_address, -- avalon_anti_slave_0.address
av_write => av_write, -- .write
av_read => av_read, -- .read
av_readdata => av_readdata, -- .readdata
av_writedata => av_writedata, -- .writedata
av_waitrequest => av_waitrequest, -- .waitrequest
av_chipselect => av_chipselect, -- .chipselect
av_begintransfer => open, -- (terminated)
av_beginbursttransfer => open, -- (terminated)
av_burstcount => open, -- (terminated)
av_byteenable => open, -- (terminated)
av_readdatavalid => '0', -- (terminated)
av_writebyteenable => open, -- (terminated)
av_lock => open, -- (terminated)
av_clken => open, -- (terminated)
uav_clken => '0', -- (terminated)
av_debugaccess => open, -- (terminated)
av_outputenable => open -- (terminated)
);
end architecture rtl; -- of tracking_camera_system_jtag_uart_0_avalon_jtag_slave_translator
| gpl-2.0 |
SonicFrog/ArchOrd | piso.vhdl | 1 | 916 | library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity PISO is
port (
Pattern : in std_logic_vector(7 downto 0);
DataIn : in std_logic;
Load : in std_logic;
Reset : in std_logic;
Clk : in std_logic;
Found : out std_logic
);
end entity; --PISO
architecture synth of piso is
signal content : std_logic_vector(7 downto 0);
signal reg_pattern : std_logic_vector(7 downto 0);
begin
load : process(Clk, Load, DataIn)
begin
if rising_edge(Clk) then
if Reset = '1' then
reg_pattern <= (others => '0')
elsif Load = '1' then
reg_pattern <= Pattern;
end if;
end if;
end process;
input_handle : process(Clk, Pattern)
begin
if rising_edge(Clk) then
content <= content(7 downto 1) & DataIn;
end if;
end process;
Found <= '1' when content = reg_pattern else '0';
end architecture ; -- synth | gpl-2.0 |
hpeng2/ECE492_Group4_Project | ECE_492_Project_new/Video_System/simulation/submodules/Video_System_CPU_jtag_debug_module_sysclk.vhd | 1 | 6915 | --Legal Notice: (C)2015 Altera Corporation. All rights reserved. Your
--use of Altera Corporation's design tools, logic functions and other
--software and tools, and its AMPP partner logic functions, and any
--output files any of the foregoing (including device programming or
--simulation files), and any associated documentation or information are
--expressly subject to the terms and conditions of the Altera Program
--License Subscription Agreement or other applicable license agreement,
--including, without limitation, that your use is for the sole purpose
--of programming logic devices manufactured by Altera and sold by Altera
--or its authorized distributors. Please refer to the applicable
--agreement for further details.
-- turn off superfluous VHDL processor warnings
-- altera message_level Level1
-- altera message_off 10034 10035 10036 10037 10230 10240 10030
library altera;
use altera.altera_europa_support_lib.all;
library altera_mf;
use altera_mf.altera_mf_components.all;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity Video_System_CPU_jtag_debug_module_sysclk is
port (
-- inputs:
signal clk : IN STD_LOGIC;
signal ir_in : IN STD_LOGIC_VECTOR (1 DOWNTO 0);
signal sr : IN STD_LOGIC_VECTOR (37 DOWNTO 0);
signal vs_udr : IN STD_LOGIC;
signal vs_uir : IN STD_LOGIC;
-- outputs:
signal jdo : OUT STD_LOGIC_VECTOR (37 DOWNTO 0);
signal take_action_break_a : OUT STD_LOGIC;
signal take_action_break_b : OUT STD_LOGIC;
signal take_action_break_c : OUT STD_LOGIC;
signal take_action_ocimem_a : OUT STD_LOGIC;
signal take_action_ocimem_b : OUT STD_LOGIC;
signal take_action_tracectrl : OUT STD_LOGIC;
signal take_action_tracemem_a : OUT STD_LOGIC;
signal take_action_tracemem_b : OUT STD_LOGIC;
signal take_no_action_break_a : OUT STD_LOGIC;
signal take_no_action_break_b : OUT STD_LOGIC;
signal take_no_action_break_c : OUT STD_LOGIC;
signal take_no_action_ocimem_a : OUT STD_LOGIC;
signal take_no_action_tracemem_a : OUT STD_LOGIC
);
end entity Video_System_CPU_jtag_debug_module_sysclk;
architecture europa of Video_System_CPU_jtag_debug_module_sysclk is
component altera_std_synchronizer is
GENERIC (
depth : NATURAL
);
PORT (
signal dout : OUT STD_LOGIC;
signal clk : IN STD_LOGIC;
signal reset_n : IN STD_LOGIC;
signal din : IN STD_LOGIC
);
end component altera_std_synchronizer;
signal enable_action_strobe : STD_LOGIC;
signal internal_jdo1 : STD_LOGIC_VECTOR (37 DOWNTO 0);
signal ir : STD_LOGIC_VECTOR (1 DOWNTO 0);
signal jxuir : STD_LOGIC;
signal sync2_udr : STD_LOGIC;
signal sync2_uir : STD_LOGIC;
signal sync_udr : STD_LOGIC;
signal sync_uir : STD_LOGIC;
signal unxunused_resetxx2 : STD_LOGIC;
signal unxunused_resetxx3 : STD_LOGIC;
signal update_jdo_strobe : STD_LOGIC;
attribute ALTERA_ATTRIBUTE : string;
attribute ALTERA_ATTRIBUTE of jdo : signal is "SUPPRESS_DA_RULE_INTERNAL=""D101,R101""";
attribute ALTERA_ATTRIBUTE of sync2_udr : signal is "SUPPRESS_DA_RULE_INTERNAL=""D101,D103""";
attribute ALTERA_ATTRIBUTE of sync2_uir : signal is "SUPPRESS_DA_RULE_INTERNAL=""D101,D103""";
begin
unxunused_resetxx2 <= std_logic'('1');
the_altera_std_synchronizer2 : altera_std_synchronizer
generic map(
depth => 2
)
port map(
clk => clk,
din => vs_udr,
dout => sync_udr,
reset_n => unxunused_resetxx2
);
unxunused_resetxx3 <= std_logic'('1');
the_altera_std_synchronizer3 : altera_std_synchronizer
generic map(
depth => 2
)
port map(
clk => clk,
din => vs_uir,
dout => sync_uir,
reset_n => unxunused_resetxx3
);
process (clk)
begin
if clk'event and clk = '1' then
sync2_udr <= sync_udr;
update_jdo_strobe <= sync_udr AND NOT sync2_udr;
enable_action_strobe <= update_jdo_strobe;
sync2_uir <= sync_uir;
jxuir <= sync_uir AND NOT sync2_uir;
end if;
end process;
take_action_ocimem_a <= ((enable_action_strobe AND to_std_logic(((ir = std_logic_vector'("00"))))) AND NOT internal_jdo1(35)) AND internal_jdo1(34);
take_no_action_ocimem_a <= ((enable_action_strobe AND to_std_logic(((ir = std_logic_vector'("00"))))) AND NOT internal_jdo1(35)) AND NOT internal_jdo1(34);
take_action_ocimem_b <= (enable_action_strobe AND to_std_logic(((ir = std_logic_vector'("00"))))) AND internal_jdo1(35);
take_action_tracemem_a <= ((enable_action_strobe AND to_std_logic(((ir = std_logic_vector'("01"))))) AND NOT internal_jdo1(37)) AND internal_jdo1(36);
take_no_action_tracemem_a <= ((enable_action_strobe AND to_std_logic(((ir = std_logic_vector'("01"))))) AND NOT internal_jdo1(37)) AND NOT internal_jdo1(36);
take_action_tracemem_b <= (enable_action_strobe AND to_std_logic(((ir = std_logic_vector'("01"))))) AND internal_jdo1(37);
take_action_break_a <= ((enable_action_strobe AND to_std_logic(((ir = std_logic_vector'("10"))))) AND NOT internal_jdo1(36)) AND internal_jdo1(37);
take_no_action_break_a <= ((enable_action_strobe AND to_std_logic(((ir = std_logic_vector'("10"))))) AND NOT internal_jdo1(36)) AND NOT internal_jdo1(37);
take_action_break_b <= (((enable_action_strobe AND to_std_logic(((ir = std_logic_vector'("10"))))) AND internal_jdo1(36)) AND NOT internal_jdo1(35)) AND internal_jdo1(37);
take_no_action_break_b <= (((enable_action_strobe AND to_std_logic(((ir = std_logic_vector'("10"))))) AND internal_jdo1(36)) AND NOT internal_jdo1(35)) AND NOT internal_jdo1(37);
take_action_break_c <= (((enable_action_strobe AND to_std_logic(((ir = std_logic_vector'("10"))))) AND internal_jdo1(36)) AND internal_jdo1(35)) AND internal_jdo1(37);
take_no_action_break_c <= (((enable_action_strobe AND to_std_logic(((ir = std_logic_vector'("10"))))) AND internal_jdo1(36)) AND internal_jdo1(35)) AND NOT internal_jdo1(37);
take_action_tracectrl <= (enable_action_strobe AND to_std_logic(((ir = std_logic_vector'("11"))))) AND internal_jdo1(15);
process (clk)
begin
if clk'event and clk = '1' then
if std_logic'(jxuir) = '1' then
ir <= ir_in;
end if;
if std_logic'(update_jdo_strobe) = '1' then
internal_jdo1 <= sr;
end if;
end if;
end process;
--vhdl renameroo for output signals
jdo <= internal_jdo1;
end europa;
| gpl-2.0 |
hpeng2/ECE492_Group4_Project | Ryans_stuff/tracking_camera/tracking_camera_system/testbench/tracking_camera_system_tb/simulation/submodules/tracking_camera_system_green_leds_s1_translator.vhd | 1 | 12489 | -- tracking_camera_system_green_leds_s1_translator.vhd
-- Generated using ACDS version 12.1sp1 243 at 2015.02.13.13:59:38
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity tracking_camera_system_green_leds_s1_translator is
generic (
AV_ADDRESS_W : integer := 2;
AV_DATA_W : integer := 32;
UAV_DATA_W : integer := 32;
AV_BURSTCOUNT_W : integer := 1;
AV_BYTEENABLE_W : integer := 1;
UAV_BYTEENABLE_W : integer := 4;
UAV_ADDRESS_W : integer := 25;
UAV_BURSTCOUNT_W : integer := 3;
AV_READLATENCY : integer := 0;
USE_READDATAVALID : integer := 0;
USE_WAITREQUEST : integer := 0;
USE_UAV_CLKEN : integer := 0;
AV_SYMBOLS_PER_WORD : integer := 4;
AV_ADDRESS_SYMBOLS : integer := 0;
AV_BURSTCOUNT_SYMBOLS : integer := 0;
AV_CONSTANT_BURST_BEHAVIOR : integer := 0;
UAV_CONSTANT_BURST_BEHAVIOR : integer := 0;
AV_REQUIRE_UNALIGNED_ADDRESSES : integer := 0;
CHIPSELECT_THROUGH_READLATENCY : integer := 0;
AV_READ_WAIT_CYCLES : integer := 1;
AV_WRITE_WAIT_CYCLES : integer := 0;
AV_SETUP_WAIT_CYCLES : integer := 0;
AV_DATA_HOLD_CYCLES : integer := 0
);
port (
clk : in std_logic := '0'; -- clk.clk
reset : in std_logic := '0'; -- reset.reset
uav_address : in std_logic_vector(24 downto 0) := (others => '0'); -- avalon_universal_slave_0.address
uav_burstcount : in std_logic_vector(2 downto 0) := (others => '0'); -- .burstcount
uav_read : in std_logic := '0'; -- .read
uav_write : in std_logic := '0'; -- .write
uav_waitrequest : out std_logic; -- .waitrequest
uav_readdatavalid : out std_logic; -- .readdatavalid
uav_byteenable : in std_logic_vector(3 downto 0) := (others => '0'); -- .byteenable
uav_readdata : out std_logic_vector(31 downto 0); -- .readdata
uav_writedata : in std_logic_vector(31 downto 0) := (others => '0'); -- .writedata
uav_lock : in std_logic := '0'; -- .lock
uav_debugaccess : in std_logic := '0'; -- .debugaccess
av_address : out std_logic_vector(1 downto 0); -- avalon_anti_slave_0.address
av_write : out std_logic; -- .write
av_readdata : in std_logic_vector(31 downto 0) := (others => '0'); -- .readdata
av_writedata : out std_logic_vector(31 downto 0); -- .writedata
av_chipselect : out std_logic; -- .chipselect
av_beginbursttransfer : out std_logic;
av_begintransfer : out std_logic;
av_burstcount : out std_logic_vector(0 downto 0);
av_byteenable : out std_logic_vector(0 downto 0);
av_clken : out std_logic;
av_debugaccess : out std_logic;
av_lock : out std_logic;
av_outputenable : out std_logic;
av_read : out std_logic;
av_readdatavalid : in std_logic := '0';
av_waitrequest : in std_logic := '0';
av_writebyteenable : out std_logic_vector(0 downto 0);
uav_clken : in std_logic := '0'
);
end entity tracking_camera_system_green_leds_s1_translator;
architecture rtl of tracking_camera_system_green_leds_s1_translator is
component altera_merlin_slave_translator is
generic (
AV_ADDRESS_W : integer := 30;
AV_DATA_W : integer := 32;
UAV_DATA_W : integer := 32;
AV_BURSTCOUNT_W : integer := 4;
AV_BYTEENABLE_W : integer := 4;
UAV_BYTEENABLE_W : integer := 4;
UAV_ADDRESS_W : integer := 32;
UAV_BURSTCOUNT_W : integer := 4;
AV_READLATENCY : integer := 0;
USE_READDATAVALID : integer := 1;
USE_WAITREQUEST : integer := 1;
USE_UAV_CLKEN : integer := 0;
AV_SYMBOLS_PER_WORD : integer := 4;
AV_ADDRESS_SYMBOLS : integer := 0;
AV_BURSTCOUNT_SYMBOLS : integer := 0;
AV_CONSTANT_BURST_BEHAVIOR : integer := 0;
UAV_CONSTANT_BURST_BEHAVIOR : integer := 0;
AV_REQUIRE_UNALIGNED_ADDRESSES : integer := 0;
CHIPSELECT_THROUGH_READLATENCY : integer := 0;
AV_READ_WAIT_CYCLES : integer := 0;
AV_WRITE_WAIT_CYCLES : integer := 0;
AV_SETUP_WAIT_CYCLES : integer := 0;
AV_DATA_HOLD_CYCLES : integer := 0
);
port (
clk : in std_logic := 'X'; -- clk
reset : in std_logic := 'X'; -- reset
uav_address : in std_logic_vector(24 downto 0) := (others => 'X'); -- address
uav_burstcount : in std_logic_vector(2 downto 0) := (others => 'X'); -- burstcount
uav_read : in std_logic := 'X'; -- read
uav_write : in std_logic := 'X'; -- write
uav_waitrequest : out std_logic; -- waitrequest
uav_readdatavalid : out std_logic; -- readdatavalid
uav_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable
uav_readdata : out std_logic_vector(31 downto 0); -- readdata
uav_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
uav_lock : in std_logic := 'X'; -- lock
uav_debugaccess : in std_logic := 'X'; -- debugaccess
av_address : out std_logic_vector(1 downto 0); -- address
av_write : out std_logic; -- write
av_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
av_writedata : out std_logic_vector(31 downto 0); -- writedata
av_chipselect : out std_logic; -- chipselect
av_read : out std_logic; -- read
av_begintransfer : out std_logic; -- begintransfer
av_beginbursttransfer : out std_logic; -- beginbursttransfer
av_burstcount : out std_logic_vector(0 downto 0); -- burstcount
av_byteenable : out std_logic_vector(0 downto 0); -- byteenable
av_readdatavalid : in std_logic := 'X'; -- readdatavalid
av_waitrequest : in std_logic := 'X'; -- waitrequest
av_writebyteenable : out std_logic_vector(0 downto 0); -- writebyteenable
av_lock : out std_logic; -- lock
av_clken : out std_logic; -- clken
uav_clken : in std_logic := 'X'; -- clken
av_debugaccess : out std_logic; -- debugaccess
av_outputenable : out std_logic -- outputenable
);
end component altera_merlin_slave_translator;
begin
green_leds_s1_translator : component altera_merlin_slave_translator
generic map (
AV_ADDRESS_W => AV_ADDRESS_W,
AV_DATA_W => AV_DATA_W,
UAV_DATA_W => UAV_DATA_W,
AV_BURSTCOUNT_W => AV_BURSTCOUNT_W,
AV_BYTEENABLE_W => AV_BYTEENABLE_W,
UAV_BYTEENABLE_W => UAV_BYTEENABLE_W,
UAV_ADDRESS_W => UAV_ADDRESS_W,
UAV_BURSTCOUNT_W => UAV_BURSTCOUNT_W,
AV_READLATENCY => AV_READLATENCY,
USE_READDATAVALID => USE_READDATAVALID,
USE_WAITREQUEST => USE_WAITREQUEST,
USE_UAV_CLKEN => USE_UAV_CLKEN,
AV_SYMBOLS_PER_WORD => AV_SYMBOLS_PER_WORD,
AV_ADDRESS_SYMBOLS => AV_ADDRESS_SYMBOLS,
AV_BURSTCOUNT_SYMBOLS => AV_BURSTCOUNT_SYMBOLS,
AV_CONSTANT_BURST_BEHAVIOR => AV_CONSTANT_BURST_BEHAVIOR,
UAV_CONSTANT_BURST_BEHAVIOR => UAV_CONSTANT_BURST_BEHAVIOR,
AV_REQUIRE_UNALIGNED_ADDRESSES => AV_REQUIRE_UNALIGNED_ADDRESSES,
CHIPSELECT_THROUGH_READLATENCY => CHIPSELECT_THROUGH_READLATENCY,
AV_READ_WAIT_CYCLES => AV_READ_WAIT_CYCLES,
AV_WRITE_WAIT_CYCLES => AV_WRITE_WAIT_CYCLES,
AV_SETUP_WAIT_CYCLES => AV_SETUP_WAIT_CYCLES,
AV_DATA_HOLD_CYCLES => AV_DATA_HOLD_CYCLES
)
port map (
clk => clk, -- clk.clk
reset => reset, -- reset.reset
uav_address => uav_address, -- avalon_universal_slave_0.address
uav_burstcount => uav_burstcount, -- .burstcount
uav_read => uav_read, -- .read
uav_write => uav_write, -- .write
uav_waitrequest => uav_waitrequest, -- .waitrequest
uav_readdatavalid => uav_readdatavalid, -- .readdatavalid
uav_byteenable => uav_byteenable, -- .byteenable
uav_readdata => uav_readdata, -- .readdata
uav_writedata => uav_writedata, -- .writedata
uav_lock => uav_lock, -- .lock
uav_debugaccess => uav_debugaccess, -- .debugaccess
av_address => av_address, -- avalon_anti_slave_0.address
av_write => av_write, -- .write
av_readdata => av_readdata, -- .readdata
av_writedata => av_writedata, -- .writedata
av_chipselect => av_chipselect, -- .chipselect
av_read => open, -- (terminated)
av_begintransfer => open, -- (terminated)
av_beginbursttransfer => open, -- (terminated)
av_burstcount => open, -- (terminated)
av_byteenable => open, -- (terminated)
av_readdatavalid => '0', -- (terminated)
av_waitrequest => '0', -- (terminated)
av_writebyteenable => open, -- (terminated)
av_lock => open, -- (terminated)
av_clken => open, -- (terminated)
uav_clken => '0', -- (terminated)
av_debugaccess => open, -- (terminated)
av_outputenable => open -- (terminated)
);
end architecture rtl; -- of tracking_camera_system_green_leds_s1_translator
| gpl-2.0 |
Monash-2015-Ultrasonic/Logs | Final System Code/SYSTEMV3/Source/IP/FIR/FIR_sim/FIR_rtl.vhd | 1 | 46601 | -----------------------------------------------------------------------------
-- Altera DSP Builder Advanced Flow Tools Release Version 13.0sp1
-- Quartus II development tool and MATLAB/Simulink Interface
--
-- Legal Notice: Copyright 2013 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing device programming or simulation files), and
-- any associated documentation or information are expressly subject to the
-- terms and conditions of the Altera Program License Subscription Agreement,
-- Altera MegaCore Function License Agreement, or other applicable license
-- agreement, including, without limitation, that your use is for the sole
-- purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
-----------------------------------------------------------------------------
-- VHDL created from FIR_rtl
-- VHDL created on Wed Oct 21 00:24:41 2015
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.NUMERIC_STD.all;
use IEEE.MATH_REAL.all;
use std.TextIO.all;
use work.dspba_library_package.all;
LIBRARY altera_mf;
USE altera_mf.altera_mf_components.all;
LIBRARY lpm;
USE lpm.lpm_components.all;
-- Text written from d:/SJ/nightly/13.0sp1/232/w32/p4/ip/aion/src/mip_common/hw_model.cpp:1303
entity FIR_rtl is
port (
xIn_v : in std_logic_vector(0 downto 0);
xIn_c : in std_logic_vector(7 downto 0);
xIn_0 : in std_logic_vector(12 downto 0);
bankIn_0 : in std_logic_vector(2 downto 0);
xOut_v : out std_logic_vector(0 downto 0);
xOut_c : out std_logic_vector(7 downto 0);
xOut_0 : out std_logic_vector(29 downto 0);
clk : in std_logic;
areset : in std_logic
);
end;
architecture normal of FIR_rtl is
attribute altera_attribute : string;
attribute altera_attribute of normal : architecture is "-name NOT_GATE_PUSH_BACK OFF; -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION ON; -name AUTO_SHIFT_REGISTER_RECOGNITION OFF; -name MESSAGE_DISABLE 10036; -name MESSAGE_DISABLE 10037; -name MESSAGE_DISABLE 14130; -name MESSAGE_DISABLE 14320; -name MESSAGE_DISABLE 15400; -name MESSAGE_DISABLE 14130; -name MESSAGE_DISABLE 10036; -name MESSAGE_DISABLE 12020; -name MESSAGE_DISABLE 12030; -name MESSAGE_DISABLE 12010; -name MESSAGE_DISABLE 12110; -name MESSAGE_DISABLE 14320; -name MESSAGE_DISABLE 13410";
signal GND_q : std_logic_vector (0 downto 0);
signal VCC_q : std_logic_vector (0 downto 0);
signal d_xIn_bankIn_0_12_q : std_logic_vector (2 downto 0);
signal d_xIn_0_12_q : std_logic_vector (12 downto 0);
signal d_in0_m0_wi0_wo0_assign_data_q_13_q : std_logic_vector (15 downto 0);
signal d_in0_m0_wi0_wo0_assign_sel_q_12_q : std_logic_vector (0 downto 0);
signal d_in0_m0_wi0_wo0_assign_sel_q_13_q : std_logic_vector (0 downto 0);
signal u0_m0_wo0_run_count : std_logic_vector(1 downto 0);
signal u0_m0_wo0_run_pre_ena_q : std_logic_vector (0 downto 0);
signal u0_m0_wo0_run_q : std_logic_vector (0 downto 0);
signal u0_m0_wo0_run_out : std_logic_vector (0 downto 0);
signal u0_m0_wo0_run_enable_q : std_logic_vector (0 downto 0);
signal u0_m0_wo0_run_ctrl : std_logic_vector(2 downto 0);
signal u0_m0_wo0_memread_q : std_logic_vector (0 downto 0);
signal u0_m0_wo0_compute_q : std_logic_vector (0 downto 0);
signal d_u0_m0_wo0_compute_q_14_q : std_logic_vector (0 downto 0);
signal d_u0_m0_wo0_compute_q_15_q : std_logic_vector (0 downto 0);
signal u0_m0_wo0_wi0_ra0_count0_q : std_logic_vector(7 downto 0);
signal u0_m0_wo0_wi0_ra0_count0_i : unsigned(6 downto 0);
signal u0_m0_wo0_wi0_ra0_count0_sc : signed(6 downto 0);
signal u0_m0_wo0_wi0_ra0_count1_q : std_logic_vector(7 downto 0);
signal u0_m0_wo0_wi0_ra0_count1_i : unsigned(6 downto 0);
signal u0_m0_wo0_wi0_ra0_add_0_0_a : std_logic_vector(8 downto 0);
signal u0_m0_wo0_wi0_ra0_add_0_0_b : std_logic_vector(8 downto 0);
signal u0_m0_wo0_wi0_ra0_add_0_0_o : std_logic_vector (8 downto 0);
signal u0_m0_wo0_wi0_ra0_add_0_0_q : std_logic_vector (8 downto 0);
signal u0_m0_wo0_wi0_wa0_q : std_logic_vector(6 downto 0);
signal u0_m0_wo0_wi0_wa0_i : unsigned(6 downto 0);
signal u0_m0_wo0_wi0_delayr0_reset0 : std_logic;
signal u0_m0_wo0_wi0_delayr0_ia : std_logic_vector (12 downto 0);
signal u0_m0_wo0_wi0_delayr0_aa : std_logic_vector (6 downto 0);
signal u0_m0_wo0_wi0_delayr0_ab : std_logic_vector (6 downto 0);
signal u0_m0_wo0_wi0_delayr0_iq : std_logic_vector (12 downto 0);
signal u0_m0_wo0_wi0_delayr0_q : std_logic_vector (12 downto 0);
signal u0_m0_wo0_bank_delayr0_reset0 : std_logic;
signal u0_m0_wo0_bank_delayr0_ia : std_logic_vector (2 downto 0);
signal u0_m0_wo0_bank_delayr0_aa : std_logic_vector (0 downto 0);
signal u0_m0_wo0_bank_delayr0_ab : std_logic_vector (0 downto 0);
signal u0_m0_wo0_bank_delayr0_iq : std_logic_vector (2 downto 0);
signal u0_m0_wo0_bank_delayr0_q : std_logic_vector (2 downto 0);
signal u0_m0_wo0_ca0_q : std_logic_vector(6 downto 0);
signal u0_m0_wo0_ca0_i : unsigned(6 downto 0);
signal u0_m0_wo0_ca0_eq : std_logic;
signal u0_m0_wo0_cm0_q : std_logic_vector(9 downto 0);
signal u0_m0_wo0_mtree_mult1_0_a : std_logic_vector (9 downto 0);
signal u0_m0_wo0_mtree_mult1_0_b : std_logic_vector (12 downto 0);
signal u0_m0_wo0_mtree_mult1_0_s1 : std_logic_vector (22 downto 0);
signal u0_m0_wo0_mtree_mult1_0_reset : std_logic;
signal u0_m0_wo0_mtree_mult1_0_q : std_logic_vector (22 downto 0);
signal u0_m0_wo0_aseq_q : std_logic_vector(0 downto 0);
signal u0_m0_wo0_aseq_eq : std_logic;
signal u0_m0_wo0_accum_a : std_logic_vector(29 downto 0);
signal u0_m0_wo0_accum_b : std_logic_vector(29 downto 0);
signal u0_m0_wo0_accum_i : std_logic_vector (29 downto 0);
signal u0_m0_wo0_accum_o : std_logic_vector (29 downto 0);
signal u0_m0_wo0_accum_q : std_logic_vector (29 downto 0);
signal u0_m0_wo0_oseq_q : std_logic_vector(0 downto 0);
signal u0_m0_wo0_oseq_eq : std_logic;
signal u0_m0_wo0_oseq_gated_reg_q : std_logic_vector (0 downto 0);
signal xIn_bankIn_0_q : std_logic_vector (15 downto 0);
signal data_u0_m0_wi0_wo0_in : std_logic_vector (12 downto 0);
signal data_u0_m0_wi0_wo0_b : std_logic_vector (12 downto 0);
signal u0_m0_wo0_oseq_gated_a : std_logic_vector(0 downto 0);
signal u0_m0_wo0_oseq_gated_b : std_logic_vector(0 downto 0);
signal u0_m0_wo0_oseq_gated_q : std_logic_vector(0 downto 0);
signal u0_m0_wo0_wi0_ra0_resize_in : std_logic_vector (6 downto 0);
signal u0_m0_wo0_wi0_ra0_resize_b : std_logic_vector (6 downto 0);
signal u0_m0_wo0_cab0_q : std_logic_vector (9 downto 0);
signal bank_u0_m0_wi0_wo0_in : std_logic_vector (15 downto 0);
signal bank_u0_m0_wi0_wo0_b : std_logic_vector (2 downto 0);
begin
--VCC(CONSTANT,1)@0
VCC_q <= "1";
--xIn(PORTIN,2)@10
--u0_m0_wo0_run(ENABLEGENERATOR,8)@10
u0_m0_wo0_run_ctrl <= u0_m0_wo0_run_out & xIn_v & u0_m0_wo0_run_enable_q;
u0_m0_wo0_run: PROCESS (clk, areset)
variable u0_m0_wo0_run_enable_c : signed(6 downto 0);
variable u0_m0_wo0_run_inc : signed(1 downto 0);
BEGIN
IF (areset = '1') THEN
u0_m0_wo0_run_q <= "0";
u0_m0_wo0_run_enable_c := TO_SIGNED(63,7);
u0_m0_wo0_run_enable_q <= "0";
u0_m0_wo0_run_count <= "00";
u0_m0_wo0_run_inc := (OTHERS => '0');
ELSIF (clk'EVENT AND clk = '1') THEN
IF (u0_m0_wo0_run_out = "1") THEN
IF (u0_m0_wo0_run_enable_c(6) = '1') THEN
u0_m0_wo0_run_enable_c := u0_m0_wo0_run_enable_c - (-64);
ELSE
u0_m0_wo0_run_enable_c := u0_m0_wo0_run_enable_c + (-1);
END IF;
u0_m0_wo0_run_enable_q <= STD_LOGIC_VECTOR(u0_m0_wo0_run_enable_c(6 DOWNTO 6));
ELSE
u0_m0_wo0_run_enable_q <= "0";
END IF;
CASE u0_m0_wo0_run_ctrl is
WHEN "000" | "001" =>
u0_m0_wo0_run_inc := "00";
WHEN "010" | "011" =>
u0_m0_wo0_run_inc := "11";
WHEN "100" =>
u0_m0_wo0_run_inc := "00";
WHEN "101" =>
u0_m0_wo0_run_inc := "01";
WHEN "110" =>
u0_m0_wo0_run_inc := "11";
WHEN "111" =>
u0_m0_wo0_run_inc := "00";
WHEN OTHERS =>
END CASE;
u0_m0_wo0_run_count <= STD_LOGIC_VECTOR(SIGNED(u0_m0_wo0_run_count) + SIGNED(u0_m0_wo0_run_inc));
u0_m0_wo0_run_q <= u0_m0_wo0_run_out;
END IF;
END PROCESS;
u0_m0_wo0_run_pre_ena_q <= u0_m0_wo0_run_count(1 downto 1);
u0_m0_wo0_run_out <= u0_m0_wo0_run_pre_ena_q AND VCC_q;
--u0_m0_wo0_memread(DELAY,9)@12
u0_m0_wo0_memread : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => u0_m0_wo0_run_q, xout => u0_m0_wo0_memread_q, clk => clk, aclr => areset );
--u0_m0_wo0_compute(DELAY,10)@12
u0_m0_wo0_compute : dspba_delay
GENERIC MAP ( width => 1, depth => 2 )
PORT MAP ( xin => u0_m0_wo0_memread_q, xout => u0_m0_wo0_compute_q, clk => clk, aclr => areset );
--d_u0_m0_wo0_compute_q_14(DELAY,39)@12
d_u0_m0_wo0_compute_q_14 : dspba_delay
GENERIC MAP ( width => 1, depth => 2 )
PORT MAP ( xin => u0_m0_wo0_compute_q, xout => d_u0_m0_wo0_compute_q_14_q, clk => clk, aclr => areset );
--u0_m0_wo0_aseq(SEQUENCE,25)@14
u0_m0_wo0_aseq: PROCESS (clk, areset)
variable u0_m0_wo0_aseq_c : signed (8 downto 0);
BEGIN
IF (areset = '1') THEN
u0_m0_wo0_aseq_c := "000000000";
u0_m0_wo0_aseq_q <= "0";
u0_m0_wo0_aseq_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (d_u0_m0_wo0_compute_q_14_q = "1") THEN
IF (u0_m0_wo0_aseq_c = "000000000") THEN
u0_m0_wo0_aseq_eq <= '1';
ELSE
u0_m0_wo0_aseq_eq <= '0';
END IF;
IF (u0_m0_wo0_aseq_eq = '1') THEN
u0_m0_wo0_aseq_c := u0_m0_wo0_aseq_c + 64;
ELSE
u0_m0_wo0_aseq_c := u0_m0_wo0_aseq_c - 1;
END IF;
u0_m0_wo0_aseq_q <= std_logic_vector(u0_m0_wo0_aseq_c(8 DOWNTO 8));
END IF;
END IF;
END PROCESS;
--d_u0_m0_wo0_compute_q_15(DELAY,40)@14
d_u0_m0_wo0_compute_q_15 : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => d_u0_m0_wo0_compute_q_14_q, xout => d_u0_m0_wo0_compute_q_15_q, clk => clk, aclr => areset );
--d_xIn_bankIn_0_12(DELAY,35)@10
d_xIn_bankIn_0_12 : dspba_delay
GENERIC MAP ( width => 3, depth => 2 )
PORT MAP ( xin => bankIn_0, xout => d_xIn_bankIn_0_12_q, clk => clk, aclr => areset );
--d_xIn_0_12(DELAY,34)@10
d_xIn_0_12 : dspba_delay
GENERIC MAP ( width => 13, depth => 2 )
PORT MAP ( xin => xIn_0, xout => d_xIn_0_12_q, clk => clk, aclr => areset );
--xIn_bankIn_0(BITJOIN,3)@12
xIn_bankIn_0_q <= d_xIn_bankIn_0_12_q & d_xIn_0_12_q;
--d_in0_m0_wi0_wo0_assign_data_q_13(DELAY,36)@12
d_in0_m0_wi0_wo0_assign_data_q_13 : dspba_delay
GENERIC MAP ( width => 16, depth => 1 )
PORT MAP ( xin => xIn_bankIn_0_q, xout => d_in0_m0_wi0_wo0_assign_data_q_13_q, clk => clk, aclr => areset );
--data_u0_m0_wi0_wo0(BITSELECT,7)@13
data_u0_m0_wi0_wo0_in <= d_in0_m0_wi0_wo0_assign_data_q_13_q(12 downto 0);
data_u0_m0_wi0_wo0_b <= data_u0_m0_wi0_wo0_in(12 downto 0);
--u0_m0_wo0_wi0_wa0(COUNTER,15)@13
-- every=1, low=0, high=127, step=1, init=1
u0_m0_wo0_wi0_wa0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
u0_m0_wo0_wi0_wa0_i <= TO_UNSIGNED(1,7);
ELSIF (clk'EVENT AND clk = '1') THEN
IF (d_in0_m0_wi0_wo0_assign_sel_q_13_q = "1") THEN
u0_m0_wo0_wi0_wa0_i <= u0_m0_wo0_wi0_wa0_i + 1;
END IF;
END IF;
END PROCESS;
u0_m0_wo0_wi0_wa0_q <= STD_LOGIC_VECTOR(RESIZE(u0_m0_wo0_wi0_wa0_i,7));
--d_in0_m0_wi0_wo0_assign_sel_q_12(DELAY,37)@10
d_in0_m0_wi0_wo0_assign_sel_q_12 : dspba_delay
GENERIC MAP ( width => 1, depth => 2 )
PORT MAP ( xin => xIn_v, xout => d_in0_m0_wi0_wo0_assign_sel_q_12_q, clk => clk, aclr => areset );
--d_in0_m0_wi0_wo0_assign_sel_q_13(DELAY,38)@12
d_in0_m0_wi0_wo0_assign_sel_q_13 : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => d_in0_m0_wi0_wo0_assign_sel_q_12_q, xout => d_in0_m0_wi0_wo0_assign_sel_q_13_q, clk => clk, aclr => areset );
--u0_m0_wo0_wi0_ra0_count1(COUNTER,12)@12
-- every=1, low=0, high=127, step=1, init=0
u0_m0_wo0_wi0_ra0_count1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
u0_m0_wo0_wi0_ra0_count1_i <= TO_UNSIGNED(0,7);
ELSIF (clk'EVENT AND clk = '1') THEN
IF (u0_m0_wo0_memread_q = "1") THEN
u0_m0_wo0_wi0_ra0_count1_i <= u0_m0_wo0_wi0_ra0_count1_i + 1;
END IF;
END IF;
END PROCESS;
u0_m0_wo0_wi0_ra0_count1_q <= STD_LOGIC_VECTOR(RESIZE(u0_m0_wo0_wi0_ra0_count1_i,8));
--u0_m0_wo0_wi0_ra0_count0(COUNTER,11)@12
-- every=65, low=0, high=127, step=64, init=65
u0_m0_wo0_wi0_ra0_count0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
u0_m0_wo0_wi0_ra0_count0_i <= TO_UNSIGNED(65,7);
u0_m0_wo0_wi0_ra0_count0_sc <= TO_SIGNED(63,7);
ELSIF (clk'EVENT AND clk = '1') THEN
IF (u0_m0_wo0_memread_q = "1") THEN
IF (u0_m0_wo0_wi0_ra0_count0_sc(6) = '1') THEN
u0_m0_wo0_wi0_ra0_count0_sc <= u0_m0_wo0_wi0_ra0_count0_sc - (-64);
ELSE
u0_m0_wo0_wi0_ra0_count0_sc <= u0_m0_wo0_wi0_ra0_count0_sc + (-1);
END IF;
IF (u0_m0_wo0_wi0_ra0_count0_sc(6) = '1') THEN
u0_m0_wo0_wi0_ra0_count0_i <= u0_m0_wo0_wi0_ra0_count0_i + 64;
END IF;
END IF;
END IF;
END PROCESS;
u0_m0_wo0_wi0_ra0_count0_q <= STD_LOGIC_VECTOR(RESIZE(u0_m0_wo0_wi0_ra0_count0_i,8));
--u0_m0_wo0_wi0_ra0_add_0_0(ADD,13)@12
u0_m0_wo0_wi0_ra0_add_0_0_a <= STD_LOGIC_VECTOR("0" & u0_m0_wo0_wi0_ra0_count0_q);
u0_m0_wo0_wi0_ra0_add_0_0_b <= STD_LOGIC_VECTOR("0" & u0_m0_wo0_wi0_ra0_count1_q);
u0_m0_wo0_wi0_ra0_add_0_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
u0_m0_wo0_wi0_ra0_add_0_0_o <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
u0_m0_wo0_wi0_ra0_add_0_0_o <= STD_LOGIC_VECTOR(UNSIGNED(u0_m0_wo0_wi0_ra0_add_0_0_a) + UNSIGNED(u0_m0_wo0_wi0_ra0_add_0_0_b));
END IF;
END PROCESS;
u0_m0_wo0_wi0_ra0_add_0_0_q <= u0_m0_wo0_wi0_ra0_add_0_0_o(8 downto 0);
--u0_m0_wo0_wi0_ra0_resize(BITSELECT,14)@13
u0_m0_wo0_wi0_ra0_resize_in <= u0_m0_wo0_wi0_ra0_add_0_0_q(6 downto 0);
u0_m0_wo0_wi0_ra0_resize_b <= u0_m0_wo0_wi0_ra0_resize_in(6 downto 0);
--u0_m0_wo0_wi0_delayr0(DUALMEM,16)@13
u0_m0_wo0_wi0_delayr0_ia <= data_u0_m0_wi0_wo0_b;
u0_m0_wo0_wi0_delayr0_aa <= u0_m0_wo0_wi0_wa0_q;
u0_m0_wo0_wi0_delayr0_ab <= u0_m0_wo0_wi0_ra0_resize_b;
u0_m0_wo0_wi0_delayr0_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M4K",
operation_mode => "DUAL_PORT",
width_a => 13,
widthad_a => 7,
numwords_a => 128,
width_b => 13,
widthad_b => 7,
numwords_b => 128,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "OLD_DATA",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Cyclone II"
)
PORT MAP (
clocken0 => '1',
wren_a => d_in0_m0_wi0_wo0_assign_sel_q_13_q(0),
aclr0 => u0_m0_wo0_wi0_delayr0_reset0,
clock0 => clk,
address_b => u0_m0_wo0_wi0_delayr0_ab,
-- data_b => (others => '0'),
q_b => u0_m0_wo0_wi0_delayr0_iq,
address_a => u0_m0_wo0_wi0_delayr0_aa,
data_a => u0_m0_wo0_wi0_delayr0_ia
);
u0_m0_wo0_wi0_delayr0_reset0 <= areset;
u0_m0_wo0_wi0_delayr0_q <= u0_m0_wo0_wi0_delayr0_iq(12 downto 0);
--bank_u0_m0_wi0_wo0(BITSELECT,6)@12
bank_u0_m0_wi0_wo0_in <= xIn_bankIn_0_q;
bank_u0_m0_wi0_wo0_b <= bank_u0_m0_wi0_wo0_in(15 downto 13);
--u0_m0_wo0_bank_delayr0(DUALMEM,19)@12
u0_m0_wo0_bank_delayr0_ia <= bank_u0_m0_wi0_wo0_b;
u0_m0_wo0_bank_delayr0_aa <= GND_q;
u0_m0_wo0_bank_delayr0_ab <= GND_q;
u0_m0_wo0_bank_delayr0_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M4K",
operation_mode => "DUAL_PORT",
width_a => 3,
widthad_a => 1,
numwords_a => 2,
width_b => 3,
widthad_b => 1,
numwords_b => 2,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "OLD_DATA",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Cyclone II"
)
PORT MAP (
clocken0 => '1',
wren_a => d_in0_m0_wi0_wo0_assign_sel_q_12_q(0),
aclr0 => u0_m0_wo0_bank_delayr0_reset0,
clock0 => clk,
address_b => u0_m0_wo0_bank_delayr0_ab,
-- data_b => (others => '0'),
q_b => u0_m0_wo0_bank_delayr0_iq,
address_a => u0_m0_wo0_bank_delayr0_aa,
data_a => u0_m0_wo0_bank_delayr0_ia
);
u0_m0_wo0_bank_delayr0_reset0 <= areset;
u0_m0_wo0_bank_delayr0_q <= u0_m0_wo0_bank_delayr0_iq(2 downto 0);
--u0_m0_wo0_ca0(COUNTER,21)@12
-- every=1, low=0, high=64, step=1, init=0
u0_m0_wo0_ca0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
u0_m0_wo0_ca0_i <= TO_UNSIGNED(0,7);
u0_m0_wo0_ca0_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (u0_m0_wo0_compute_q = "1") THEN
IF u0_m0_wo0_ca0_i = 63 THEN
u0_m0_wo0_ca0_eq <= '1';
ELSE
u0_m0_wo0_ca0_eq <= '0';
END IF;
IF (u0_m0_wo0_ca0_eq = '1') THEN
u0_m0_wo0_ca0_i <= u0_m0_wo0_ca0_i - 64;
ELSE
u0_m0_wo0_ca0_i <= u0_m0_wo0_ca0_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
u0_m0_wo0_ca0_q <= STD_LOGIC_VECTOR(RESIZE(u0_m0_wo0_ca0_i,7));
--u0_m0_wo0_cab0(BITJOIN,22)@12
u0_m0_wo0_cab0_q <= u0_m0_wo0_bank_delayr0_q & u0_m0_wo0_ca0_q;
--u0_m0_wo0_cm0(LOOKUP,23)@12
u0_m0_wo0_cm0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
u0_m0_wo0_cm0_q <= "0000000011";
ELSIF rising_edge(clk) THEN CASE (u0_m0_wo0_cab0_q) IS
WHEN "0000000000" => u0_m0_wo0_cm0_q <= "0000000011";
WHEN "0000000001" => u0_m0_wo0_cm0_q <= "0000001001";
WHEN "0000000010" => u0_m0_wo0_cm0_q <= "0000001001";
WHEN "0000000011" => u0_m0_wo0_cm0_q <= "0000001011";
WHEN "0000000100" => u0_m0_wo0_cm0_q <= "1111100001";
WHEN "0000000101" => u0_m0_wo0_cm0_q <= "1111001111";
WHEN "0000000110" => u0_m0_wo0_cm0_q <= "1111100000";
WHEN "0000000111" => u0_m0_wo0_cm0_q <= "0000001111";
WHEN "0000001000" => u0_m0_wo0_cm0_q <= "0001010000";
WHEN "0000001001" => u0_m0_wo0_cm0_q <= "0001010011";
WHEN "0000001010" => u0_m0_wo0_cm0_q <= "0000100010";
WHEN "0000001011" => u0_m0_wo0_cm0_q <= "1110110011";
WHEN "0000001100" => u0_m0_wo0_cm0_q <= "1110001100";
WHEN "0000001101" => u0_m0_wo0_cm0_q <= "1110011001";
WHEN "0000001110" => u0_m0_wo0_cm0_q <= "0000110001";
WHEN "0000001111" => u0_m0_wo0_cm0_q <= "0010001001";
WHEN "0000010000" => u0_m0_wo0_cm0_q <= "0010000001";
WHEN "0000010001" => u0_m0_wo0_cm0_q <= "0000100110";
WHEN "0000010010" => u0_m0_wo0_cm0_q <= "1110000000";
WHEN "0000010011" => u0_m0_wo0_cm0_q <= "1101001100";
WHEN "0000010100" => u0_m0_wo0_cm0_q <= "1101110010";
WHEN "0000010101" => u0_m0_wo0_cm0_q <= "0001010110";
WHEN "0000010110" => u0_m0_wo0_cm0_q <= "0011010011";
WHEN "0000010111" => u0_m0_wo0_cm0_q <= "0011000001";
WHEN "0000011000" => u0_m0_wo0_cm0_q <= "0000100110";
WHEN "0000011001" => u0_m0_wo0_cm0_q <= "1100111100";
WHEN "0000011010" => u0_m0_wo0_cm0_q <= "1011111011";
WHEN "0000011011" => u0_m0_wo0_cm0_q <= "1100101101";
WHEN "0000011100" => u0_m0_wo0_cm0_q <= "0001110100";
WHEN "0000011101" => u0_m0_wo0_cm0_q <= "0100100111";
WHEN "0000011110" => u0_m0_wo0_cm0_q <= "0100000111";
WHEN "0000011111" => u0_m0_wo0_cm0_q <= "0000011000";
WHEN "0000100000" => u0_m0_wo0_cm0_q <= "1011111100";
WHEN "0000100001" => u0_m0_wo0_cm0_q <= "1010110100";
WHEN "0000100010" => u0_m0_wo0_cm0_q <= "1100010000";
WHEN "0000100011" => u0_m0_wo0_cm0_q <= "0010100000";
WHEN "0000100100" => u0_m0_wo0_cm0_q <= "0101101100";
WHEN "0000100101" => u0_m0_wo0_cm0_q <= "0100111110";
WHEN "0000100110" => u0_m0_wo0_cm0_q <= "0000011111";
WHEN "0000100111" => u0_m0_wo0_cm0_q <= "1010111110";
WHEN "0000101000" => u0_m0_wo0_cm0_q <= "1001101100";
WHEN "0000101001" => u0_m0_wo0_cm0_q <= "1100001000";
WHEN "0000101010" => u0_m0_wo0_cm0_q <= "0011001101";
WHEN "0000101011" => u0_m0_wo0_cm0_q <= "0110100111";
WHEN "0000101100" => u0_m0_wo0_cm0_q <= "0101101010";
WHEN "0000101101" => u0_m0_wo0_cm0_q <= "0000010111";
WHEN "0000101110" => u0_m0_wo0_cm0_q <= "1010001100";
WHEN "0000101111" => u0_m0_wo0_cm0_q <= "1000110111";
WHEN "0000110000" => u0_m0_wo0_cm0_q <= "1011111100";
WHEN "0000110001" => u0_m0_wo0_cm0_q <= "0011101011";
WHEN "0000110010" => u0_m0_wo0_cm0_q <= "0111001110";
WHEN "0000110011" => u0_m0_wo0_cm0_q <= "0110001000";
WHEN "0000110100" => u0_m0_wo0_cm0_q <= "0000000110";
WHEN "0000110101" => u0_m0_wo0_cm0_q <= "1001101010";
WHEN "0000110110" => u0_m0_wo0_cm0_q <= "1000100000";
WHEN "0000110111" => u0_m0_wo0_cm0_q <= "1011101001";
WHEN "0000111000" => u0_m0_wo0_cm0_q <= "0100000111";
WHEN "0000111001" => u0_m0_wo0_cm0_q <= "0111111001";
WHEN "0000111010" => u0_m0_wo0_cm0_q <= "0110010011";
WHEN "0000111011" => u0_m0_wo0_cm0_q <= "1111010001";
WHEN "0000111100" => u0_m0_wo0_cm0_q <= "1001010100";
WHEN "0000111101" => u0_m0_wo0_cm0_q <= "1000011001";
WHEN "0000111110" => u0_m0_wo0_cm0_q <= "1100010010";
WHEN "0000111111" => u0_m0_wo0_cm0_q <= "0100101000";
WHEN "0001000000" => u0_m0_wo0_cm0_q <= "0111111111";
WHEN "0010000000" => u0_m0_wo0_cm0_q <= "0000010010";
WHEN "0010000001" => u0_m0_wo0_cm0_q <= "0000001000";
WHEN "0010000010" => u0_m0_wo0_cm0_q <= "0000000011";
WHEN "0010000011" => u0_m0_wo0_cm0_q <= "1111100101";
WHEN "0010000100" => u0_m0_wo0_cm0_q <= "1111010011";
WHEN "0010000101" => u0_m0_wo0_cm0_q <= "1111010011";
WHEN "0010000110" => u0_m0_wo0_cm0_q <= "1111010110";
WHEN "0010000111" => u0_m0_wo0_cm0_q <= "0000011101";
WHEN "0010001000" => u0_m0_wo0_cm0_q <= "0001000101";
WHEN "0010001001" => u0_m0_wo0_cm0_q <= "0000111010";
WHEN "0010001010" => u0_m0_wo0_cm0_q <= "0000000011";
WHEN "0010001011" => u0_m0_wo0_cm0_q <= "1110100100";
WHEN "0010001100" => u0_m0_wo0_cm0_q <= "1110001101";
WHEN "0010001101" => u0_m0_wo0_cm0_q <= "1110101000";
WHEN "0010001110" => u0_m0_wo0_cm0_q <= "0000111111";
WHEN "0010001111" => u0_m0_wo0_cm0_q <= "0010001100";
WHEN "0010010000" => u0_m0_wo0_cm0_q <= "0010110111";
WHEN "0010010001" => u0_m0_wo0_cm0_q <= "1111110000";
WHEN "0010010010" => u0_m0_wo0_cm0_q <= "1101011101";
WHEN "0010010011" => u0_m0_wo0_cm0_q <= "1101000110";
WHEN "0010010100" => u0_m0_wo0_cm0_q <= "1110001100";
WHEN "0010010101" => u0_m0_wo0_cm0_q <= "0001110111";
WHEN "0010010110" => u0_m0_wo0_cm0_q <= "0011100010";
WHEN "0010010111" => u0_m0_wo0_cm0_q <= "0010101011";
WHEN "0010011000" => u0_m0_wo0_cm0_q <= "1111011000";
WHEN "0010011001" => u0_m0_wo0_cm0_q <= "1100000101";
WHEN "0010011010" => u0_m0_wo0_cm0_q <= "1011101111";
WHEN "0010011011" => u0_m0_wo0_cm0_q <= "1110001001";
WHEN "0010011100" => u0_m0_wo0_cm0_q <= "0011000000";
WHEN "0010011101" => u0_m0_wo0_cm0_q <= "0100111010";
WHEN "0010011110" => u0_m0_wo0_cm0_q <= "0011100100";
WHEN "0010011111" => u0_m0_wo0_cm0_q <= "1110111111";
WHEN "0010100000" => u0_m0_wo0_cm0_q <= "1010110010";
WHEN "0010100001" => u0_m0_wo0_cm0_q <= "1010011111";
WHEN "0010100010" => u0_m0_wo0_cm0_q <= "1101111000";
WHEN "0010100011" => u0_m0_wo0_cm0_q <= "0011110111";
WHEN "0010100100" => u0_m0_wo0_cm0_q <= "0110001000";
WHEN "0010100101" => u0_m0_wo0_cm0_q <= "0100011011";
WHEN "0010100110" => u0_m0_wo0_cm0_q <= "1110101010";
WHEN "0010100111" => u0_m0_wo0_cm0_q <= "1001110010";
WHEN "0010101000" => u0_m0_wo0_cm0_q <= "1001100010";
WHEN "0010101001" => u0_m0_wo0_cm0_q <= "1101011001";
WHEN "0010101010" => u0_m0_wo0_cm0_q <= "0100100101";
WHEN "0010101011" => u0_m0_wo0_cm0_q <= "0111001111";
WHEN "0010101100" => u0_m0_wo0_cm0_q <= "0110011000";
WHEN "0010101101" => u0_m0_wo0_cm0_q <= "1110000010";
WHEN "0010101110" => u0_m0_wo0_cm0_q <= "1001000011";
WHEN "0010101111" => u0_m0_wo0_cm0_q <= "1000111001";
WHEN "0010110000" => u0_m0_wo0_cm0_q <= "1101010101";
WHEN "0010110001" => u0_m0_wo0_cm0_q <= "0101000110";
WHEN "0010110010" => u0_m0_wo0_cm0_q <= "0111110110";
WHEN "0010110011" => u0_m0_wo0_cm0_q <= "0111001010";
WHEN "0010110100" => u0_m0_wo0_cm0_q <= "1101111011";
WHEN "0010110101" => u0_m0_wo0_cm0_q <= "1000011001";
WHEN "0010110110" => u0_m0_wo0_cm0_q <= "1000011100";
WHEN "0010110111" => u0_m0_wo0_cm0_q <= "1101111011";
WHEN "0010111000" => u0_m0_wo0_cm0_q <= "0101011101";
WHEN "0010111001" => u0_m0_wo0_cm0_q <= "0111111110";
WHEN "0010111010" => u0_m0_wo0_cm0_q <= "0101010011";
WHEN "0010111011" => u0_m0_wo0_cm0_q <= "1101100010";
WHEN "0010111100" => u0_m0_wo0_cm0_q <= "1000010110";
WHEN "0010111101" => u0_m0_wo0_cm0_q <= "1000100011";
WHEN "0010111110" => u0_m0_wo0_cm0_q <= "1110010010";
WHEN "0010111111" => u0_m0_wo0_cm0_q <= "0101011110";
WHEN "0011000000" => u0_m0_wo0_cm0_q <= "0111101101";
WHEN "0100000000" => u0_m0_wo0_cm0_q <= "1111101100";
WHEN "0100000001" => u0_m0_wo0_cm0_q <= "1111111110";
WHEN "0100000010" => u0_m0_wo0_cm0_q <= "0000011110";
WHEN "0100000011" => u0_m0_wo0_cm0_q <= "0000110100";
WHEN "0100000100" => u0_m0_wo0_cm0_q <= "1111110001";
WHEN "0100000101" => u0_m0_wo0_cm0_q <= "1111000101";
WHEN "0100000110" => u0_m0_wo0_cm0_q <= "1111001011";
WHEN "0100000111" => u0_m0_wo0_cm0_q <= "0000000000";
WHEN "0100001000" => u0_m0_wo0_cm0_q <= "0001001100";
WHEN "0100001001" => u0_m0_wo0_cm0_q <= "0001011100";
WHEN "0100001010" => u0_m0_wo0_cm0_q <= "0001000000";
WHEN "0100001011" => u0_m0_wo0_cm0_q <= "1111000100";
WHEN "0100001100" => u0_m0_wo0_cm0_q <= "1110001001";
WHEN "0100001101" => u0_m0_wo0_cm0_q <= "1110100010";
WHEN "0100001110" => u0_m0_wo0_cm0_q <= "0000001100";
WHEN "0100001111" => u0_m0_wo0_cm0_q <= "0010000111";
WHEN "0100010000" => u0_m0_wo0_cm0_q <= "0010011000";
WHEN "0100010001" => u0_m0_wo0_cm0_q <= "0001001101";
WHEN "0100010010" => u0_m0_wo0_cm0_q <= "1110010110";
WHEN "0100010011" => u0_m0_wo0_cm0_q <= "1101001000";
WHEN "0100010100" => u0_m0_wo0_cm0_q <= "1101110100";
WHEN "0100010101" => u0_m0_wo0_cm0_q <= "0000011010";
WHEN "0100010110" => u0_m0_wo0_cm0_q <= "0011000101";
WHEN "0100010111" => u0_m0_wo0_cm0_q <= "0011010110";
WHEN "0100011000" => u0_m0_wo0_cm0_q <= "0001100110";
WHEN "0100011001" => u0_m0_wo0_cm0_q <= "1101100001";
WHEN "0100011010" => u0_m0_wo0_cm0_q <= "1011111001";
WHEN "0100011011" => u0_m0_wo0_cm0_q <= "1100000100";
WHEN "0100011100" => u0_m0_wo0_cm0_q <= "0001000111";
WHEN "0100011101" => u0_m0_wo0_cm0_q <= "0100001111";
WHEN "0100011110" => u0_m0_wo0_cm0_q <= "0100010111";
WHEN "0100011111" => u0_m0_wo0_cm0_q <= "0001101111";
WHEN "0100100000" => u0_m0_wo0_cm0_q <= "1100100110";
WHEN "0100100001" => u0_m0_wo0_cm0_q <= "1010101111";
WHEN "0100100010" => u0_m0_wo0_cm0_q <= "1010111011";
WHEN "0100100011" => u0_m0_wo0_cm0_q <= "0001011010";
WHEN "0100100100" => u0_m0_wo0_cm0_q <= "0101011010";
WHEN "0100100101" => u0_m0_wo0_cm0_q <= "0101011000";
WHEN "0100100110" => u0_m0_wo0_cm0_q <= "0001100000";
WHEN "0100100111" => u0_m0_wo0_cm0_q <= "1011101110";
WHEN "0100101000" => u0_m0_wo0_cm0_q <= "1001110010";
WHEN "0100101001" => u0_m0_wo0_cm0_q <= "1011111000";
WHEN "0100101010" => u0_m0_wo0_cm0_q <= "0001111101";
WHEN "0100101011" => u0_m0_wo0_cm0_q <= "0110010100";
WHEN "0100101100" => u0_m0_wo0_cm0_q <= "0110001001";
WHEN "0100101101" => u0_m0_wo0_cm0_q <= "0001101100";
WHEN "0100101110" => u0_m0_wo0_cm0_q <= "1010111011";
WHEN "0100101111" => u0_m0_wo0_cm0_q <= "1000110100";
WHEN "0100110000" => u0_m0_wo0_cm0_q <= "1010101000";
WHEN "0100110001" => u0_m0_wo0_cm0_q <= "0010101011";
WHEN "0100110010" => u0_m0_wo0_cm0_q <= "0111000000";
WHEN "0100110011" => u0_m0_wo0_cm0_q <= "0110100011";
WHEN "0100110100" => u0_m0_wo0_cm0_q <= "0001010111";
WHEN "0100110101" => u0_m0_wo0_cm0_q <= "1010010010";
WHEN "0100110110" => u0_m0_wo0_cm0_q <= "1000010101";
WHEN "0100110111" => u0_m0_wo0_cm0_q <= "1010011000";
WHEN "0100111000" => u0_m0_wo0_cm0_q <= "0011001011";
WHEN "0100111001" => u0_m0_wo0_cm0_q <= "0111101101";
WHEN "0100111010" => u0_m0_wo0_cm0_q <= "0110101111";
WHEN "0100111011" => u0_m0_wo0_cm0_q <= "0000010100";
WHEN "0100111100" => u0_m0_wo0_cm0_q <= "1001101101";
WHEN "0100111101" => u0_m0_wo0_cm0_q <= "1000001100";
WHEN "0100111110" => u0_m0_wo0_cm0_q <= "1011000101";
WHEN "0100111111" => u0_m0_wo0_cm0_q <= "0011111001";
WHEN "0101000000" => u0_m0_wo0_cm0_q <= "0111111111";
WHEN "0110000000" => u0_m0_wo0_cm0_q <= "0000101101";
WHEN "0110000001" => u0_m0_wo0_cm0_q <= "0001001111";
WHEN "0110000010" => u0_m0_wo0_cm0_q <= "0000111110";
WHEN "0110000011" => u0_m0_wo0_cm0_q <= "1111111001";
WHEN "0110000100" => u0_m0_wo0_cm0_q <= "1110110100";
WHEN "0110000101" => u0_m0_wo0_cm0_q <= "1110101110";
WHEN "0110000110" => u0_m0_wo0_cm0_q <= "1111011101";
WHEN "0110000111" => u0_m0_wo0_cm0_q <= "0001000110";
WHEN "0110001000" => u0_m0_wo0_cm0_q <= "0001110010";
WHEN "0110001001" => u0_m0_wo0_cm0_q <= "0001010111";
WHEN "0110001010" => u0_m0_wo0_cm0_q <= "1111111000";
WHEN "0110001011" => u0_m0_wo0_cm0_q <= "1110000000";
WHEN "0110001100" => u0_m0_wo0_cm0_q <= "1101101111";
WHEN "0110001101" => u0_m0_wo0_cm0_q <= "1110111100";
WHEN "0110001110" => u0_m0_wo0_cm0_q <= "0001101100";
WHEN "0110001111" => u0_m0_wo0_cm0_q <= "0010110111";
WHEN "0110010000" => u0_m0_wo0_cm0_q <= "0010001111";
WHEN "0110010001" => u0_m0_wo0_cm0_q <= "1111111000";
WHEN "0110010010" => u0_m0_wo0_cm0_q <= "1100111111";
WHEN "0110010011" => u0_m0_wo0_cm0_q <= "1100011110";
WHEN "0110010100" => u0_m0_wo0_cm0_q <= "1110000001";
WHEN "0110010101" => u0_m0_wo0_cm0_q <= "0010001111";
WHEN "0110010110" => u0_m0_wo0_cm0_q <= "0100001101";
WHEN "0110010111" => u0_m0_wo0_cm0_q <= "0011011110";
WHEN "0110011000" => u0_m0_wo0_cm0_q <= "0000000101";
WHEN "0110011001" => u0_m0_wo0_cm0_q <= "1100000000";
WHEN "0110011010" => u0_m0_wo0_cm0_q <= "1011001100";
WHEN "0110011011" => u0_m0_wo0_cm0_q <= "1101010011";
WHEN "0110011100" => u0_m0_wo0_cm0_q <= "0010101110";
WHEN "0110011101" => u0_m0_wo0_cm0_q <= "0101001111";
WHEN "0110011110" => u0_m0_wo0_cm0_q <= "0100011100";
WHEN "0110011111" => u0_m0_wo0_cm0_q <= "0000010011";
WHEN "0110100000" => u0_m0_wo0_cm0_q <= "1011001100";
WHEN "0110100001" => u0_m0_wo0_cm0_q <= "1010000101";
WHEN "0110100010" => u0_m0_wo0_cm0_q <= "1100011000";
WHEN "0110100011" => u0_m0_wo0_cm0_q <= "0011000110";
WHEN "0110100100" => u0_m0_wo0_cm0_q <= "0110010100";
WHEN "0110100101" => u0_m0_wo0_cm0_q <= "0101011101";
WHEN "0110100110" => u0_m0_wo0_cm0_q <= "0000011001";
WHEN "0110100111" => u0_m0_wo0_cm0_q <= "1010010111";
WHEN "0110101000" => u0_m0_wo0_cm0_q <= "1001000100";
WHEN "0110101001" => u0_m0_wo0_cm0_q <= "1011011010";
WHEN "0110101010" => u0_m0_wo0_cm0_q <= "0011011000";
WHEN "0110101011" => u0_m0_wo0_cm0_q <= "0111010011";
WHEN "0110101100" => u0_m0_wo0_cm0_q <= "0110001000";
WHEN "0110101101" => u0_m0_wo0_cm0_q <= "1111111100";
WHEN "0110101110" => u0_m0_wo0_cm0_q <= "1001110011";
WHEN "0110101111" => u0_m0_wo0_cm0_q <= "1000011111";
WHEN "0110110000" => u0_m0_wo0_cm0_q <= "1011001001";
WHEN "0110110001" => u0_m0_wo0_cm0_q <= "0011101101";
WHEN "0110110010" => u0_m0_wo0_cm0_q <= "0111110101";
WHEN "0110110011" => u0_m0_wo0_cm0_q <= "0110100010";
WHEN "0110110100" => u0_m0_wo0_cm0_q <= "1111111001";
WHEN "0110110101" => u0_m0_wo0_cm0_q <= "1001100011";
WHEN "0110110110" => u0_m0_wo0_cm0_q <= "1000001111";
WHEN "0110110111" => u0_m0_wo0_cm0_q <= "1011001001";
WHEN "0110111000" => u0_m0_wo0_cm0_q <= "0011110111";
WHEN "0110111001" => u0_m0_wo0_cm0_q <= "0111111111";
WHEN "0110111010" => u0_m0_wo0_cm0_q <= "0110101000";
WHEN "0110111011" => u0_m0_wo0_cm0_q <= "1111110100";
WHEN "0110111100" => u0_m0_wo0_cm0_q <= "1001011110";
WHEN "0110111101" => u0_m0_wo0_cm0_q <= "1000001101";
WHEN "0110111110" => u0_m0_wo0_cm0_q <= "1011100000";
WHEN "0110111111" => u0_m0_wo0_cm0_q <= "0100000101";
WHEN "0111000000" => u0_m0_wo0_cm0_q <= "0111111001";
WHEN "1000000000" => u0_m0_wo0_cm0_q <= "0000010010";
WHEN "1000000001" => u0_m0_wo0_cm0_q <= "0000001000";
WHEN "1000000010" => u0_m0_wo0_cm0_q <= "0000000011";
WHEN "1000000011" => u0_m0_wo0_cm0_q <= "1111100101";
WHEN "1000000100" => u0_m0_wo0_cm0_q <= "1111010011";
WHEN "1000000101" => u0_m0_wo0_cm0_q <= "1111010011";
WHEN "1000000110" => u0_m0_wo0_cm0_q <= "1111010110";
WHEN "1000000111" => u0_m0_wo0_cm0_q <= "0000011101";
WHEN "1000001000" => u0_m0_wo0_cm0_q <= "0001000101";
WHEN "1000001001" => u0_m0_wo0_cm0_q <= "0000111010";
WHEN "1000001010" => u0_m0_wo0_cm0_q <= "0000000011";
WHEN "1000001011" => u0_m0_wo0_cm0_q <= "1110100100";
WHEN "1000001100" => u0_m0_wo0_cm0_q <= "1110001101";
WHEN "1000001101" => u0_m0_wo0_cm0_q <= "1110101000";
WHEN "1000001110" => u0_m0_wo0_cm0_q <= "0000111111";
WHEN "1000001111" => u0_m0_wo0_cm0_q <= "0010001100";
WHEN "1000010000" => u0_m0_wo0_cm0_q <= "0010110111";
WHEN "1000010001" => u0_m0_wo0_cm0_q <= "1111110000";
WHEN "1000010010" => u0_m0_wo0_cm0_q <= "1101011101";
WHEN "1000010011" => u0_m0_wo0_cm0_q <= "1101000110";
WHEN "1000010100" => u0_m0_wo0_cm0_q <= "1110001100";
WHEN "1000010101" => u0_m0_wo0_cm0_q <= "0001110111";
WHEN "1000010110" => u0_m0_wo0_cm0_q <= "0011100010";
WHEN "1000010111" => u0_m0_wo0_cm0_q <= "0010101011";
WHEN "1000011000" => u0_m0_wo0_cm0_q <= "1111011000";
WHEN "1000011001" => u0_m0_wo0_cm0_q <= "1100000101";
WHEN "1000011010" => u0_m0_wo0_cm0_q <= "1011101111";
WHEN "1000011011" => u0_m0_wo0_cm0_q <= "1110001001";
WHEN "1000011100" => u0_m0_wo0_cm0_q <= "0011000000";
WHEN "1000011101" => u0_m0_wo0_cm0_q <= "0100111010";
WHEN "1000011110" => u0_m0_wo0_cm0_q <= "0011100100";
WHEN "1000011111" => u0_m0_wo0_cm0_q <= "1110111111";
WHEN "1000100000" => u0_m0_wo0_cm0_q <= "1010110010";
WHEN "1000100001" => u0_m0_wo0_cm0_q <= "1010011111";
WHEN "1000100010" => u0_m0_wo0_cm0_q <= "1101111000";
WHEN "1000100011" => u0_m0_wo0_cm0_q <= "0011110111";
WHEN "1000100100" => u0_m0_wo0_cm0_q <= "0110001000";
WHEN "1000100101" => u0_m0_wo0_cm0_q <= "0100011011";
WHEN "1000100110" => u0_m0_wo0_cm0_q <= "1110101010";
WHEN "1000100111" => u0_m0_wo0_cm0_q <= "1001110010";
WHEN "1000101000" => u0_m0_wo0_cm0_q <= "1001100010";
WHEN "1000101001" => u0_m0_wo0_cm0_q <= "1101011001";
WHEN "1000101010" => u0_m0_wo0_cm0_q <= "0100100101";
WHEN "1000101011" => u0_m0_wo0_cm0_q <= "0111001111";
WHEN "1000101100" => u0_m0_wo0_cm0_q <= "0110011000";
WHEN "1000101101" => u0_m0_wo0_cm0_q <= "1110000010";
WHEN "1000101110" => u0_m0_wo0_cm0_q <= "1001000011";
WHEN "1000101111" => u0_m0_wo0_cm0_q <= "1000111001";
WHEN "1000110000" => u0_m0_wo0_cm0_q <= "1101010101";
WHEN "1000110001" => u0_m0_wo0_cm0_q <= "0101000110";
WHEN "1000110010" => u0_m0_wo0_cm0_q <= "0111110110";
WHEN "1000110011" => u0_m0_wo0_cm0_q <= "0111001010";
WHEN "1000110100" => u0_m0_wo0_cm0_q <= "1101111011";
WHEN "1000110101" => u0_m0_wo0_cm0_q <= "1000011001";
WHEN "1000110110" => u0_m0_wo0_cm0_q <= "1000011100";
WHEN "1000110111" => u0_m0_wo0_cm0_q <= "1101111011";
WHEN "1000111000" => u0_m0_wo0_cm0_q <= "0101011101";
WHEN "1000111001" => u0_m0_wo0_cm0_q <= "0111111110";
WHEN "1000111010" => u0_m0_wo0_cm0_q <= "0101010011";
WHEN "1000111011" => u0_m0_wo0_cm0_q <= "1101100010";
WHEN "1000111100" => u0_m0_wo0_cm0_q <= "1000010110";
WHEN "1000111101" => u0_m0_wo0_cm0_q <= "1000100011";
WHEN "1000111110" => u0_m0_wo0_cm0_q <= "1110010010";
WHEN "1000111111" => u0_m0_wo0_cm0_q <= "0101011110";
WHEN "1001000000" => u0_m0_wo0_cm0_q <= "0111101101";
WHEN OTHERS =>
u0_m0_wo0_cm0_q <= (others => '-');
END CASE;
END IF;
END PROCESS;
--u0_m0_wo0_mtree_mult1_0(MULT,24)@13
u0_m0_wo0_mtree_mult1_0_a <= u0_m0_wo0_cm0_q;
u0_m0_wo0_mtree_mult1_0_b <= u0_m0_wo0_wi0_delayr0_q;
u0_m0_wo0_mtree_mult1_0_reset <= areset;
u0_m0_wo0_mtree_mult1_0_component : lpm_mult
GENERIC MAP (
lpm_widtha => 10,
lpm_widthb => 13,
lpm_widthp => 23,
lpm_widths => 1,
lpm_type => "LPM_MULT",
lpm_representation => "SIGNED",
lpm_hint => "DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=5",
lpm_pipeline => 2
)
PORT MAP (
dataa => u0_m0_wo0_mtree_mult1_0_a,
datab => u0_m0_wo0_mtree_mult1_0_b,
clken => VCC_q(0),
aclr => u0_m0_wo0_mtree_mult1_0_reset,
clock => clk,
result => u0_m0_wo0_mtree_mult1_0_s1
);
u0_m0_wo0_mtree_mult1_0_q <= u0_m0_wo0_mtree_mult1_0_s1;
--u0_m0_wo0_accum(ADD,26)@15
u0_m0_wo0_accum_a <= STD_LOGIC_VECTOR((29 downto 23 => u0_m0_wo0_mtree_mult1_0_q(22)) & u0_m0_wo0_mtree_mult1_0_q);
u0_m0_wo0_accum_b <= u0_m0_wo0_accum_q;
u0_m0_wo0_accum_i <= u0_m0_wo0_accum_a;
u0_m0_wo0_accum: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
u0_m0_wo0_accum_o <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (d_u0_m0_wo0_compute_q_15_q = "1") THEN
IF (u0_m0_wo0_aseq_q = "1") THEN
u0_m0_wo0_accum_o <= u0_m0_wo0_accum_i;
ELSE
u0_m0_wo0_accum_o <= STD_LOGIC_VECTOR(SIGNED(u0_m0_wo0_accum_a) + SIGNED(u0_m0_wo0_accum_b));
END IF;
END IF;
END IF;
END PROCESS;
u0_m0_wo0_accum_q <= u0_m0_wo0_accum_o(29 downto 0);
--GND(CONSTANT,0)@0
GND_q <= "0";
--u0_m0_wo0_oseq(SEQUENCE,27)@14
u0_m0_wo0_oseq: PROCESS (clk, areset)
variable u0_m0_wo0_oseq_c : signed (8 downto 0);
BEGIN
IF (areset = '1') THEN
u0_m0_wo0_oseq_c := "001000000";
u0_m0_wo0_oseq_q <= "0";
u0_m0_wo0_oseq_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (d_u0_m0_wo0_compute_q_14_q = "1") THEN
IF (u0_m0_wo0_oseq_c = "000000000") THEN
u0_m0_wo0_oseq_eq <= '1';
ELSE
u0_m0_wo0_oseq_eq <= '0';
END IF;
IF (u0_m0_wo0_oseq_eq = '1') THEN
u0_m0_wo0_oseq_c := u0_m0_wo0_oseq_c + 64;
ELSE
u0_m0_wo0_oseq_c := u0_m0_wo0_oseq_c - 1;
END IF;
u0_m0_wo0_oseq_q <= std_logic_vector(u0_m0_wo0_oseq_c(8 DOWNTO 8));
END IF;
END IF;
END PROCESS;
--u0_m0_wo0_oseq_gated(LOGICAL,28)@15
u0_m0_wo0_oseq_gated_a <= u0_m0_wo0_oseq_q;
u0_m0_wo0_oseq_gated_b <= d_u0_m0_wo0_compute_q_15_q;
u0_m0_wo0_oseq_gated_q <= u0_m0_wo0_oseq_gated_a and u0_m0_wo0_oseq_gated_b;
--u0_m0_wo0_oseq_gated_reg(REG,29)@15
u0_m0_wo0_oseq_gated_reg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
u0_m0_wo0_oseq_gated_reg_q <= "0";
ELSIF rising_edge(clk) THEN
u0_m0_wo0_oseq_gated_reg_q <= u0_m0_wo0_oseq_gated_q;
END IF;
END PROCESS;
--xOut(PORTOUT,32)@16
xOut_v <= u0_m0_wo0_oseq_gated_reg_q;
xOut_c <= STD_LOGIC_VECTOR("0000000" & GND_q);
xOut_0 <= u0_m0_wo0_accum_q;
end normal;
| gpl-2.0 |
dummylink/plnk_fpga-stack | Examples/xilinx_microblaze/avnet_lx9/pcores/plb_powerlink_v1_00_a/hdl/vhdl/OpenMAC_DPR_Xilinx.vhd | 2 | 11805 | ------------------------------------------------------------------------------------------------------------------------
-- OpenMAC - DPR for Xilinx FPGA
--
-- Copyright (C) 2009 B&R
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- 3. Neither the name of B&R nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without prior written permission. For written
-- permission, please contact [email protected]
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
-- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
------------------------------------------------------------------------------------------------------------------------
-- Version History
------------------------------------------------------------------------------------------------------------------------
-- 2009-08-07 V0.01 zelenkaj Converted to official version.
-- 2011-10-12 V0.10 zelenkaj Implementation is based on UG687 (v13.2)
------------------------------------------------------------------------------------------------------------------------
--
-- dual clocked DPRAM for XILINX SPARTAN 6 --
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity dc_dpr is
generic (
WIDTH : integer := 16;
SIZE : integer := 128;
ADDRWIDTH : integer := 7
);
port (
clkA : in std_logic;
clkB : in std_logic;
enA : in std_logic;
enB : in std_logic;
weA : in std_logic;
weB : in std_logic;
addrA : in std_logic_vector(ADDRWIDTH-1 downto 0);
addrB : in std_logic_vector(ADDRWIDTH-1 downto 0);
diA : in std_logic_vector(WIDTH-1 downto 0);
diB : in std_logic_vector(WIDTH-1 downto 0);
doA : out std_logic_vector(WIDTH-1 downto 0);
doB : out std_logic_vector(WIDTH-1 downto 0)
);
end dc_dpr;
architecture xilinx of dc_dpr is
function log2 (val: INTEGER) return natural is
variable res : natural;
begin
for i in 0 to 31 loop
if (val <= (2**i)) then
res := i;
exit;
end if;
end loop;
return res;
end function Log2;
type ramType is array (0 to SIZE-1) of std_logic_vector(WIDTH-1 downto 0);
shared variable ram : ramType := (others => (others => '0'));
signal readA : std_logic_vector(WIDTH-1 downto 0):= (others => '0');
signal readB : std_logic_vector(WIDTH-1 downto 0):= (others => '0');
begin
process (clkA)
begin
if rising_edge(clkA) then
if enA = '1' then
if weA = '1' then
ram(conv_integer(addrA)) := diA;
end if;
readA <= ram(conv_integer(addrA));
end if;
end if;
end process;
doA <= readA;
process (clkB)
begin
if rising_edge(clkB) then
if enB = '1' then
if weB = '1' then
ram(conv_integer(addrB)) := diB;
end if;
readB <= ram(conv_integer(addrB));
end if;
end if;
end process;
doB <= readB;
end xilinx;
-- dual clocked DPRAM with byte enables for XILINX SPARTAN 6 --
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity dc_dpr_be is
generic (
WIDTH : integer := 16;
SIZE : integer := 128;
ADDRWIDTH : integer := 7
);
port (
clkA : in std_logic;
clkB : in std_logic;
enA : in std_logic;
enB : in std_logic;
weA : in std_logic;
weB : in std_logic;
beA : in std_logic_vector(WIDTH/8-1 downto 0);
beB : in std_logic_vector(WIDTH/8-1 downto 0);
addrA : in std_logic_vector(ADDRWIDTH-1 downto 0);
addrB : in std_logic_vector(ADDRWIDTH-1 downto 0);
diA : in std_logic_vector(WIDTH-1 downto 0);
diB : in std_logic_vector(WIDTH-1 downto 0);
doA : out std_logic_vector(WIDTH-1 downto 0);
doB : out std_logic_vector(WIDTH-1 downto 0)
);
end dc_dpr_be;
architecture xilinx of dc_dpr_be is
function log2 (val: INTEGER) return natural is
variable res : natural;
begin
for i in 0 to 31 loop
if (val <= (2**i)) then
res := i;
exit;
end if;
end loop;
return res;
end function Log2;
type ramType is array (0 to SIZE-1) of std_logic_vector(WIDTH-1 downto 0);
shared variable ram : ramType := (others => (others => '0'));
constant BYTE : integer := 8;
signal readA : std_logic_vector(WIDTH-1 downto 0):= (others => '0');
signal readB : std_logic_vector(WIDTH-1 downto 0):= (others => '0');
begin
process (clkA)
begin
if rising_edge(clkA) then
if enA = '1' then
if weA = '1' then
for i in beA'range loop
if beA(i) = '1' then
ram(conv_integer(addrA))((i+1)*BYTE-1 downto i*BYTE) := diA((i+1)*BYTE-1 downto i*BYTE);
end if;
end loop;
end if;
readA <= ram(conv_integer(addrA));
end if;
end if;
end process;
doA <= readA;
process (clkB)
begin
if rising_edge(clkB) then
if enB = '1' then
if weB = '1' then
for i in beB'range loop
if beB(i) = '1' then
ram(conv_integer(addrB))((i+1)*BYTE-1 downto i*BYTE) := diB((i+1)*BYTE-1 downto i*BYTE);
end if;
end loop;
end if;
readB <= ram(conv_integer(addrB));
end if;
end if;
end process;
doB <= readB;
end xilinx;
-- dual clocked DPRAM with 16x16 --
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
USE ieee.std_logic_unsigned.all;
entity Dpr_16_16 is
generic(Simulate : in boolean);
port (
ClkA, ClkB : in std_logic;
WeA, WeB : in std_logic := '0';
EnA, EnB : in std_logic := '1';
BeA : in std_logic_vector ( 1 downto 0) := "11";
AddrA : in std_logic_vector ( 7 downto 0);
DiA : in std_logic_vector (15 downto 0) := (others => '0');
DoA : out std_logic_vector(15 downto 0);
BeB : in std_logic_vector ( 1 downto 0) := "11";
AddrB : in std_logic_vector ( 7 downto 0);
DiB : in std_logic_vector (15 downto 0) := (others => '0');
DoB : out std_logic_vector(15 downto 0)
);
end Dpr_16_16;
architecture struct of Dpr_16_16 is
begin
dpr_packet: entity work.dc_dpr_be
generic map (
WIDTH => 16,
SIZE => 2**AddrA'length,
ADDRWIDTH => AddrA'length
)
port map (
clkA => ClkA, clkB => ClkB,
enA => EnA, enB => EnB,
addrA => AddrA, addrB => AddrB,
diA => DiA, diB => DiB,
doA => DoA, doB => DoB,
weA => WeA, weB => WeB,
beA => BeA, beB => BeB
);
end struct;
-- dual clocked DPRAM with 16x32 --
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
USE ieee.std_logic_unsigned.all;
entity Dpr_16_32 is
generic(Simulate : in boolean);
port (
ClkA, ClkB : in std_logic;
WeA : in std_logic := '0';
EnA, EnB : in std_logic := '1';
AddrA : in std_logic_vector ( 7 downto 0);
DiA : in std_logic_vector (15 downto 0) := (others => '0');
BeA : in std_logic_vector ( 1 downto 0) := "11";
AddrB : in std_logic_vector ( 6 downto 0);
DoB : out std_logic_vector(31 downto 0)
);
end Dpr_16_32;
architecture struct of Dpr_16_32 is
signal addra_s : std_logic_vector(AddrB'range);
signal dia_s : std_logic_vector(DoB'range);
signal bea_s : std_logic_vector(DoB'length/8-1 downto 0);
begin
dpr_packet: entity work.dc_dpr_be
generic map (
WIDTH => 32,
SIZE => 2**AddrB'length,
ADDRWIDTH => AddrB'length
)
port map (
clkA => ClkA, clkB => ClkB,
enA => EnA, enB => EnB,
addrA => addra_s, addrB => AddrB,
diA => dia_s, diB => (others => '0'),
doA => open, doB => DoB,
weA => weA, weB => '0',
beA => bea_s, beB => (others => '1')
);
addra_s <= AddrA(AddrA'left downto 1);
dia_s <= DiA & DiA;
bea_s(3) <= BeA(1) and AddrA(0);
bea_s(2) <= BeA(0) and AddrA(0);
bea_s(1) <= BeA(1) and not AddrA(0);
bea_s(0) <= BeA(0) and not AddrA(0);
end struct;
-- dual clocked DPRAM with 32x32 for packets --
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
USE ieee.std_logic_unsigned.all;
ENTITY OpenMAC_DPRpackets IS
GENERIC
(
memSizeLOG2_g : integer := 10;
memSize_g : integer := 1024
);
PORT
(
address_a : IN STD_LOGIC_VECTOR (memSizeLOG2_g-2 DOWNTO 0);
address_b : IN STD_LOGIC_VECTOR (memSizeLOG2_g-3 DOWNTO 0);
byteena_a : IN STD_LOGIC_VECTOR (1 DOWNTO 0) := (OTHERS => '1');
byteena_b : IN STD_LOGIC_VECTOR (3 DOWNTO 0) := (OTHERS => '1');
clock_a : IN STD_LOGIC := '1';
clock_b : IN STD_LOGIC ;
data_a : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
data_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
rden_a : IN STD_LOGIC := '1';
rden_b : IN STD_LOGIC := '1';
wren_a : IN STD_LOGIC := '0';
wren_b : IN STD_LOGIC := '0';
q_a : OUT STD_LOGIC_VECTOR (15 DOWNTO 0);
q_b : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)
);
END OpenMAC_DPRpackets;
architecture struct of OpenMAC_DPRpackets is
signal address_a_s : std_logic_vector(address_b'range);
signal bea : std_logic_vector(byteena_b'range);
signal q_a_s, q_b_s, data_a_s : std_logic_vector(q_b'range);
signal q_a_s1 : std_logic_vector(q_a'range);
begin
dpr_packet: entity work.dc_dpr_be
generic map (
WIDTH => 32,
SIZE => memSize_g/4,
ADDRWIDTH => memSizeLOG2_g-2
)
port map (
clkA => clock_a, clkB => clock_b,
enA => '1', enB => '1',
addrA => address_a_s, addrB => address_b,
diA => data_a_s, diB => data_b,
doA => q_a_s, doB => q_b_s,
weA => wren_a, weB => wren_b,
beA => bea, beB => byteena_b
);
address_a_s <= address_a(address_a'left downto 1);
bea(3) <= byteena_a(1) and address_a(0);
bea(2) <= byteena_a(0) and address_a(0);
bea(1) <= byteena_a(1) and not address_a(0);
bea(0) <= byteena_a(0) and not address_a(0);
data_a_s <= data_a & data_a;
q_a_s1 <= q_a_s(q_a'length*2-1 downto q_a'length) when address_a(0) = '1' else
q_a_s(q_a'range);
--sync outputs
process(clock_a)
begin
if rising_edge(clock_a) then
q_a <= q_a_s1;
end if;
end process;
process(clock_b)
begin
if rising_edge(clock_b) then
q_b <= q_b_s;
end if;
end process;
end struct;
| gpl-2.0 |
dummylink/plnk_fpga-stack | Examples/altera_nios2/EBV_DBC3C40/design_nios2_directIO/POWERLINK/src/plb_slave.vhd | 5 | 3186 | -------------------------------------------------------------------------------
--
-- Title : No Title
-- Design : POWERLINK
-- Author : ATSALZ137
-- Company : Bernecker + Rainer
--
-------------------------------------------------------------------------------
--
-- File : C:\mairt\workspace\VHDL_IP-Cores_mairt\active_hdl\compile\plb_slave.vhd
-- Generated : Mon Dec 5 16:05:26 2011
-- From : C:\mairt\workspace\VHDL_IP-Cores_mairt\active_hdl\src\template\plb_slave.bde
-- By : Bde2Vhdl ver. 2.6
--
-------------------------------------------------------------------------------
--
-- Description :
--
-------------------------------------------------------------------------------
-- Design unit header --
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.STD_LOGIC_UNSIGNED.all;
entity plb_slave is
generic(
C_SLAVE_BASEADDR : INTEGER := 0;
C_SLAVE_HIGHADDR : INTEGER := 0;
C_SLAVE_NUM_MASTERS : INTEGER := 1;
C_SLAVE_PLB_AWIDTH : INTEGER := 32;
C_SLAVE_PLB_DWIDTH : INTEGER := 32;
C_SLAVE_PLB_MID_WIDTH : INTEGER := 1
);
port(
SLAVE_Clk : in STD_LOGIC;
SLAVE_PAValid : in STD_LOGIC;
SLAVE_RNW : in STD_LOGIC;
SLAVE_Rst : in STD_LOGIC;
SLAVE_SAValid : in STD_LOGIC;
SLAVE_abort : in STD_LOGIC;
SLAVE_busLock : in STD_LOGIC;
SLAVE_compress : in STD_LOGIC;
SLAVE_guarded : in STD_LOGIC;
SLAVE_lockErr : in STD_LOGIC;
SLAVE_ordered : in STD_LOGIC;
SLAVE_pendReq : in STD_LOGIC;
SLAVE_rdBurst : in STD_LOGIC;
SLAVE_rdPrim : in STD_LOGIC;
SLAVE_wrBurst : in STD_LOGIC;
SLAVE_wrPrim : in STD_LOGIC;
SLAVE_ABus : in STD_LOGIC_VECTOR(C_SLAVE_PLB_AWIDTH - 1 downto 0);
SLAVE_BE : in STD_LOGIC_VECTOR((C_SLAVE_PLB_DWIDTH / 8) - 1 downto 0);
SLAVE_MSize : in STD_LOGIC_VECTOR(1 downto 0);
SLAVE_masterID : in STD_LOGIC_VECTOR(C_SLAVE_PLB_MID_WIDTH - 1 downto 0);
SLAVE_pendPri : in STD_LOGIC_VECTOR(1 downto 0);
SLAVE_reqPri : in STD_LOGIC_VECTOR(1 downto 0);
SLAVE_size : in STD_LOGIC_VECTOR(3 downto 0);
SLAVE_type : in STD_LOGIC_VECTOR(2 downto 0);
SLAVE_wrDBus : in STD_LOGIC_VECTOR(C_SLAVE_PLB_DWIDTH - 1 downto 0);
SLAVE_addrAck : out STD_LOGIC;
SLAVE_rdBTerm : out STD_LOGIC;
SLAVE_rdComp : out STD_LOGIC;
SLAVE_rdDAck : out STD_LOGIC;
SLAVE_rearbitrate : out STD_LOGIC;
SLAVE_wait : out STD_LOGIC;
SLAVE_wrBTerm : out STD_LOGIC;
SLAVE_wrComp : out STD_LOGIC;
SLAVE_wrDAck : out STD_LOGIC;
SLAVE_MBusy : out STD_LOGIC_VECTOR(C_SLAVE_NUM_MASTERS - 1 downto 0);
SLAVE_MErr : out STD_LOGIC_VECTOR(C_SLAVE_NUM_MASTERS - 1 downto 0);
SLAVE_SSize : out STD_LOGIC_VECTOR(1 downto 0);
SLAVE_rdDBus : out STD_LOGIC_VECTOR(C_SLAVE_PLB_DWIDTH - 1 downto 0);
SLAVE_rdWdAddr : out STD_LOGIC_VECTOR(3 downto 0)
);
end plb_slave;
architecture template of plb_slave is
begin
end template;
| gpl-2.0 |
dummylink/plnk_fpga-stack | Examples/altera_nios2/TERASIC_DE2-115/design_nios2_directIO/POWERLINK/src/pdi_led.vhd | 5 | 3876 | ------------------------------------------------------------------------------------------------------------------------
-- Process Data Interface (PDI) led gadget
--
-- Copyright (C) 2011 B&R
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- 3. Neither the name of B&R nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without prior written permission. For written
-- permission, please contact [email protected]
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
-- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
------------------------------------------------------------------------------------------------------------------------
-- Version History
------------------------------------------------------------------------------------------------------------------------
-- 2011-09-14 V0.01 zelenkaj extract from pdi.vhd
------------------------------------------------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
USE ieee.std_logic_unsigned.all;
--the led gadget can be set by three different sources
-- source A, B and C
-- the highest priority has C
entity pdiLed is
generic (
iLedWidth_g : integer := 8
);
port (
--src A
srcAled : in std_logic_vector(iLedWidth_g-1 downto 0);
srcAforce : in std_logic_vector(iLedWidth_g-1 downto 0);
--src B
srcBled : in std_logic_vector(iLedWidth_g-1 downto 0);
srcBforce : in std_logic_vector(iLedWidth_g-1 downto 0);
--src C
srcCled : in std_logic_vector(iLedWidth_g-1 downto 0);
srcCforce : in std_logic_vector(iLedWidth_g-1 downto 0);
--led output
ledOut : out std_logic_vector(iLedWidth_g-1 downto 0)
);
end entity pdiLed;
architecture rtl of pdiLed is
begin
theLedGadget : process(srcAled, srcAforce, srcBled, srcBforce, srcCled, srcCforce)
variable tmp_led : std_logic_vector(ledOut'range);
begin
tmp_led := (others => '0');
for i in tmp_led'range loop
--okay, src A may drive if forced
if srcAforce(i) = '1' then
tmp_led(i) := srcAled(i);
end if;
--same vaild for src B, but it overrules src A
if srcBforce(i) = '1' then
tmp_led(i) := srcBled(i);
end if;
--and the head of the logics => src C
if srcCforce(i) = '1' then
tmp_led(i) := srcCled(i);
end if;
end loop;
--let's export and go for a coffee...
ledOut <= tmp_led;
end process;
end architecture rtl; | gpl-2.0 |
Monash-2015-Ultrasonic/Logs | Final System Code/SYSTEMV3/Source/IP/FIR/FIR/auk_dspip_lib_pkg_hpfir.vhd | 2 | 23067 | -- (C) 2001-2013 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
-- Alex, 02-10-07, this package declaration results in error at built time on a new machine
--
use work.auk_dspip_math_pkg_hpfir.all;
package auk_dspip_lib_pkg_hpfir is
--Component names:
--auk_dspip_atlantic_sink
--auk_dspip_atlantic_source
--auk_dspip_interface_controller
--auk_dspip_avalon_streaming_controller_hpfir
--auk_dspip_avalon_streaming_controller_pe_fir_91
--auk_dspip_avalon_streaming_sink_hpfir
--auk_dspip_avalon_streaming_source_hpfir
--auk_dspip_delay_fir_91
--auk_dspip_fastadd_fir_91
--auk_dspip_fastaddsub_fir_91
--auk_dspip_pipelined_adder_fir_91
--auk_dspip_fast_accumulator_fir_91
--auk_dspip_fifo_pfc_fir_91
--auk_dspip_fpcompiler_alufp
--auk_dspip_fpcompiler_aslf
--auk_dspip_fpcompiler_asrf
--auk_dspip_fpcompiler_castftox
--auk_dspip_fpcompiler_castxtof
--auk_dspip_fpcompiler_clzf
--auk_dspip_fpcompiler_mulfp
--auk_dspip_pfc_fir_91
--auk_dspip_roundsat_fir_91
component auk_dspip_atlantic_sink is
generic(
WIDTH : integer := 16;
PACKET_SIZE : natural := 4;
log2packet_size : integer := 2
);
port(
clk : in std_logic;
reset_n : in std_logic;
----------------- DESIGN SIDE SIGNALS
data_available : out std_logic; --goes high when new data is available
data : out std_logic_vector(WIDTH-1 downto 0);
sink_ready_ctrl : in std_logic; --the controller will tell
--the interface whether
--new input can be accepted.
sink_stall : out std_logic; --needs to stall the design
--if no new data is coming
packet_error : out std_logic_vector (1 downto 0); --this is for SOP and EOP check only.
--when any of these doesn't behave as
--expected, the error is flagged.
send_sop : out std_logic; -- transmit SOP signal to the design.
-- It only transmits the legal SOP.
send_eop : out std_logic; -- transmit EOP signal to the design.
-- It only transmits the legal EOP.
----------------- ATLANTIC SIDE SIGNALS
at_sink_ready : out std_logic; --it will be '1' whenever the
--sink_ready_ctrl signal is high.
at_sink_valid : in std_logic;
at_sink_data : in std_logic_vector(WIDTH-1 downto 0);
at_sink_sop : in std_logic := '0';
at_sink_eop : in std_logic := '0';
at_sink_error : in std_logic_vector(1 downto 0) --it indicates to the data source
--that the SOP and EOP signals
--are not received as expected.
);
end component auk_dspip_atlantic_sink;
component auk_dspip_atlantic_source is
generic(
WIDTH : integer := 16;
packet_size : natural := 4;
LOG2packet_size : integer := 2;
multi_channel : BOOLEAN := TRUE
);
port(
clk : in std_logic;
reset_n : in std_logic;
----------------- DESIGN SIDE SIGNALS
data : in std_logic_vector (WIDTH-1 downto 0);
data_count : in std_logic_vector (LOG2packet_size-1 downto 0) := (others => '0');
source_valid_ctrl : in std_logic; --the controller will tell
--the interface whether
--new input can be accepted.
source_stall : out std_logic; --needs to stall the design
--if no new data is coming
packet_error : in std_logic_vector (1 downto 0);
----------------- ATLANTIC SIDE SIGNALS
at_source_ready : in std_logic;
at_source_valid : out std_logic;
at_source_data : out std_logic_vector (WIDTH-1 downto 0);
at_source_channel : out std_logic_vector (log2packet_size-1 downto 0);
at_source_error : out std_logic_vector (1 downto 0);
at_source_sop : out std_logic;
at_source_eop : out std_logic
);
-- Declarations
end component auk_dspip_atlantic_source;
component auk_dspip_interface_controller IS
PORT(
clk : in std_logic;
reset : IN std_logic;
ready : in std_logic;
sink_packet_error : IN std_logic_vector (1 DOWNTO 0);
sink_stall : IN std_logic;
source_stall : IN std_logic;
valid : IN std_logic;
reset_design : OUT std_logic;
reset_n : OUT std_logic;
sink_ready_ctrl : OUT std_logic;
source_packet_error : OUT std_logic_vector (1 DOWNTO 0);
source_valid_ctrl : OUT std_logic;
stall : OUT std_logic
);
-- Declarations
end component auk_dspip_interface_controller ;
component auk_dspip_avalon_streaming_controller_hpfir is
port(
clk : in std_logic;
--clk_en : in std_logic := '1';
reset_n : in std_logic;
--ready : in std_logic;
sink_packet_error : in std_logic_vector (1 downto 0);
--sink_stall : in std_logic;
source_stall : in std_logic;
valid : in std_logic;
reset_design : out std_logic;
sink_ready_ctrl : out std_logic;
source_packet_error : out std_logic_vector (1 downto 0);
source_valid_ctrl : out std_logic;
stall : out std_logic
);
-- Declarations
end component auk_dspip_avalon_streaming_controller_hpfir;
component auk_dspip_avalon_streaming_controller_pe_fir_91 is
generic (
FIFO_WIDTH_g : natural := 8;
ENABLE_PIPELINE_DEPTH_g : natural := 0; -- this value should match the depth of the enable pipeline in the core
FAMILY_g : string := "Stratix II";
MEM_TYPE_g : string := "Auto"
);
port(
clk : in std_logic;
clk_en : in std_logic := '1';
reset_n : in std_logic;
ready : in std_logic;
sink_packet_error : in std_logic_vector (1 downto 0);
sink_stall : in std_logic;
source_stall : in std_logic;
valid : in std_logic;
reset_design : out std_logic;
sink_ready_ctrl : out std_logic;
source_packet_error : out std_logic_vector (1 downto 0);
source_valid_ctrl : out std_logic;
stall : out std_logic;
data_in : in std_logic_vector(FIFO_WIDTH_g-1 downto 0);
data_out : out std_logic_vector(FIFO_WIDTH_g-1 downto 0);
design_stall : out std_logic
);
-- Declarations
end component auk_dspip_avalon_streaming_controller_pe_fir_91;
component auk_dspip_avalon_streaming_sink_hpfir is
generic(
WIDTH_g : integer := 16;
DATA_WIDTH : integer := 8;
DATA_PORT_COUNT : integer := 3;
PACKET_SIZE_g : natural := 4
--FIFO_DEPTH_g : natural := 5 --if PFC mode is selected, this generic
--is used for passing the poly_factor.
--MIN_DATA_COUNT_g : natural := 2;
--PFC_MODE_g : boolean := false;
--SOP_EOP_CALC_g : boolean := false; -- calculate sop and eop rather than
-- reading value from fifo
--FAMILY_g : string := "Stratix II";
--MEM_TYPE_g : string := "Auto"
);
port(
clk : in std_logic;
reset_n : in std_logic;
----------------- DESIGN SIDE SIGNALS
data : out std_logic_vector(WIDTH_g-1 downto 0);
data_valid : out std_logic_vector(0 downto 0);
sink_ready_ctrl : in std_logic; --the controller will tell
--the interface whether
--new input can be accepted.
--sink_stall : out std_logic; --needs to stall the design
--if no new data is coming
packet_error : out std_logic_vector (1 downto 0); --this is for SOP and EOP check only.
--when any of these doesn't behave as
--expected, the error is flagged.
--send_sop : out std_logic; -- transmit SOP signal to the design.
-- It only transmits the legal SOP.
--send_eop : out std_logic; -- transmit EOP signal to the design.
-- It only transmits the legal EOP.
----------------- ATLANTIC SIDE SIGNALS
at_sink_ready : out std_logic; --it will be '1' whenever the
--sink_ready_ctrl signal is high.
at_sink_valid : in std_logic;
at_sink_data : in std_logic_vector(WIDTH_g-1 downto 0);
at_sink_sop : in std_logic := '0';
at_sink_eop : in std_logic := '0';
at_sink_error : in std_logic_vector(1 downto 0) := "00" --it indicates
--that there is an error in the packet.
);
end component auk_dspip_avalon_streaming_sink_hpfir;
component auk_dspip_avalon_streaming_source_hpfir is
generic(
WIDTH_g : integer := 8;
DATA_WIDTH : integer := 8;
DATA_PORT_COUNT : integer := 1;
PACKET_SIZE_g : natural := 2;
FIFO_DEPTH_g : natural := 0;
HAVE_COUNTER_g : boolean := false;
COUNTER_LIMIT_g : natural := 4;
--MULTI_CHANNEL_g : boolean := true;
USE_PACKETS : integer := 1;
--FAMILY_g : string := "Stratix II";
--MEM_TYPE_g : string := "Auto";
ENABLE_BACKPRESSURE_g : boolean := true
);
port(
clk : in std_logic;
reset_n : in std_logic;
----------------- DESIGN SIDE SIGNALS
data_in : in std_logic_vector (WIDTH_g-1 downto 0);
data_count : in std_logic_vector (log2_ceil_one(PACKET_SIZE_g)-1 downto 0) := (others => '0');
source_valid_ctrl : in std_logic;
source_stall : out std_logic;
packet_error : in std_logic_vector (1 downto 0);
----------------- AVALON_STREAMING SIDE SIGNALS
at_source_ready : in std_logic;
at_source_valid : out std_logic;
at_source_data : out std_logic_vector (WIDTH_g-1 downto 0);
at_source_channel : out std_logic_vector (log2_ceil_one(PACKET_SIZE_g)-1 downto 0);
at_source_error : out std_logic_vector (1 downto 0);
at_source_sop : out std_logic;
at_source_eop : out std_logic
);
-- Declarations
end component auk_dspip_avalon_streaming_source_hpfir;
component auk_dspip_roundsat_hpfir is
generic (
IN_WIDTH_g : natural := 8; -- i/p data width
REM_LSB_BIT_g : natural := 2; -- no. of lsb to be removed
REM_LSB_TYPE_g : string := "Truncation"; -- TRUNCATE/ROUND_UP
REM_MSB_BIT_g : natural := 2; -- no. of msb to be removed
REM_MSB_TYPE_g : string := "Truncation" -- TRUNCATE/SATURATE
);
port (
clk : in std_logic;
reset_n : in std_logic;
enable : in std_logic;
datain : in std_logic_vector(IN_WIDTH_g-1 downto 0);
valid : out std_logic;
dataout : out std_logic_vector(IN_WIDTH_g-REM_LSB_BIT_g-REM_MSB_BIT_g-1 downto 0)
);
end component auk_dspip_roundsat_hpfir;
component auk_dspip_delay_fir_91 is
generic (
WIDTH_g : natural := 8; -- data width
DELAY_g : natural := 8;
-- number of clock cycles the input
-- will be delayed by
MEMORY_TYPE_g : string := "AUTO";
-- possible values are "m4k", "m512",
-- "register", "mram", "auto",
-- "lutram", "M9K", "M144K".
-- Any other string will be interpreted
-- as "auto"
REGISTER_FIRST_g : natural := 1;
-- if "1", the first delay is guaranteed
-- to be in registers
REGISTER_LAST_g : natural := 1); -- if "1", the last delay is guaranteed
-- to be in registers
port (
clk : in std_logic;
reset : in std_logic;
enable : in std_logic; -- global clock enable
datain : in std_logic_vector(WIDTH_g-1 downto 0);
dataout : out std_logic_vector(WIDTH_g-1 downto 0)
);
end component auk_dspip_delay_fir_91;
component auk_dspip_fastadd_fir_91 is
generic (
INWIDTH_g : natural := 18;
LABWIDTH_g : natural := 16);
-- width of lab in selected device ( 10 or 16 in Cyclone,
-- Cylone II, Stratix and Stratix II. Don't know
-- Stratix III yet.
port (
datain1 : in std_logic_vector(INWIDTH_g-1 downto 0);
datain2 : in std_logic_vector(INWIDTH_g-1 downto 0);
clk : in std_logic;
enable : in std_logic;
reset : in std_logic;
dataout : out std_logic_vector(INWIDTH_g downto 0));
end component auk_dspip_fastadd_fir_91;
component auk_dspip_fastaddsub_fir_91 is
generic (
INWIDTH_g : natural := 18;
LABWIDTH_g : natural := 16);
-- width of lab in selected device ( 10 or 16 in Cyclone,
-- Cylone II, Stratix and Stratix II. Don't know
-- Stratix III yet.
port (
datain1 : in std_logic_vector(INWIDTH_g-1 downto 0);
datain2 : in std_logic_vector(INWIDTH_g-1 downto 0);
add_nsub : in std_logic;
clk : in std_logic;
enable : in std_logic;
reset : in std_logic;
dataout : out std_logic_vector(INWIDTH_g downto 0));
end component auk_dspip_fastaddsub_fir_91;
component auk_dspip_pipelined_adder_fir_91 is
generic (
INWIDTH_g : natural := 42;
-- width of lab in selected device ( 10 or 16 in Cyclone,
-- Cylone II, Stratix and Stratix II.
-- Alex : should I use 19 bits for Stratix III?
-- The rational being 10 ALM (2 bits x ALM + the carry chain inside the same LAB for efficiency.
LABWIDTH_g : natural := 38);
port (
datain1 : in std_logic_vector(INWIDTH_g-1 downto 0);
datain2 : in std_logic_vector(INWIDTH_g-1 downto 0);
clk : in std_logic;
enable : in std_logic;
reset : in std_logic;
dataout : out std_logic_vector(INWIDTH_g downto 0));
end component auk_dspip_pipelined_adder_fir_91;
component auk_dspip_fast_accumulator_fir_91 is
generic (
DATA_WIDTH_g : natural := 42;
-- width of lab in selected device ( 10 or 16 in Cyclone,
-- Cylone II, Stratix and Stratix II.
-- for Stratix III is 20 so labwidth should be set to 18.
-- The rational being 10 ALM (2 bits x ALM + the carry chain inside the same LAB for efficiency.
LABWIDTH_g : natural := 38;
NUM_OF_CHANNELS_g : natural := 1;
ACCUM_OUT_WIDTH_g : natural := 48;
ACCUM_MEM_TYPE_g : string := "auto");
port (
reset : in std_logic;
clk : in std_logic;
enb : in std_logic;
add_to_zero : in std_logic;
datai : in std_logic_vector(DATA_WIDTH_g-1 downto 0);
datao : out std_logic_vector(ACCUM_OUT_WIDTH_g-1 downto 0));
end component auk_dspip_fast_accumulator_fir_91;
component auk_dspip_fifo_pfc_fir_91 is
generic (
NUM_CHANNELS_g : integer := 5;
POLY_FACTOR_g : integer := 3;
DATA_WIDTH_g : integer := 16;
ALMOST_FULL_VALUE_g : integer := 2;
RAM_TYPE_g : string := "AUTO";
CALCULATE_USED_WORDS_ONCE : boolean := true
);
port (
datai : in std_logic_vector(DATA_WIDTH_g-1 downto 0);
datao : out std_logic_vector(DATA_WIDTH_g-1 downto 0);
channel_out : out std_logic_vector(log2_ceil(NUM_CHANNELS_g)-1 downto 0);
used_w : out std_logic_vector(log2_ceil(POLY_FACTOR_g * NUM_CHANNELS_g)+1 downto 0);
wrreq : in std_logic;
rdreq : in std_logic;
almost_full : out std_logic;
empty : out std_logic;
sclr : in std_logic;
clk : in std_logic;
reset : in std_logic;
enable : in std_logic
);
end component auk_dspip_fifo_pfc_fir_91;
component auk_dspip_fpcompiler_alufp is
port (
sysclk : in std_logic;
reset : in std_logic;
enable : in std_logic;
addsub : in std_logic;
aa : in std_logic_vector (42 downto 1);
aasat, aazip : in std_logic;
bb : in std_logic_vector (42 downto 1);
bbsat, bbzip : in std_logic;
cc : out std_logic_vector (42 downto 1);
ccsat, cczip : out std_logic
);
end component auk_dspip_fpcompiler_alufp;
component auk_dspip_fpcompiler_aslf is
port (
inbus : in std_logic_vector (32 downto 1);
shift : in std_logic_vector (5 downto 1);
outbus : out std_logic_vector (32 downto 1)
);
end component auk_dspip_fpcompiler_aslf;
component auk_dspip_fpcompiler_asrf is
port (
inbus : in std_logic_vector (32 downto 1);
shift : in std_logic_vector (5 downto 1);
outbus : out std_logic_vector (32 downto 1)
);
end component auk_dspip_fpcompiler_asrf;
component auk_dspip_fpcompiler_castftox is
port (
aa : in std_logic_vector (32 downto 1);
cc : out std_logic_vector (42 downto 1);
ccsat, cczip : out std_logic
);
end component auk_dspip_fpcompiler_castftox;
component auk_dspip_fpcompiler_castxtof is
port (
sysclk : in std_logic;
reset : in std_logic;
enable : in std_logic;
aa : in std_logic_vector (42 downto 1);
aasat, aazip : in std_logic;
cc : out std_logic_vector (32 downto 1)
);
end component auk_dspip_fpcompiler_castxtof;
component auk_dspip_fpcompiler_clzf is
port (
frac : in std_logic_vector (32 downto 1);
count : out std_logic_vector (5 downto 1)
);
end component auk_dspip_fpcompiler_clzf;
component auk_dspip_fpcompiler_mulfp is
port (
sysclk : in std_logic;
reset : in std_logic;
enable : in std_logic;
aa : in std_logic_vector (42 downto 1);
aasat, aazip : in std_logic;
bb : in std_logic_vector (42 downto 1);
bbsat, bbzip : in std_logic;
cc : out std_logic_vector (42 downto 1);
ccsat, cczip : out std_logic
);
end component auk_dspip_fpcompiler_mulfp;
component auk_dspip_pfc_fir_91 is
generic (
NUM_CHANNELS_g : integer := 5;
POLY_FACTOR_g : integer := 3;
DATA_WIDTH_g : integer := 16;
RAM_TYPE_g : string := "AUTO"
);
port (
datai : in std_logic_vector(DATA_WIDTH_g-1 downto 0);
datao : out std_logic_vector(DATA_WIDTH_g-1 downto 0);
channel_out : out std_logic_vector(log2_ceil(NUM_CHANNELS_g)-1 downto 0);
in_valid : in std_logic;
out_valid : out std_logic;
clk : in std_logic;
reset : in std_logic;
enable : in std_logic
);
end component auk_dspip_pfc_fir_91;
component auk_dspip_roundsat_fir_91 is
generic (
IN_WIDTH_g : natural := 8; -- data width
OUT_WIDTH_g : natural := 8; -- data width
ROUNDING_TYPE_g : string := "TRUNCATE_LOW"
);
port (
clk : in std_logic;
reset : in std_logic;
enable : in std_logic; -- global clock enable
datain : in std_logic_vector(IN_WIDTH_g-1 downto 0);
dataout : out std_logic_vector(OUT_WIDTH_g-1 downto 0));
end component auk_dspip_roundsat_fir_91;
component auk_dspip_avalon_streaming_block_source_fir_91 is
generic (
MAX_BLK_g : natural;
DATAWIDTH_g : natural);
port (
clk : in std_logic;
reset : in std_logic;
in_blk : in std_logic_vector(log2_ceil(MAX_BLK_g) downto 0);
in_valid : in std_logic;
source_stall : out std_logic;
in_data : in std_logic_vector(DATAWIDTH_g - 1 downto 0);
source_valid : out std_logic;
source_ready : in std_logic;
source_sop : out std_logic;
source_eop : out std_logic;
source_data : out std_logic_vector(DATAWIDTH_g - 1 downto 0));
end component auk_dspip_avalon_streaming_block_source_fir_91;
component auk_dspip_avalon_streaming_block_sink_fir_91 is
generic (
MAX_BLK_g : natural;
STALL_g : natural;
DATAWIDTH_g : natural;
-- this generic is specific for the FFT.
NUM_STAGES_g : natural);
port (
clk : in std_logic;
reset : in std_logic;
in_blk : in std_logic_vector(log2_ceil(MAX_BLK_g) downto 0);
in_sop : in std_logic;
in_eop : in std_logic;
in_inverse : in std_logic;
sink_valid : in std_logic;
sink_ready : out std_logic;
source_stall : in std_logic;
in_data : in std_logic_vector(DATAWIDTH_g - 1 downto 0);
processing : in std_logic;
in_error : in std_logic_vector(1 downto 0);
out_error : out std_logic_vector(1 downto 0);
out_valid : out std_logic;
out_sop : out std_logic;
out_eop : out std_logic;
out_data : out std_logic_vector(DATAWIDTH_g - 1 downto 0);
curr_blk : out std_logic_vector(log2_ceil(MAX_BLK_g) downto 0);
-- these are specific to the FFT, no effort has been made to optimize!
curr_pwr_2 : out std_logic;
curr_inverse : out std_logic;
curr_input_sel : out std_logic_vector(NUM_STAGES_g - 1 downto 0));
end component auk_dspip_avalon_streaming_block_sink_fir_91;
end package auk_dspip_lib_pkg_hpfir;
| gpl-2.0 |
wklimann/PCM3168 | PCM3168/I2S_OUT.vhd | 1 | 3429 | ---------------------------------------------------------------------------------
-- Engineer: Klimann Wendelin
--
-- Create Date: 08:36:20 11/Okt/2013
-- Design Name: i2s_out
--
-- Description:
--
-- This module provides a bridge between an I2S serial device (audio ADC, S/PDIF
-- Decoded data) and a parallel device (microcontroller, IP block).
--
-- It's coded as a generic VHDL entity, so developer can choose the proper signal
-- width (8/16/24/32 bit)
--
-- Input takes:
-- -I2S Bit Clock
-- -I2S LR Clock (Left/Right channel indication)
-- -DATA_L / DATA_R parallel inputs
--
-- Output provides:
-- -I2S Data
-- -DATA_RDY output ready signals.
--
--
-- The data from the parallel inputs is shifted to the I2S data output
--
--------------------------------------------------------------------------------
-- I2S Waveform summary
--
-- BIT_CK __ __ __ __ __ __ __ __ __
-- | 1|__| 2|_| 3|__| 4|__| 5|__... ... |32|__| 1|__| 2|__| 3| ...
--
-- LR_CK ... ... ___________________
-- ____________L_Channel_Data______________| R Channel Data ...
--
-- DATA x< 00 ><D24><D22><D21><D20> ... ... < 00 ><D24><D23> ...
--
--
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity i2s_out is
-- width: How many bits (from MSB) are gathered from the serial I2S input
generic(width : integer := 24);
port(
-- I2S ports
LR_CLK : in std_logic; --Left/Right indicator clock
BIT_CLK : in std_logic; --Bit clock
DOUT : out std_logic; --Data Output
-- Control ports
RESET : in std_logic; --Asynchronous Reset (Active Low)
-- Parallel ports
-- use (width-1 downto 0); for big endian fotmat
-- or (0 to width-1) for little endian
DATA_L : in std_logic_vector(0 to width-1);
DATA_R : in std_logic_vector(0 to width-1);
-- Output status ports
DATA_RDY_L : out std_logic; --Falling edge means data is ready
DATA_RDY_R : out std_logic --Falling edge means data is ready
);
end i2s_out;
architecture rtl of i2s_out is
--signals
signal counter : integer range 0 to width;
signal s_current_lr : std_logic;
begin
-- serial to parallel interface
i2s_out: process(RESET, BIT_CLK, LR_CLK, DATA_L, DATA_R)
begin
if(RESET = '0') then
counter <= 0;
s_current_lr <= '0';
DATA_RDY_L <= '0';
DATA_RDY_R <= '0';
DOUT <= '0';
elsif(BIT_CLK'event and BIT_CLK = '0') then
if(s_current_lr = LR_CLK) then
if(s_current_lr = '1') then
DOUT <= DATA_R(counter);
else
DOUT <= DATA_L(counter);
end if;
if (counter < width-1) then
counter <= counter + 1;
else
-- if there is a failure in the clk signals rate -> send 0 to DOUT
DOUT <= '0';
end if;
-- reset the DATA_RDY_x signals
DATA_RDY_L <= '0';
DATA_RDY_R <= '0';
-- if there is a change in the LR_CLK signal enter the else branch
else
if(s_current_lr = '1') then
DOUT <= DATA_R(counter);
DATA_RDY_R <= '1';
else
DOUT <= DATA_L(counter);
DATA_RDY_L <= '1';
end if;
counter <= 0;
s_current_lr <= LR_CLK;
end if; -- (s_current_lr = LR_CLK)
end if; -- reset / rising_edge
end process i2s_out;
end rtl;
| gpl-2.0 |
Caneda/Caneda | libraries/hdl/vhdl/library-ieee.vhd | 1 | 69 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
| gpl-2.0 |
geany/geany | tests/ctags/vhdl-port.vhd | 7 | 165 | -- https://www.ics.uci.edu/~jmoorkan/vhdlref/Synario%20VHDL%20Manual.pdf
entity logical_ops_1 is
port (a, b, c, d: in bit;
m: out bit);
end logical_ops_1;
| gpl-2.0 |
sukinull/hls_stream | Vivado/example.hls/example.hls.srcs/sources_1/ipshared/xilinx.com/axi_datamover_v5_1/3acd8cae/hdl/src/vhdl/axi_datamover_mm2s_dre.vhd | 6 | 87880 | -------------------------------------------------------------------------------
-- axi_datamover_mm2s_dre.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_mm2s_dre.vhd
--
-- Description:
-- This VHDL design implements a 64 bit wide (8 byte lane) function that
-- realigns an arbitrarily aligned input data stream to an arbitrarily aligned
-- output data stream.
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
---------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library axi_datamover_v5_1;
use axi_datamover_v5_1.axi_datamover_dre_mux8_1_x_n;
use axi_datamover_v5_1.axi_datamover_dre_mux4_1_x_n;
use axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n;
-------------------------------------------------------------------------------
entity axi_datamover_mm2s_dre is
Generic (
C_DWIDTH : Integer := 64;
-- Sets the native data width of the DRE
C_ALIGN_WIDTH : Integer := 3
-- Sets the alignment port widths. The value should be
-- log2(C_DWIDTH)
);
port (
-- Clock and Reset inputs ---------------
dre_clk : In std_logic; --
dre_rst : In std_logic; --
----------------------------------------
-- Alignment Controls ------------------------------------------------
dre_new_align : In std_logic; --
dre_use_autodest : In std_logic; --
dre_src_align : In std_logic_vector(C_ALIGN_WIDTH-1 downto 0); --
dre_dest_align : In std_logic_vector(C_ALIGN_WIDTH-1 downto 0); --
dre_flush : In std_logic; --
----------------------------------------------------------------------
-- Input Stream Interface --------------------------------------------
dre_in_tstrb : In std_logic_vector((C_DWIDTH/8)-1 downto 0); --
dre_in_tdata : In std_logic_vector(C_DWIDTH-1 downto 0); --
dre_in_tlast : In std_logic; --
dre_in_tvalid : In std_logic; --
dre_in_tready : Out std_logic; --
----------------------------------------------------------------------
-- Output Stream Interface -------------------------------------------
dre_out_tstrb : Out std_logic_vector((C_DWIDTH/8)-1 downto 0); --
dre_out_tdata : Out std_logic_vector(C_DWIDTH-1 downto 0); --
dre_out_tlast : Out std_logic; --
dre_out_tvalid : Out std_logic; --
dre_out_tready : In std_logic --
----------------------------------------------------------------------
);
end entity axi_datamover_mm2s_dre;
architecture implementation of axi_datamover_mm2s_dre is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-- Functions
-------------------------------------------------------------------
-- Function
--
-- Function Name: get_start_index
--
-- Function Description:
-- This function calculates the bus bit index corresponding
-- to the MSB of the Slice lane index input and the Slice width.
--
-------------------------------------------------------------------
function get_start_index (lane_index : integer;
lane_width : integer)
return integer is
Variable bit_index_start : Integer := 0;
begin
bit_index_start := lane_index*lane_width;
return(bit_index_start);
end function get_start_index;
-------------------------------------------------------------------
-- Function
--
-- Function Name: get_end_index
--
-- Function Description:
-- This function calculates the bus bit index corresponding
-- to the LSB of the Slice lane index input and the Slice width.
--
-------------------------------------------------------------------
function get_end_index (lane_index : integer;
lane_width : integer)
return integer is
Variable bit_index_end : Integer := 0;
begin
bit_index_end := (lane_index*lane_width) + (lane_width-1);
return(bit_index_end);
end function get_end_index;
-- Constants
Constant BYTE_WIDTH : integer := 8; -- bits
Constant DATA_WIDTH_BYTES : integer := C_DWIDTH/BYTE_WIDTH;
Constant SLICE_WIDTH : integer := BYTE_WIDTH+2; -- 8 data bits plus Strobe plus TLAST bit
Constant SLICE_STROBE_INDEX : integer := (BYTE_WIDTH-1)+1;
Constant SLICE_TLAST_INDEX : integer := SLICE_STROBE_INDEX+1;
Constant ZEROED_SLICE : std_logic_vector(SLICE_WIDTH-1 downto 0) := (others => '0');
Constant NUM_BYTE_LANES : integer := C_DWIDTH/BYTE_WIDTH;
Constant ALIGN_VECT_WIDTH : integer := C_ALIGN_WIDTH;
Constant NO_STRB_SET_VALUE : integer := 0;
-- Types
type sig_byte_lane_type is array(DATA_WIDTH_BYTES-1 downto 0) of
std_logic_vector(SLICE_WIDTH-1 downto 0);
-- Signals
signal sig_input_data_reg : sig_byte_lane_type;
signal sig_delay_data_reg : sig_byte_lane_type;
signal sig_output_data_reg : sig_byte_lane_type;
signal sig_pass_mux_bus : sig_byte_lane_type;
signal sig_delay_mux_bus : sig_byte_lane_type;
signal sig_final_mux_bus : sig_byte_lane_type;
Signal sig_dre_strb_out_i : std_logic_vector(DATA_WIDTH_BYTES-1 downto 0) := (others => '0');
Signal sig_dre_data_out_i : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0');
Signal sig_dest_align_i : std_logic_vector(ALIGN_VECT_WIDTH-1 downto 0) := (others => '0');
Signal sig_dre_flush_i : std_logic := '0';
Signal sig_pipeline_halt : std_logic := '0';
Signal sig_dre_tvalid_i : std_logic := '0';
Signal sig_input_accept : std_logic := '0';
Signal sig_tlast_enables : std_logic_vector(NUM_BYTE_LANES-1 downto 0) := (others => '0');
signal sig_final_mux_has_tlast : std_logic := '0';
signal sig_tlast_out : std_logic := '0';
Signal sig_tlast_strobes : std_logic_vector(NUM_BYTE_LANES-1 downto 0) := (others => '0');
Signal sig_next_auto_dest : std_logic_vector(ALIGN_VECT_WIDTH-1 downto 0) := (others => '0');
Signal sig_current_dest_align : std_logic_vector(ALIGN_VECT_WIDTH-1 downto 0) := (others => '0');
Signal sig_last_written_strb : std_logic_vector(NUM_BYTE_LANES-1 downto 0) := (others => '0');
Signal sig_auto_flush : std_logic := '0';
Signal sig_flush_db1 : std_logic := '0';
Signal sig_flush_db2 : std_logic := '0';
signal sig_flush_db1_complete : std_logic := '0';
signal sig_flush_db2_complete : std_logic := '0';
signal sig_output_xfer : std_logic := '0';
signal sig_advance_pipe_data : std_logic := '0';
Signal sig_flush_reg : std_logic := '0';
Signal sig_input_flush_stall : std_logic := '0';
signal sig_enable_input_rdy : std_logic := '0';
signal sig_input_ready : std_logic := '0';
begin --(architecture implementation)
-- Misc assignments
--dre_in_tready <= sig_input_accept ;
dre_in_tready <= sig_input_ready ;
dre_out_tstrb <= sig_dre_strb_out_i ;
dre_out_tdata <= sig_dre_data_out_i ;
dre_out_tvalid <= sig_dre_tvalid_i ;
dre_out_tlast <= sig_tlast_out ;
sig_pipeline_halt <= sig_dre_tvalid_i and not(dre_out_tready);
sig_output_xfer <= sig_dre_tvalid_i and dre_out_tready;
sig_advance_pipe_data <= (dre_in_tvalid or
sig_dre_flush_i) and
not(sig_pipeline_halt) and
sig_enable_input_rdy;
sig_dre_flush_i <= sig_auto_flush ;
sig_input_accept <= dre_in_tvalid and
sig_input_ready;
sig_flush_db1_complete <= sig_flush_db1 and
not(sig_pipeline_halt);
sig_flush_db2_complete <= sig_flush_db2 and
not(sig_pipeline_halt);
sig_auto_flush <= sig_flush_db1 or sig_flush_db2;
sig_input_flush_stall <= sig_auto_flush; -- commanded flush needed for concatonation
sig_last_written_strb <= sig_dre_strb_out_i;
sig_input_ready <= sig_enable_input_rdy and
not(sig_pipeline_halt) and
not(sig_input_flush_stall) ;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_RESET_FLOP
--
-- Process Description:
-- Just a flop for generating an input disable while reset
-- is in progress.
--
-------------------------------------------------------------
IMP_RESET_FLOP : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_enable_input_rdy <= '0';
else
sig_enable_input_rdy <= '1';
end if;
end if;
end process IMP_RESET_FLOP;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_FLUSH_IN
--
-- Process Description:
-- Register for the flush signal
--
-------------------------------------------------------------
REG_FLUSH_IN : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1' or
sig_flush_db2 = '1') then
sig_flush_reg <= '0';
elsif (sig_input_accept = '1') then
sig_flush_reg <= dre_flush;
else
null; -- hold current state
end if;
end if;
end process REG_FLUSH_IN;
-------------------------------------------------------------
-- Combinational Process
--
-- Label: DO_FINAL_MUX_TLAST_OR
--
-- Process Description:
-- Look at all associated tlast bits in the Final Mux output
-- and detirmine if any are set.
--
--
-------------------------------------------------------------
DO_FINAL_MUX_TLAST_OR : process (sig_final_mux_bus)
Variable lvar_finalmux_or : std_logic_vector(NUM_BYTE_LANES-1 downto 0);
begin
lvar_finalmux_or(0) := sig_final_mux_bus(0)(SLICE_TLAST_INDEX);
for tlast_index in 1 to NUM_BYTE_LANES-1 loop
lvar_finalmux_or(tlast_index) :=
lvar_finalmux_or(tlast_index-1) or
sig_final_mux_bus(tlast_index)(SLICE_TLAST_INDEX);
end loop;
sig_final_mux_has_tlast <= lvar_finalmux_or(NUM_BYTE_LANES-1);
end process DO_FINAL_MUX_TLAST_OR;
------------------------------------------------------------------------
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: GEN_FLUSH_DB1
--
-- Process Description:
-- Creates the first sequential flag indicating that the DRE needs to flush out
-- current contents before allowing any new inputs. This is
-- triggered by the receipt of the TLAST.
--
-------------------------------------------------------------
GEN_FLUSH_DB1 : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
If (dre_rst = '1' or
sig_flush_db2_complete = '1') Then
sig_flush_db1 <= '0';
Elsif (sig_input_accept = '1') Then
sig_flush_db1 <= dre_flush or dre_in_tlast;
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process GEN_FLUSH_DB1;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: GEN_FLUSH_DB2
--
-- Process Description:
-- Creates a second sequential flag indicating that the DRE
-- is flushing out current contents. This is
-- triggered by the assertion of the first sequential flush
-- flag.
--
-------------------------------------------------------------
GEN_FLUSH_DB2 : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
If (dre_rst = '1' or
sig_flush_db2_complete = '1') Then
sig_flush_db2 <= '0';
elsif (sig_pipeline_halt = '0') then
sig_flush_db2 <= sig_flush_db1;
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process GEN_FLUSH_DB2;
-------------------------------------------------------------
-- Combinational Process
--
-- Label: CALC_DEST_STRB_ALIGN
--
-- Process Description:
-- This process calculates the byte lane position of the
-- left-most STRB that is unasserted on the DRE output STRB bus.
-- The resulting value is used as the Destination Alignment
-- Vector for the DRE.
--
-------------------------------------------------------------
CALC_DEST_STRB_ALIGN : process (sig_last_written_strb)
Variable lvar_last_strb_hole_position : Integer range 0 to NUM_BYTE_LANES;
Variable lvar_strb_hole_detected : Boolean;
Variable lvar_first_strb_assert_found : Boolean;
Variable lvar_loop_count : integer range 0 to NUM_BYTE_LANES;
Begin
lvar_loop_count := NUM_BYTE_LANES;
lvar_last_strb_hole_position := 0;
lvar_strb_hole_detected := FALSE;
lvar_first_strb_assert_found := FALSE;
-- Search through the output STRB bus starting with the MSByte
while (lvar_loop_count > 0) loop
If (sig_last_written_strb(lvar_loop_count-1) = '0' and
lvar_first_strb_assert_found = FALSE) Then
lvar_strb_hole_detected := TRUE;
lvar_last_strb_hole_position := lvar_loop_count-1;
Elsif (sig_last_written_strb(lvar_loop_count-1) = '1') Then
lvar_first_strb_assert_found := true;
else
null; -- do nothing
End if;
lvar_loop_count := lvar_loop_count - 1;
End loop;
-- now assign the encoder output value to the bit position of the last Strobe encountered
If (lvar_strb_hole_detected) Then
sig_current_dest_align <= STD_LOGIC_VECTOR(TO_UNSIGNED(lvar_last_strb_hole_position, ALIGN_VECT_WIDTH));
else
sig_current_dest_align <= STD_LOGIC_VECTOR(TO_UNSIGNED(NO_STRB_SET_VALUE, ALIGN_VECT_WIDTH));
End if;
end process CALC_DEST_STRB_ALIGN;
------------------------------------------------------------
------------------------------------------------------------
------------------------------------------------------------
-- For Generate
--
-- Label: FORMAT_OUTPUT_DATA_STRB
--
-- For Generate Description:
-- Connect the output Data and Strobe ports to the appropriate
-- bits in the sig_output_data_reg.
--
------------------------------------------------------------
FORMAT_OUTPUT_DATA_STRB : for byte_lane_index in 0 to NUM_BYTE_LANES-1 generate
begin
sig_dre_data_out_i(get_end_index(byte_lane_index, BYTE_WIDTH) downto
get_start_index(byte_lane_index, BYTE_WIDTH)) <=
sig_output_data_reg(byte_lane_index)(BYTE_WIDTH-1 downto 0);
sig_dre_strb_out_i(byte_lane_index) <=
sig_output_data_reg(byte_lane_index)(SLICE_WIDTH-2);
end generate FORMAT_OUTPUT_DATA_STRB;
------------------------------------------------------------
------------------------------------------------------------
------------------------------------------------------------
---------------------------------------------------------------------------------
-- Registers
------------------------------------------------------------
-- For Generate
--
-- Label: GEN_INPUT_REG
--
-- For Generate Description:
--
-- Implements a programble number of input register slices.
--
--
------------------------------------------------------------
GEN_INPUT_REG : for slice_index in 0 to NUM_BYTE_LANES-1 generate
begin
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: DO_INPUTREG_SLICE
--
-- Process Description:
-- Implement a single register slice for the Input Register.
--
-------------------------------------------------------------
DO_INPUTREG_SLICE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1' or
sig_flush_db1_complete = '1' or -- clear on reset or if
(dre_in_tvalid = '1' and
sig_pipeline_halt = '0' and -- the pipe is being advanced and
dre_in_tstrb(slice_index) = '0')) then -- no new valid data id being loaded
sig_input_data_reg(slice_index) <= ZEROED_SLICE;
elsif (dre_in_tstrb(slice_index) = '1' and
sig_input_accept = '1') then
sig_input_data_reg(slice_index) <= sig_tlast_enables(slice_index) &
dre_in_tstrb(slice_index) &
dre_in_tdata((slice_index*8)+7 downto slice_index*8);
else
null; -- don't change state
end if;
end if;
end process DO_INPUTREG_SLICE;
end generate GEN_INPUT_REG;
------------------------------------------------------------
-- For Generate
--
-- Label: GEN_DELAY_REG
--
-- For Generate Description:
--
-- Implements a programble number of output register slices
--
--
------------------------------------------------------------
GEN_DELAY_REG : for slice_index in 0 to NUM_BYTE_LANES-1 generate
begin
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: DO_DELAYREG_SLICE
--
-- Process Description:
-- Implement a single register slice
--
-------------------------------------------------------------
DO_DELAYREG_SLICE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1' or -- clear on reset or if
(sig_advance_pipe_data = '1' and -- the pipe is being advanced and
sig_delay_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '0')) then -- no new valid data id being loaded
sig_delay_data_reg(slice_index) <= ZEROED_SLICE;
elsif (sig_delay_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '1' and
sig_advance_pipe_data = '1') then
sig_delay_data_reg(slice_index) <= sig_delay_mux_bus(slice_index);
else
null; -- don't change state
end if;
end if;
end process DO_DELAYREG_SLICE;
end generate GEN_DELAY_REG;
------------------------------------------------------------
-- For Generate
--
-- Label: GEN_OUTPUT_REG
--
-- For Generate Description:
--
-- Implements a programble number of output register slices
--
--
------------------------------------------------------------
GEN_OUTPUT_REG : for slice_index in 0 to NUM_BYTE_LANES-1 generate
begin
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: DO_OUTREG_SLICE
--
-- Process Description:
-- Implement a single register slice
--
-------------------------------------------------------------
DO_OUTREG_SLICE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1' or -- clear on reset or if
(sig_output_xfer = '1' and -- the output is being transfered and
sig_final_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '0')) then -- no new valid data id being loaded
sig_output_data_reg(slice_index) <= ZEROED_SLICE;
elsif (sig_final_mux_bus(slice_index)(SLICE_STROBE_INDEX) = '1' and
sig_advance_pipe_data = '1') then
sig_output_data_reg(slice_index) <= sig_final_mux_bus(slice_index);
else
null; -- don't change state
end if;
end if;
end process DO_OUTREG_SLICE;
end generate GEN_OUTPUT_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: GEN_TVALID
--
-- Process Description:
-- This sync process generates the Write request for the
-- destination interface.
--
-------------------------------------------------------------
GEN_TVALID : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_dre_tvalid_i <= '0';
elsif (sig_advance_pipe_data = '1') then
sig_dre_tvalid_i <= sig_final_mux_bus(NUM_BYTE_LANES-1)(SLICE_STROBE_INDEX) or -- MS Strobe is set or
sig_final_mux_has_tlast; -- the Last data beat of a packet
Elsif (dre_out_tready = '1' and -- a completed write but no
sig_dre_tvalid_i = '1') Then -- new input data so clear
-- until more input data shows up
sig_dre_tvalid_i <= '0';
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process GEN_TVALID;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: GEN_TLAST_OUT
--
-- Process Description:
-- This sync process generates the TLAST output for the
-- destination interface.
--
-------------------------------------------------------------
GEN_TLAST_OUT : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_tlast_out <= '0';
elsif (sig_advance_pipe_data = '1') then
sig_tlast_out <= sig_final_mux_has_tlast;
Elsif (dre_out_tready = '1' and -- a completed transfer
sig_dre_tvalid_i = '1') Then -- so clear tlast
sig_tlast_out <= '0';
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process GEN_TLAST_OUT;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_MUXFARM_64
--
-- If Generate Description:
-- Support Logic and Mux Farm for 64-bit data path case
--
--
------------------------------------------------------------
GEN_MUXFARM_64 : if (C_DWIDTH = 64) generate
signal sig_cntl_state_64 : std_logic_vector(5 downto 0) := (others => '0');
Signal s_case_i_64 : Integer range 0 to 7 := 0;
Signal sig_shift_case_i : std_logic_vector(2 downto 0) := (others => '0');
Signal sig_shift_case_reg : std_logic_vector(2 downto 0) := (others => '0');
Signal sig_final_mux_sel : std_logic_vector(7 downto 0) := (others => '0');
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: FIND_MS_STRB_SET_8
--
-- Process Description:
-- This process finds the most significant asserted strobe
-- position. This position is used to enable the input flop
-- for TLAST that is associated with that byte position. The
-- TLAST can then flow through the DRE pipe with the last
-- valid byte of data.
--
-------------------------------------------------------------
FIND_MS_STRB_SET_8 : process (dre_in_tlast,
dre_in_tstrb,
sig_tlast_strobes)
begin
sig_tlast_strobes <= dre_in_tstrb(7 downto 0); -- makes case choice locally static
if (dre_in_tlast = '0') then
sig_tlast_enables <= "00000000";
elsif (sig_tlast_strobes(7) = '1') then
sig_tlast_enables <= "10000000";
elsif (sig_tlast_strobes(6) = '1') then
sig_tlast_enables <= "01000000";
elsif (sig_tlast_strobes(5) = '1') then
sig_tlast_enables <= "00100000";
elsif (sig_tlast_strobes(4) = '1') then
sig_tlast_enables <= "00010000";
elsif (sig_tlast_strobes(3) = '1') then
sig_tlast_enables <= "00001000";
elsif (sig_tlast_strobes(2) = '1') then
sig_tlast_enables <= "00000100";
elsif (sig_tlast_strobes(1) = '1') then
sig_tlast_enables <= "00000010";
else
sig_tlast_enables <= "00000001";
end if;
end process FIND_MS_STRB_SET_8;
---------------------------------------------------------------------------------
-- Shift Case logic
-- The new auto-destination alignment is based on the last
-- strobe alignment written into the output register.
sig_next_auto_dest <= sig_current_dest_align;
-- Select the destination alignment to use
sig_dest_align_i <= sig_next_auto_dest
When (dre_use_autodest = '1')
Else dre_dest_align;
-- Convert shift case to sld_logic_vector
--sig_shift_case_i <= CONV_STD_LOGIC_VECTOR(s_case_i_64, 3);
sig_shift_case_i <= STD_LOGIC_VECTOR(TO_UNSIGNED(s_case_i_64, 3));
-------------------------------------------------------------
-- Combinational Process
--
-- Label: DO_SHIFT_CASE_64
--
-- Process Description:
-- Implements the DRE Control State Calculator
--
-------------------------------------------------------------
DO_SHIFT_CASE_64 : process (dre_src_align ,
sig_dest_align_i,
sig_cntl_state_64)
-- signal sig_cntl_state_64 : std_logic_vector(5 downto 0);
-- Signal s_case_i_64 : Integer range 0 to 7;
begin
sig_cntl_state_64 <= dre_src_align & sig_dest_align_i;
case sig_cntl_state_64 is
when "000000" =>
s_case_i_64 <= 0;
when "000001" =>
s_case_i_64 <= 7;
when "000010" =>
s_case_i_64 <= 6;
when "000011" =>
s_case_i_64 <= 5;
when "000100" =>
s_case_i_64 <= 4;
when "000101" =>
s_case_i_64 <= 3;
when "000110" =>
s_case_i_64 <= 2;
when "000111" =>
s_case_i_64 <= 1;
when "001000" =>
s_case_i_64 <= 1;
when "001001" =>
s_case_i_64 <= 0;
when "001010" =>
s_case_i_64 <= 7;
when "001011" =>
s_case_i_64 <= 6;
when "001100" =>
s_case_i_64 <= 5;
when "001101" =>
s_case_i_64 <= 4;
when "001110" =>
s_case_i_64 <= 3;
when "001111" =>
s_case_i_64 <= 2;
when "010000" =>
s_case_i_64 <= 2;
when "010001" =>
s_case_i_64 <= 1;
when "010010" =>
s_case_i_64 <= 0;
when "010011" =>
s_case_i_64 <= 7;
when "010100" =>
s_case_i_64 <= 6;
when "010101" =>
s_case_i_64 <= 5;
when "010110" =>
s_case_i_64 <= 4;
when "010111" =>
s_case_i_64 <= 3;
when "011000" =>
s_case_i_64 <= 3;
when "011001" =>
s_case_i_64 <= 2;
when "011010" =>
s_case_i_64 <= 1;
when "011011" =>
s_case_i_64 <= 0;
when "011100" =>
s_case_i_64 <= 7;
when "011101" =>
s_case_i_64 <= 6;
when "011110" =>
s_case_i_64 <= 5;
when "011111" =>
s_case_i_64 <= 4;
when "100000" =>
s_case_i_64 <= 4;
when "100001" =>
s_case_i_64 <= 3;
when "100010" =>
s_case_i_64 <= 2;
when "100011" =>
s_case_i_64 <= 1;
when "100100" =>
s_case_i_64 <= 0;
when "100101" =>
s_case_i_64 <= 7;
when "100110" =>
s_case_i_64 <= 6;
when "100111" =>
s_case_i_64 <= 5;
when "101000" =>
s_case_i_64 <= 5;
when "101001" =>
s_case_i_64 <= 4;
when "101010" =>
s_case_i_64 <= 3;
when "101011" =>
s_case_i_64 <= 2;
when "101100" =>
s_case_i_64 <= 1;
when "101101" =>
s_case_i_64 <= 0;
when "101110" =>
s_case_i_64 <= 7;
when "101111" =>
s_case_i_64 <= 6;
when "110000" =>
s_case_i_64 <= 6;
when "110001" =>
s_case_i_64 <= 5;
when "110010" =>
s_case_i_64 <= 4;
when "110011" =>
s_case_i_64 <= 3;
when "110100" =>
s_case_i_64 <= 2;
when "110101" =>
s_case_i_64 <= 1;
when "110110" =>
s_case_i_64 <= 0;
when "110111" =>
s_case_i_64 <= 7;
when "111000" =>
s_case_i_64 <= 7;
when "111001" =>
s_case_i_64 <= 6;
when "111010" =>
s_case_i_64 <= 5;
when "111011" =>
s_case_i_64 <= 4;
when "111100" =>
s_case_i_64 <= 3;
when "111101" =>
s_case_i_64 <= 2;
when "111110" =>
s_case_i_64 <= 1;
when "111111" =>
s_case_i_64 <= 0;
when others =>
NULL;
end case;
end process DO_SHIFT_CASE_64;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_SHIFT_CASE
--
-- Process Description:
-- This process registers the Shift Case output from the
-- Shift Case Generator. This will be used to control the
-- select inputs of the Shift Muxes for the duration of the
-- data transfer session. If Pass Through is requested, then
-- Shift Case 0 is forced regardless of source and destination
-- alignment values.
--
-------------------------------------------------------------
REG_SHIFT_CASE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_shift_case_reg <= (others => '0');
elsif (dre_new_align = '1' and
sig_input_accept = '1') then
sig_shift_case_reg <= sig_shift_case_i;
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process REG_SHIFT_CASE;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start PASS Mux Farm Design-------------------------------------------------
-- Pass Mux Byte 0 (wire)
-- This is a wire so.....
sig_pass_mux_bus(0) <= sig_input_data_reg(0);
-- Pass Mux Byte 1 (2-1 x8 Mux)
I_MUX2_1_PASS_B1 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(0) ,
I0 => sig_input_data_reg(1) ,
I1 => sig_input_data_reg(0) ,
Y => sig_pass_mux_bus(1)
);
-- Pass Mux Byte 2 (4-1 x8 Mux)
I_MUX4_1_PASS_B2 : entity axi_datamover_v5_1.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => sig_input_data_reg(2) ,
I1 => ZEROED_SLICE ,
I2 => sig_input_data_reg(0) ,
I3 => sig_input_data_reg(1) ,
Y => sig_pass_mux_bus(2)
);
-- Pass Mux Byte 3 (4-1 x8 Mux)
I_MUX4_1_PASS_B3 : entity axi_datamover_v5_1.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => sig_input_data_reg(3) ,
I1 => sig_input_data_reg(0) ,
I2 => sig_input_data_reg(1) ,
I3 => sig_input_data_reg(2) ,
Y => sig_pass_mux_bus(3)
);
-- Pass Mux Byte 4 (8-1 x8 Mux)
I_MUX8_1_PASS_B4 : entity axi_datamover_v5_1.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => sig_input_data_reg(4) ,
I1 => ZEROED_SLICE ,
I2 => ZEROED_SLICE ,
I3 => ZEROED_SLICE ,
I4 => sig_input_data_reg(0) ,
I5 => sig_input_data_reg(1) ,
I6 => sig_input_data_reg(2) ,
I7 => sig_input_data_reg(3) ,
Y => sig_pass_mux_bus(4)
);
-- Pass Mux Byte 5 (8-1 x8 Mux)
I_MUX8_1_PASS_B5 : entity axi_datamover_v5_1.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => sig_input_data_reg(5) ,
I1 => ZEROED_SLICE ,
I2 => ZEROED_SLICE ,
I3 => sig_input_data_reg(0) ,
I4 => sig_input_data_reg(1) ,
I5 => sig_input_data_reg(2) ,
I6 => sig_input_data_reg(3) ,
I7 => sig_input_data_reg(4) ,
Y => sig_pass_mux_bus(5)
);
-- Pass Mux Byte 6 (8-1 x8 Mux)
I_MUX8_1_PASS_B6 : entity axi_datamover_v5_1.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => sig_input_data_reg(6) ,
I1 => ZEROED_SLICE ,
I2 => sig_input_data_reg(0) ,
I3 => sig_input_data_reg(1) ,
I4 => sig_input_data_reg(2) ,
I5 => sig_input_data_reg(3) ,
I6 => sig_input_data_reg(4) ,
I7 => sig_input_data_reg(5) ,
Y => sig_pass_mux_bus(6)
);
-- Pass Mux Byte 7 (8-1 x8 Mux)
I_MUX8_1_PASS_B7 : entity axi_datamover_v5_1.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => sig_input_data_reg(7) ,
I1 => sig_input_data_reg(0) ,
I2 => sig_input_data_reg(1) ,
I3 => sig_input_data_reg(2) ,
I4 => sig_input_data_reg(3) ,
I5 => sig_input_data_reg(4) ,
I6 => sig_input_data_reg(5) ,
I7 => sig_input_data_reg(6) ,
Y => sig_pass_mux_bus(7)
);
-- End PASS Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Delay Mux Farm Design-------------------------------------------------
-- Delay Mux Byte 0 (8-1 x8 Mux)
I_MUX8_1_DLY_B0 : entity axi_datamover_v5_1.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0) ,
I0 => ZEROED_SLICE ,
I1 => sig_input_data_reg(1) ,
I2 => sig_input_data_reg(2) ,
I3 => sig_input_data_reg(3) ,
I4 => sig_input_data_reg(4) ,
I5 => sig_input_data_reg(5) ,
I6 => sig_input_data_reg(6) ,
I7 => sig_input_data_reg(7) ,
Y => sig_delay_mux_bus(0)
);
-- Delay Mux Byte 1 (8-1 x8 Mux)
I_MUX8_1_DLY_B1 : entity axi_datamover_v5_1.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => ZEROED_SLICE ,
I1 => sig_input_data_reg(2) ,
I2 => sig_input_data_reg(3) ,
I3 => sig_input_data_reg(4) ,
I4 => sig_input_data_reg(5) ,
I5 => sig_input_data_reg(6) ,
I6 => sig_input_data_reg(7) ,
I7 => ZEROED_SLICE ,
Y => sig_delay_mux_bus(1)
);
-- Delay Mux Byte 2 (8-1 x8 Mux)
I_MUX8_1_DLY_B2 : entity axi_datamover_v5_1.axi_datamover_dre_mux8_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(2 downto 0),
I0 => ZEROED_SLICE ,
I1 => sig_input_data_reg(3) ,
I2 => sig_input_data_reg(4) ,
I3 => sig_input_data_reg(5) ,
I4 => sig_input_data_reg(6) ,
I5 => sig_input_data_reg(7) ,
I6 => ZEROED_SLICE ,
I7 => ZEROED_SLICE ,
Y => sig_delay_mux_bus(2)
);
-- Delay Mux Byte 3 (4-1 x8 Mux)
I_MUX4_1_DLY_B3 : entity axi_datamover_v5_1.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => sig_input_data_reg(7) ,
I1 => sig_input_data_reg(4) ,
I2 => sig_input_data_reg(5) ,
I3 => sig_input_data_reg(6) ,
Y => sig_delay_mux_bus(3)
);
-- Delay Mux Byte 4 (4-1 x8 Mux)
I_MUX4_1_DLY_B4 : entity axi_datamover_v5_1.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => ZEROED_SLICE ,
I1 => sig_input_data_reg(5) ,
I2 => sig_input_data_reg(6) ,
I3 => sig_input_data_reg(7) ,
Y => sig_delay_mux_bus(4)
);
-- Delay Mux Byte 5 (2-1 x8 Mux)
I_MUX2_1_DLY_B5 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH -- : Integer := 8
)
port map(
Sel => sig_shift_case_reg(0),
I0 => sig_input_data_reg(7),
I1 => sig_input_data_reg(6),
Y => sig_delay_mux_bus(5)
);
-- Delay Mux Byte 6 (Wire)
sig_delay_mux_bus(6) <= sig_input_data_reg(7);
-- Delay Mux Byte 7 (Zeroed)
sig_delay_mux_bus(7) <= ZEROED_SLICE;
-- End Delay Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Final Mux Farm Design-------------------------------------------------
-- Final Mux Byte 0 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B0_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 0 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B0_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(0) <= '0';
when "001" =>
sig_final_mux_sel(0) <= '1';
when "010" =>
sig_final_mux_sel(0) <= '1';
when "011" =>
sig_final_mux_sel(0) <= '1';
when "100" =>
sig_final_mux_sel(0) <= '1';
when "101" =>
sig_final_mux_sel(0) <= '1';
when "110" =>
sig_final_mux_sel(0) <= '1';
when "111" =>
sig_final_mux_sel(0) <= '1';
when others =>
sig_final_mux_sel(0) <= '0';
end case;
end process MUX2_1_FINAL_B0_CNTL;
I_MUX2_1_FINAL_B0 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(0) ,
I0 => sig_input_data_reg(0),
I1 => sig_delay_data_reg(0),
Y => sig_final_mux_bus(0)
);
-- Final Mux Byte 1 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B1_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 1 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B1_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(1) <= '0';
when "001" =>
sig_final_mux_sel(1) <= '1';
when "010" =>
sig_final_mux_sel(1) <= '1';
when "011" =>
sig_final_mux_sel(1) <= '1';
when "100" =>
sig_final_mux_sel(1) <= '1';
when "101" =>
sig_final_mux_sel(1) <= '1';
when "110" =>
sig_final_mux_sel(1) <= '1';
when "111" =>
sig_final_mux_sel(1) <= '0';
when others =>
sig_final_mux_sel(1) <= '0';
end case;
end process MUX2_1_FINAL_B1_CNTL;
I_MUX2_1_FINAL_B1 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(1) ,
I0 => sig_pass_mux_bus(1) ,
I1 => sig_delay_data_reg(1),
Y => sig_final_mux_bus(1)
);
-- Final Mux Byte 2 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B2_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 2 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B2_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(2) <= '0';
when "001" =>
sig_final_mux_sel(2) <= '1';
when "010" =>
sig_final_mux_sel(2) <= '1';
when "011" =>
sig_final_mux_sel(2) <= '1';
when "100" =>
sig_final_mux_sel(2) <= '1';
when "101" =>
sig_final_mux_sel(2) <= '1';
when "110" =>
sig_final_mux_sel(2) <= '0';
when "111" =>
sig_final_mux_sel(2) <= '0';
when others =>
sig_final_mux_sel(2) <= '0';
end case;
end process MUX2_1_FINAL_B2_CNTL;
I_MUX2_1_FINAL_B2 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(2) ,
I0 => sig_pass_mux_bus(2) ,
I1 => sig_delay_data_reg(2),
Y => sig_final_mux_bus(2)
);
-- Final Mux Byte 3 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B3_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 3 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B3_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(3) <= '0';
when "001" =>
sig_final_mux_sel(3) <= '1';
when "010" =>
sig_final_mux_sel(3) <= '1';
when "011" =>
sig_final_mux_sel(3) <= '1';
when "100" =>
sig_final_mux_sel(3) <= '1';
when "101" =>
sig_final_mux_sel(3) <= '0';
when "110" =>
sig_final_mux_sel(3) <= '0';
when "111" =>
sig_final_mux_sel(3) <= '0';
when others =>
sig_final_mux_sel(3) <= '0';
end case;
end process MUX2_1_FINAL_B3_CNTL;
I_MUX2_1_FINAL_B3 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(3) ,
I0 => sig_pass_mux_bus(3) ,
I1 => sig_delay_data_reg(3),
Y => sig_final_mux_bus(3)
);
-- Final Mux Byte 4 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B4_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 4 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B4_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(4) <= '0';
when "001" =>
sig_final_mux_sel(4) <= '1';
when "010" =>
sig_final_mux_sel(4) <= '1';
when "011" =>
sig_final_mux_sel(4) <= '1';
when "100" =>
sig_final_mux_sel(4) <= '0';
when "101" =>
sig_final_mux_sel(4) <= '0';
when "110" =>
sig_final_mux_sel(4) <= '0';
when "111" =>
sig_final_mux_sel(4) <= '0';
when others =>
sig_final_mux_sel(4) <= '0';
end case;
end process MUX2_1_FINAL_B4_CNTL;
I_MUX2_1_FINAL_B4 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(4) ,
I0 => sig_pass_mux_bus(4) ,
I1 => sig_delay_data_reg(4),
Y => sig_final_mux_bus(4)
);
-- Final Mux Byte 5 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B5_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 5 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B5_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(5) <= '0';
when "001" =>
sig_final_mux_sel(5) <= '1';
when "010" =>
sig_final_mux_sel(5) <= '1';
when "011" =>
sig_final_mux_sel(5) <= '0';
when "100" =>
sig_final_mux_sel(5) <= '0';
when "101" =>
sig_final_mux_sel(5) <= '0';
when "110" =>
sig_final_mux_sel(5) <= '0';
when "111" =>
sig_final_mux_sel(5) <= '0';
when others =>
sig_final_mux_sel(5) <= '0';
end case;
end process MUX2_1_FINAL_B5_CNTL;
I_MUX2_1_FINAL_B5 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(5) ,
I0 => sig_pass_mux_bus(5) ,
I1 => sig_delay_data_reg(5),
Y => sig_final_mux_bus(5)
);
-- Final Mux Byte 6 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B6_CNTL
--
-- Process Description:
-- This process generates the Select Control for Byte 6 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B6_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "000" =>
sig_final_mux_sel(6) <= '0';
when "001" =>
sig_final_mux_sel(6) <= '1';
when "010" =>
sig_final_mux_sel(6) <= '0';
when "011" =>
sig_final_mux_sel(6) <= '0';
when "100" =>
sig_final_mux_sel(6) <= '0';
when "101" =>
sig_final_mux_sel(6) <= '0';
when "110" =>
sig_final_mux_sel(6) <= '0';
when "111" =>
sig_final_mux_sel(6) <= '0';
when others =>
sig_final_mux_sel(6) <= '0';
end case;
end process MUX2_1_FINAL_B6_CNTL;
I_MUX2_1_FINAL_B6 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(6) ,
I0 => sig_pass_mux_bus(6) ,
I1 => sig_delay_data_reg(6),
Y => sig_final_mux_bus(6)
);
-- Final Mux Byte 7 (wire)
sig_final_mux_sel(7) <= '0';
sig_final_mux_bus(7) <= sig_pass_mux_bus(7);
-- End Final Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
end generate GEN_MUXFARM_64;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_MUXFARM_32
--
-- If Generate Description:
-- Support Logic and Mux Farm for 32-bit data path case
--
--
------------------------------------------------------------
GEN_MUXFARM_32 : if (C_DWIDTH = 32) generate
signal sig_cntl_state_32 : std_logic_vector(3 downto 0);
Signal s_case_i_32 : Integer range 0 to 3;
Signal sig_shift_case_i : std_logic_vector(1 downto 0);
Signal sig_shift_case_reg : std_logic_vector(1 downto 0);
Signal sig_final_mux_sel : std_logic_vector(3 downto 0);
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: FIND_MS_STRB_SET_4
--
-- Process Description:
-- This process finds the most significant asserted strobe
-- position. This position is used to enable the input flop
-- for TLAST that is associated with that byte position. The
-- TLAST can then flow through the DRE pipe with the last
-- valid byte of data.
--
-------------------------------------------------------------
FIND_MS_STRB_SET_4 : process (dre_in_tlast,
dre_in_tstrb,
sig_tlast_strobes)
begin
sig_tlast_strobes <= dre_in_tstrb(3 downto 0); -- makes case choice locally static
if (dre_in_tlast = '0') then
sig_tlast_enables <= "0000";
elsif (sig_tlast_strobes(3) = '1') then
sig_tlast_enables <= "1000";
elsif (sig_tlast_strobes(2) = '1') then
sig_tlast_enables <= "0100";
elsif (sig_tlast_strobes(1) = '1') then
sig_tlast_enables <= "0010";
else
sig_tlast_enables <= "0001";
end if;
end process FIND_MS_STRB_SET_4;
---------------------------------------------------------------------------------
-- Shift Case logic
-- The new auto-destination alignment is based on the last
-- strobe alignment written into the output register.
sig_next_auto_dest <= sig_current_dest_align;
-- Select the destination alignment to use
sig_dest_align_i <= sig_next_auto_dest
When (dre_use_autodest = '1')
Else dre_dest_align;
-- Convert shift case to sld_logic_vector
--sig_shift_case_i <= CONV_STD_LOGIC_VECTOR(s_case_i_32, 2);
sig_shift_case_i <= STD_LOGIC_VECTOR(TO_UNSIGNED(s_case_i_32, 2));
-------------------------------------------------------------
-- Combinational Process
--
-- Label: DO_SHIFT_CASE_32
--
-- Process Description:
-- Implements the DRE Control State Calculator
--
-------------------------------------------------------------
DO_SHIFT_CASE_32 : process (dre_src_align ,
sig_dest_align_i,
sig_cntl_state_32)
begin
sig_cntl_state_32 <= dre_src_align(1 downto 0) & sig_dest_align_i(1 downto 0);
case sig_cntl_state_32 is
when "0000" =>
s_case_i_32 <= 0;
when "0001" =>
s_case_i_32 <= 3;
when "0010" =>
s_case_i_32 <= 2;
when "0011" =>
s_case_i_32 <= 1;
when "0100" =>
s_case_i_32 <= 1;
when "0101" =>
s_case_i_32 <= 0;
when "0110" =>
s_case_i_32 <= 3;
when "0111" =>
s_case_i_32 <= 2;
when "1000" =>
s_case_i_32 <= 2;
when "1001" =>
s_case_i_32 <= 1;
when "1010" =>
s_case_i_32 <= 0;
when "1011" =>
s_case_i_32 <= 3;
when "1100" =>
s_case_i_32 <= 3;
when "1101" =>
s_case_i_32 <= 2;
when "1110" =>
s_case_i_32 <= 1;
when "1111" =>
s_case_i_32 <= 0;
when others =>
NULL;
end case;
end process DO_SHIFT_CASE_32;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_SHIFT_CASE
--
-- Process Description:
-- This process registers the Shift Case output from the
-- Shift Case Generator. This will be used to control the
-- select inputs of the Shift Muxes for the duration of the
-- data transfer session. If Pass Through is requested, then
-- Shift Case 0 is forced regardless of source and destination
-- alignment values.
--
-------------------------------------------------------------
REG_SHIFT_CASE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_shift_case_reg <= (others => '0');
elsif (dre_new_align = '1' and
sig_input_accept = '1') then
sig_shift_case_reg <= sig_shift_case_i;
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process REG_SHIFT_CASE;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start PASS Mux Farm Design-------------------------------------------------
-- Pass Mux Byte 0 (wire)
-- This is a wire so.....
sig_pass_mux_bus(0) <= sig_input_data_reg(0);
-- Pass Mux Byte 1 (2-1 x8 Mux)
I_MUX2_1_PASS_B1 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(0),
I0 => sig_input_data_reg(1),
I1 => sig_input_data_reg(0),
Y => sig_pass_mux_bus(1)
);
-- Pass Mux Byte 2 (4-1 x8 Mux)
I_MUX4_1_PASS_B2 : entity axi_datamover_v5_1.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => sig_input_data_reg(2) ,
I1 => ZEROED_SLICE ,
I2 => sig_input_data_reg(0) ,
I3 => sig_input_data_reg(1) ,
Y => sig_pass_mux_bus(2)
);
-- Pass Mux Byte 3 (4-1 x8 Mux)
I_MUX4_1_PASS_B3 : entity axi_datamover_v5_1.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => sig_input_data_reg(3) ,
I1 => sig_input_data_reg(0) ,
I2 => sig_input_data_reg(1) ,
I3 => sig_input_data_reg(2) ,
Y => sig_pass_mux_bus(3)
);
-- End PASS Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Delay Mux Farm Design-------------------------------------------------
-- Delay Mux Byte 0 (4-1 x8 Mux)
I_MUX4_1_DLY_B4 : entity axi_datamover_v5_1.axi_datamover_dre_mux4_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(1 downto 0),
I0 => ZEROED_SLICE ,
I1 => sig_input_data_reg(1) ,
I2 => sig_input_data_reg(2) ,
I3 => sig_input_data_reg(3) ,
Y => sig_delay_mux_bus(0)
);
-- Delay Mux Byte 1 (2-1 x8 Mux)
I_MUX2_1_DLY_B5 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg(0),
I0 => sig_input_data_reg(3),
I1 => sig_input_data_reg(2),
Y => sig_delay_mux_bus(1)
);
-- Delay Mux Byte 2 (Wire)
sig_delay_mux_bus(2) <= sig_input_data_reg(3);
-- Delay Mux Byte 3 (Zeroed)
sig_delay_mux_bus(3) <= ZEROED_SLICE;
-- End Delay Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Final Mux Farm Design-------------------------------------------------
-- Final Mux Slice 0 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B0_CNTL
--
-- Process Description:
-- This process generates the Select Control for Slice 0 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B0_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "00" =>
sig_final_mux_sel(0) <= '0';
when "01" =>
sig_final_mux_sel(0) <= '1';
when "10" =>
sig_final_mux_sel(0) <= '1';
when "11" =>
sig_final_mux_sel(0) <= '1';
when others =>
sig_final_mux_sel(0) <= '0';
end case;
end process MUX2_1_FINAL_B0_CNTL;
I_MUX2_1_FINAL_B0 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(0) ,
I0 => sig_pass_mux_bus(0) ,
I1 => sig_delay_data_reg(0),
Y => sig_final_mux_bus(0)
);
-- Final Mux Slice 1 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B1_CNTL
--
-- Process Description:
-- This process generates the Select Control for slice 1 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B1_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "00" =>
sig_final_mux_sel(1) <= '0';
when "01" =>
sig_final_mux_sel(1) <= '1';
when "10" =>
sig_final_mux_sel(1) <= '1';
when "11" =>
sig_final_mux_sel(1) <= '0';
when others =>
sig_final_mux_sel(1) <= '0';
end case;
end process MUX2_1_FINAL_B1_CNTL;
I_MUX2_1_FINAL_B1 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(1) ,
I0 => sig_pass_mux_bus(1) ,
I1 => sig_delay_data_reg(1),
Y => sig_final_mux_bus(1)
);
-- Final Mux Slice 2 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B2_CNTL
--
-- Process Description:
-- This process generates the Select Control for Slice 2 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B2_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when "00" =>
sig_final_mux_sel(2) <= '0';
when "01" =>
sig_final_mux_sel(2) <= '1';
when "10" =>
sig_final_mux_sel(2) <= '0';
when "11" =>
sig_final_mux_sel(2) <= '0';
when others =>
sig_final_mux_sel(2) <= '0';
end case;
end process MUX2_1_FINAL_B2_CNTL;
I_MUX2_1_FINAL_B2 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(2) ,
I0 => sig_pass_mux_bus(2) ,
I1 => sig_delay_data_reg(2),
Y => sig_final_mux_bus(2)
);
-- Final Mux Slice 3 (wire)
sig_final_mux_sel(3) <= '0';
sig_final_mux_bus(3) <= sig_pass_mux_bus(3);
-- End Final Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
end generate GEN_MUXFARM_32;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_MUXFARM_16
--
-- If Generate Description:
-- Support Logic and Mux Farm for 16-bit data path case
--
--
------------------------------------------------------------
GEN_MUXFARM_16 : if (C_DWIDTH = 16) generate
signal sig_cntl_state_16 : std_logic_vector(1 downto 0);
Signal s_case_i_16 : Integer range 0 to 1;
Signal sig_shift_case_i : std_logic;
Signal sig_shift_case_reg : std_logic;
Signal sig_final_mux_sel : std_logic_vector(1 downto 0);
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: FIND_MS_STRB_SET_2
--
-- Process Description:
-- This process finds the most significant asserted strobe
-- position. This position is used to enable the input flop
-- for TLAST that is associated with that byte position. The
-- TLAST can then flow through the DRE pipe with the last
-- valid byte of data.
--
-------------------------------------------------------------
FIND_MS_STRB_SET_2 : process (dre_in_tlast,
dre_in_tstrb,
sig_tlast_strobes)
begin
sig_tlast_strobes <= dre_in_tstrb(1 downto 0); -- makes case choice locally static
if (dre_in_tlast = '0') then
sig_tlast_enables <= "00";
elsif (sig_tlast_strobes(1) = '1') then
sig_tlast_enables <= "10";
else
sig_tlast_enables <= "01";
end if;
end process FIND_MS_STRB_SET_2;
---------------------------------------------------------------------------------
-- Shift Case logic
-- The new auto-destination alignment is based on the last
-- strobe alignment written into the output register.
sig_next_auto_dest <= sig_current_dest_align;
-- Select the destination alignment to use
sig_dest_align_i <= sig_next_auto_dest
When (dre_use_autodest = '1')
Else dre_dest_align;
-- Convert shift case to std_logic
sig_shift_case_i <= '1'
When s_case_i_16 = 1
Else '0';
-------------------------------------------------------------
-- Combinational Process
--
-- Label: DO_SHIFT_CASE_16
--
-- Process Description:
-- Implements the DRE Control State Calculator
--
-------------------------------------------------------------
DO_SHIFT_CASE_16 : process (dre_src_align ,
sig_dest_align_i,
sig_cntl_state_16)
begin
sig_cntl_state_16 <= dre_src_align(0) & sig_dest_align_i(0);
case sig_cntl_state_16 is
when "00" =>
s_case_i_16 <= 0;
when "01" =>
s_case_i_16 <= 1;
when "10" =>
s_case_i_16 <= 1;
when "11" =>
s_case_i_16 <= 0;
when others =>
NULL;
end case;
end process DO_SHIFT_CASE_16;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_SHIFT_CASE
--
-- Process Description:
-- This process registers the Shift Case output from the
-- Shift Case Generator. This will be used to control the
-- select inputs of the Shift Muxes for the duration of the
-- data transfer session. If Pass Through is requested, then
-- Shift Case 0 is forced regardless of source and destination
-- alignment values.
--
-------------------------------------------------------------
REG_SHIFT_CASE : process (dre_clk)
begin
if (dre_clk'event and dre_clk = '1') then
if (dre_rst = '1') then
sig_shift_case_reg <= '0';
elsif (dre_new_align = '1' and
sig_input_accept = '1') then
sig_shift_case_reg <= sig_shift_case_i;
else
null; -- hold state
end if;
-- else
-- null;
end if;
end process REG_SHIFT_CASE;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start PASS Mux Farm Design-------------------------------------------------
-- Pass Mux Byte 0 (wire)
-- This is a wire so.....
sig_pass_mux_bus(0) <= sig_input_data_reg(0);
-- Pass Mux Byte 1 (2-1 x8 Mux)
I_MUX2_1_PASS_B1 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_shift_case_reg,
I0 => sig_input_data_reg(1),
I1 => sig_input_data_reg(0),
Y => sig_pass_mux_bus(1)
);
-- End PASS Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Delay Mux Farm Design-------------------------------------------------
-- Delay Mux Slice 0 (Wire)
sig_delay_mux_bus(0) <= sig_input_data_reg(1);
-- Delay Mux Slice 1 (Zeroed)
sig_delay_mux_bus(1) <= ZEROED_SLICE;
-- End Delay Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Start Final Mux Farm Design-------------------------------------------------
-- Final Mux Slice 0 (2-1 x8 Mux)
-------------------------------------------------------------
-- Combinational Process
--
-- Label: MUX2_1_FINAL_B0_CNTL
--
-- Process Description:
-- This process generates the Select Control for Slice 0 of
-- the Final 2-1 Mux of the DRE.
--
-------------------------------------------------------------
MUX2_1_FINAL_B0_CNTL : process (sig_shift_case_reg)
begin
case sig_shift_case_reg is
when '0' =>
sig_final_mux_sel(0) <= '0';
when others =>
sig_final_mux_sel(0) <= '1';
end case;
end process MUX2_1_FINAL_B0_CNTL;
I_MUX2_1_FINAL_B0 : entity axi_datamover_v5_1.axi_datamover_dre_mux2_1_x_n
generic map(
C_WIDTH => SLICE_WIDTH
)
port map(
Sel => sig_final_mux_sel(0) ,
I0 => sig_pass_mux_bus(0) ,
I1 => sig_delay_data_reg(0),
Y => sig_final_mux_bus(0)
);
-- Final Mux Slice 1 (wire)
sig_final_mux_sel(1) <= '0';
sig_final_mux_bus(1) <= sig_pass_mux_bus(1);
-- End Final Mux Farm Design---------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
end generate GEN_MUXFARM_16;
end implementation;
| gpl-2.0 |
sukinull/hls_stream | Vivado/example.hls/example.hls.srcs/sources_1/ipshared/xilinx.com/axi_vdma_v6_2/b57990b0/hdl/src/vhdl/axi_vdma_vregister.vhd | 2 | 13328 | -------------------------------------------------------------------------------
-- axi_vdma_vregister
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011, 2013 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_vdma_vregister.vhd
--
-- Description: Top level for video register block. These registers provide
-- the video parameters to the DMA controllers.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- axi_vdma.vhd
-- |- axi_vdma_pkg.vhd
-- |- axi_vdma_intrpt.vhd
-- |- axi_vdma_rst_module.vhd
-- | |- axi_vdma_reset.vhd (mm2s)
-- | | |- axi_vdma_cdc.vhd
-- | |- axi_vdma_reset.vhd (s2mm)
-- | | |- axi_vdma_cdc.vhd
-- |
-- |- axi_vdma_reg_if.vhd
-- | |- axi_vdma_lite_if.vhd
-- | |- axi_vdma_cdc.vhd (mm2s)
-- | |- axi_vdma_cdc.vhd (s2mm)
-- |
-- |- axi_vdma_sg_cdc.vhd (mm2s)
-- |- axi_vdma_vid_cdc.vhd (mm2s)
-- |- axi_vdma_fsync_gen.vhd (mm2s)
-- |- axi_vdma_sof_gen.vhd (mm2s)
-- |- axi_vdma_reg_module.vhd (mm2s)
-- | |- axi_vdma_register.vhd (mm2s)
-- | |- axi_vdma_regdirect.vhd (mm2s)
-- |- axi_vdma_mngr.vhd (mm2s)
-- | |- axi_vdma_sg_if.vhd (mm2s)
-- | |- axi_vdma_sm.vhd (mm2s)
-- | |- axi_vdma_cmdsts_if.vhd (mm2s)
-- | |- axi_vdma_vidreg_module.vhd (mm2s)
-- | | |- axi_vdma_sgregister.vhd (mm2s)
-- | | |- axi_vdma_vregister.vhd (mm2s)
-- | | |- axi_vdma_vaddrreg_mux.vhd (mm2s)
-- | | |- axi_vdma_blkmem.vhd (mm2s)
-- | |- axi_vdma_genlock_mngr.vhd (mm2s)
-- | |- axi_vdma_genlock_mux.vhd (mm2s)
-- | |- axi_vdma_greycoder.vhd (mm2s)
-- |- axi_vdma_mm2s_linebuf.vhd (mm2s)
-- | |- axi_vdma_sfifo_autord.vhd (mm2s)
-- | |- axi_vdma_afifo_autord.vhd (mm2s)
-- | |- axi_vdma_skid_buf.vhd (mm2s)
-- | |- axi_vdma_cdc.vhd (mm2s)
-- |
-- |- axi_vdma_sg_cdc.vhd (s2mm)
-- |- axi_vdma_vid_cdc.vhd (s2mm)
-- |- axi_vdma_fsync_gen.vhd (s2mm)
-- |- axi_vdma_sof_gen.vhd (s2mm)
-- |- axi_vdma_reg_module.vhd (s2mm)
-- | |- axi_vdma_register.vhd (s2mm)
-- | |- axi_vdma_regdirect.vhd (s2mm)
-- |- axi_vdma_mngr.vhd (s2mm)
-- | |- axi_vdma_sg_if.vhd (s2mm)
-- | |- axi_vdma_sm.vhd (s2mm)
-- | |- axi_vdma_cmdsts_if.vhd (s2mm)
-- | |- axi_vdma_vidreg_module.vhd (s2mm)
-- | | |- axi_vdma_sgregister.vhd (s2mm)
-- | | |- axi_vdma_vregister.vhd (s2mm)
-- | | |- axi_vdma_vaddrreg_mux.vhd (s2mm)
-- | | |- axi_vdma_blkmem.vhd (s2mm)
-- | |- axi_vdma_genlock_mngr.vhd (s2mm)
-- | |- axi_vdma_genlock_mux.vhd (s2mm)
-- | |- axi_vdma_greycoder.vhd (s2mm)
-- |- axi_vdma_s2mm_linebuf.vhd (s2mm)
-- | |- axi_vdma_sfifo_autord.vhd (s2mm)
-- | |- axi_vdma_afifo_autord.vhd (s2mm)
-- | |- axi_vdma_skid_buf.vhd (s2mm)
-- | |- axi_vdma_cdc.vhd (s2mm)
-- |
-- |- axi_datamover_v3_00_a.axi_datamover.vhd (FULL)
-- |- axi_sg_v3_00_a.axi_sg.vhd
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library lib_pkg_v1_0;
use lib_pkg_v1_0.lib_pkg.clog2;
use lib_pkg_v1_0.lib_pkg.max2;
library axi_vdma_v6_2;
use axi_vdma_v6_2.axi_vdma_pkg.all;
-------------------------------------------------------------------------------
entity axi_vdma_vregister is
generic(
C_NUM_FSTORES : integer range 1 to 32 := 1 ;
-- Number of Frame Stores
C_ADDR_WIDTH : integer range 32 to 32 := 32
-- Start Address Width
);
port (
prmry_aclk : in std_logic ; --
prmry_resetn : in std_logic ; --
--
-- Video Register Update control --
video_reg_update : in std_logic ; --
--
dmasr_halt : in std_logic ; --
-- Scatter Gather register Bank --
vsize_sg : in std_logic_vector --
(VSIZE_DWIDTH-1 downto 0) ; --
hsize_sg : in std_logic_vector --
(HSIZE_DWIDTH-1 downto 0) ; --
stride_sg : in std_logic_vector --
(STRIDE_DWIDTH-1 downto 0) ; --
frmdly_sg : in std_logic_vector --
(FRMDLY_DWIDTH-1 downto 0) ; --
start_address_sg : in STARTADDR_ARRAY_TYPE --
(0 to C_NUM_FSTORES - 1) ; --
-- Video Register Bank --
vsize_vid : out std_logic_vector --
(VSIZE_DWIDTH-1 downto 0) ; --
hsize_vid : out std_logic_vector --
(HSIZE_DWIDTH-1 downto 0) ; --
stride_vid : out std_logic_vector --
(STRIDE_DWIDTH-1 downto 0) ; --
frmdly_vid : out std_logic_vector --
(FRMDLY_DWIDTH-1 downto 0) ; --
start_address_vid : out STARTADDR_ARRAY_TYPE
(0 to C_NUM_FSTORES - 1) --
);
end axi_vdma_vregister;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_vdma_vregister is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
-- No Constants Declared
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
-- No Signals Declared
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
-- Vertical Size - Video Side
REG_VSIZE : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
vsize_vid <= (others => '0');
-- update video register
elsif(video_reg_update='1') then
vsize_vid <= vsize_sg;
end if;
end if;
end process REG_VSIZE;
-- Horizontal Size - Video Side
REG_HSIZE : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
hsize_vid <= (others => '0');
-- update video register
elsif(video_reg_update='1') then
hsize_vid <= hsize_sg;
end if;
end if;
end process REG_HSIZE;
-- Stride - Video Side
REG_STRIDE : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
stride_vid <= (others => '0');
-- update video register
elsif(video_reg_update='1') then
stride_vid <= stride_sg;
end if;
end if;
end process REG_STRIDE;
-- Frame Delay - Video Side
REG_FRMDLY : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0' or dmasr_halt = '1')then
frmdly_vid <= (others => '0');
-- update video register
elsif(video_reg_update='1') then
frmdly_vid <= frmdly_sg;
end if;
end if;
end process REG_FRMDLY;
-- Generate C_NUM_FSTORE start address registeres
GEN_START_ADDR_REG : for i in 0 to C_NUM_FSTORES-1 generate
begin
-- Start Address Registers
REG_START_ADDR : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
start_address_vid(i) <= (others => '0');
elsif(video_reg_update = '1')then
start_address_vid(i) <= start_address_sg(i);
end if;
end if;
end process REG_START_ADDR;
end generate GEN_START_ADDR_REG;
end implementation;
| gpl-2.0 |
Caneda/Caneda | libraries/hdl/vhdl/synchronous/flipflop d with enable.vhd | 1 | 133 | process(CLK)
begin
if(CLK = '1' and CLK'event) then
if EN = '1' then
Q <= D;
end if;
end if;
end process;
| gpl-2.0 |
nulldozer/purisc | Compute_Group/CORE/execute_stage.vhd | 1 | 2962 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity execute_stage is
port(
clk : in std_logic;
reset_n : in std_logic;
stall : in std_logic;
noop_in : in std_logic;
--inputs
ubranch_in : in std_logic;
cbranch_in : in std_logic;
ex_w_addr : in std_logic_vector(31 downto 0);
ex_w_data : in std_logic_vector(31 downto 0);
ex_we : in std_logic;
start_address : in std_logic_vector(31 downto 0);
a_in : in std_logic_vector(31 downto 0);
b_in : in std_logic_vector(31 downto 0);
c_in : in std_logic_vector(31 downto 0);
addr_a : in std_logic_vector(31 downto 0);
addr_b : in std_logic_vector(31 downto 0);
addr_c : in std_logic_vector(31 downto 0);
next_pc : in std_logic_vector(31 downto 0);
--outputs
cbranch_out : out std_logic;
cbranch_addr : out std_logic_vector(31 downto 0);
-- memory
da_in : in std_logic_vector(31 downto 0);
db_in : in std_logic_vector(31 downto 0);
w_data : out std_logic_vector(31 downto 0);
w_addr : out std_logic_vector(31 downto 0);
we_out : out std_logic
);
end entity;
architecture a1 of execute_stage is
--signals
signal da_fwd : std_logic_vector(31 downto 0);
signal db_fwd : std_logic_vector(31 downto 0);
-- --for self-modifying instruction forwarding
-- signal a_fwd : std_logic_vector(31 downto 0);
-- signal b_fwd : std_logic_vector(31 downto 0);
-- signal c_fwd : std_logic_vector(31 downto 0);
signal sub : signed(31 downto 0);
signal cbranch : std_logic;
signal we : std_logic;
begin
--determine forwarding
da_fwd <= ex_w_data when (a_in = ex_w_addr and ex_we = '1') else da_in;
db_fwd <= ex_w_data when (b_in = ex_w_addr and ex_we = '1') else db_in;
-- --self-modifying instruction forwarding (NOT USED. To enable this, replace instances of a with a_fwd, b with b_fwd ...)
-- a_fwd <= ex_db when (addr_a = ex_b) else a_in;
-- b_fwd <= ex_db when (addr_b = ex_b) else b_in;
-- c_fwd <= ex_db when (addr_c = ex_b) else c_in;
--'execute'
sub <= signed(db_fwd) - signed(da_fwd);
--determine cbranch
cbranch <= '1' when (sub <= 0 and not(noop_in = '1') and not(ubranch_in = '1') and not(next_pc = c_in)) else '0';
--determine whether to write
we <= '1' when (not(noop_in = '1') and not(cbranch_in = '1')) else '0';
process(clk, reset_n, start_address) begin
if(reset_n = '0') then
--initial values
w_data <= "00000000000000000000000000000000";
w_addr <= std_logic_vector(unsigned(start_address) + to_unsigned(7,32)); --as if the third instruction is executing
we_out <= '0';
cbranch_out <= '0';
elsif (rising_edge(clk)) then
if(stall = '0') then
cbranch_out <= cbranch;
cbranch_addr <= c_in;
if(not(noop_in = '1')) then w_addr <= b_in; end if; --to prevent undefined address output after reset
w_data <= std_logic_vector(sub);
we_out <= we;
else
--hold previous outputs on stall (automatic)
end if;
end if;
end process;
end architecture;
| gpl-2.0 |
sukinull/hls_stream | Vivado/example.hls/example.hls.srcs/sources_1/bd/tutorial/ip/tutorial_axi_vdma_0_0/sim/tutorial_axi_vdma_0_0.vhd | 1 | 21337 | -- (c) Copyright 1995-2015 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:axi_vdma:6.2
-- IP Revision: 2
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY axi_vdma_v6_2;
USE axi_vdma_v6_2.axi_vdma;
ENTITY tutorial_axi_vdma_0_0 IS
PORT (
s_axi_lite_aclk : IN STD_LOGIC;
m_axi_mm2s_aclk : IN STD_LOGIC;
m_axis_mm2s_aclk : IN STD_LOGIC;
axi_resetn : IN STD_LOGIC;
s_axi_lite_awvalid : IN STD_LOGIC;
s_axi_lite_awready : OUT STD_LOGIC;
s_axi_lite_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
s_axi_lite_wvalid : IN STD_LOGIC;
s_axi_lite_wready : OUT STD_LOGIC;
s_axi_lite_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_lite_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_lite_bvalid : OUT STD_LOGIC;
s_axi_lite_bready : IN STD_LOGIC;
s_axi_lite_arvalid : IN STD_LOGIC;
s_axi_lite_arready : OUT STD_LOGIC;
s_axi_lite_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
s_axi_lite_rvalid : OUT STD_LOGIC;
s_axi_lite_rready : IN STD_LOGIC;
s_axi_lite_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_lite_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
mm2s_frame_ptr_out : OUT STD_LOGIC_VECTOR(5 DOWNTO 0);
m_axi_mm2s_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_mm2s_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_mm2s_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_mm2s_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_mm2s_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_mm2s_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_mm2s_arvalid : OUT STD_LOGIC;
m_axi_mm2s_arready : IN STD_LOGIC;
m_axi_mm2s_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_mm2s_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_mm2s_rlast : IN STD_LOGIC;
m_axi_mm2s_rvalid : IN STD_LOGIC;
m_axi_mm2s_rready : OUT STD_LOGIC;
m_axis_mm2s_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_mm2s_tkeep : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_mm2s_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_mm2s_tvalid : OUT STD_LOGIC;
m_axis_mm2s_tready : IN STD_LOGIC;
m_axis_mm2s_tlast : OUT STD_LOGIC;
mm2s_introut : OUT STD_LOGIC
);
END tutorial_axi_vdma_0_0;
ARCHITECTURE tutorial_axi_vdma_0_0_arch OF tutorial_axi_vdma_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF tutorial_axi_vdma_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT axi_vdma IS
GENERIC (
C_S_AXI_LITE_ADDR_WIDTH : INTEGER;
C_S_AXI_LITE_DATA_WIDTH : INTEGER;
C_DLYTMR_RESOLUTION : INTEGER;
C_PRMRY_IS_ACLK_ASYNC : INTEGER;
C_ENABLE_VIDPRMTR_READS : INTEGER;
C_DYNAMIC_RESOLUTION : INTEGER;
C_NUM_FSTORES : INTEGER;
C_USE_FSYNC : INTEGER;
C_USE_MM2S_FSYNC : INTEGER;
C_USE_S2MM_FSYNC : INTEGER;
C_FLUSH_ON_FSYNC : INTEGER;
C_INCLUDE_INTERNAL_GENLOCK : INTEGER;
C_INCLUDE_SG : INTEGER;
C_M_AXI_SG_ADDR_WIDTH : INTEGER;
C_M_AXI_SG_DATA_WIDTH : INTEGER;
C_INCLUDE_MM2S : INTEGER;
C_MM2S_GENLOCK_MODE : INTEGER;
C_MM2S_GENLOCK_NUM_MASTERS : INTEGER;
C_MM2S_GENLOCK_REPEAT_EN : INTEGER;
C_MM2S_SOF_ENABLE : INTEGER;
C_INCLUDE_MM2S_DRE : INTEGER;
C_INCLUDE_MM2S_SF : INTEGER;
C_MM2S_LINEBUFFER_DEPTH : INTEGER;
C_MM2S_LINEBUFFER_THRESH : INTEGER;
C_MM2S_MAX_BURST_LENGTH : INTEGER;
C_M_AXI_MM2S_ADDR_WIDTH : INTEGER;
C_M_AXI_MM2S_DATA_WIDTH : INTEGER;
C_M_AXIS_MM2S_TDATA_WIDTH : INTEGER;
C_M_AXIS_MM2S_TUSER_BITS : INTEGER;
C_INCLUDE_S2MM : INTEGER;
C_S2MM_GENLOCK_MODE : INTEGER;
C_S2MM_GENLOCK_NUM_MASTERS : INTEGER;
C_S2MM_GENLOCK_REPEAT_EN : INTEGER;
C_S2MM_SOF_ENABLE : INTEGER;
C_INCLUDE_S2MM_DRE : INTEGER;
C_INCLUDE_S2MM_SF : INTEGER;
C_S2MM_LINEBUFFER_DEPTH : INTEGER;
C_S2MM_LINEBUFFER_THRESH : INTEGER;
C_S2MM_MAX_BURST_LENGTH : INTEGER;
C_M_AXI_S2MM_ADDR_WIDTH : INTEGER;
C_M_AXI_S2MM_DATA_WIDTH : INTEGER;
C_S_AXIS_S2MM_TDATA_WIDTH : INTEGER;
C_S_AXIS_S2MM_TUSER_BITS : INTEGER;
C_ENABLE_DEBUG_ALL : INTEGER;
C_ENABLE_DEBUG_INFO_0 : INTEGER;
C_ENABLE_DEBUG_INFO_1 : INTEGER;
C_ENABLE_DEBUG_INFO_2 : INTEGER;
C_ENABLE_DEBUG_INFO_3 : INTEGER;
C_ENABLE_DEBUG_INFO_4 : INTEGER;
C_ENABLE_DEBUG_INFO_5 : INTEGER;
C_ENABLE_DEBUG_INFO_6 : INTEGER;
C_ENABLE_DEBUG_INFO_7 : INTEGER;
C_ENABLE_DEBUG_INFO_8 : INTEGER;
C_ENABLE_DEBUG_INFO_9 : INTEGER;
C_ENABLE_DEBUG_INFO_10 : INTEGER;
C_ENABLE_DEBUG_INFO_11 : INTEGER;
C_ENABLE_DEBUG_INFO_12 : INTEGER;
C_ENABLE_DEBUG_INFO_13 : INTEGER;
C_ENABLE_DEBUG_INFO_14 : INTEGER;
C_ENABLE_DEBUG_INFO_15 : INTEGER;
C_INSTANCE : STRING;
C_FAMILY : STRING
);
PORT (
s_axi_lite_aclk : IN STD_LOGIC;
m_axi_sg_aclk : IN STD_LOGIC;
m_axi_mm2s_aclk : IN STD_LOGIC;
m_axis_mm2s_aclk : IN STD_LOGIC;
m_axi_s2mm_aclk : IN STD_LOGIC;
s_axis_s2mm_aclk : IN STD_LOGIC;
axi_resetn : IN STD_LOGIC;
s_axi_lite_awvalid : IN STD_LOGIC;
s_axi_lite_awready : OUT STD_LOGIC;
s_axi_lite_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
s_axi_lite_wvalid : IN STD_LOGIC;
s_axi_lite_wready : OUT STD_LOGIC;
s_axi_lite_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_lite_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_lite_bvalid : OUT STD_LOGIC;
s_axi_lite_bready : IN STD_LOGIC;
s_axi_lite_arvalid : IN STD_LOGIC;
s_axi_lite_arready : OUT STD_LOGIC;
s_axi_lite_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
s_axi_lite_rvalid : OUT STD_LOGIC;
s_axi_lite_rready : IN STD_LOGIC;
s_axi_lite_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_lite_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
mm2s_fsync : IN STD_LOGIC;
mm2s_frame_ptr_in : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
mm2s_frame_ptr_out : OUT STD_LOGIC_VECTOR(5 DOWNTO 0);
s2mm_fsync : IN STD_LOGIC;
s2mm_frame_ptr_in : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
s2mm_frame_ptr_out : OUT STD_LOGIC_VECTOR(5 DOWNTO 0);
mm2s_buffer_empty : OUT STD_LOGIC;
mm2s_buffer_almost_empty : OUT STD_LOGIC;
s2mm_buffer_full : OUT STD_LOGIC;
s2mm_buffer_almost_full : OUT STD_LOGIC;
mm2s_fsync_out : OUT STD_LOGIC;
s2mm_fsync_out : OUT STD_LOGIC;
mm2s_prmtr_update : OUT STD_LOGIC;
s2mm_prmtr_update : OUT STD_LOGIC;
m_axi_sg_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_sg_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_sg_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_sg_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_sg_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_sg_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_sg_arvalid : OUT STD_LOGIC;
m_axi_sg_arready : IN STD_LOGIC;
m_axi_sg_rdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_sg_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_sg_rlast : IN STD_LOGIC;
m_axi_sg_rvalid : IN STD_LOGIC;
m_axi_sg_rready : OUT STD_LOGIC;
m_axi_mm2s_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_mm2s_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_mm2s_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_mm2s_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_mm2s_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_mm2s_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_mm2s_arvalid : OUT STD_LOGIC;
m_axi_mm2s_arready : IN STD_LOGIC;
m_axi_mm2s_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_mm2s_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_mm2s_rlast : IN STD_LOGIC;
m_axi_mm2s_rvalid : IN STD_LOGIC;
m_axi_mm2s_rready : OUT STD_LOGIC;
mm2s_prmry_reset_out_n : OUT STD_LOGIC;
m_axis_mm2s_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_mm2s_tkeep : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_mm2s_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_mm2s_tvalid : OUT STD_LOGIC;
m_axis_mm2s_tready : IN STD_LOGIC;
m_axis_mm2s_tlast : OUT STD_LOGIC;
m_axi_s2mm_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_s2mm_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_s2mm_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_s2mm_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_s2mm_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_s2mm_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_s2mm_awvalid : OUT STD_LOGIC;
m_axi_s2mm_awready : IN STD_LOGIC;
m_axi_s2mm_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_s2mm_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_s2mm_wlast : OUT STD_LOGIC;
m_axi_s2mm_wvalid : OUT STD_LOGIC;
m_axi_s2mm_wready : IN STD_LOGIC;
m_axi_s2mm_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_s2mm_bvalid : IN STD_LOGIC;
m_axi_s2mm_bready : OUT STD_LOGIC;
s2mm_prmry_reset_out_n : OUT STD_LOGIC;
s_axis_s2mm_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_s2mm_tkeep : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axis_s2mm_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_s2mm_tvalid : IN STD_LOGIC;
s_axis_s2mm_tready : OUT STD_LOGIC;
s_axis_s2mm_tlast : IN STD_LOGIC;
mm2s_introut : OUT STD_LOGIC;
s2mm_introut : OUT STD_LOGIC;
axi_vdma_tstvec : OUT STD_LOGIC_VECTOR(63 DOWNTO 0)
);
END COMPONENT axi_vdma;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 S_AXI_LITE_ACLK CLK";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 M_AXI_MM2S_ACLK CLK";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 M_AXIS_MM2S_ACLK CLK";
ATTRIBUTE X_INTERFACE_INFO OF axi_resetn: SIGNAL IS "xilinx.com:signal:reset:1.0 AXI_RESETN RST";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE AWVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE AWREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE AWADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE WVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE WREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE WDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE BRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE BVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE BREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE ARVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE ARREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE ARADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RRESP";
ATTRIBUTE X_INTERFACE_INFO OF mm2s_frame_ptr_out: SIGNAL IS "xilinx.com:signal:video_frame_ptr:1.0 MM2S_FRAME_PTR_OUT FRAME_PTR";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARADDR";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARLEN";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARSIZE";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARBURST";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARPROT";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arcache: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARCACHE";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARREADY";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RRESP";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RLAST";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RREADY";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tkeep: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TKEEP";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tuser: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TUSER";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TREADY";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tlast: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TLAST";
ATTRIBUTE X_INTERFACE_INFO OF mm2s_introut: SIGNAL IS "xilinx.com:signal:interrupt:1.0 MM2S_INTROUT INTERRUPT";
BEGIN
U0 : axi_vdma
GENERIC MAP (
C_S_AXI_LITE_ADDR_WIDTH => 9,
C_S_AXI_LITE_DATA_WIDTH => 32,
C_DLYTMR_RESOLUTION => 125,
C_PRMRY_IS_ACLK_ASYNC => 1,
C_ENABLE_VIDPRMTR_READS => 1,
C_DYNAMIC_RESOLUTION => 1,
C_NUM_FSTORES => 3,
C_USE_FSYNC => 1,
C_USE_MM2S_FSYNC => 0,
C_USE_S2MM_FSYNC => 2,
C_FLUSH_ON_FSYNC => 1,
C_INCLUDE_INTERNAL_GENLOCK => 1,
C_INCLUDE_SG => 0,
C_M_AXI_SG_ADDR_WIDTH => 32,
C_M_AXI_SG_DATA_WIDTH => 32,
C_INCLUDE_MM2S => 1,
C_MM2S_GENLOCK_MODE => 0,
C_MM2S_GENLOCK_NUM_MASTERS => 1,
C_MM2S_GENLOCK_REPEAT_EN => 0,
C_MM2S_SOF_ENABLE => 1,
C_INCLUDE_MM2S_DRE => 1,
C_INCLUDE_MM2S_SF => 0,
C_MM2S_LINEBUFFER_DEPTH => 4096,
C_MM2S_LINEBUFFER_THRESH => 4,
C_MM2S_MAX_BURST_LENGTH => 8,
C_M_AXI_MM2S_ADDR_WIDTH => 32,
C_M_AXI_MM2S_DATA_WIDTH => 64,
C_M_AXIS_MM2S_TDATA_WIDTH => 32,
C_M_AXIS_MM2S_TUSER_BITS => 1,
C_INCLUDE_S2MM => 0,
C_S2MM_GENLOCK_MODE => 0,
C_S2MM_GENLOCK_NUM_MASTERS => 1,
C_S2MM_GENLOCK_REPEAT_EN => 1,
C_S2MM_SOF_ENABLE => 1,
C_INCLUDE_S2MM_DRE => 0,
C_INCLUDE_S2MM_SF => 1,
C_S2MM_LINEBUFFER_DEPTH => 512,
C_S2MM_LINEBUFFER_THRESH => 4,
C_S2MM_MAX_BURST_LENGTH => 8,
C_M_AXI_S2MM_ADDR_WIDTH => 32,
C_M_AXI_S2MM_DATA_WIDTH => 64,
C_S_AXIS_S2MM_TDATA_WIDTH => 32,
C_S_AXIS_S2MM_TUSER_BITS => 1,
C_ENABLE_DEBUG_ALL => 0,
C_ENABLE_DEBUG_INFO_0 => 0,
C_ENABLE_DEBUG_INFO_1 => 0,
C_ENABLE_DEBUG_INFO_2 => 0,
C_ENABLE_DEBUG_INFO_3 => 0,
C_ENABLE_DEBUG_INFO_4 => 0,
C_ENABLE_DEBUG_INFO_5 => 0,
C_ENABLE_DEBUG_INFO_6 => 0,
C_ENABLE_DEBUG_INFO_7 => 0,
C_ENABLE_DEBUG_INFO_8 => 0,
C_ENABLE_DEBUG_INFO_9 => 0,
C_ENABLE_DEBUG_INFO_10 => 0,
C_ENABLE_DEBUG_INFO_11 => 0,
C_ENABLE_DEBUG_INFO_12 => 0,
C_ENABLE_DEBUG_INFO_13 => 0,
C_ENABLE_DEBUG_INFO_14 => 0,
C_ENABLE_DEBUG_INFO_15 => 0,
C_INSTANCE => "axi_vdma",
C_FAMILY => "zynq"
)
PORT MAP (
s_axi_lite_aclk => s_axi_lite_aclk,
m_axi_sg_aclk => '0',
m_axi_mm2s_aclk => m_axi_mm2s_aclk,
m_axis_mm2s_aclk => m_axis_mm2s_aclk,
m_axi_s2mm_aclk => '0',
s_axis_s2mm_aclk => '0',
axi_resetn => axi_resetn,
s_axi_lite_awvalid => s_axi_lite_awvalid,
s_axi_lite_awready => s_axi_lite_awready,
s_axi_lite_awaddr => s_axi_lite_awaddr,
s_axi_lite_wvalid => s_axi_lite_wvalid,
s_axi_lite_wready => s_axi_lite_wready,
s_axi_lite_wdata => s_axi_lite_wdata,
s_axi_lite_bresp => s_axi_lite_bresp,
s_axi_lite_bvalid => s_axi_lite_bvalid,
s_axi_lite_bready => s_axi_lite_bready,
s_axi_lite_arvalid => s_axi_lite_arvalid,
s_axi_lite_arready => s_axi_lite_arready,
s_axi_lite_araddr => s_axi_lite_araddr,
s_axi_lite_rvalid => s_axi_lite_rvalid,
s_axi_lite_rready => s_axi_lite_rready,
s_axi_lite_rdata => s_axi_lite_rdata,
s_axi_lite_rresp => s_axi_lite_rresp,
mm2s_fsync => '0',
mm2s_frame_ptr_in => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)),
mm2s_frame_ptr_out => mm2s_frame_ptr_out,
s2mm_fsync => '0',
s2mm_frame_ptr_in => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)),
m_axi_sg_arready => '0',
m_axi_sg_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
m_axi_sg_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_sg_rlast => '0',
m_axi_sg_rvalid => '0',
m_axi_mm2s_araddr => m_axi_mm2s_araddr,
m_axi_mm2s_arlen => m_axi_mm2s_arlen,
m_axi_mm2s_arsize => m_axi_mm2s_arsize,
m_axi_mm2s_arburst => m_axi_mm2s_arburst,
m_axi_mm2s_arprot => m_axi_mm2s_arprot,
m_axi_mm2s_arcache => m_axi_mm2s_arcache,
m_axi_mm2s_arvalid => m_axi_mm2s_arvalid,
m_axi_mm2s_arready => m_axi_mm2s_arready,
m_axi_mm2s_rdata => m_axi_mm2s_rdata,
m_axi_mm2s_rresp => m_axi_mm2s_rresp,
m_axi_mm2s_rlast => m_axi_mm2s_rlast,
m_axi_mm2s_rvalid => m_axi_mm2s_rvalid,
m_axi_mm2s_rready => m_axi_mm2s_rready,
m_axis_mm2s_tdata => m_axis_mm2s_tdata,
m_axis_mm2s_tkeep => m_axis_mm2s_tkeep,
m_axis_mm2s_tuser => m_axis_mm2s_tuser,
m_axis_mm2s_tvalid => m_axis_mm2s_tvalid,
m_axis_mm2s_tready => m_axis_mm2s_tready,
m_axis_mm2s_tlast => m_axis_mm2s_tlast,
m_axi_s2mm_awready => '0',
m_axi_s2mm_wready => '0',
m_axi_s2mm_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_s2mm_bvalid => '0',
s_axis_s2mm_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axis_s2mm_tkeep => X"F",
s_axis_s2mm_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_s2mm_tvalid => '0',
s_axis_s2mm_tlast => '0',
mm2s_introut => mm2s_introut
);
END tutorial_axi_vdma_0_0_arch;
| gpl-2.0 |
straywarrior/MadeCPUin21days | ID_EXE_REG.vhd | 1 | 4006 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer: StrayWarrior
--
-- Create Date: 14:18:20 11/14/2015
-- Design Name:
-- Module Name: ID_EXE_REG - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity ID_EXE_REG is
Port ( clk : in STD_LOGIC;
reset : in STD_LOGIC;
clear : in STD_LOGIC;
stall : in STD_LOGIC;
RegWE_in : in STD_LOGIC;
RegDest_in : in STD_LOGIC_VECTOR (3 downto 0);
MemRd_in : in STD_LOGIC;
MemWE_in : in STD_LOGIC;
MemDIn_in : in STD_LOGIC_VECTOR (15 downto 0);
opcode_in : in STD_LOGIC_VECTOR (3 downto 0);
operandA_in : in STD_LOGIC_VECTOR (15 downto 0);
operandB_in : in STD_LOGIC_VECTOR (15 downto 0);
RegOpA_in : in STD_LOGIC_VECTOR (3 downto 0);
RegOpB_in : in STD_LOGIC_VECTOR (3 downto 0);
RegMemDIn_in : in STD_LOGIC_VECTOR (3 downto 0);
RegWE_out : out STD_LOGIC;
RegDest_out : out STD_LOGIC_VECTOR (3 downto 0);
MemRd_out : out STD_LOGIC;
MemWE_out : out STD_LOGIC;
MemDIn_out : out STD_LOGIC_VECTOR (15 downto 0);
RegMemDIn_out : out STD_LOGIC_VECTOR (3 downto 0);
opcode_out : out STD_LOGIC_VECTOR (3 downto 0);
operandA_out : out STD_LOGIC_VECTOR (15 downto 0);
operandB_out : out STD_LOGIC_VECTOR (15 downto 0);
RegOpA_out : out STD_LOGIC_VECTOR (3 downto 0);
RegOpB_out : out STD_LOGIC_VECTOR (3 downto 0)
);
end ID_EXE_REG;
architecture Behavioral of ID_EXE_REG is
begin
process (clear, reset, clk)
begin
if (reset = '0') then
RegWE_out <= '0';
RegDest_out <= (others => '1');
MemRd_out <= '0';
MemWE_out <= '0';
MemDIn_out <= (others => '0');
RegMemDIn_out <= (others => '0');
opcode_out <= (others => '1');
operandA_out <= (others => '0');
operandB_out <= (others => '0');
RegOpA_out <= (others => '1');
RegOpB_out <= (others => '1');
elsif (clk'event and clk = '1') then
if (clear = '0' and stall = '0') then
RegWE_out <= RegWE_in;
RegDest_out <= RegDest_in;
MemRd_out <= MemRd_in;
MemWE_out <= MemWE_in;
MemDIn_out <= MemDIn_in;
opcode_out <= opcode_in;
operandA_out <= operandA_in;
operandB_out <= operandB_in;
RegOpA_out <= RegOpA_in;
RegOpB_out <= RegOpB_in;
RegMemDIn_out <= RegMemDIn_in;
elsif (clear = '1' and stall = '0') then
RegWE_out <= '0';
RegDest_out <= (others => '1');
MemRd_out <= '0';
MemWE_out <= '0';
MemDIn_out <= (others => '0');
RegMemDIn_out <= (others => '0');
opcode_out <= (others => '1');
operandA_out <= (others => '0');
operandB_out <= (others => '0');
RegOpA_out <= (others => '1');
RegOpB_out <= (others => '1');
else
-- Insert a bubble here
null;
end if;
end if;
end process;
end Behavioral;
| gpl-2.0 |
nulldozer/purisc | Compute_Group/MAGIC_clocked/RAM_3.vhd | 1 | 10399 | -- megafunction wizard: %RAM: 2-PORT%
-- GENERATION: STANDARD
-- VERSION: WM1.0
-- MODULE: altsyncram
-- ============================================================
-- File Name: RAM_3.vhd
-- Megafunction Name(s):
-- altsyncram
--
-- Simulation Library Files(s):
-- altera_mf
-- ============================================================
-- ************************************************************
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
--
-- 14.0.0 Build 200 06/17/2014 SJ Web Edition
-- ************************************************************
--Copyright (C) 1991-2014 Altera Corporation. All rights reserved.
--Your use of Altera Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--functions, and any output files from any of the foregoing
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--to the terms and conditions of the Altera Program License
--Subscription Agreement, the Altera Quartus II License Agreement,
--the Altera MegaCore Function License Agreement, or other
--applicable license agreement, including, without limitation,
--that your use is for the sole purpose of programming logic
--devices manufactured by Altera and sold by Altera or its
--authorized distributors. Please refer to the applicable
--agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY altera_mf;
USE altera_mf.altera_mf_components.all;
ENTITY RAM_3 IS
PORT
(
aclr : IN STD_LOGIC := '0';
address_a : IN STD_LOGIC_VECTOR (9 DOWNTO 0);
address_b : IN STD_LOGIC_VECTOR (9 DOWNTO 0);
clock : IN STD_LOGIC := '1';
data_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
data_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
wren_a : IN STD_LOGIC := '0';
wren_b : IN STD_LOGIC := '0';
q_a : OUT STD_LOGIC_VECTOR (31 DOWNTO 0);
q_b : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)
);
END RAM_3;
ARCHITECTURE SYN OF ram_3 IS
SIGNAL sub_wire0 : STD_LOGIC_VECTOR (31 DOWNTO 0);
SIGNAL sub_wire1 : STD_LOGIC_VECTOR (31 DOWNTO 0);
BEGIN
q_a <= sub_wire0(31 DOWNTO 0);
q_b <= sub_wire1(31 DOWNTO 0);
altsyncram_component : altsyncram
GENERIC MAP (
address_reg_b => "CLOCK0",
clock_enable_input_a => "BYPASS",
clock_enable_input_b => "BYPASS",
clock_enable_output_a => "BYPASS",
clock_enable_output_b => "BYPASS",
indata_reg_b => "CLOCK0",
init_file => "RAM_3.mif",
intended_device_family => "Cyclone IV E",
lpm_type => "altsyncram",
numwords_a => 1024,
numwords_b => 1024,
operation_mode => "BIDIR_DUAL_PORT",
outdata_aclr_a => "CLEAR0",
outdata_aclr_b => "CLEAR0",
outdata_reg_a => "UNREGISTERED",
outdata_reg_b => "UNREGISTERED",
power_up_uninitialized => "FALSE",
read_during_write_mode_mixed_ports => "OLD_DATA",
read_during_write_mode_port_a => "NEW_DATA_NO_NBE_READ",
read_during_write_mode_port_b => "NEW_DATA_NO_NBE_READ",
widthad_a => 10,
widthad_b => 10,
width_a => 32,
width_b => 32,
width_byteena_a => 1,
width_byteena_b => 1,
wrcontrol_wraddress_reg_b => "CLOCK0"
)
PORT MAP (
aclr0 => aclr,
address_a => address_a,
address_b => address_b,
clock0 => clock,
data_a => data_a,
data_b => data_b,
wren_a => wren_a,
wren_b => wren_b,
q_a => sub_wire0,
q_b => sub_wire1
);
END SYN;
-- ============================================================
-- CNX file retrieval info
-- ============================================================
-- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
-- Retrieval info: PRIVATE: ADDRESSSTALL_B NUMERIC "0"
-- Retrieval info: PRIVATE: BYTEENA_ACLR_A NUMERIC "0"
-- Retrieval info: PRIVATE: BYTEENA_ACLR_B NUMERIC "0"
-- Retrieval info: PRIVATE: BYTE_ENABLE_A NUMERIC "0"
-- Retrieval info: PRIVATE: BYTE_ENABLE_B NUMERIC "0"
-- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"
-- Retrieval info: PRIVATE: BlankMemory NUMERIC "0"
-- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0"
-- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_B NUMERIC "0"
-- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0"
-- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_B NUMERIC "0"
-- Retrieval info: PRIVATE: CLRdata NUMERIC "0"
-- Retrieval info: PRIVATE: CLRq NUMERIC "1"
-- Retrieval info: PRIVATE: CLRrdaddress NUMERIC "0"
-- Retrieval info: PRIVATE: CLRrren NUMERIC "0"
-- Retrieval info: PRIVATE: CLRwraddress NUMERIC "0"
-- Retrieval info: PRIVATE: CLRwren NUMERIC "0"
-- Retrieval info: PRIVATE: Clock NUMERIC "0"
-- Retrieval info: PRIVATE: Clock_A NUMERIC "0"
-- Retrieval info: PRIVATE: Clock_B NUMERIC "0"
-- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0"
-- Retrieval info: PRIVATE: INDATA_ACLR_B NUMERIC "0"
-- Retrieval info: PRIVATE: INDATA_REG_B NUMERIC "1"
-- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A"
-- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0"
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
-- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0"
-- Retrieval info: PRIVATE: JTAG_ID STRING "NONE"
-- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
-- Retrieval info: PRIVATE: MEMSIZE NUMERIC "32768"
-- Retrieval info: PRIVATE: MEM_IN_BITS NUMERIC "0"
-- Retrieval info: PRIVATE: MIFfilename STRING "RAM_3.mif"
-- Retrieval info: PRIVATE: OPERATION_MODE NUMERIC "3"
-- Retrieval info: PRIVATE: OUTDATA_ACLR_B NUMERIC "1"
-- Retrieval info: PRIVATE: OUTDATA_REG_B NUMERIC "0"
-- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
-- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_MIXED_PORTS NUMERIC "1"
-- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "3"
-- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_B NUMERIC "3"
-- Retrieval info: PRIVATE: REGdata NUMERIC "1"
-- Retrieval info: PRIVATE: REGq NUMERIC "0"
-- Retrieval info: PRIVATE: REGrdaddress NUMERIC "0"
-- Retrieval info: PRIVATE: REGrren NUMERIC "0"
-- Retrieval info: PRIVATE: REGwraddress NUMERIC "1"
-- Retrieval info: PRIVATE: REGwren NUMERIC "1"
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
-- Retrieval info: PRIVATE: USE_DIFF_CLKEN NUMERIC "0"
-- Retrieval info: PRIVATE: UseDPRAM NUMERIC "1"
-- Retrieval info: PRIVATE: VarWidth NUMERIC "0"
-- Retrieval info: PRIVATE: WIDTH_READ_A NUMERIC "32"
-- Retrieval info: PRIVATE: WIDTH_READ_B NUMERIC "32"
-- Retrieval info: PRIVATE: WIDTH_WRITE_A NUMERIC "32"
-- Retrieval info: PRIVATE: WIDTH_WRITE_B NUMERIC "32"
-- Retrieval info: PRIVATE: WRADDR_ACLR_B NUMERIC "0"
-- Retrieval info: PRIVATE: WRADDR_REG_B NUMERIC "1"
-- Retrieval info: PRIVATE: WRCTRL_ACLR_B NUMERIC "0"
-- Retrieval info: PRIVATE: enable NUMERIC "0"
-- Retrieval info: PRIVATE: rden NUMERIC "0"
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
-- Retrieval info: CONSTANT: ADDRESS_REG_B STRING "CLOCK0"
-- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS"
-- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_B STRING "BYPASS"
-- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS"
-- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_B STRING "BYPASS"
-- Retrieval info: CONSTANT: INDATA_REG_B STRING "CLOCK0"
-- Retrieval info: CONSTANT: INIT_FILE STRING "RAM_3.mif"
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
-- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
-- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "1024"
-- Retrieval info: CONSTANT: NUMWORDS_B NUMERIC "1024"
-- Retrieval info: CONSTANT: OPERATION_MODE STRING "BIDIR_DUAL_PORT"
-- Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "CLEAR0"
-- Retrieval info: CONSTANT: OUTDATA_ACLR_B STRING "CLEAR0"
-- Retrieval info: CONSTANT: OUTDATA_REG_A STRING "UNREGISTERED"
-- Retrieval info: CONSTANT: OUTDATA_REG_B STRING "UNREGISTERED"
-- Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE"
-- Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_MIXED_PORTS STRING "OLD_DATA"
-- Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_PORT_A STRING "NEW_DATA_NO_NBE_READ"
-- Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_PORT_B STRING "NEW_DATA_NO_NBE_READ"
-- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "10"
-- Retrieval info: CONSTANT: WIDTHAD_B NUMERIC "10"
-- Retrieval info: CONSTANT: WIDTH_A NUMERIC "32"
-- Retrieval info: CONSTANT: WIDTH_B NUMERIC "32"
-- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1"
-- Retrieval info: CONSTANT: WIDTH_BYTEENA_B NUMERIC "1"
-- Retrieval info: CONSTANT: WRCONTROL_WRADDRESS_REG_B STRING "CLOCK0"
-- Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT GND "aclr"
-- Retrieval info: USED_PORT: address_a 0 0 10 0 INPUT NODEFVAL "address_a[9..0]"
-- Retrieval info: USED_PORT: address_b 0 0 10 0 INPUT NODEFVAL "address_b[9..0]"
-- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock"
-- Retrieval info: USED_PORT: data_a 0 0 32 0 INPUT NODEFVAL "data_a[31..0]"
-- Retrieval info: USED_PORT: data_b 0 0 32 0 INPUT NODEFVAL "data_b[31..0]"
-- Retrieval info: USED_PORT: q_a 0 0 32 0 OUTPUT NODEFVAL "q_a[31..0]"
-- Retrieval info: USED_PORT: q_b 0 0 32 0 OUTPUT NODEFVAL "q_b[31..0]"
-- Retrieval info: USED_PORT: wren_a 0 0 0 0 INPUT GND "wren_a"
-- Retrieval info: USED_PORT: wren_b 0 0 0 0 INPUT GND "wren_b"
-- Retrieval info: CONNECT: @aclr0 0 0 0 0 aclr 0 0 0 0
-- Retrieval info: CONNECT: @address_a 0 0 10 0 address_a 0 0 10 0
-- Retrieval info: CONNECT: @address_b 0 0 10 0 address_b 0 0 10 0
-- Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0
-- Retrieval info: CONNECT: @data_a 0 0 32 0 data_a 0 0 32 0
-- Retrieval info: CONNECT: @data_b 0 0 32 0 data_b 0 0 32 0
-- Retrieval info: CONNECT: @wren_a 0 0 0 0 wren_a 0 0 0 0
-- Retrieval info: CONNECT: @wren_b 0 0 0 0 wren_b 0 0 0 0
-- Retrieval info: CONNECT: q_a 0 0 32 0 @q_a 0 0 32 0
-- Retrieval info: CONNECT: q_b 0 0 32 0 @q_b 0 0 32 0
-- Retrieval info: GEN_FILE: TYPE_NORMAL RAM_3.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL RAM_3.inc FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL RAM_3.cmp TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL RAM_3.bsf FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL RAM_3_inst.vhd FALSE
-- Retrieval info: LIB_FILE: altera_mf
| gpl-2.0 |
nulldozer/purisc | Global_memory/MAGIC_global/SELECTOR_global.vhd | 2 | 4533 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity SELECTOR_global is
PORT(
CLK : IN STD_LOGIC;
RESET_n : IN STD_LOGIC;
OPCODE : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
EQUALITY : OUT STD_LOGIC;
sel_A_0 : OUT STD_LOGIC;
sel_B_0 : OUT STD_LOGIC;
sel_C_0 : OUT STD_LOGIC;
sel_D_0 : OUT STD_LOGIC;
sel_E_0 : OUT STD_LOGIC;
sel_W_0 : OUT STD_LOGIC;
sel_A_1 : OUT STD_LOGIC;
sel_B_1 : OUT STD_LOGIC;
sel_C_1 : OUT STD_LOGIC;
sel_D_1 : OUT STD_LOGIC;
sel_E_1 : OUT STD_LOGIC;
sel_W_1 : OUT STD_LOGIC
);
end;
architecture input_select of SELECTOR_global is
signal opcode_sig : std_logic_vector (5 downto 0) := "000000";
signal serviced_vector : std_logic_vector (5 downto 0) := "000000";
signal equality_vector : std_logic_vector (5 downto 0) := "111111";
signal equality_extend : std_logic_vector (5 downto 0) := "111111";
signal equality_signal : std_logic := '1';
signal equality_buff : std_logic;
signal to_service_next : std_logic_vector (5 downto 0) := "000000";
signal hazard_to_mask : std_logic_vector (5 downto 0) := "111111";
signal hazard_mask : std_logic_vector (5 downto 0) := "111111";
signal SEL_A_0_sig : std_logic;
signal SEL_B_0_sig : std_logic;
signal SEL_C_0_sig : std_logic;
signal SEL_D_0_sig : std_logic;
signal SEL_E_0_sig : std_logic;
signal SEL_W_0_sig : std_logic;
signal SEL_A_1_sig : std_logic;
signal SEL_B_1_sig : std_logic;
signal SEL_C_1_sig : std_logic;
signal SEL_D_1_sig : std_logic;
signal SEL_E_1_sig : std_logic;
signal SEL_W_1_sig : std_logic;
begin
sel_A_0_sig <= opcode_sig(5) and RESET_n;
sel_B_0_sig <= opcode_sig(4) and (not opcode_sig(5)) and RESET_n;
sel_C_0_sig <= opcode_sig(3) and (not (opcode_sig(5) or opcode_sig(4))) and RESET_n;
sel_D_0_sig <= opcode_sig(2) and (not (opcode_sig(5) or opcode_sig(4) or opcode_sig(3))) and RESET_n;
sel_E_0_sig <= opcode_sig(1) and (not (opcode_sig(5) or opcode_sig(4) or opcode_sig(3) or opcode_sig(2))) and RESET_n;
sel_W_0_sig <= opcode_sig(0) and (not (opcode_sig(5) or opcode_sig(4) or opcode_sig(3) or opcode_sig(2) or opcode_sig(1))) and RESET_n;
sel_W_1_sig <= opcode_sig(0) and RESET_n;
sel_E_1_sig <= opcode_sig(1) and (not opcode_sig(0)) and RESET_n;
sel_D_1_sig <= opcode_sig(2) and (not (opcode_sig(1) or opcode_sig(0))) and RESET_n;
sel_C_1_sig <= opcode_sig(3) and (not (opcode_sig(2) or opcode_sig(1) or opcode_sig(0))) and RESET_n;
sel_B_1_sig <= opcode_sig(4) and (not (opcode_sig(3) or opcode_sig(2) or opcode_sig(1) or opcode_sig(0))) and RESET_n;
sel_A_1_sig <= opcode_sig(5) and (not (opcode_sig(4) or opcode_sig(3) or opcode_sig(2) or opcode_sig(1) or opcode_sig(0))) and RESET_n;
-- opcode_sig <= OPCODE and hazard_mask;
-- opcode_sig <= OPCODE;
opcode_sig <= (OPCODE(5) and hazard_mask(5)) & (OPCODE(4) and hazard_mask(4)) & (OPCODE(3) and hazard_mask(3)) &
(OPCODE(2) and hazard_mask(2)) & (OPCODE(1) and hazard_mask(1)) & (OPCODE(0) and hazard_mask(0));
serviced_vector <= (sel_A_0_sig or sel_A_1_sig ) &
(sel_B_0_sig or sel_B_1_sig ) &
(sel_C_0_sig or sel_C_1_sig ) &
(sel_D_0_sig or sel_D_1_sig ) &
(sel_E_0_sig or sel_E_1_sig ) &
(sel_W_0_sig or sel_W_1_sig );
to_service_next <= serviced_vector xor opcode_sig;
equality_vector <= opcode_sig xor (not serviced_vector);
hazard_to_mask <= to_service_next xor equality_extend;
hazard_latching : process (CLK, RESET_n) begin
if (RESET_n = '0') then
hazard_mask <= "111111";
elsif (rising_edge(CLK)) then
hazard_mask <= hazard_to_mask;
end if;
end process;
equality_extend <= equality_signal & equality_signal & equality_signal & equality_signal & equality_signal & equality_signal;
equality_signal <= equality_vector(5) and equality_vector(4) and equality_vector(3) and equality_vector(2) and equality_vector(1) and equality_vector(0);
-- derp : process (CLK) begin
-- if (falling_edge(CLK)) then
-- equality_buff <= equality_signal;
-- end if;
-- end process;
EQUALITY <= equality_signal; --used to be equality_signal
SEL_A_0 <= SEL_A_0_sig;
SEL_B_0 <= SEL_B_0_sig;
SEL_C_0 <= SEL_C_0_sig;
SEL_D_0 <= SEL_D_0_sig;
SEL_E_0 <= SEL_E_0_sig;
SEL_W_0 <= SEL_W_0_sig;
SEL_A_1 <= SEL_A_1_sig;
SEL_B_1 <= SEL_B_1_sig;
SEL_C_1 <= SEL_C_1_sig;
SEL_D_1 <= SEL_D_1_sig;
SEL_E_1 <= SEL_E_1_sig;
SEL_W_1 <= SEL_W_1_sig;
end; | gpl-2.0 |
freecores/t48 | rtl/vhdl/system/t48_system_comp_pack-p.vhd | 1 | 5824 | -------------------------------------------------------------------------------
--
-- $Id: t48_system_comp_pack-p.vhd,v 1.8 2006-06-20 00:47:08 arniml Exp $
--
-- Copyright (c) 2004, Arnim Laeuger ([email protected])
--
-- All rights reserved
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
package t48_system_comp_pack is
component t48_wb_master
port (
xtal_i : in std_logic;
res_i : in std_logic;
en_clk_o : out std_logic;
ale_i : in std_logic;
rd_n_i : in std_logic;
wr_n_i : in std_logic;
adr_i : in std_logic;
db_bus_i : in std_logic_vector( 7 downto 0);
db_bus_o : out std_logic_vector( 7 downto 0);
wb_cyc_o : out std_logic;
wb_stb_o : out std_logic;
wb_we_o : out std_logic;
wb_adr_o : out std_logic_vector(23 downto 0);
wb_ack_i : in std_logic;
wb_dat_i : in std_logic_vector( 7 downto 0);
wb_dat_o : out std_logic_vector( 7 downto 0)
);
end component;
component t8048_notri
generic (
gate_port_input_g : integer := 1
);
port (
xtal_i : in std_logic;
xtal_en_i : in std_logic;
reset_n_i : in std_logic;
t0_i : in std_logic;
t0_o : out std_logic;
t0_dir_o : out std_logic;
int_n_i : in std_logic;
ea_i : in std_logic;
rd_n_o : out std_logic;
psen_n_o : out std_logic;
wr_n_o : out std_logic;
ale_o : out std_logic;
db_i : in std_logic_vector( 7 downto 0);
db_o : out std_logic_vector( 7 downto 0);
db_dir_o : out std_logic;
t1_i : in std_logic;
p2_i : in std_logic_vector( 7 downto 0);
p2_o : out std_logic_vector( 7 downto 0);
p2l_low_imp_o : out std_logic;
p2h_low_imp_o : out std_logic;
p1_i : in std_logic_vector( 7 downto 0);
p1_o : out std_logic_vector( 7 downto 0);
p1_low_imp_o : out std_logic;
prog_n_o : out std_logic
);
end component;
component t8039_notri
generic (
gate_port_input_g : integer := 1
);
port (
xtal_i : in std_logic;
xtal_en_i : in std_logic;
reset_n_i : in std_logic;
t0_i : in std_logic;
t0_o : out std_logic;
t0_dir_o : out std_logic;
int_n_i : in std_logic;
ea_i : in std_logic;
rd_n_o : out std_logic;
psen_n_o : out std_logic;
wr_n_o : out std_logic;
ale_o : out std_logic;
db_i : in std_logic_vector( 7 downto 0);
db_o : out std_logic_vector( 7 downto 0);
db_dir_o : out std_logic;
t1_i : in std_logic;
p2_i : in std_logic_vector( 7 downto 0);
p2_o : out std_logic_vector( 7 downto 0);
p2l_low_imp_o : out std_logic;
p2h_low_imp_o : out std_logic;
p1_i : in std_logic_vector( 7 downto 0);
p1_o : out std_logic_vector( 7 downto 0);
p1_low_imp_o : out std_logic;
prog_n_o : out std_logic
);
end component;
component t8050_wb
generic (
gate_port_input_g : integer := 1
);
port (
xtal_i : in std_logic;
reset_n_i : in std_logic;
t0_i : in std_logic;
t0_o : out std_logic;
t0_dir_o : out std_logic;
int_n_i : in std_logic;
ea_i : in std_logic;
rd_n_o : out std_logic;
psen_n_o : out std_logic;
wr_n_o : out std_logic;
ale_o : out std_logic;
t1_i : in std_logic;
p2_i : in std_logic_vector( 7 downto 0);
p2_o : out std_logic_vector( 7 downto 0);
p2l_low_imp_o : out std_logic;
p2h_low_imp_o : out std_logic;
p1_i : in std_logic_vector( 7 downto 0);
p1_o : out std_logic_vector( 7 downto 0);
p1_low_imp_o : out std_logic;
prog_n_o : out std_logic;
wb_cyc_o : out std_logic;
wb_stb_o : out std_logic;
wb_we_o : out std_logic;
wb_adr_o : out std_logic_vector(23 downto 0);
wb_ack_i : in std_logic;
wb_dat_i : in std_logic_vector( 7 downto 0);
wb_dat_o : out std_logic_vector( 7 downto 0)
);
end component;
component t8048
port (
xtal_i : in std_logic;
reset_n_i : in std_logic;
t0_b : inout std_logic;
int_n_i : in std_logic;
ea_i : in std_logic;
rd_n_o : out std_logic;
psen_n_o : out std_logic;
wr_n_o : out std_logic;
ale_o : out std_logic;
db_b : inout std_logic_vector( 7 downto 0);
t1_i : in std_logic;
p2_b : inout std_logic_vector( 7 downto 0);
p1_b : inout std_logic_vector( 7 downto 0);
prog_n_o : out std_logic
);
end component;
component t8039
port (
xtal_i : in std_logic;
reset_n_i : in std_logic;
t0_b : inout std_logic;
int_n_i : in std_logic;
ea_i : in std_logic;
rd_n_o : out std_logic;
psen_n_o : out std_logic;
wr_n_o : out std_logic;
ale_o : out std_logic;
db_b : inout std_logic_vector( 7 downto 0);
t1_i : in std_logic;
p2_b : inout std_logic_vector( 7 downto 0);
p1_b : inout std_logic_vector( 7 downto 0);
prog_n_o : out std_logic
);
end component;
end t48_system_comp_pack;
| gpl-2.0 |
sukinull/hls_stream | Vivado/example.hls/example.hls.srcs/sources_1/ipshared/xilinx.com/axi_iic_v2_0/30815c58/hdl/src/vhdl/shift8.vhd | 2 | 7262 | -------------------------------------------------------------------------------
-- shift8.vhd - Entity and Architecture
-------------------------------------------------------------------------------
-- ***************************************************************************
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This file contains proprietary and confidential information of **
-- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license **
-- ** from Xilinx, and may be used, copied and/or disclosed only **
-- ** pursuant to the terms of a valid license agreement with Xilinx. **
-- ** **
-- ** XILINX is PROVIDING THIS DESIGN, CODE, OR INFORMATION **
-- ** ("MATERIALS") "AS is" WITHOUT WARRANTY OF ANY KIND, EITHER **
-- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT **
-- ** LIMITATION, ANY WARRANTY WITH RESPECT to NONINFRINGEMENT, **
-- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx **
-- ** does not warrant that functions included in the Materials will **
-- ** meet the requirements of Licensee, or that the operation of the **
-- ** Materials will be uninterrupted or error-free, or that defects **
-- ** in the Materials will be corrected. Furthermore, Xilinx does **
-- ** not warrant or make any representations regarding use, or the **
-- ** results of the use, of the Materials in terms of correctness, **
-- ** accuracy, reliability or otherwise. **
-- ** **
-- ** Xilinx products are not designed or intended to be fail-safe, **
-- ** or for use in any application requiring fail-safe performance, **
-- ** such as life-support or safety devices or systems, Class III **
-- ** medical devices, nuclear facilities, applications related to **
-- ** the deployment of airbags, or any other applications that could **
-- ** lead to death, personal injury or severe property or **
-- ** environmental damage (individually and collectively, "critical **
-- ** applications"). Customer assumes the sole risk and liability **
-- ** of any use of Xilinx products in critical applications, **
-- ** subject only to applicable laws and regulations governing **
-- ** limitations on product liability. **
-- ** **
-- ** Copyright 2011 Xilinx, Inc. **
-- ** All rights reserved. **
-- ** **
-- ** This disclaimer and copyright notice must be retained as part **
-- ** of this file at all times. **
-- ***************************************************************************
-------------------------------------------------------------------------------
-- Filename: shift8.vhd
-- Version: v1.01.b
-- Description:
-- This file contains an 8 bit shift register
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
--
-- axi_iic.vhd
-- -- iic.vhd
-- -- axi_ipif_ssp1.vhd
-- -- axi_lite_ipif.vhd
-- -- interrupt_control.vhd
-- -- soft_reset.vhd
-- -- reg_interface.vhd
-- -- filter.vhd
-- -- debounce.vhd
-- -- iic_control.vhd
-- -- upcnt_n.vhd
-- -- shift8.vhd
-- -- dynamic_master.vhd
-- -- iic_pkg.vhd
--
-------------------------------------------------------------------------------
-- Author: USM
--
-- USM 10/15/09
-- ^^^^^^
-- - Initial release of v1.00.a
-- ~~~~~~
--
-- USM 09/06/10
-- ^^^^^^
-- - Release of v1.01.a
-- ~~~~~~
--
-- NLR 01/07/11
-- ^^^^^^
-- - Release of v1.01.b
-- ~~~~~~
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
-------------------------------------------------------------------------------
-- Port Declaration
-------------------------------------------------------------------------------
-- Definition of Ports:
-- Clk -- System clock
-- Clr -- System reset
-- Data_ld -- Shift register data load enable
-- Data_in -- Shift register data in
-- Shift_in -- Shift register serial data in
-- Shift_en -- Shift register shift enable
-- Shift_out -- Shift register serial data out
-- Data_out -- Shift register shift data out
-------------------------------------------------------------------------------
-- Entity section
-------------------------------------------------------------------------------
entity shift8 is
port(
Clk : in std_logic; -- Clock
Clr : in std_logic; -- Clear
Data_ld : in std_logic; -- Data load enable
Data_in : in std_logic_vector (7 downto 0);-- Data to load in
Shift_in : in std_logic; -- Serial data in
Shift_en : in std_logic; -- Shift enable
Shift_out : out std_logic; -- Shift serial data out
Data_out : out std_logic_vector (7 downto 0) -- Shifted data
);
end shift8;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture RTL of shift8 is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of RTL : architecture is "yes";
constant enable_n : std_logic := '0';
signal data_int : std_logic_vector (7 downto 0);
begin
----------------------------------------------------------------------------
-- PROCESS: SHIFT_REG_GEN
-- purpose: generate shift register
----------------------------------------------------------------------------
SHIFT_REG_GEN : process(Clk)
begin
if Clk'event and Clk = '1' then
if (Clr = enable_n) then -- Clear output register
data_int <= (others => '0');
elsif (Data_ld = '1') then -- Load data
data_int <= Data_in;
elsif Shift_en = '1' then -- If shift enable is high
data_int <= data_int(6 downto 0) & Shift_in; -- Shift the data
end if;
end if;
end process SHIFT_REG_GEN;
Shift_out <= data_int(7);
Data_out <= data_int;
end architecture RTL;
| gpl-2.0 |
sukinull/hls_stream | Vivado/example.hls/example.hls.srcs/sources_1/ipshared/xilinx.com/axi_vdma_v6_2/b57990b0/hdl/src/vhdl/axi_sg_ftch_cmdsts_if.vhd | 1 | 14926 | -------------------------------------------------------------------------------
-- axi_sg_ftch_cmdsts_if
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010, 2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_sg_ftch_cmdsts_if.vhd
-- Description: This entity is the descriptor fetch command and status inteface
-- for the Scatter Gather Engine AXI DataMover.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- axi_sg.vhd
-- axi_sg_pkg.vhd
-- |- axi_sg_ftch_mngr.vhd
-- | |- axi_sg_ftch_sm.vhd
-- | |- axi_sg_ftch_pntr.vhd
-- | |- axi_sg_ftch_cmdsts_if.vhd
-- |- axi_sg_updt_mngr.vhd
-- | |- axi_sg_updt_sm.vhd
-- | |- axi_sg_updt_cmdsts_if.vhd
-- |- axi_sg_ftch_q_mngr.vhd
-- | |- axi_sg_ftch_queue.vhd
-- | | |- proc_common_v4_0.sync_fifo_fg.vhd
-- | | |- proc_common_v4_0.axi_sg_afifo_autord.vhd
-- | |- axi_sg_ftch_noqueue.vhd
-- |- axi_sg_updt_q_mngr.vhd
-- | |- axi_sg_updt_queue.vhd
-- | | |- proc_common_v4_0.sync_fifo_fg.vhd
-- | |- proc_common_v4_0.axi_sg_afifo_autord.vhd
-- | |- axi_sg_updt_noqueue.vhd
-- |- axi_sg_intrpt.vhd
-- |- axi_datamover_v5_0.axi_datamover.vhd
--
-------------------------------------------------------------------------------
-- Author: Gary Burch
-- History:
-- GAB 3/19/10 v1_00_a
-- ^^^^^^
-- - Initial Release
-- ~~~~~~
-- GAB 8/26/10 v2_00_a
-- ^^^^^^
-- Rolled axi_sg library version to version v2_00_a
-- ~~~~~~
-- GAB 10/21/10 v4_03
-- ^^^^^^
-- Rolled version to v4_03
-- ~~~~~~
-- GAB 6/13/11 v4_03
-- ^^^^^^
-- Update to AXI Datamover v4_03
-- Added aynchronous operation
-- ~~~~~~
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library unisim;
use unisim.vcomponents.all;
library axi_vdma_v6_2;
use axi_vdma_v6_2.axi_sg_pkg.all;
-------------------------------------------------------------------------------
entity axi_sg_ftch_cmdsts_if is
generic (
C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32
-- Master AXI Memory Map Address Width for Scatter Gather R/W Port
);
port (
-----------------------------------------------------------------------
-- AXI Scatter Gather Interface
-----------------------------------------------------------------------
m_axi_sg_aclk : in std_logic ; --
m_axi_sg_aresetn : in std_logic ; --
--
-- Fetch command write interface from fetch sm --
ftch_cmnd_wr : in std_logic ; --
ftch_cmnd_data : in std_logic_vector --
((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0); --
--
-- User Command Interface Ports (AXI Stream) --
s_axis_ftch_cmd_tvalid : out std_logic ; --
s_axis_ftch_cmd_tready : in std_logic ; --
s_axis_ftch_cmd_tdata : out std_logic_vector --
((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0); --
--
-- Read response for detecting slverr, decerr early --
m_axi_sg_rresp : in std_logic_vector(1 downto 0) ; --
m_axi_sg_rvalid : in std_logic ; --
--
-- User Status Interface Ports (AXI Stream) --
m_axis_ftch_sts_tvalid : in std_logic ; --
m_axis_ftch_sts_tready : out std_logic ; --
m_axis_ftch_sts_tdata : in std_logic_vector(7 downto 0) ; --
m_axis_ftch_sts_tkeep : in std_logic_vector(0 downto 0) ; --
--
-- Scatter Gather Fetch Status --
mm2s_err : in std_logic ; --
ftch_done : out std_logic ; --
ftch_error : out std_logic ; --
ftch_interr : out std_logic ; --
ftch_slverr : out std_logic ; --
ftch_decerr : out std_logic ; --
ftch_error_early : out std_logic --
);
end axi_sg_ftch_cmdsts_if;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_sg_ftch_cmdsts_if is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
-- No Constants Declared
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
signal ftch_slverr_i : std_logic := '0';
signal ftch_decerr_i : std_logic := '0';
signal ftch_interr_i : std_logic := '0';
signal mm2s_error : std_logic := '0';
signal sg_rresp : std_logic_vector(1 downto 0) := (others => '0');
signal sg_rvalid : std_logic := '0';
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
ftch_slverr <= ftch_slverr_i;
ftch_decerr <= ftch_decerr_i;
ftch_interr <= ftch_interr_i;
-------------------------------------------------------------------------------
-- DataMover Command Interface
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- When command by fetch sm, drive descriptor fetch command to data mover.
-- Hold until data mover indicates ready.
-------------------------------------------------------------------------------
GEN_DATAMOVER_CMND : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
s_axis_ftch_cmd_tvalid <= '0';
s_axis_ftch_cmd_tdata <= (others => '0');
elsif(ftch_cmnd_wr = '1')then
s_axis_ftch_cmd_tvalid <= '1';
s_axis_ftch_cmd_tdata <= ftch_cmnd_data;
elsif(s_axis_ftch_cmd_tready = '1')then
s_axis_ftch_cmd_tvalid <= '0';
s_axis_ftch_cmd_tdata <= (others => '0');
end if;
end if;
end process GEN_DATAMOVER_CMND;
-------------------------------------------------------------------------------
-- DataMover Status Interface
-------------------------------------------------------------------------------
-- Drive ready low during reset to indicate not ready
REG_STS_READY : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
m_axis_ftch_sts_tready <= '0';
else
m_axis_ftch_sts_tready <= '1';
end if;
end if;
end process REG_STS_READY;
-------------------------------------------------------------------------------
-- Log status bits out of data mover.
-------------------------------------------------------------------------------
DATAMOVER_STS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ftch_done <= '0';
ftch_slverr_i <= '0';
ftch_decerr_i <= '0';
ftch_interr_i <= '0';
-- Status valid, therefore capture status
elsif(m_axis_ftch_sts_tvalid = '1')then
ftch_done <= m_axis_ftch_sts_tdata(DATAMOVER_STS_CMDDONE_BIT);
ftch_slverr_i <= m_axis_ftch_sts_tdata(DATAMOVER_STS_SLVERR_BIT);
ftch_decerr_i <= m_axis_ftch_sts_tdata(DATAMOVER_STS_DECERR_BIT);
ftch_interr_i <= m_axis_ftch_sts_tdata(DATAMOVER_STS_INTERR_BIT);
-- Only assert when valid
else
ftch_done <= '0';
ftch_slverr_i <= '0';
ftch_decerr_i <= '0';
ftch_interr_i <= '0';
end if;
end if;
end process DATAMOVER_STS;
-------------------------------------------------------------------------------
-- Early SlvErr and DecErr detections
-- Early detection primarily required for non-queue mode because fetched desc
-- is immediatle fed to DMA controller. Status from SG Datamover arrives
-- too late to stop the insuing transfer on fetch error
-------------------------------------------------------------------------------
REG_MM_RD_SIGNALS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
sg_rresp <= (others => '0');
sg_rvalid <= '0';
else
sg_rresp <= m_axi_sg_rresp;
sg_rvalid <= m_axi_sg_rvalid;
end if;
end if;
end process REG_MM_RD_SIGNALS;
REG_ERLY_FTCH_ERROR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ftch_error_early <= '0';
elsif(sg_rvalid = '1' and (sg_rresp = SLVERR_RESP
or sg_rresp = DECERR_RESP))then
ftch_error_early <= '1';
end if;
end if;
end process REG_ERLY_FTCH_ERROR;
-------------------------------------------------------------------------------
-- Register global error from data mover.
-------------------------------------------------------------------------------
mm2s_error <= ftch_slverr_i or ftch_decerr_i or ftch_interr_i;
-- Log errors into a global error output
FETCH_ERROR_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
ftch_error <= '0';
elsif(mm2s_error = '1')then
ftch_error <= '1';
end if;
end if;
end process FETCH_ERROR_PROCESS;
end implementation;
| gpl-2.0 |
sukinull/hls_stream | Vivado/example.hls/example.hls.srcs/sources_1/bd/tutorial/ip/tutorial_v_tpg_0_0/synth/tutorial_v_tpg_0_0.vhd | 1 | 12937 | -- (c) Copyright 1995-2015 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:v_tpg:6.0
-- IP Revision: 3
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY v_tpg_v6_0;
USE v_tpg_v6_0.v_tpg;
ENTITY tutorial_v_tpg_0_0 IS
PORT (
aclk : IN STD_LOGIC;
aclken : IN STD_LOGIC;
aresetn : IN STD_LOGIC;
irq : OUT STD_LOGIC;
m_axis_video_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_video_tvalid : OUT STD_LOGIC;
m_axis_video_tready : IN STD_LOGIC;
m_axis_video_tlast : OUT STD_LOGIC;
m_axis_video_tuser : OUT STD_LOGIC;
s_axi_aclk : IN STD_LOGIC;
s_axi_aclken : IN STD_LOGIC;
s_axi_aresetn : IN STD_LOGIC;
s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC
);
END tutorial_v_tpg_0_0;
ARCHITECTURE tutorial_v_tpg_0_0_arch OF tutorial_v_tpg_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF tutorial_v_tpg_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT v_tpg IS
GENERIC (
C_S_AXIS_VIDEO_DATA_WIDTH : INTEGER;
C_M_AXIS_VIDEO_DATA_WIDTH : INTEGER;
C_S_AXIS_VIDEO_TDATA_WIDTH : INTEGER;
C_M_AXIS_VIDEO_TDATA_WIDTH : INTEGER;
C_S_AXIS_VIDEO_FORMAT : INTEGER;
C_M_AXIS_VIDEO_FORMAT : INTEGER;
C_S_AXIS_VIDEO_TUSER_WIDTH : INTEGER;
C_M_AXIS_VIDEO_TUSER_WIDTH : INTEGER;
C_S_AXI_ADDR_WIDTH : INTEGER;
C_S_AXI_DATA_WIDTH : INTEGER;
C_S_AXI_CLK_FREQ_HZ : INTEGER;
C_ACTIVE_ROWS : INTEGER;
C_ACTIVE_COLS : INTEGER;
C_PATTERN_CONTROL : INTEGER;
C_MOTION_SPEED : INTEGER;
C_CROSS_HAIRS : INTEGER;
C_ZPLATE_HOR_CONTROL : INTEGER;
C_ZPLATE_VER_CONTROL : INTEGER;
C_BOX_SIZE : INTEGER;
C_BOX_COLOR : INTEGER;
C_STUCK_PIXEL_THRESH : INTEGER;
C_NOISE_GAIN : INTEGER;
C_BAYER_PHASE : INTEGER;
C_HAS_INTC_IF : INTEGER;
C_HAS_AXI4_LITE : INTEGER;
C_HAS_VTIMING : INTEGER
);
PORT (
aclk : IN STD_LOGIC;
aclken : IN STD_LOGIC;
aresetn : IN STD_LOGIC;
intc_if : OUT STD_LOGIC_VECTOR(8 DOWNTO 0);
irq : OUT STD_LOGIC;
s_axis_video_tdata : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
s_axis_video_tready : OUT STD_LOGIC;
s_axis_video_tvalid : IN STD_LOGIC;
s_axis_video_tlast : IN STD_LOGIC;
s_axis_video_tuser : IN STD_LOGIC;
m_axis_video_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_video_tvalid : OUT STD_LOGIC;
m_axis_video_tready : IN STD_LOGIC;
m_axis_video_tlast : OUT STD_LOGIC;
m_axis_video_tuser : OUT STD_LOGIC;
s_axi_aclk : IN STD_LOGIC;
s_axi_aclken : IN STD_LOGIC;
s_axi_aresetn : IN STD_LOGIC;
s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
hsync_in : IN STD_LOGIC;
hblank_in : IN STD_LOGIC;
vsync_in : IN STD_LOGIC;
vblank_in : IN STD_LOGIC;
active_video_in : IN STD_LOGIC
);
END COMPONENT v_tpg;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF tutorial_v_tpg_0_0_arch: ARCHITECTURE IS "v_tpg,Vivado 2014.4.1";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF tutorial_v_tpg_0_0_arch : ARCHITECTURE IS "tutorial_v_tpg_0_0,v_tpg,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF tutorial_v_tpg_0_0_arch: ARCHITECTURE IS "tutorial_v_tpg_0_0,v_tpg,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=v_tpg,x_ipVersion=6.0,x_ipCoreRevision=3,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_S_AXIS_VIDEO_DATA_WIDTH=8,C_M_AXIS_VIDEO_DATA_WIDTH=8,C_S_AXIS_VIDEO_TDATA_WIDTH=16,C_M_AXIS_VIDEO_TDATA_WIDTH=8,C_S_AXIS_VIDEO_FORMAT=0,C_M_AXIS_VIDEO_FORMAT=12,C_S_AXIS_VIDEO_TUSER_WIDTH=0,C_M_AXIS_VIDEO_TUSER_WIDTH=1,C_S_AXI_ADDR_WIDTH=9,C_S_AXI_DATA_WIDTH=32,C_S_AXI_CLK_FREQ_HZ=100000000,C_ACTIVE_ROWS=1080,C_ACTIVE_COLS=1920,C_PATTERN_CONTROL=4106,C_MOTION_SPEED=0,C_CROSS_HAIRS=6553700,C_ZPLATE_HOR_CONTROL=30,C_ZPLATE_VER_CONTROL=1,C_BOX_SIZE=50,C_BOX_COLOR=0,C_STUCK_PIXEL_THRESH=0,C_NOISE_GAIN=0,C_BAYER_PHASE=2,C_HAS_INTC_IF=0,C_HAS_AXI4_LITE=1,C_HAS_VTIMING=0}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK";
ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE";
ATTRIBUTE X_INTERFACE_INFO OF aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 aresetn_intf RST";
ATTRIBUTE X_INTERFACE_INFO OF irq: SIGNAL IS "xilinx.com:signal:interrupt:1.0 irq_intf INTERRUPT";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_video_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 video_out TDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_video_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 video_out TVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_video_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 video_out TREADY";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_video_tlast: SIGNAL IS "xilinx.com:interface:axis:1.0 video_out TLAST";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_video_tuser: SIGNAL IS "xilinx.com:interface:axis:1.0 video_out TUSER";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 s_axi_aclk_intf CLK";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 s_axi_aclken_intf CE";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 s_axi_aresetn_intf RST";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl AWADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl AWVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl AWREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl WDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl WSTRB";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl WVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl WREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl BRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl BVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl BREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl ARADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl ARVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl ARREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl RDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl RRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl RVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl RREADY";
BEGIN
U0 : v_tpg
GENERIC MAP (
C_S_AXIS_VIDEO_DATA_WIDTH => 8,
C_M_AXIS_VIDEO_DATA_WIDTH => 8,
C_S_AXIS_VIDEO_TDATA_WIDTH => 16,
C_M_AXIS_VIDEO_TDATA_WIDTH => 8,
C_S_AXIS_VIDEO_FORMAT => 0,
C_M_AXIS_VIDEO_FORMAT => 12,
C_S_AXIS_VIDEO_TUSER_WIDTH => 0,
C_M_AXIS_VIDEO_TUSER_WIDTH => 1,
C_S_AXI_ADDR_WIDTH => 9,
C_S_AXI_DATA_WIDTH => 32,
C_S_AXI_CLK_FREQ_HZ => 100000000,
C_ACTIVE_ROWS => 1080,
C_ACTIVE_COLS => 1920,
C_PATTERN_CONTROL => 4106,
C_MOTION_SPEED => 0,
C_CROSS_HAIRS => 6553700,
C_ZPLATE_HOR_CONTROL => 30,
C_ZPLATE_VER_CONTROL => 1,
C_BOX_SIZE => 50,
C_BOX_COLOR => 0,
C_STUCK_PIXEL_THRESH => 0,
C_NOISE_GAIN => 0,
C_BAYER_PHASE => 2,
C_HAS_INTC_IF => 0,
C_HAS_AXI4_LITE => 1,
C_HAS_VTIMING => 0
)
PORT MAP (
aclk => aclk,
aclken => aclken,
aresetn => aresetn,
irq => irq,
s_axis_video_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 16)),
s_axis_video_tvalid => '0',
s_axis_video_tlast => '0',
s_axis_video_tuser => '0',
m_axis_video_tdata => m_axis_video_tdata,
m_axis_video_tvalid => m_axis_video_tvalid,
m_axis_video_tready => m_axis_video_tready,
m_axis_video_tlast => m_axis_video_tlast,
m_axis_video_tuser => m_axis_video_tuser,
s_axi_aclk => s_axi_aclk,
s_axi_aclken => s_axi_aclken,
s_axi_aresetn => s_axi_aresetn,
s_axi_awaddr => s_axi_awaddr,
s_axi_awvalid => s_axi_awvalid,
s_axi_awready => s_axi_awready,
s_axi_wdata => s_axi_wdata,
s_axi_wstrb => s_axi_wstrb,
s_axi_wvalid => s_axi_wvalid,
s_axi_wready => s_axi_wready,
s_axi_bresp => s_axi_bresp,
s_axi_bvalid => s_axi_bvalid,
s_axi_bready => s_axi_bready,
s_axi_araddr => s_axi_araddr,
s_axi_arvalid => s_axi_arvalid,
s_axi_arready => s_axi_arready,
s_axi_rdata => s_axi_rdata,
s_axi_rresp => s_axi_rresp,
s_axi_rvalid => s_axi_rvalid,
s_axi_rready => s_axi_rready,
hsync_in => '0',
hblank_in => '0',
vsync_in => '1',
vblank_in => '0',
active_video_in => '0'
);
END tutorial_v_tpg_0_0_arch;
| gpl-2.0 |
sukinull/hls_stream | Vivado/example.hls/example.hls.srcs/sources_1/bd/tutorial/ip/tutorial_rst_processing_system7_0_100M_0/sim/tutorial_rst_processing_system7_0_100M_0.vhd | 1 | 5935 | -- (c) Copyright 1995-2015 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:proc_sys_reset:5.0
-- IP Revision: 6
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY proc_sys_reset_v5_0;
USE proc_sys_reset_v5_0.proc_sys_reset;
ENTITY tutorial_rst_processing_system7_0_100M_0 IS
PORT (
slowest_sync_clk : IN STD_LOGIC;
ext_reset_in : IN STD_LOGIC;
aux_reset_in : IN STD_LOGIC;
mb_debug_sys_rst : IN STD_LOGIC;
dcm_locked : IN STD_LOGIC;
mb_reset : OUT STD_LOGIC;
bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0)
);
END tutorial_rst_processing_system7_0_100M_0;
ARCHITECTURE tutorial_rst_processing_system7_0_100M_0_arch OF tutorial_rst_processing_system7_0_100M_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF tutorial_rst_processing_system7_0_100M_0_arch: ARCHITECTURE IS "yes";
COMPONENT proc_sys_reset IS
GENERIC (
C_FAMILY : STRING;
C_EXT_RST_WIDTH : INTEGER;
C_AUX_RST_WIDTH : INTEGER;
C_EXT_RESET_HIGH : STD_LOGIC;
C_AUX_RESET_HIGH : STD_LOGIC;
C_NUM_BUS_RST : INTEGER;
C_NUM_PERP_RST : INTEGER;
C_NUM_INTERCONNECT_ARESETN : INTEGER;
C_NUM_PERP_ARESETN : INTEGER
);
PORT (
slowest_sync_clk : IN STD_LOGIC;
ext_reset_in : IN STD_LOGIC;
aux_reset_in : IN STD_LOGIC;
mb_debug_sys_rst : IN STD_LOGIC;
dcm_locked : IN STD_LOGIC;
mb_reset : OUT STD_LOGIC;
bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0)
);
END COMPONENT proc_sys_reset;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF slowest_sync_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clock CLK";
ATTRIBUTE X_INTERFACE_INFO OF ext_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 ext_reset RST";
ATTRIBUTE X_INTERFACE_INFO OF aux_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 aux_reset RST";
ATTRIBUTE X_INTERFACE_INFO OF mb_debug_sys_rst: SIGNAL IS "xilinx.com:signal:reset:1.0 dbg_reset RST";
ATTRIBUTE X_INTERFACE_INFO OF mb_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 mb_rst RST";
ATTRIBUTE X_INTERFACE_INFO OF bus_struct_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 bus_struct_reset RST";
ATTRIBUTE X_INTERFACE_INFO OF peripheral_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_high_rst RST";
ATTRIBUTE X_INTERFACE_INFO OF interconnect_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 interconnect_low_rst RST";
ATTRIBUTE X_INTERFACE_INFO OF peripheral_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_low_rst RST";
BEGIN
U0 : proc_sys_reset
GENERIC MAP (
C_FAMILY => "zynq",
C_EXT_RST_WIDTH => 4,
C_AUX_RST_WIDTH => 4,
C_EXT_RESET_HIGH => '0',
C_AUX_RESET_HIGH => '0',
C_NUM_BUS_RST => 1,
C_NUM_PERP_RST => 1,
C_NUM_INTERCONNECT_ARESETN => 1,
C_NUM_PERP_ARESETN => 1
)
PORT MAP (
slowest_sync_clk => slowest_sync_clk,
ext_reset_in => ext_reset_in,
aux_reset_in => aux_reset_in,
mb_debug_sys_rst => mb_debug_sys_rst,
dcm_locked => dcm_locked,
mb_reset => mb_reset,
bus_struct_reset => bus_struct_reset,
peripheral_reset => peripheral_reset,
interconnect_aresetn => interconnect_aresetn,
peripheral_aresetn => peripheral_aresetn
);
END tutorial_rst_processing_system7_0_100M_0_arch;
| gpl-2.0 |
freecores/t48 | rtl/vhdl/system/t49_rom-e.vhd | 1 | 2538 | -------------------------------------------------------------------------------
--
-- T8x49 ROM
--
-- $Id: t49_rom-e.vhd,v 1.1 2006-06-21 00:59:15 arniml Exp $
--
-- Copyright (c) 2006 Arnim Laeuger ([email protected])
--
-- All rights reserved
--
-- Redistribution and use in source and synthezised forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
--
-- Redistributions in synthesized form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
-- specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-- Please report bugs to the author, but before you do so, please
-- make sure that this is not a derivative work and that
-- you have the latest version of this file.
--
-- The latest version of this file can be found at:
-- http://www.opencores.org/cvsweb.shtml/t48/
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity t49_rom is
port (
clk_i : in std_logic;
rom_addr_i : in std_logic_vector(10 downto 0);
rom_data_o : out std_logic_vector( 7 downto 0)
);
end t49_rom;
-------------------------------------------------------------------------------
-- File History:
--
-- $Log: not supported by cvs2svn $
-------------------------------------------------------------------------------
| gpl-2.0 |
sukinull/hls_stream | Vivado/example.hls/example.hls.srcs/sources_1/ipshared/xilinx.com/lib_srl_fifo_v1_0/292dd5ac/hdl/src/vhdl/srl_fifo_rbu_f.vhd | 11 | 15814 | -- srl_fifo_rbu_f - entity / architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2007-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: srl_fifo_rbu_f.vhd
--
-- Description: A small-to-medium depth FIFO with optional
-- capability to back up and reread data. For
-- data storage, the SRL elements native to the
-- target FGPA family are used. If the FIFO depth
-- exceeds the available depth of the SRL elements,
-- then SRLs are cascaded and MUXFN elements are
-- used to select the output of the appropriate SRL stage.
--
-- Features:
-- - Width and depth are arbitrary, but each doubling of
-- depth, starting from the native SRL depth, adds
-- a level of MUXFN. Generally, in performance-oriented
-- applications, the fifo depth may need to be limited to
-- not exceed the SRL cascade depth supported by local
-- fast interconnect or the number of MUXFN levels.
-- However, deeper fifos will correctly build.
-- - Commands: read, write, and reread n.
-- - Flags: empty and full.
-- - The reread n command (executed by applying
-- a non-zero value, n, to signal Num_To_Reread
-- for one clock period) allows n
-- previously read elements to be restored to the FIFO,
-- limited, however, to the number of elements that have
-- not been overwritten. (It is the user's responsibility
-- to assure that the elements being restored are
-- actually in the FIFO storage; once the depth of the
-- FIFO has been written, the maximum number that can
-- be restored is equal to the vacancy.)
-- The reread capability does not cost extra LUTs or FFs.
-- - Commands may be asserted simultaneously.
-- However, if read and reread n are asserted
-- simultaneously, only the read is carried out.
-- - Overflow and underflow are detected and latched until
-- Reset. The state of the FIFO is undefined during
-- status of underflow or overflow.
-- Underflow can occur only by reading the FIFO when empty.
-- Overflow can occur either from a write, a reread n,
-- or a combination of both that would result in more
-- elements occupying the FIFO that its C_DEPTH.
-- - Any of the signals FIFO_Full, Underflow, or Overflow
-- left unconnected can be expected to be trimmed.
-- - The Addr output is always one less than the current
-- occupancy when the FIFO is non-empty, and is all ones
-- otherwise. Therefore, the value <FIFO_Empty, Addr>--
-- i.e. FIFO_Empty concatenated on the left with Addr--
-- when taken as a signed value, is one less than the
-- current occupancy.
-- This information can be used to generate additional
-- flags, if needed.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- srl_fifo_rbu_f.vhd
-- dynshreg_f.vhd
-- cntr_incr_decr_addn_f.vhd
--
-------------------------------------------------------------------------------
-- Author: Farrell Ostler
--
-- History:
-- FLO 12/05/05 First Version. Derived from srl_fifo_rbu.
-- ~~~~~~
-- FLO 2007-12-12
-- ^^^^^^
-- Using function clog2 now instead of log2 to eliminate superfluous warnings.
-- ~~~~~~
--
-- DET 1/17/2008 v5_0
-- ~~~~~~
-- - Changed lib library version to v5_0
-- - Incorporated new disclaimer header
-- ^^^^^^
-- FLO 2008-11-25
-- ^^^^^^
-- Changed to functionally equivalent code to generate FIFO_Full. The new code
-- steers the current XST toward a better implementation. CR 496211.
-- ~~~~~~
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- predecessor value by # clks: "*_p#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.UNSIGNED;
use ieee.numeric_std.">=";
use ieee.numeric_std.TO_UNSIGNED;
library lib_pkg_v1_0;
use lib_pkg_v1_0.lib_pkg.clog2;
library lib_srl_fifo_v1_0;
entity srl_fifo_rbu_f is
generic (
C_DWIDTH : natural;
C_DEPTH : positive := 16;
C_FAMILY : string := "nofamily"
);
port (
Clk : in std_logic;
Reset : in std_logic;
FIFO_Write : in std_logic;
Data_In : in std_logic_vector(0 to C_DWIDTH-1);
FIFO_Read : in std_logic;
Data_Out : out std_logic_vector(0 to C_DWIDTH-1);
FIFO_Full : out std_logic;
FIFO_Empty : out std_logic;
Addr : out std_logic_vector(0 to clog2(C_DEPTH)-1);
Num_To_Reread : in std_logic_vector(0 to clog2(C_DEPTH)-1);
Underflow : out std_logic;
Overflow : out std_logic
);
end entity srl_fifo_rbu_f;
architecture imp of srl_fifo_rbu_f is
function bitwise_or(s: std_logic_vector) return std_logic is
variable v: std_logic := '0';
begin
for i in s'range loop v := v or s(i); end loop;
return v;
end bitwise_or;
constant ADDR_BITS : integer := clog2(C_DEPTH);
-- An extra bit will be carried as the empty flag.
signal addr_i : std_logic_vector(ADDR_BITS downto 0);
signal addr_i_p1 : std_logic_vector(ADDR_BITS downto 0);
signal num_to_reread_zeroext : std_logic_vector(ADDR_BITS downto 0);
signal fifo_empty_i : std_logic;
signal overflow_i : std_logic;
signal underflow_i : std_logic;
signal fifo_full_p1 : std_logic;
begin
fifo_empty_i <= addr_i(ADDR_BITS);
Addr(0 to ADDR_BITS-1) <= addr_i(ADDR_BITS-1 downto 0);
FIFO_Empty <= fifo_empty_i;
num_to_reread_zeroext <= '0' & Num_To_Reread;
----------------------------------------------------------------------------
-- The FIFO address counter. Addresses the next element to be read.
-- All ones when the FIFO is empty.
----------------------------------------------------------------------------
CNTR_INCR_DECR_ADDN_F_I : entity lib_srl_fifo_v1_0.cntr_incr_decr_addn_f
generic map (
C_SIZE => ADDR_BITS + 1,
C_FAMILY => C_FAMILY
)
port map (
Clk => Clk,
Reset => Reset,
Incr => FIFO_Write,
Decr => FIFO_Read,
N_to_add => num_to_reread_zeroext,
Cnt => addr_i,
Cnt_p1 => addr_i_p1
);
----------------------------------------------------------------------------
-- The dynamic shift register that holds the FIFO elements.
----------------------------------------------------------------------------
DYNSHREG_F_I : entity lib_srl_fifo_v1_0.dynshreg_f
generic map (
C_DEPTH => C_DEPTH,
C_DWIDTH => C_DWIDTH,
C_FAMILY => C_FAMILY
)
port map (
Clk => Clk,
Clken => FIFO_Write,
Addr => addr_i(ADDR_BITS-1 downto 0),
Din => Data_In,
Dout => Data_Out
);
----------------------------------------------------------------------------
-- Full flag.
----------------------------------------------------------------------------
fifo_full_p1 <= '1' when ( addr_i_p1
= std_logic_vector(
TO_UNSIGNED(C_DEPTH-1, ADDR_BITS+1)
)
)
else '0';
FULL_PROCESS: process (Clk)
begin
if Clk'event and Clk='1' then
if Reset='1' then
FIFO_Full <= '0';
else
FIFO_Full <= fifo_full_p1;
end if;
end if;
end process;
----------------------------------------------------------------------------
-- Underflow detection.
----------------------------------------------------------------------------
UNDERFLOW_PROCESS: process (Clk)
begin
if Clk'event and Clk='1' then
if Reset = '1' then
underflow_i <= '0';
elsif underflow_i = '1' then
underflow_i <= '1'; -- Underflow sticks until reset
else
underflow_i <= fifo_empty_i and FIFO_Read;
end if;
end if;
end process;
Underflow <= underflow_i;
----------------------------------------------------------------------------
-- Overflow detection.
-- The only case of non-erroneous operation for which addr_i (including
-- the high-order bit used as the empty flag) taken as an unsigned value
-- may be greater than or equal to C_DEPTH is when the FIFO is empty.
-- No overflow is possible when FIFO_Read, since Num_To_Reread is
-- overriden in this case and the number elements can at most remain
-- unchanged (that being when there is a simultaneous FIFO_Write).
-- However, when there is no FIFO_Read and there is either a
-- FIFO_Write or a restoration of one or more read elements, or both, then
-- addr_i, extended by the carry-out bit, becoming greater than
-- or equal to C_DEPTH indicates an overflow.
----------------------------------------------------------------------------
OVERFLOW_PROCESS: process (Clk)
begin
if Clk'event and Clk='1' then
if Reset = '1' then
overflow_i <= '0';
elsif overflow_i = '1' then
overflow_i <= '1'; -- Overflow sticks until Reset
elsif FIFO_Read = '0' and
(FIFO_Write= '1' or bitwise_or(Num_To_Reread)='1') and
UNSIGNED(addr_i_p1) >= C_DEPTH then
overflow_i <= '1';
else
overflow_i <= '0';
end if;
end if;
end process;
Overflow <= overflow_i;
end architecture imp;
| gpl-2.0 |
sukinull/hls_stream | Vivado/example.hls/example.hls.srcs/sources_1/ipshared/xilinx.com/proc_sys_reset_v5_0/7820e39a/hdl/src/vhdl/lpf.vhd | 23 | 17838 | -------------------------------------------------------------------------------
-- lpf - entity/architecture pair
-------------------------------------------------------------------------------
--
-- ************************************************************************
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This file contains proprietary and confidential information of **
-- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license **
-- ** from Xilinx, and may be used, copied and/or disclosed only **
-- ** pursuant to the terms of a valid license agreement with Xilinx. **
-- ** **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION **
-- ** ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER **
-- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT **
-- ** LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, **
-- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx **
-- ** does not warrant that functions included in the Materials will **
-- ** meet the requirements of Licensee, or that the operation of the **
-- ** Materials will be uninterrupted or error-free, or that defects **
-- ** in the Materials will be corrected. Furthermore, Xilinx does **
-- ** not warrant or make any representations regarding use, or the **
-- ** results of the use, of the Materials in terms of correctness, **
-- ** accuracy, reliability or otherwise. **
-- ** **
-- ** Xilinx products are not designed or intended to be fail-safe, **
-- ** or for use in any application requiring fail-safe performance, **
-- ** such as life-support or safety devices or systems, Class III **
-- ** medical devices, nuclear facilities, applications related to **
-- ** the deployment of airbags, or any other applications that could **
-- ** lead to death, personal injury or severe property or **
-- ** environmental damage (individually and collectively, "critical **
-- ** applications"). Customer assumes the sole risk and liability **
-- ** of any use of Xilinx products in critical applications, **
-- ** subject only to applicable laws and regulations governing **
-- ** limitations on product liability. **
-- ** **
-- ** Copyright 2012 Xilinx, Inc. **
-- ** All rights reserved. **
-- ** **
-- ** This disclaimer and copyright notice must be retained as part **
-- ** of this file at all times. **
-- ************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: lpf.vhd
-- Version: v4.00a
-- Description: Parameterizeable top level processor reset module.
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure: This section should show the hierarchical structure of the
-- designs.Separate lines with blank lines if necessary to improve
-- readability.
--
-- proc_sys_reset.vhd
-- upcnt_n.vhd
-- lpf.vhd
-- sequence.vhd
-------------------------------------------------------------------------------
-- Author: Kurt Conover
-- History:
-- Kurt Conover 11/08/01 -- First Release
--
-- KC 02/25/2002 -- Added Dcm_locked as an input
-- -- Added Power on reset srl_time_out
--
-- KC 08/26/2003 -- Added attribute statements for power on
-- reset SRL
--
-- ~~~~~~~
-- SK 03/11/10
-- ^^^^^^^
-- 1. Updated the core so support the active low "Interconnect_aresetn" and
-- "Peripheral_aresetn" signals.
-- ^^^^^^^
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
library lib_cdc_v1_0;
--use lib_cdc_v1_0.all;
library Unisim;
use Unisim.all;
-------------------------------------------------------------------------------
-- Port Declaration
-------------------------------------------------------------------------------
-- Definition of Generics:
-- C_EXT_RST_WIDTH -- External Reset Low Pass Filter setting
-- C_AUX_RST_WIDTH -- Auxiliary Reset Low Pass Filter setting
-- C_EXT_RESET_HIGH -- External Reset Active High or Active Low
-- C_AUX_RESET_HIGH -= Auxiliary Reset Active High or Active Low
--
-- Definition of Ports:
-- Slowest_sync_clk -- Clock
-- External_System_Reset -- External Reset Input
-- Auxiliary_System_Reset -- Auxiliary Reset Input
-- Dcm_locked -- DCM Locked, hold system in reset until 1
-- Lpf_reset -- Low Pass Filtered Output
--
-------------------------------------------------------------------------------
entity lpf is
generic(
C_EXT_RST_WIDTH : Integer;
C_AUX_RST_WIDTH : Integer;
C_EXT_RESET_HIGH : std_logic;
C_AUX_RESET_HIGH : std_logic
);
port(
MB_Debug_Sys_Rst : in std_logic;
Dcm_locked : in std_logic;
External_System_Reset : in std_logic;
Auxiliary_System_Reset : in std_logic;
Slowest_Sync_Clk : in std_logic;
Lpf_reset : out std_logic
);
end lpf;
architecture imp of lpf is
component SRL16 is
-- synthesis translate_off
generic (
INIT : bit_vector );
-- synthesis translate_on
port (D : in std_logic;
CLK : in std_logic;
A0 : in std_logic;
A1 : in std_logic;
A2 : in std_logic;
A3 : in std_logic;
Q : out std_logic);
end component SRL16;
constant CLEAR : std_logic := '0';
signal exr_d1 : std_logic := '0'; -- delayed External_System_Reset
signal exr_lpf : std_logic_vector(0 to C_EXT_RST_WIDTH - 1)
:= (others => '0'); -- LPF DFF
signal asr_d1 : std_logic := '0'; -- delayed Auxiliary_System_Reset
signal asr_lpf : std_logic_vector(0 to C_AUX_RST_WIDTH - 1)
:= (others => '0'); -- LPF DFF
signal exr_and : std_logic := '0'; -- varible input width "and" gate
signal exr_nand : std_logic := '0'; -- vaiable input width "and" gate
signal asr_and : std_logic := '0'; -- varible input width "and" gate
signal asr_nand : std_logic := '0'; -- vaiable input width "and" gate
signal lpf_int : std_logic := '0'; -- internal Lpf_reset
signal lpf_exr : std_logic := '0';
signal lpf_asr : std_logic := '0';
signal srl_time_out : std_logic;
attribute INIT : string;
attribute INIT of POR_SRL_I: label is "FFFF";
begin
Lpf_reset <= lpf_int;
-------------------------------------------------------------------------------
-- Power On Reset Generation
-------------------------------------------------------------------------------
-- This generates a reset for the first 16 clocks after a power up
-------------------------------------------------------------------------------
POR_SRL_I: SRL16
-- synthesis translate_off
generic map (
INIT => X"FFFF")
-- synthesis translate_on
port map (
D => '0',
CLK => Slowest_sync_clk,
A0 => '1',
A1 => '1',
A2 => '1',
A3 => '1',
Q => srl_time_out);
-------------------------------------------------------------------------------
-- LPF_OUTPUT_PROCESS
-------------------------------------------------------------------------------
-- This generates the reset pulse and the count enable to core reset counter
--
--ACTIVE_HIGH_LPF_EXT: if (C_EXT_RESET_HIGH = '1') generate
--begin
LPF_OUTPUT_PROCESS: process (Slowest_sync_clk)
begin
if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then
lpf_int <= lpf_exr or lpf_asr or srl_time_out or not Dcm_locked;
end if;
end process LPF_OUTPUT_PROCESS;
--end generate ACTIVE_HIGH_LPF_EXT;
--ACTIVE_LOW_LPF_EXT: if (C_EXT_RESET_HIGH = '0') generate
--begin
--LPF_OUTPUT_PROCESS: process (Slowest_sync_clk)
-- begin
-- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then
-- lpf_int <= not (lpf_exr or
-- lpf_asr or
-- srl_time_out)or
-- not Dcm_locked;
-- end if;
-- end process;
--end generate ACTIVE_LOW_LPF_EXT;
EXR_OUTPUT_PROCESS: process (Slowest_sync_clk)
begin
if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then
if exr_and = '1' then
lpf_exr <= '1';
elsif (exr_and = '0' and exr_nand = '1') then
lpf_exr <= '0';
end if;
end if;
end process EXR_OUTPUT_PROCESS;
ASR_OUTPUT_PROCESS: process (Slowest_sync_clk)
begin
if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then
if asr_and = '1' then
lpf_asr <= '1';
elsif (asr_and = '0' and asr_nand = '1') then
lpf_asr <= '0';
end if;
end if;
end process ASR_OUTPUT_PROCESS;
-------------------------------------------------------------------------------
-- This If-generate selects an active high input for External System Reset
-------------------------------------------------------------------------------
ACTIVE_HIGH_EXT: if (C_EXT_RESET_HIGH /= '0') generate
begin
-----------------------------------
exr_d1 <= External_System_Reset or MB_Debug_Sys_Rst;
ACT_HI_EXT: entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_FLOP_INPUT => 0,
C_VECTOR_WIDTH => 2,
C_MTBF_STAGES => 4
)
port map(
prmry_aclk => '1',
prmry_resetn => '1',--S_AXI_ARESETN,
prmry_in => exr_d1,
prmry_ack => open,
scndry_out => exr_lpf(0),
scndry_aclk => Slowest_Sync_Clk,
scndry_resetn => '1', --S_AXIS_ARESETN,
prmry_vect_in => "00",
scndry_vect_out => open
);
-----------------------------------
end generate ACTIVE_HIGH_EXT;
-------------------------------------------------------------------------------
-- This If-generate selects an active low input for External System Reset
-------------------------------------------------------------------------------
ACTIVE_LOW_EXT: if (C_EXT_RESET_HIGH = '0') generate
begin
exr_d1 <= not External_System_Reset or MB_Debug_Sys_Rst;
-------------------------------------
ACT_LO_EXT: entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_FLOP_INPUT => 0,
C_VECTOR_WIDTH => 2,
C_MTBF_STAGES => 4
)
port map(
prmry_aclk => '1',
prmry_resetn => '1',--S_AXI_ARESETN,
prmry_in => exr_d1,
prmry_ack => open,
scndry_out => exr_lpf(0),
scndry_aclk => Slowest_Sync_Clk,
scndry_resetn => '1', --S_AXIS_ARESETN,
prmry_vect_in => "00",
scndry_vect_out => open
);
-------------------------------------
end generate ACTIVE_LOW_EXT;
-------------------------------------------------------------------------------
-- This If-generate selects an active high input for Auxiliary System Reset
-------------------------------------------------------------------------------
ACTIVE_HIGH_AUX: if (C_AUX_RESET_HIGH /= '0') generate
begin
asr_d1 <= Auxiliary_System_Reset;
-------------------------------------
ACT_HI_AUX: entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_FLOP_INPUT => 0,
C_VECTOR_WIDTH => 2,
C_MTBF_STAGES => 4
)
port map(
prmry_aclk => '1',
prmry_resetn => '1',--S_AXI_ARESETN,
prmry_in => asr_d1,
prmry_ack => open,
scndry_out => asr_lpf(0),
scndry_aclk => Slowest_Sync_Clk,
scndry_resetn => '1', --S_AXIS_ARESETN,
prmry_vect_in => "00",
scndry_vect_out => open
);
-------------------------------------
end generate ACTIVE_HIGH_AUX;
-------------------------------------------------------------------------------
-- This If-generate selects an active low input for Auxiliary System Reset
-------------------------------------------------------------------------------
ACTIVE_LOW_AUX: if (C_AUX_RESET_HIGH = '0') generate
begin
-------------------------------------
asr_d1 <= not Auxiliary_System_Reset;
ACT_LO_AUX: entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_FLOP_INPUT => 0,
C_VECTOR_WIDTH => 2,
C_MTBF_STAGES => 4
)
port map(
prmry_aclk => '1',
prmry_resetn => '1',--S_AXI_ARESETN,
prmry_in => asr_d1,
prmry_ack => open,
scndry_out => asr_lpf(0),
scndry_aclk => Slowest_Sync_Clk,
scndry_resetn => '1', --S_AXIS_ARESETN,
prmry_vect_in => "00",
scndry_vect_out => open
);
-------------------------------------
end generate ACTIVE_LOW_AUX;
-------------------------------------------------------------------------------
-- This For-generate creates the low pass filter D-Flip Flops
-------------------------------------------------------------------------------
EXT_LPF: for i in 1 to C_EXT_RST_WIDTH - 1 generate
begin
----------------------------------------
EXT_LPF_DFF : process (Slowest_Sync_Clk)
begin
if (Slowest_Sync_Clk'event) and Slowest_Sync_Clk = '1' then
exr_lpf(i) <= exr_lpf(i-1);
end if;
end process;
----------------------------------------
end generate EXT_LPF;
------------------------------------------------------------------------------------------
-- Implement the 'AND' function on the for the LPF
------------------------------------------------------------------------------------------
EXT_LPF_AND : process (exr_lpf)
Variable loop_and : std_logic;
Variable loop_nand : std_logic;
Begin
loop_and := '1';
loop_nand := '1';
for j in 0 to C_EXT_RST_WIDTH - 1 loop
loop_and := loop_and and exr_lpf(j);
loop_nand := loop_nand and not exr_lpf(j);
End loop;
exr_and <= loop_and;
exr_nand <= loop_nand;
end process;
-------------------------------------------------------------------------------
-- This For-generate creates the low pass filter D-Flip Flops
-------------------------------------------------------------------------------
AUX_LPF: for k in 1 to C_AUX_RST_WIDTH - 1 generate
begin
----------------------------------------
AUX_LPF_DFF : process (Slowest_Sync_Clk)
begin
if (Slowest_Sync_Clk'event) and Slowest_Sync_Clk = '1' then
asr_lpf(k) <= asr_lpf(k-1);
end if;
end process;
----------------------------------------
end generate AUX_LPF;
------------------------------------------------------------------------------------------
-- Implement the 'AND' function on the for the LPF
------------------------------------------------------------------------------------------
AUX_LPF_AND : process (asr_lpf)
Variable aux_loop_and : std_logic;
Variable aux_loop_nand : std_logic;
Begin
aux_loop_and := '1';
aux_loop_nand := '1';
for m in 0 to C_AUX_RST_WIDTH - 1 loop
aux_loop_and := aux_loop_and and asr_lpf(m);
aux_loop_nand := aux_loop_nand and not asr_lpf(m);
End loop;
asr_and <= aux_loop_and;
asr_nand <= aux_loop_nand;
end process;
end imp;
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/dds/dds_compiler_v6_0/hdl/accum.vhd | 6 | 48970 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
aX+FVmPRA0D3JcGjJMENvNQOrb6bG4jkny2Dp+oGh16/6VcMR54gxmfDJQCpt23OpKu9lTQBfboe
OGuV2ofpOg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
MxffKiUsGPu9jRgKBtgQn+CYlNq0i3+DIVptGOQwD2+WgF+uIi4+9rmDCXDo9ZMep5oDxQlephF9
YZLEnAOGH3hyPs1+idTE+v7z8OJsbVFTYwX7KV1YBRydxKljHFwlLymeHj3+uiAj3bhEe4/87Sz5
8RqpytCOwYFqFbErUTM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ByMiJLf79j9poSY2WMsSohWaYuvFKmiLdss15caq0szbQzPT4Xb4qVFKAagTa4WS8aJsLLr5/HBu
X+cLwoCx14E8ABEc97qdonvSY0x+BmE20xt/eosUcd/5iGk/I5vPw7qTB9Ksm8ZyVRGxNSYWGrGj
HfjSfkbNZDWm/Ku76HUwa8tIloC2RApm84sm8QnSINvF934J2UkpXp7Kv1+KSBdpT1NCA2e4Nmf6
vN615ztxcYaNjkFKqcKrY0pWu8yjN4zwC+9YJe+0j1hQlTD2H88hQmVaja0XyeoZocqyerb3c5kB
iXglqlXrdA1BcbabVcajHSQX/34MKpw1lG7GCg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
B1IfUMZx1lO8Hl6eSgzd8hHr/OFYNpNr2HipuFFId3r7W44sc3rYbByz/Pvg/+27BhRWN79xJ+ZZ
vN4jS+Yi30odDa3EfJTjKc/5FjP3ovJT7vc9fvB2kIC0ZHwi3rMovNr8tJTpfmhfRbEmg40ct/k4
DdUOpMX4BWOWoZjk/Ko=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bGLKEsoG6k1JCVOB3pPYleTr6UEESHKkC2WdrFRHCdnwOzvPtE0OpE8C68WeEVUThwY8o0JmXkyA
96aPy7IuVvzVQx6vJeRnwsUN40cMyiR8AHFJg4RlXeunNm5UbDYoWAoH9X4bjjS72a6uy0cG5hJ8
ybW753OMY9cC0fCPdhALu43mjc8RLUsJaH5rhLFOrVCsMGAMFxHFOdBSvVa7hvB+CeoOjdnn40Ys
zJ6uEwVulSKKqe7rCP0lTHnqdrYd5BQ5nRbozke9jr4UxocAWuJwXCiutzTP4Q4zU2ziam3PVkLE
vMigGG9W/bWYeMttS3cqjxNiBQEFAMgi+BfIxg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 34512)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/cmpy_v6_0/hdl/cmpy_v6_0_synth.vhd | 3 | 25975 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
I7to3F9VXwBiBDxdfzZs8DRQE7v9gjq+DzDuSqhe1nBYk35JOy9eZcxKaE28Z86HVOr9sUwsvLSp
yZHTQbEonQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
jVwK58zbG+YlnGrQDAFO91nOePjh6VirDhojcU4nKfOqYiQ+U0v0xABqEES7V8okYDTcx+Sgp3b/
++iGNLqJDetPG0qg9H6wmz1v+8vhjlQ55YgQRTROUlI1l/b5GzCUivBuYz0jOTU3OysPKgtrlfY2
EyoiBBaiehIzhwjW6oY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
kkA5Fr5R/uP/D14Y9Cr23tzbQvMqQJgBfAUp7gcwbFny7GKznme1EW2aTtifw6epIAedMEwX+tMY
lZmC7Ac0cAJJjJqYSgQUDJIK1liX2AfCL5tRc32Cfy0ghYCNhVOODQ1Hrx5Hoa6UGIx5vZ/ZjZum
Zp3wC1dn8GB9gGzWF9GSgTOiwqFnK5CSdwUdRLjTiPL/nMloxSUeoaoIXzbvrUH5D6uMilT2f2Ic
d/dlU/Ks9ndVN4wq4ULgcQ8Wkp3/jwJdOTBo7qHozDSoylfWDxp381CGWlQr2ekBVoDXSnemksrV
+EaP1DaY3EnzpBYDi69v+nYzHCe4x2ZSW5NSNw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
SpfynsUeHBVqWUDLRXXvcaarBfYtKZx+mmvJr0XOPLs6d+XdU6xHtIjOmdtAqrDt0BTUw4XE06fm
O9aI/HhEIDZlJb6bEeyanPAuDzS3Mt4p34lQm2Qowpji3IFunJxf+JVQqbQrb1SYc2gVFg4SxvX7
gaT4rG5J/GfYpbDpqwE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
sFf/sM8HbLyoLipWkainPQm4uGTgR5vyyfxB3N2jf4pMwDwWIm75T34bMAk7zdcxNN19ng8+Pgpw
S5TcXUQ4oErcjgWljoePtBZ162lBb7bTm9ntA+IbEAmIHC8vcRPS1WE0Kyor13uChcxuw4JqcYaI
VMHawqdzah6DAN4W2U4CoWrBCPuLH0pjSJmwY6Ccb/aVXKCxiLOi3JXO+NFUijerExGyrXlLo2vF
+NQUq1Ux7eQhRa4HhakcMZWjvK3lBznll98tQyyPFm2dE9M8M63hkr1Nk8c2N4eEGSFFE6trgIUO
KnyrAVFN7f3z0zcj3+VDCZ/FfVEVFqDyHtZO7g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 17488)
`protect data_block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==
`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_4/part_1/ip/fft/floating_point_v7_0/hdl/flt_recip/flt_recip_eval.vhd | 2 | 41947 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
qQuS5XhMKeNMjpY8SeMcES/UeQojYAqvasm6hFsU/KZCY1yDnkKmj0Zu2Qup1IeV2a6kbg5/jnM5
ORcQdNsr8A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
JNfpOWBTew36ju0JL2qgxhvsjJtnuXqj4FvfyX2vwtwp10QYtyhTQLqRiF80nP/e8Ies9s3s3TZB
BrbEW97Bjrml77L55GAMB4ytWd7O/j01aPEUGyKrU6SJMsg+8RxItY7w5emJsY7vah/SSOf3iqFU
Bdrjwqqkcn2EiofBLDA=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
5iRfGfV5GMBtCyW0Q8jnLZjx/CqKmhsLL9LsL6iO5EvJm+UPvDvYlaJyNAoe7a8P8roqt6CRzC+Y
2Ug6dKfnTi7PErxeF/M1aRFFyKQQU1wZuIhItCDTEcZZ1T61VfCwX44xSNBwhbTCjiGzXSY5/rGB
1hOHSWBLKsXDthx4RCkbqX8RhpuoOmo+urDFnXLgEqnFZJsjmlzLWHwNavuioOpZZLopMr7/hj4f
U+fF1fOwAzQYymZBaajrhndIsZ6T7yAS/5V1wBECtmdPdSqIuiyWzuWB5I0HNIaMlHRlkfDFJAWh
OTAVdoCEBaT1WSWFwe32NqZQQ5qI/uETsekzhQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
bxB4iUJGieBzxSRGlpKjqB6qTBg/5OLibCkxleneLR6QmjJmQe9cS0FlfWYjyFOJaJbzAqLD8LP1
8gV00urSoyMw3EhXRmreifYLGmfEr5GJQaHoXu7SjgxU74OoLxn3iGiP+gLp7sPYMlYHXTEVl4c/
sln10l2Sd4170vhCZJw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Uk3zM76ZtcH5YZrHnkYFtWMzHwxYjkeX/WpIx6OxI4z/QQoR97q5tNvNcGl2s/U8p6j4ktm6zAjy
JF10aL+S+DbXK7UV59bBYhgZuVhoA4Dbams34Yx3e272TLkz6AeaB0CrdmDfVccCcBjw1SRXPeUd
7VJHNqShwju5wk5JC/0GxcYBuClSpTuidATIpQaW3MtyJsEj6WIkFvkwmis2Pa+If2kkIpHmJNXf
V44P3VY6q4xyuwEFVRAWoJ8FvKL7xTq7HoXXdMF08Yiq4NpIIvANJa8ekKrwJaXZKfvZ+CZfE22g
7JzVUcqXxoPZwL/ZsSgg8/FbQHkokT8PPv87/Q==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 29312)
`protect data_block
iVBYwNRK1YHwkAGpWzsSbE12CUmfjr6RekL43C+wLN5GoqRGtwdm96nJLERrPfSe9UcpU1GAH+Ch
1sqQv7u6Q5IvDEkjlV/K0CmHKo56RIfSfd7SMCTX6cyiRdWuCGvwGyP3aX7d5l+oMi6sVB0O27Gd
3rGLGgSP5EZ0RynT6bgbWSj12FtzpxhPzcrVMU57nTs1+XNHKQYQF+e5l0wUGGBdejfFjWxazF3t
issdcC62hYsaQSs97pMDRA7VBoOd993/PMiWvUguidachJFqj55tHHCt7WXJs4s+KvVnVFi6DB2y
3GmKpk8SbdOiK83071spP1YelUGrpf13L1SUkYg0vt9j/57AV+IwoUSlmS1CqFINOOW8KA85g3no
iGGKBxdUfGQCqdxrEH8R7D9jBY+lPXjZs/js9gcOS9Y3uCMPmr8os4HoPaLXBBG2EaV9cwYc5yyj
KlLc76yN4w+3ghNZt+MEaAtvb2GdBVCui9EZN8t9DOEU7G1D/cSl5c9xPhuFTI0SEqn2uACwohJb
lRJrVhPYfUdmULpeGySgz250KBV3fZDDr9MDZyqdCTnkOxaSibzHbzftFP4F8Vqk94qQaKdOCXSb
AwZ1GY2hojRRUB0sdP5awJlicv+finWj1WBYZ4Xc39l4wH4pVh6sYYHcygdA7GqxNt4PqXgcRawL
ByqMcyjwl3nCesZRRP1MOJIT5EKu2kSIG2/jhQzXGDWAQ/FuUcU4XhqVChwjTJx2IQAaUJvFji3q
//rBYPnJJ5c66BiRE7XT9As2ZlL1aujTbE9ZCX2j6nldavlMq4FsVwHep3PfkCN/0gfdx/5BZDTu
sxg8rGVDJCQvOJbZKkmcFcBFebyWoDq1foA8KLjh9hZ17NWb7YNspEeJHR5K4vadY8Pzuto3iyhb
SzRNrzp+kwkqvO7OHyYN9Ag4GNrpihTC6Oh2sLH6JYfH9yHvadjOIuFPL/fjIYQGXeiEy1uB27VE
RbE6M7FMFeK1lRbaEfhPMO2FLUkOtvQDILQrqXccK23DgCPx+gnoCO4UREshnjz8JR/6sl5D9uvm
EG4PVPzm48NYZ95PSjrDawCDXduLB6U60DKYm+YxSQBVLuXu9UIAjUmdsw10mMjtmoyJW4MjRHbq
jJLZZLLsgZoIP9ScVEMmZ0cuUCOlrZcAJ5dWWPIuAeN/HOqzTBk7c9fELHpRnY8usfu/P69CG5Lp
djn6Kgkvsm8YPyaakdNrP/TJs4EuopLDTADObTPGu5bQD293lpQACxZoSeaHm6+Io3AprPik6XPk
6ImyA43Yn85d/+/1KKoy4Z0ljHnOKMuAHVXrSWG0WB8p+nR/YrHPeKarRmC90ROGYcDOCg0XLJ7W
PpLw2/XdSgTbYEZHSv/GRLndmDdpyxBj7ItxQAjnJaSrdGebmSdl6h1IBNeuRuhrRvRee+fWT5QE
N+qNbQZhk93QrFb1r7uDe1n9b7Ki8BHJ7z7eExYlDQZQ2ns8czZ+Tc8ZEkwEttsfSGqbNJ9y3GOV
vAdLNQmx6JEYa7p25oQFJH44czeH492tokPNRF0O5x7Xw8jyg745ZwnjGvXCHE+Q4OKGhaJBREGE
ARE/Ag4V8qG14wqYr9U7/Ni7lGNzulUdvtN0M5QRFz34Jk0jSrTGROA7CXcDdU5CumuipC4cz2wA
AIrlGLlGim7Bxcx26YuN1PNJzSrXogA/U+CreCjOgBbSRZ+UheQJ09IAWPXj8AOzcBrqyKh+SeUF
wR78SD3tFVWNmIim9kei1DvpfYtggDEFh5UmTtMsL2VamXLbInknjnRFHODYFuv12SA3ENS4lQ6W
BrV6xmWtDThkVFkcsnEDrhxDVNmjjJSCLjHOTAFPLcHQ5EULOoYCvdGkiNv0ZynMkAel401L9Vg/
NWyknZIEdl/ZNwcc7NXhV4KDCi8KqO6EO/mKHo/3OSG62o+pLtThTYMzezEj/SMOPZ1Ey+B/6NA3
hejP80sa559VyyRwitBMX6f83zZdaKXGSdvmblqH0dRi6FNjH95flyZJ3fzKXxVallB9g0F1C1Gy
xVnJN42mPk+flEwRSKsWeHIBMzB4fAHmsWP0BcA2/Yrjxvu1RrQ88MzozGHVi8e/DYaiQL1e2ibn
oGkTqT4s0lqM++xTIVxAuZDGtrtq4pV0QCETLA7N4gzOiPFvWm0uVE89uIUpAdM48t3MijZ7cKE2
AF8U0Pj3KPI+ViVNz1QR2V4qZiqfXwU3DCO/xHlvQNQud48GIjSjplAKr7MBZLzXoLuVKbD7ME0/
7qgOjf7WaL7Kmi1X0peBZKxI0yPFgqrcHybtfld9/87sgFG7qlRjztjtrNvwKq1YmrbfrNZjIFYU
1IcWHrleX/08hWEhBTOi8dDFSHCM0CnzCVYp4O2lTDu7MGjwS+Kx9D7PWRs/l+QJHyUDKGIwbG4X
fc1fNrMKl34QZi+LnPzPglrMoynBPvU0aUKyXAF+AW4tXJBgdTB5GILASwcLmsqWuytZ8ZmN1cdI
eBY2jv6wMXkxn4tfpGrbcuQAbwzDZrS1SClWgpxYpAZY+aUqmOJkbYon0JiCqOHOFUWRaXbE8cT7
xwVRaapZhqxUhye6DA8nSLcN5ZXIkZyzsKtYMYxjBGsTnzNFIvzkwHTItWdO2SjGjkw6y2cPuVXu
F1jjb2ni35PoHiTiUnrZ1I7KrB4bYTLbg/+cWwc0gldM7LxTyBHCTTsnQufXRsOALE5oLy1Jf9jD
wgW7a80bYV0XhkXXT287CFjHaz7r9bGT4pl+YkYx3pxu+CrVXEkgonIFkePG8k3VBT/HbaeZpMx+
TB5t7y3NKr2sTaruYd06RHlwL/PEx5A7hUAUlpfl+LGhJUkg2tx3JwcSLFNIYvBNlaJs7+MdVbst
A3vhea4w6UqVawZTPhCbL+h3Uv3WGMXZUwBT/84eumhpsXZj8h5cYMc/E2DrjaLdzDQUQMusMrg2
j6X7mdLNp6nKh8svlOc7Cg5KKkkDE/wOOpncGFkhX6OdnB1lTUho4/rQOk8cWJNWmbCoM5AH7UVq
rgEyD/T1M+D2BM19oUxld5zvCp+GbWfnR/a5OCPDD3e5CYmX1zSLrRkcd6MRSPY43C4JDJp3YkBJ
0qwhtgVhCgXv+LOV+QgWxb8qBjObZEc5W6ytxkNFIBrb+388DgCkVwKEee+QOr0UtN3I31y5fxMC
WJq8BQRVkRY0W2LQY5I2s1E5Dn4ZTQmH8vd3KEDNFEvBGDr/XhyY0V89SRsIzZut71qpn6IsWqnO
mNfVdsTY+U7YjRn/h9aGY7vYMUNC5aIpjZwqhpb+hdpywADiH2N/MJDo4p4yER+8hzsRGlxwN/jg
buouyOXape9x5Lzm4NfHIqbi6KvK1tTquYTjcbuJ8D3HjQtLx8G4Qt8Tf8/k6l6yMuytl7rq/G/z
7rq6ZcxnM/eG/wsVZ2vKDKgRil3h9D+m0eiIJgZwPgOhaCr2/T796Nt3hwhq21fIdbGZlPHBnxXl
P1sQ+SyGP7cSAmoYapwbGfwFtRui/tvplvXVuTNXpkhi2ulOcxfsmM2HppzgfznL1rWO5StIG2ju
CkI+qWiH8lnH5qrfgBUXYjsK1dLqm6N+4sJK5O1Oc7KPZJXzcVW383SqqNnbh0gc+zuLusNbkmp3
h/tTJIYhe6nzuLQhXZ37lnGkSzDhws7mRRgZtGMMGbFGY9ArF0gULEhtf01ioSKEO6ib/Njnkh5f
ecYCJ3rpNViHBHw2PYcjfs6jc5O6hF607krMyuS3o78/vZQvYTcllXxOOPShTC8E6hgCExCQt+if
hfrcwezxPq0eHcCL3irWwh6NcTH9h0JcFP0G9fRW8hPK+3RbVdP5AxvGjK+kBYM8otByfU7lo9O7
ZXRARfLe5axghVGGJb39J/84kHrN3kJncBpynAXWY5vdwAK7OTr4W0/np7Fbtm0ApQw2jYPbYrXh
ovKgJIs60KtayUdZFXFAa15pThji4Qt85cPTAfM62PEHFU2bf1X59qjqHDazlYSFEiaAXeshUxyO
tG2d95sHRYLM9XfF2Ju96IwN8CHbAaIsgsDVj3ZHV6ReOoe0dmyov0LnALsVIkWjTdtH6FHYHnB8
cPSSrWe28tw8VURwrX2kqX4EF07q8p3/KLsd+tFctiF1vuAKyxUiTomzDFGFUFKr2eMC+arKOpXX
vHk0wzRBzeXo3Bl+LHlJBArYxolxsk4fHMKiaIuBAhIengp39uBICzysbsRgCFI9L9SYnNGdNRrQ
Wfnv8bhK2A7NoKrHqx6CKlRMreX2S1259Z9rIKKoQA/Sq7Lg/Pn3mtmVBNw44JvxCBcRcXZ4+p+8
cF4tGowNhaiDbftaMSl09kzyq1hzjSF8F0ZwQf8j3spdX32PdSgbGN/T3bcB8PFtWFQ26cB49doC
5rD+EU7XKaKQJgr3njO8t8F2vVEZVwNEjVvNzMe/xbkzlhaB05sRqrzR5KO14fsZCWCdTZQkH8uX
j6P2/9TNYTunztfbz5UNxAhit06AEtsYT1t75/neqjLz9cti+0vGP0kaI8aIJSItRcyp+7U8zvq5
cp0jq5LwpzsEeu9ZGQ7Gbd7mh3IJF7KlYLW9NV0JtXfYnisD1uMqd/w34y0Tl0gyHRPz+FaizjJl
x5CRye5T3lRUAWLyI3LvLbyfELYG1xtnvcxxB9MS8lMOfKUoXwnZki4GRNIOtjgCwS6dLNqmI+IC
X1lylnJB57GH3WtLmaH2q5gRwdlyxbFaXjwwTaWdSqEsTvJGfkZXu+yqsbbQj3+Zx32IrGh/8GG8
3GQqZ1eBVfVuqRG3M2iLTqJAR+TKlv1kP2dcjND7HxhGOlcWZ0Yx3NrJGs5R0fZJ1rYAGRmDBrNU
e3zydb6V1e1bsUiFiByMFnX6EsclI5FbuDaP147xJfoYBfScSymljMCOXycrzMcbhiA+4UJws6OZ
tud3kMsMxbZR/YyKDk9f17iMEoD3R5Xn0Y6ix7R+yGThLHrVPghCqGw6dM87QnSH/ROCp2rzCQML
Vzl7tBuB5DPecvHq6f9jaLF3Lem8JsAdpP+3eULGI517td3aOwd+76JWuXpbe+yZS2xbHI7RExfG
UiT/EvG8gY2T+MbI6aMeodK8ZQlFMaexk8hZC2dYB0dX4QXVcvZdWm5UxYGyzd8YZ8Z8dqtG/B1x
zQfM646P9t4907BdJrFT5kd8SMsiRXf5z+L5xUyz6RxoF8B73JAFmsCzqGEPH3UbJtMMK0nW8cuJ
7I7psseLMtF4Qfv/XoDZokbEjUccD3gokJoG80s55LSaFc4kJlGUrQFaTybreV+fR+zlfTyW++k6
7oAnWA//7RmNVQQm78CwQNOConBYPFvKL10VIa34pJ41FbmqpT+82EdPXanc8NrF5d2U+42bTTTk
ekhWBV8kvGhyTPFABMDRVc7Ni9H4xMCmtJeQ8p86XVEXPuhXl4DdPmAHwy2gjvAP9SCg9h0+RPOw
mZVIsmdJ21SrNvtaAa/xACfSZpMt6D8B2xgnborddgS9GPjEwvDd6A6mvLRppwrMkGEkXyf7vZlc
5ggwLQHMslsQYOidAlssDbiG7q3IhFcF0+BCUVzituHhuzJjBRuchvlm+++E2+pcWH5rpISVMvlD
ulH7eIVL4sWjs/Oqi7I94+4wG0xw1I6R/EwooOrazEJQPEcEBUUiuUmRqNgP0Seu0K1HAIpjvcM7
Jt+dkEwuBZmUPucSeL2IdUm3LOwgOtdXeuoizBwQxwZLSLq8RE8ny+hHlFFOQ1odCV33u3JGLnbv
fdJnTwuStUL1GxL02/RLF1U4gVXyf6fJjO6cJQ//SUYwJp5FFvVw8BCDce+0vonZGh5HgMQTaHci
XLoS0l8eEEa35Lv5grEF48oQF90ChY2DNIDFOZWH9oz/5/rumXiGZ3zWmQ9qne/WzuJvvJVi+D0/
8Imj34CGkiPeRIoOl/nkKmKdW21Ct/MW3F3iV0amPZmQuEBQ0ZW//Hmpm/GYdsxWXIqNXPx1ek94
4s46JHNGy9dL8go++VgAhqoq/KUryJSsXLFv8lCikhwWp5XTbs4D/ij2DgpKvX8ELWVknYYRpT4B
NnRnvlMC3dEMNjBjiUG9MgQGQFE1J47riedzBrbJALqg8/vt2hdbi6DWN4bzZsHaNIZ44gIwQcFf
1meintlkDwqLBDn+RNRgcJrim5Qq5Ts39X2rCsOs+P/ugl3XnKN3SygAhJOQmZ1CRD51TqqFOPa9
nnGk/PZfdYruQQ3v0ODGQrX50N+A9UGCGU0S46wLlum4Lr/hq7CSwxOVhEFKLbF27gtmWGYA2ZPK
SqnbilWypyCwOBXkyr6vEBUKrQRfmQ9KOvvJPl6JLKWLQfGwLCzI+peuv6wqHU2r4dl7uVzhz4fx
ItixNCGaWhviM77PTmWgfBi7bxae0ZgzxFxfpYShR5ipwi3szlMW3XX8G9aonLkR8WVIYd+018G2
Ne5h1QRrj24BXpLFGXbLwp96TkCOG0cTAUsgEwP6thIB4xHyXcnMEp+6xUGe+tU8YF1Bq9hKobag
ZAoL/9ory4/YTFg6968pUFAQidNs+PapKQWGSb0j8ZimgOo0/tePsMAkW1KQbVu4C0X0/U6rh5a5
gOvD4Mm5Wp8jxEPcQbEi9NH2apYU+a/0gDTuxqog1gR1YgriSMZbi9/icEtlZbPOJd0pAghSMzR0
GugZshl3jWYxBZOTr358L+3we2/JwUZIPQ+oDcgF5hCKEIdV/m+4gqafdCvZGVqeKDGzcCe21hxM
5d4d1MJ/unrNWjqyhKUK/VM4IfwFlBtcttaNR5nO07OsxgOumw/oafsq5KOyANde9C0ydeXhN+o8
8EZzIg8no1wHisvEEQNuXs8Uu5yy2pTobiHgE9vM3MGSAyJh0xNl2bC4EptE4CjfG6nZFkEQse5a
kV9AR0fLFoEfrGGTyYhxQxLFod83yobIEAjT/kcTIiru1TVNkhWCZ9H6SwCiw2DjJ++QIHo6sxAO
X/FZ+q6X19rNhsxI2ZraiZWOEB+ACDM4wr2Ct0hVMk3uYLyC4u/yaiKJcrK+0nOyTuxw8Dzr0y+A
se9tBH9QBzGLk/HBZoa+7Q/1qzolhFsy2bnrUtPfxOMBjHJcQEsp5LKxd92eFvMqWwRmNczcWv4w
x+r8XNlit59/xbeTzf83r6oP8oYq8OjT2r7QDbltGnIDYujU7zvz3xE0NrToPjvLUkhyf7OOac5Q
mOxWC5QLECaxerlSmSYlw38i5CWqhuhwTQKd6FjjpYklB10ZsaD3namgSzL1vMvlHXFZRr59pLxM
K41jRqxUGHX6BX1R6yzsgyAh8C4pJ+/3rUpL1hCpQSMEYDn1sT7RuSuTV8eybSD2rg30Tz8Vnygo
jv/NzepfJCtRCsaQNP2vZmeUHJIQjZ4UriApV2TH0kjmpHXcy1Nr6RJ5joRxA0h0fMzn3M9qj9G0
KMmFagv4TOmsWz8tASdEEKHUdwfJmfMgkitpJIAY4ssTTUA7E7ujfTokXcu2s9ckyUKGotIwiFuA
/mWNbXFBYCmS7Wkl3Jlg4cN8JyfRJ1qjM7zvD2p2dQDu+1pmZepaPS44FoEe1BxQZLaLDl477Kw5
5hVTuVAYS1BobOTyWtzdSegQeaypIGcTxL8ZLm8kWQ3yjKSbRWB3rvrWGNSugV8k0m3oWfJJ/apg
LSqmtvdYJMYxDwP5JO7+8BE0/iZ7R8UdMjK+k/BM4JTrjOOPfSw3yooRap5VZXZ66y6Tqwm3BwSS
ogLIJkMjOo/sCvjOO2dj4fdxpUHPs3E9ByteOk5t3uveepXs9wXGTmJCTzK1n7hffI1F0pdRTq3a
NBVxdWfhoMUZvBw415NtSASzju5RYngMfafXZ4dsJrO4pTvlUgLigfXjTi3RGG6MccIYc9+2p0gq
fPju2XNb7jXj1SDSmOgCeyjhuCx9vI+PtVum8s+YHpY6Dimpx66CEAT8F57PlVRYF4LU9jTDxVXK
1jJjrhejIixF+aSQdZ2Tuu3mARqbGVqxLUIu6K1uhCV5GBJUbqkQj/WlDrLIDwtMWoLZ5ckxE5v+
rpv+mDZo3zuUbwuxmWDpAXaqBMbHHyi6cSvzYkCXo9aRNv3I6r8bWZd15JSw/B3PQIYk53Qw87Gk
LwXtGKKrct8NHJlm6XlDT3GRzSk/vGVkJPHh2zDMcGr3SvZ/NmldOBAnLZbUYqHI26fLEh0IzM45
FIPBV1sOEYtiuE5oceoRuI3DO8wp2x05I+mkeyzwCTdwT++l4H8HG1ujfjlBVPU99zoVoCg8lL1c
rIk3wQOTKkU/t2AwYF7XEQNnAc/BsHwCmMwWBMaLj8np+OKZxtyqr2DGvsWxyazhtNmogWZnuMxC
pS2D2ZIgn0NWT+Qx4228S5+d4hXkrQBb38AyWnLsZ7LpoCJEStUYgDtrL/K6VV6WoPRrOi+Goc18
Va3YdE8RBKUqAfEg098uwC6jGilzf4Xeu1vwa+Z09ofQAbW/J0V6D/G6RovYNoMwIxRu8QPQtZ5o
nvmAtzW9zHb/w7ny7AV1cQMh+yIawrTa5xZwJOeEbAACz4jum9CWjCFTxNQp+eM8erNZTgBa3gYk
UWFFcpJDOWnHrCDNq4d6FO5c4LqpwjulFS61W6YK0QQ+piITzzTvubSEB2uMwxv0Jvv9swHKT8Lp
dNh3+sQsySeyhIroirCE1VGTVhcTArMTziwXhUl/PJ7f9+VJ5pLPHMZCWalBIGnPYpoJTzgUTkL8
/nQvBS4YbiVOQkILBkubbIZg0bd9Z8J1CBgDZB26QjRIZRaN8WNpv58WGcGs/tj5mck+ET9DJlT9
CrYZPPxQq8Qla9uVB7/S/qDa4g/IbafciQvWs7rTtCa/xqXBBsIkRKYfC6meIMl/2d1JVrF8ITQK
Smi3onwi1zZjgXg03gJQVKgKxF86nRP/3M/jRlOMO2euJ1UU0gdSPMjV6KFhgEgGRKzjMKgSl8Z2
7oHyP6euUfEFZAMzsSLiuYIS7AhLhoRACoUaxBdIJTgb6ywMpd2cZMrn58iX0jzdKKDMm2lxJ1NH
1ofweKmOVmSDpjEx4GvEl5P50FfKzz0Gey6PmneoET+bWjTO7WElmYCUkugyla+FYvw9FzztPdtj
e5L+vI61+0Vk0zYw3l1IT253tpCfdRUWovwpNqIif7sliLA9Z2WmHopzZn3SaqmWp0V8WECgCGRa
ln+oIX8o0OsIL00poibefYLDyWZdlIJf3ABRg3nSV1AZfTTznKazjh8Tw6VQHd/zq+r+YAjNI4pg
T6M04o9QawEf99ffrW4raZT0hqzchWlxedZ9Ms8wC7UD86mOL260GG4lNS/bkapkCzzNUdumKPk0
BZqLPVXnOhVZpo4obl5KmE/3LeUiYxuoVRgDoeek0GpZq/9/p3JR1LR/WKHTdS8npD1yN9diolQR
RvrvU5C7j417xrzzOy45v3hsq84Im7RfRIjaGBGn5PUcFUwxG2tuG7/kZDajbtuDvld31yPb94FW
rQOoePNKZv42J76ycSOgjR0vzYX4ppbwYn1ZnDSYTpWr9Fev382JgClX1Ha01XSYm+ezu/iQT2cV
IU0adVgJ7wtGL4G+i1nAJ2Ad8cQVOiEWry+V2C1tpF15Jjog9wdSl7seKhT/35HpwwNnlfmUhWmK
M+e96E8eRzJJZtyG/HD2OFHLOZy5L5NrZdkGXbc7PpPC06yiM+ewhAoQqE4ZX7LxVNkdzbfZhRvS
M+9hM7dx+c4sRVa6Au1nNt8nrjishGWTBNucwzzaoHtO9JpJivcb8/Ox2EinTpgWbCGQPqzhteaZ
R5LajSGztPt6FDjdMkhiAkuhCxi2Pb4EVFzpG/XMcJdkj/qMsVnxZ0NhoAz3ibocbeRIzSzZuf66
TudTbma+tLVY37MumeBGTJ/eeTWeRj0VvWhAEv8vw55R0XSFzWtlZEljSeGEdXgOkZA8YlwXU6nU
nA08BaTvtGTgzIX/mfx8ppjHOwwX6RtxNpv/AumTu0rr+5nTTLo3oiBpE/Aeidtw/tjAM5QeGJ4E
ciVRUyM8hR16MRXx3RA53CGHuyWv+rTvqxSooPVxUXAoJWsVbZs4nDkIUJzlPYMHe+dZB5S1or4w
PScc4GOkRu9eQQ/F+4AUpucqZDX8wNMnveln7l+LUd7d1ppeRu3wiqj80PCW6q3CXvvhAKiWAwJd
jYR+FN1ul28QewVzxC59SAq1gBvDTxbM867nZTb5N1BYx0sKPF6QkUiSwAnnjAYnfduaDaU7WVpd
Awdf5g+G4C3BYLn8MxZ0xsqiP6HHFoRCDF56KG0M7/kj7nnWoofqg+Cocdv8oWEHGBDHUeZflrww
UAqX76r9RV0p4te+Yqv44RhlTvmGVfJ0F4Ms5sNh3xEYANdIMiMoPmajDhns++R16DmQIZIVT0TM
6VURA7ehtDoZv/0LUXIr1APJJZgNPiGcXx8k0KxUVe3W6PaUyrrwv5fg2G1IkyNLCKyn3aKrcRjZ
Gz714ohN6ztnYOZ6PvSeZ6mVkKptoFLqDW3LIszMzPWuP7nHeHWPkiafCE/A0NVDQkrNrnFJXxrb
TWd/Km6yrMrgdujXasUdvrhvdjaugucS+L3GJ3wbaluUHhCtBU90Xq9Lr5b0wGH2Be5/awxsdZYK
Erk+h5uzMpYba9jpGcB9TGchHzXdsjiFucz1Z+H8wrGkHF7CqtF3bIiIR6gkAJbdQQ+USYr7pcYc
72N1NHsdAANNlyMv+OpcDzB/HzL6F7KjtLxRF9+B2CAY7pH9Nq0LoD/zIGICFnM/FbwIAjGnXk25
0WIngJ2FUb5Qm3iJcBAGMBO9qS3ZfIL+fAOtv/A1hteaCIdsEQwGWc3kL5w7hlndglXCJMwF0keD
AoME3jhu+lBVVXh3Z9GgKDxQtvYfcgrL1DpHi9UGoKbr9uQcUvFXw/kGQdlhF5ljLV6jRZUltGPU
/A4nA1y0D1oeuCrZADUhqtLqtrYpG6D7qp5+FOubmHAqipwwbubWxF4Ob8oyjskGjJxseuhcJfUP
nmzn8xlSjEDstI6ndTu1SUJEzrWyWtEA23v2DmJodsEp49v3Vn3NfoHt92vmblsgC44ZppQ4r+SL
Med9pVBp+fpcM/QBGzTMxsVumy+1/zvBQOtbHShbrFepYTLlOGfI2AEjJ2zygT5ihD59hFqausxY
FqbNh363a5Wcamhf1qRiyS11/NFO7geZ/0QzEevLD8/qsBdJnmwu0MWHuMjIx7Fs8iFYtj80jPbu
o/jW5iJTTCY/r5AY9e3WCQZqJ1JS1WvKEROHLXNfSoD89M+g11m6OKLLNNSpR0rnXWRnFmxQcKRL
tsSmnVi0IygwUx4kjFjPVZd/8r3R5swfv0vPlyFGJ5HsRJGstwM/JuMEq6Jk877id9ZlyWAyfeso
16n+Co5Y/xA6+CTEw/6UwQ1tQv7HN+ILgTsbJzBJpt3c0WcqX535UY24PYVAG0K/UEug2doREQv2
DW4DoqlIi4+lJ7SglrlyVS8qz0Rsg+yOWrdW+8WRCtK9MSkUNugz9AIbK4nXtW1RRIc9gR8MTW80
EFU63hRrdUmwN4PvDMBaAjquQUL4HKDe4aU+QXSbHjKRSJ0g1lRRlmjYVUBoKPtLcNHC6VMqm2mB
UHMUZB8pFW2Y3J7vfEHnnma6smHFpGPvJRICb2DLbxJnVMqk40I/pj4V5DOQ7N1gwDQuJ9Qc6Bxs
RsSZqfuK0wGj4/BtJn3Tb/la26QoCWV/ICCsQ5DcsH8VZhB5/joz/4/izU6Iu7CkJxU16cyWLgE4
FTpRcbAOk7EwfRv9eCpl1afTI/06J84771XXZ7D2W6qsyHSl3R23d3BHZSo5qpwfppNMalJszHD9
rkhdRv4byAcCEg1BI1WDht1aiTw4xqcSVyH+tV+RfAAzfC2FZ3oWXP+NjUdZOCpCSBmU1N84TjVy
DpB7LSAkt65d8lxcYUlgB0zOQgB/p+gpjDJEOkaP6h+sNKl41V8nR4Tfa46lgknihZ0k+2icOhTa
oYnCFqZ/iWb6f32jJ2Os10W86BeyV1eoQ85mhzHK513j9bveu8FwS9dPiUP0Y15UsR0MRGPw7yPN
xeFSU7WFkz/OTrldlWE/o7Q2OHztCMXGrVBdQPvpUP13X4QCv9SW6I8rn4W86svaf6kKVEwjLL0j
2uKU2z+Sl5E8rHKsqN+NHKJFXZY6uFjJAm/vFMek0qwqjoGZhRkqyhLb8VQui2n+ElIx0R91Oqt1
Z4ldGHmMX+vRNttEloEYqffiKCo9RyI+cnzxxKVQwyevBA28P2In1EMEmw4XTr7pGCH33PBvg/3W
nYbERVK0bcf6s36VT0xqjCvraSTKaR4wASIcPJbvZQx+ZbMktzdUfgH5VyPzBlMI+Cgoi8hkEBrQ
ZUGpphjsmNWNA7RGYFKLQ6PxxO8hTGDfIeeQ+hbEPvzcF5tmaeNLhDrRu05DyaJ3i8sCFY3M+zqC
JepGF6sNMwV+XnXUGPsVRxHLkXO6Ypnu0jEg7Ij2Ux7atPEKrqkVG31wMg5PtmzkBhcgbMeycK2R
mNxON9E4DwcQbq9jpcn21hHm37wrv+HNqUHUhsM0d5eEAKR1hQhDPmvctelEuKOdQHLvO0PxBMRk
Gdjmzx2XWf7blNR0Xn5NgCQ0wZQFR9ItR4spHzqxUhhVBpSmyyflVyTD+f64NUXXghD0VPKwL6zI
zDx6HDJCF4qZKllAupSYHfTBKa17i7sSznbg0RpOMvWJ0fi3eKQvtxUT2QUtjhPXnR1dnRw3oRLQ
dkgEcOxu7udDI1X+xp/GUw9yquHz57fWIgYdouOB1weB+09r37kwn7FAUSHDFSS1RBP0LqGFmvxI
pdFR8dXjJWC/xdYJzdhKXUKJvulZjhBagTtXeFoVui8slwa4IMKSlidFjM2hQ4ssP6P3xbxdLYYz
+BaswBo0ktmLhIwoTV5hD78tO3JzoE14/8BbveBBGV3qeZIiiL4qu7xXYO/cjpNhyPBZ9JzdYF3D
Oqw7J8aZSFrzP8S9s49G6zW0IwNFKb3jNTk/sqF8nY++v3P8S5CFGJGLK7zhvJv5ALBGZlzfnIn1
PI3rcq/xBb57rmw7d9336SXCCJiNBX70jrLHhW1FGLOUQSLdjwCLKNWqdhfrvoLZT+2/GLNX4sdX
17ugkA27odIAGCIIT4p2YU3BTx08AC6UDOD3tE7SPlohT3rB4BgMkjLml664kEa9uhGJWSRrZTFg
mtr+9ggXLol4ZyLrto6FpAVuHsYO+8AAQCrWONZ6jA4D/StObnQnjtelnC4q8H9ckSgkFqR56Crl
3croHq2iwgzvCDHUdJngm4XLGPTEkiNUC3w+wGZ5kbH1lywh8YowBZklUNSM+l91DooCI7KbYbPk
rtx1wNA5OSwimSligzqorVMlmB441Suqa1IOVwXaVq91t8vJFxGD6ICaOt5QjrILrx9j1acQWoxl
gWMVgR11B3OxxflSQ5nJ0tOfO1iWh7cAMgAuwBX99+hxqV9wG9jiwc5mJjSKPgpWnJ2TJRP+XIxz
3iw5+vb2niAoSLxL4Myi0HXoF5e85N9VTe/ZmFPy4Z+Vy9J8SQdQJPa+xVdRfp7CqABRdMMrPkCg
d9xHKto7boaS9emlXS9C91iSngeEb64s1D3uGl6e8phEdNqGeNvf15XPBQjxZ86+mvRLLnRMpwSg
mZHT5oVxk9fBNDHkkvuUJ8RiWR3BkgWIqTxDLele2VZN85g14koGQ2VxPb5xuBS3fbYGL4XCRrXe
/AA04Riam7RrodQQla9M4UlclnxQI4DErxIYfDVVDfZTcsRdBrIqk9kqvkqdSR6N4rAaN8Yt9R0h
/QJqFKwGAVcsT5AyjVnvekE54PbMmEOVQslGVAhwZee4X4m5DlkXluGY31tDJ/lPdnGvqqlObTl4
qHvwzgnaba6jFBB7qadY6Yq+17yjVpnq/guRjBBq8m0LUkggiXtYXb8TZWy3+GZxc7vHD6Pl9rio
1cyxOpz9nw51fjxptCI3080oDuyJGvcO4OF1FINWYjS4YKCADGGRiVhhS694z3Ta1Z41JWJA4riB
20uhscKUC+420xrOjTrIdmCXHhwYuf50vXy9TwCitCffIKmBdq/LFzdQ+Pgv5REhtJY8yTEY+m1V
3SAv3yaNW/EV4yZsyfsEH0ADRoOAbD2OFzXAFP7O6pIQyf2XhmXNGF9XrcoDqJ1fmRerA+ewiv/i
LUwqvEIhCHsMxnJQJuAIGEb4YYSwcfFLV9q6yau7mdtdU9T3/kruBb4oftLRpG7uwUcIfeukg7FS
oUZL2dNcc0hpNhzNZgWuPqHSf1AAhd2ibrXW42kysAxvce8Corow4JuJ5PQZiqP2uAgzDlneYkk0
K89xJObXK4lIGMzmVK1QJPdf4Rz+M3gXTfPjtNsIo4ADfbP4ZAIt3Vx0Bq1noF4SfE9ZGvc82tog
Z54wrnTveZeS4LhokQE13sXv5mzT0vWEuJax9QIkC8N/m4gcmCvFC8yEMU3pJBOr36SFORqHMoBL
mVZVlrRdJkZr5gNGZPnvHd2eHhvqRki+WORV0zeH0Z5eTi+DKr1PzNxo4QlzKhJCq+xBb3Q7sX51
UDhujVzDl01wVl2qd6c6LDXQYDtUeEuYuQvqLWGbfOROqb8vv89GIJ5xE0d8oJ3++7ZVU5CaQV1y
zT8Vu8BNxVpBlWYowfQWUPBUSub1KEKDFYV4oHUV+ks/E5D5VW22ChVhPbskRp3gsFMCkJmkqDXc
b1UoVlOFuOBf9ypR+MktagSubT+fx3TVaJinq3gdNgf5Y34y39sY/wjVgRIqMDZ4/8O16hMy20UG
u1M+Ddt04Bcz9Cq+tetLknc8W39MzKiI8zMBBVdpZGLvyJA+MIBFxbrYTODAQ/v5+0+eJ6LGvPFB
qCf/6ewCA4alBiwyTMRzrWlFvP/TeEJV+anR6NP6Q4F9SA0Es5AoVCKrt4xBOReS5Jia2V4puHsf
kmWHGQX1WS0xDQtA6Tu64GwxSIK138K9yg4D2Y5xb/TLhOOhNwXMFInYWWMY3c8vJs0Cn2UnUeJv
zMk0GBegYAjDNfeS7BF808prU3NRiAUZRRQuKdWIBmMqSDnNlyKGkkqGPRDPYWEI6plKyEdY42cy
urv/NISCgHQ5jorAS2CJLuFMwsvNb4GPF3BchkWegrK7HSwsfx8n98ngUBu9SPHhSzUezoRoX4K/
qE8677zVEAQZRAOuaYVNEdYGXcK0PJ/5Wu2YVYWbH0zir1ZuQFzhk4SsUDW40UOgGiob+g4RQlrP
EboJuaK5Fikw2QE0tfksxmeTQIV+ow/6/bjFb61dMW5VgCJGprchOq0hhqzvMcU+79Mgookf0nl2
fcWt6YXlzW8zr/HTi7eE4N6cSG1lASYVirB96zWcIkzkdsIo1Arixv10o3nC2vqUYak1LM+3oQDr
sr0wpCIAbsWfwhBcipSUxfymW/DSPaLbU3d3M9Hpe9QaPeiFz+XDL6nOQU7pwLKmA/CZiR0gYDXM
hFFYEwWNz503kOtb+MCKp2/WRkomx5pAq900ALF8k5TigzVJnKPWMAVFlbsWwTLPJYmWwH00vuOj
zoY9tGqbdy9XHv7AS8f1Ukgqox6hUb6qzQCvn5PfvjrvZCif6b7OHFkRyWieMufLvecn1XJgoXgn
87GZA40yc6F05e0/ai2YQwejum1FK187Kx5Zwln+v6UtNX818iKmdXiVllEL6qpmE1/Y0jWmTs/k
88G9TMGdaWMZd723O66b8xO0ZUelwTIx5ui0LQttEBnzcWVu6ZkfD+80meyqr5Ka9KT0AJ6aDTA5
9TITOQ8DkVkZDTFyoT3gW+UiXFGGMYwxbWF/226O9vrg45Mn5ifIAQ8wUgfB74eT62Osm8R3+1Rc
YmytlNgw+mbmgAJtzNPDy4kD3wStRE7x9PeBGPzw6xCZQvgi508G7n1nvg1bL8yScLpis6oypo9O
YWwQbBaBySbbj52hZ5zifgL1xvNWbfiPRfS2G9w2nmj5QaiWkzCOjpN/pUKQNrp6oL6nDyJ4HCWO
b2WwZR7UugOqHOJRB34FxRQd4myva/W22zVMsBGbpYRDdUtkTaSYT1vqzUnF4BQvTGS+fYTv2sSa
R3kcGIfHXtP7c3FvZ3XD2LFpQwzLF9szRQheJZQbJh32zf9uCmjgXU/Kv2j+gtJQu14Fnfr+luvj
lIacPi0FunN6JlLnoTrEwKBefJZksYlfV3pKRwh61ZvEjZJ08+BmiE3zoK3N4+X6xplp+484StHX
P+aGFcnt0VOgsegeFR7JyTMxjTBsxc5zS0j2d8JYCzoQz31pFzOCX33H1SDkdqOYryqTsjirNprG
Kwf4/g9L/jHldxVTzjbFfPVek5aLP6EeCD+jltL+qqjSuqfSLuG8niuAUAGC1ySOluW+BncPdU6h
VFZ10xO3uXRfajUCwJ0O0rvfP1TSmiajdBFOHF1MyNL+yA9t0I0zsEiV82VY+ItUUGH8FHu3vGh6
0nygJ8scYsQCooQi/SAn8/jFau6Or/2picobM557GZ8MHcLjeLdUcWgzz2BnypLQR7WL1tRvpkU8
N2eMwW9wfNC2Uk2PPqx5RQaFw0mrZRxy8qEKT1qx8CV5b+s/l3TplvKxWSfvcA0OzUcj4QUBTOxI
z88BQNvkuAUzWgEWx1vs2QOZIe4ccSrBkN/r7C4sM/ZX/2rPY6JhcrOVmP9IX+FiwSJE+HGyBQDL
NGEyziOVmgToMkOoj/HR4fV0edf5JrKCGepWobfu5HQgBNfwJaASkCvK4oEu/hq/5aRPBY+nzZgb
FNAQUMlm2ut5ocMIwps1Ap2xifp0ScfdKt79FVjL9yOXucNW9KWHNEOmdyD2Uuty9UN/kA8q0CNz
SiiJPjBZ1aEGOxAvlueMcO48sleM5cYhf7nrRUBRfEHj80t0Lx5BsnNN7IfVXvESRS5JgFWQ6qpY
aPuv4DMyPdVU4fWHyGGNsCPhcHUSu4JqhPER7+VUTlRyeOuigY4KamniKEAvOESt26kvUmyJO7yD
/szlZ9J0GwOPBx0MbjCRckzZ0se0RYplzJvE+TJtxPbXeyCvJ/yvoZHqQqdDp/+bE5edcgs1InhE
7E4Xw8+81M+6nYxV+hdDgRUgziXdyOcfLLPB/IdKq6JrqSbiPfNBK+tj5Y1DsVsLZc0NtIzyb1Uc
iNxJxx2IiEUZZZK8pCs1GH5Y+L/KTAAxH+6M3I7E6h94whQq2MaJDUknYRXKqP91xI7EzNNF5gIT
lOI8Tirqvf6brE9+46ZXkllH0sGm2g9/RkAn1+STPEfE7RhFFvJgJkucXlwyk8UjnReGDwJGbvxR
Sa7niRSOWVY0fBy2hv2O/Dr/wM3midrCHSreGHqS3Ao4kphLbpSBkuz3/L1JfGjR9QeW+i8h8Wn+
LpMJc7MaFoxCD3rYUFjfjK4HPvgfYPchkemT1tlK8AD4qpikS9MGg0RIS11nWao3RUc7lvOACTSQ
/nXKQXUGyJVCaAazWyqEx//VCtKD7G4RQoCU8lZq/dvms2SN2LiwePVr1iwZF0v3qVVKVc3Jg9Sn
0kdICTVAUNf1X/MR5+K/1xxgXX/pP0wuhBIs/dz48Zr+znkKT/79rJVYcfWJb2Z8nOPsMv1nwZ/z
9DaPJunEgxdFaFmfbkjekxRDSnCWZazlALKUA39qalmYoK1kNyGlMyjlmuCCKfGmOplSfB5YGTnn
/1j+kXbPn21n1jorsOGrog/Hj6d562NDPhBaebwqeCE0AknyfZBSOCuz6zOtnkcmfI+4fKiUkQwl
EgN4uhtiwp/gbHRcvSGcf31k8SDmVi0sx/m1pVHwRLhZtBCTt1s6uCSzmLs99tNtKvovafhJcCGs
1F+cvT9nrUBxxuGe969ohxtUvgtL9uDWbKd5bBrXa2QsLiIlNE/oVHlEUhsy7n4br7r+yg7MwthP
Ws+tugH8UxmkfLowWZQb8hzJAnzjWllNe0M6RmDziymVRiKsRVbqJ0xe7xRf3KOfJXRqWLZZdmGP
xqUvhNh7FOMrKo2JacslxC56DibhZe06BE2ByY3QXDwwrDnDAb6fv9ReKAR8cMfcYkT2TLhkIN8C
54DgLBVuaqlCLjAq4OpCY2yaa+d0mzfL7RNkP3CXoShNQO1DOA+UY5LbmNXWz0nsUhT+N3R61KjM
1caK4S1NBmSOhrAC+g0E21UXnHWQA9t92jX5yQo10+MzeiMY0Sf+UGLxJvLrVPbz2BRdv3NlvLoH
tgvIxexkdQznl5lcWN3T8QT0KddbmH1dH/r7T2RtrnIJ0LhR6m77QqVbppd0rcq/vEhJKH0jnzAG
ZJ0o6fO2a27PF/MFpzQAZOSGi0HfUI1ztK4+YAF0FYnrSwmmxY64Vft/6tk8YpyF73aU9bA13r0G
tBC9EwT2ehERKvu/geHypp9Tb5FeLH/L0HxcQadnEyq1tZBxZkVWx0WubCkOGFCo+fBqHD21R/YR
MXx9p8/d1Ytbwn587CP6b5IE5hyLxzCEJvzMRJSBII0Ss8tgLQ/dF9VUCrmICa2BgUGcyJUjWOFf
vk9t7t0U+mirapMF3x/tfryS2ndWkvEZL6P5ToF+z+KgRmKUe9SXGIw+/SiihjEmD2O3gc+5QkLt
Nho2xHB/jcdhAhk89JhMpH249Hh+2cRqyrQM05hx8Q7XVp1Y2da/zmTYCl+jeBoWyTzjHCjUduaU
Q1lutIYj2ytLtceiKgvHyZpPG2N4TtXypqcNG6aAo2hIJ9BRmpq+AAVRk8vnzec6APihsT1nQ494
BdSZbZCQ5NxDsHn7BTIVotEODIH1c/HX6wzR7tk4FyZTijOiB221W1ABBjaI+xQAqkm4qfoZ09ne
Y0Ytlr51wjORYsVmyrQexIkx4E8/3QLGghaFHy4EkEzbG/Ox0WiKzAqzv4RLxONNvDYh/Xr0gNBr
z4Ef69izjLcjLhHuHYQk8ZefpwFIU0JW4EJ3i99XUekx/Zcudysy/NM/gm8NDzJNS2UlCD0XDQIX
1TcbXIzfv4jPkOlm42h14XuJX2MfAlMVdTAhq3B0QO3TtcBuZP8Bkc7tUiEm43f4uUpWdbmFrtxA
fAGf891bKzY3lJrYZ3jzO19w456rMc26F1G01fbGMmbZz4th4TUORn0PNQ1ZUk1822fng5kG7OQt
bz9abi8zQqcqudgX9iebLc5dxa2Bjd9Xq8UM7ym3C0PSQnZFjYUDDNLihkx7D1CaXL9l+iM2FasT
9mF9KqzNjQfJefKnhfY05VveW5Z4OLETRfU70b+/NBSr9e9/OBl9O/+v8y02R4nrWXEizf61BSGJ
KyivhsjM4P3pGePmJyu50pSQrSmFZwsZNG1HkvgxmSapHZ3Ya98mD2kZqSAJAQs0SKiT7J/53Sr+
pic0GJdwHL9FWDXNNRdAnw/L27/8fWT4XGblUWlMb/ZT1hEQoGemNH0VStqLNKNbf74ZR0I2w8yR
BJ72pfrxcRBTPMByrMpdFbeC1hcKMIknOab9kt/B1BTmo5UDWKGjCz06HkfCD2M3dsN/i7oQGWWH
/ryVxrNeUIFCYSmx+ro5jsoA4ZhkB3ZNAsAbTiQa5EDgMCBheaOMiir6vm81oxisRSZ1ELDD/RrG
0PaT9tXxI1xXKoklLtgzeisJBYm9gQ/IBMcGdYrcyPER930WLMvZKBf/OkDVJvunP5xWoVR4OvLJ
B+deHWiAOzbbZPGbT8vC0E0aYIWOVSQ9ypmbVVD05QDTAJFDtNrJn3bsFvELDfshARZA3BH9LrNT
PsphSiHc+2WskxX3rmqQhrCopiGN5nxMboD53dPxnmocWwQN9PF9YfsIk6vdW5izpzCoL9o0L2A5
hCpGf3TuCj34Of/5/04+vSw/tZ0S0kkQXGF2NdbwaS2iMNBqM9Xs8GMJ5jM03lD6/v8wDUvXOYL7
sRorgsWCTf1E7l9f58KLJyxr8GhxaNfhYC9wjtbDsPty9fZaDd2yH9Tim4rH5jaMEIexzaLzdACR
hEtQzq9hgkTIII/SWDDZI2heLnQnMdiUGjJR5R9SH4mqYl6yTZSqa/OjAfVX43biTea1W15prfkd
UhjB0xvHCheVtp77lNDuUV5+18QXQ1VmtvcU2MpVwWNNTMrXhmzjmO4pu5vdSLk9cMK73cCGH7CB
NpEindrq9Sl0AAyTdpBe6w1bYOSsLu+soEMkS/cndxkD5K8o6sHzF6lPIdAe+b6ge7zHYXGMa8W3
HFxNj+fz/k390Ve38PDIsCdyQDfnWWcRFpLJlhYylu9DUefgKCONNCc2ypzfTMUqSE9fO281eq2C
kVRdXxSSRvaUn/6A/9oDm4KX+0xNODdO5Smuiq+rNzzpSjPIPPkJbbWyC2M8AEThsIoUU7iiqFZL
VusdNeePcMRBatfSds3waH1uYt1MxAeYiRrSwgRZk5hFTPr26JdXLkUr8MhuGcQ//7SgLoR4YE/3
pApsBRjY6ORTVUbCK9HKw/TcIyH9gzAXr+EfCAdbAaQlsXE0Bh0Apq0RJwf+AVg6M4Uwm+CUUVxm
7hEUCugPAoP1i1OYvBr4dcx1VXUYeVqyCHudkIOtn/CZW/pvyGq/j3VCN0fIuMgBNHz3LvQTRQte
hSoDafrFD78MlTHhA/CvIHtnvkfPBA9CCc5hbXJXMLzldc9tar3JyY4pwXZlCiCxEQQoVj8fvC2F
9lrxkstC8ZjRnr49hxovdXyuxP2rxWtJWRwSaYSWpVZ3HiXWfqLyW/hdR2RTrENTfNN/Lirp8NHi
jcdo6aeVPxMEPjihhMYYBEoq4j0UoeVD8LurGVQkU5PPsxXScjgPexf8d4RR1IneTrSdWSh0PFLr
Hf0iyvTPJvGUYQmgbBDWReOv8lSx9/GqgpToxU5u2Am6a9FzFrCD/ysSgd78nLVQQd8qzipOPYpk
nd+HB3ciP98TE+1KPW6kvaBazMrkMToHtjVeQO4x72YB/4fKK4gVClZjcV+c1xTalEQAZauYmBPC
nKv2hLyXuXQvDFNhAIytg+tiGWq3zX5nsZIAbUk5ie3fOMDcwWXTpauKm6shnTk7ETIeW0lt40AY
ZqtyvUbkZ7rY6xOQ0TKX15t2BeVwpOQ+VV4RdM38W8HB0uay6ZyOB8bKqQzEgt49LaUuDmB1ADzc
/u4PmWLamjF9xaR0UDstVqBMumYbcqLmT8tuqqSnm8kSyg9lIXFyWJDMFDbENmd6dlbjy/X4QDk2
yKJ8zEwxkV8ZnIKiBKMvilbBh90IrknhO48rcQ6uUGnLZxNwk8cIg8jJvbA002svjC+1plqVv/5w
224rUGfWxIallvLZ/Q9mixmimiTZqEFns2i8gWJ06LO/HLsaJUW+wBc0D/Vo+cnd7qaCFH9RLAzq
7pIOP1ucItAdQMD4wEik3aVy661xb1n/glkb8MdnrYVXLEDbXbrkjM+uGZNWroNtppQDxay7l/YG
fRWP46BpdQhtym67O6sfOMdIOUb+IlWQ1rFoIWtXtz5M2QQrqRr0S6Tn6enQPgk7h8yhziowuQYF
DZziLpZzGiwrrsUdfP+/zMR2ZBUXkQeO+6033bi0wO56roQRmBJYDfoR/BOgSMWmczQuto2aGqEv
Pg2nPPAasYD1NpP0EZ5Pd/AzbWJos3PQfxTon4ypstehikpkknH8k/xSm85WSIO7r8mjKF1+rm2f
FXD+LB+6YnHdcO+0jhVncvBvrausvu1xR9iXMMeX8lVIvKSD6yiBjiMxfk2FPoIxytxl3/PfOUQ8
C1sRkyxHohBcOC/m3TVX6uXGWCuOjc9jdgPSV9GDNN80nGJpdRdSQ237gCt4ChqYtf+YQqr0JL51
PwB/Dd1T6p5d+wVlEE95icNEOniZbrLZgGH2hzTQeIkq0sljtC8SStncMT+Mx5gy88cuvCiUkgIw
9dkQha8/asjrJjwlVm3C3vAJY/pes0XDNWAhyZvUfG5JuUjuezLBjm/zMx7WmLeServ9czJw2BHT
/cgDY8XMPz3HhEHYc8tQWAly31Mzzw7Ervm/vfnZvztmIznAM0dfIgsKJxxYRzwTQoplqVERiv8r
XUlnSXXx1FOdcpm4ny+eld5lLiCpE2iozSPVgVFEBa2MU8+WChka+L3Ff18U0j+PPFImQ0Vf+7X1
jPUZRDm2gppkFDdC8IQhxTq4dvRljqBu613xBug/1bCjwb1PO3SEch4Th3fhAT2D3QSa8GD9xduN
P2wvDP75lCrYvADXzFhiYt3hNmAPLRb1d59DrQ4fP0TCNQdrLnVQvEYoDvyaA3GwCzgKabtAoPHm
CBW7CISwLrgGM/1+Pn2jX/ceQ1m5cuPM4YiBKzj9OvRRjooZ0J5DcgIup8buRWtnYYdX4cXsZHAp
cxO9ShGhuttuZEc8uaSpRG23hargVGZ/bucoDEpnTsqvwhsWbOC6naIVZT0mO9/5+cDAHnJ7PjwY
iDLHMkhNAsC2vzzhiuWBNTy6iJJvaEBuDT6W/gwGlS+YDI6KOQGRMk0wWiZIQvgfTsWqXewoD0yy
jklUbbNLbc+oYM0mw1vkxEKlMYkgLKPDmymiMliooui/vdzBivwvvpgOOdSv6ih6mmcUdiudBYWH
w02tpJ8wjvvvn7ajflEo9t8oR/Ca0SaIE2uXnd6/JNqcFPvHzquMGr3ccqG+o6PG3ZRb6I1XIYxt
7kTWKB8Lt+6lH/NlxlcrHbfJwxaN53EV9Bw0WSfqQL/tv9pXYV2Gze8+iEJWNCDVUAL7UhtEsisL
VPASYovndxz++zVfpfMlNotPobj2WnJ6/u77TrBniXSQxiQjfc1YIZAEPR4Q/A+1fNqRk3wgGFvC
LB5tcPE3I5fsD9kOJwu3iu2TNm7mELbF7vcrmlgO39qrY6mOH02d20B46HVOZOIIht/eslxQ11hq
hZivtVYcRyv+nSG+LrDE4oTSRhrUNFPOI209w0jW0XkpCup7unJrzITlW/1eumCalt3LHd9bibFH
2gpFuu/SAANDJEix7P6ks6AONwdoRjpxqPmlFMYsNTbVrch/Jk2v7Wv+xtRYWuaeYob/3xEZaejX
YsgIeqMW3TEnyC8UfdBmnSnQr13TY4WWL33f6oNb517HZ08QhQPIqVukxvXG9aT9uBst3S+5qI2D
h4/bX8LeS0fOPttGONifn1DPgBiHKrKxA5gO+Ub7rOvj+Cdehj3m2PnvKf9EkOfzm6s8xB0rdIcG
CGFGoM9XrwikWBz9aHaAqeODDQgJ4jWNsd6eVQfwWxLSQSTRAyfEVc0KrjF4+wpY2mKSmRelC8YR
aRxYHIVVyu0Wnvezp217LfsOLppUeBWVD3hL/POiXAdtgxX//ttpxqIPnMqkDSb/2rleEurCs1oh
kFXwiSZEELhyUW4XrQEXhM0tLaN3LFyuTl52e/+lYII8VgxoWuBi58DWOu1ZxFF4bzTq07SR668+
G8oqiqGc+LI/8PhaIGnmw1LnrAcL7BmtS4Y+JDvlGUKZTenj3m1U2al+fkXKRDMd3HjrAdNJtNr0
3obC7JEMj1Oo1xxvgyk0rX+WOVQBKMvOggTWUJOb6YxSw7BA6hDEJ/1x1tjdN2RLhSEM432S9yJ2
5PvRvN4sWwcFZ9dT2FTaR+K86pXeDp72WsXgkQZNAlyZZBh0bqn7wxBtw4Tg/wsOFzf/UYahbfAW
HTz4mW8vMsGpoxKLgjQDUuoYfg5TwaBk7ODgPwDnRw6KlxZ7gQWlYGglHbgTd0DO+YxGLAboDRgI
pdzXg28O6QtoKDQg2t+YQ83/910IhsCF0WXE1O4212CsIR1M8TbQ0LqjuvDFNup/v9YSWINuorTp
GXY8cCQYRxBkriu4MtsXMjE7ZMW0mfyy+51uulAnXtVCZ5UyXUsrRdLnfL3AaCAsbItQCJaIjmP8
vzZJLtf5BDSMfCJ2ugpE1sU2BWTAGtdA4IOBRhYaag2q/5T7VjWuldZl9fPf5wPTqaH89YaokEyC
41bk0qpT2N1RihqcOp0lQC6zq27QRwxSDMRAXxUhB7OUK9tmMKUwjn5cn4gwE+QkQ1ZTTdaf9f9o
tGlXBSizcngwhgdjqaArIipzMz5ng0xYuBr+tPoah/BLOd14IpmsokZYOR2cugXcsCNqyUyHHyt4
tN9zfavPYJQeo6jZj1jnRttFBWvuWCOvwBI61tz7oKZM3NJdQ8oh0i5nP4+Nz+Sl/pvppIybs/0x
TXmzS9/jkVRv0gN+0YkLu8d5qH9a8W0Wt2Rhuu6Im3tNnhL6SZY/rKZwkWv/mZVTfRv583kuW/jF
kvEiSvYjphTpdnGiYx27ol2RmDMTzrE5sJ/kSjGs+FPZkSgkSXbpMFr1dhg/JBql1gvEp1YDDwyO
sB0FjFDxntLV29jPhW9CxXlFQJqVmr3Z4y9DIdngBdRARiHD9b5og26qKFmD0l9Y7yw/3+ixvXqO
kpyGJQ8AUI5boO2Ru+PUOcEhee5r5sFuUyDnn5GNOTws2+5MK6qyxMdQY9i6/V8ombI3rWWKo7lT
EIVjUKnjqL7bmSrS/uMWNeyksF8WqiStW9CUZqMK+Lns2mHMFmODqB/9Lh6qpdIAcse8XCEulxIK
v3PQnG3JLPhtx1orL15P2eciYV6J1FWF3VGVSINerxk8aTYcYKRv15at3jLGEzuZtE6iOEn6twW2
a2boQAaxdNDDfnTspi74YUrv2vx3++lPxQyYojuOUbdrLX5jD5urz4Z8Z3iPfgncKL4brZDknUzy
MPcWNkyXsxVUqPBMULcQKd03+KH6bEqA56vwuzvUb3N6uxdfuIrsy6Zk293IbDmsGuK77yGgMFzo
fBOncZW/37H3p5QQALe4XDB/M/KmR8fJbyrq4M9sAmAGFI/PiKRAzu3IVz2PFWdU7zFMS/Xagt1X
ZnDjeVeDXotoc9nAUfiJyb3MRIAsOLjYflStT5/0/3w6qei9hSe4z96TBNSPDTEqGwoVFp9/Huo+
ENsIT0+8gnGsRNUCse+nXJJUd+YX9ckEpiP0MFwq1/jG+ST6JJ951ZlkX+WwEKTQOi0oqXoCiT2k
EqYVeMvuKN5DMklwTH0ipsUA9dMMjMxyGGfZF0TzVjuPvOKWH/mPRMirw5/Ax6Whf+p8qWHwZFUV
wg2s2hkBK5gZtSm5LbkZm6luNU0n7lHOEgpYTcosyIEurHWgAUIeX9snY7uKE7EMciq9fDrB++K2
atI+YUxvKUXnGYTG22Aqw5TL2KhNAN73wBCd6JTcKpsAA74XBlh8nev3V5qFPuI61dols8HcJ5kH
gjwEhallw3gB6IegcZrxRe4c86aL+/USAc6EuAtEIRgMXmtL2vBtijPuJf5G5oydnsQaIbOYx683
OgtQJ98J9Yveb3oRhdLcTKJrM61nAI+U1eAouOLZJK/6VE6z+FEvWygaN4xtvhKWRisaJ/Rkwuz7
64dRMckNyz/AtYPXGu9ZVR8VvEEtwxnJP0pgQoGnA/ixOWUt85gT4QGa/w7rhm82fTuY4R8LouqF
+3WCQ9K/3GlMVKSh9+Y85S83s5LCqpNHiwsg35dSvMZNg5YYS39E+HUHqdD9qi/EALHG6DZDKJ+r
5aKgBfuOwRFtkblaILOGmLhocLsoPXxCu9EJlAbmyZrwedB1gpP2NhApz1HuBH/Jq+FvNUVVem30
uHYFhu6e/5WZdwIA4vnGCINuQLewR7QS5WXiqu4+uli2qTLz1YaWYzgWYY0Q0FqQU7ekVHyBd7bA
G/hBc4xe8lPUIoaT9obDJcdnrF/ek6gVjSBWsYA7jCcIh4l0oTrM7oPXeTGizI8m8KGDmP7dvJer
KXskPc9v/uSAtHRimxX3AWINSGBr/ybEJBBc8gNoq1GXLlBEFeB/Ci5JCBbRWc2sAXYEiGiflbJX
IjY6Xac/c8WBNmyHoZaYTWt6wAbH2MgdCD/SNG6C7qNgoEVdV1zBEidRM8OsEPjUO8qBmc07SjBl
p9Cpt0kkmM+PC8j9/U59e+Rx740lz6yOPvgXkkJA9TM9GjdX4ftPLyoiU13yuDKjGonMfNqTj+Hn
KLph1Sd4V8cXOCczo2VCKMErQRlbdMsjx4nBKNmFOFOpz+78NL0FndhtH5XDJxrxhh2FckoNNtQG
U4At3CVi6sTMu5p19ZvBc3loE3px5ukw9ggT7P2LwjzD94UnMp979YMKKv3OqcDVTfOsk4lAjirl
itJFyqOwF6VzREPOHXUbhNsGJ8BOpTHSciP4arNEm3Nvxb6ItPC62ecRtRtRt91MFzp6ZYEhy+uT
m9r2gG87twSabxbBmvbteuvdR9F+HaW89QwNNoyusVkiZiiylKfa1ab4pjeo9KSlwE1EXDkMHjZS
AEXF4evIrxIxORQ4x2OULCjvb2hlJIkf2Vc0xbsnfea+lZ+XbGym0Tq8aFupEZ2bw2AYWju1WQQ7
hTZPi9Kq1q1jqOmc2QpqthAAWX9c/0j3wh+oK1YY5mkF6iYDBLnTMHVXk8J1IHlO0PROFoYLFac/
rN5PCml2GoGaBtscv70y6raUEk7CuKH54xpsgYj68jjtS6iQQSND1atwWUQLgt9QF1YAgcyyGEYG
+RNcL8JiOSf5b3u6JJJ4IRU88MNRRf166LhO4LtOBcyP/dVA3YDNZpnB08Y4Wc5/vS0OT6v5hNOc
xsIexC1hX+S1RX5aY1Glw7w7GE9KIRAhspDdpvdSaC6tqAdqEVFbUYIyC29GIotfasgr0kZa4iI7
VWASvhuijRACvZ4q1PbU3hq/Pvnd8UtTQkhMcxe7N/DeO4dWxSqhRsyByEh2efThwtfNWksT6Xd+
gdMU25+7RSdeXQlRGBgM3Oeh7fV2pHaetMsiEZvPJht67ndwtHta5uaO3D20TsO2KLjkBrx7Blox
Lcc7LhAUsH/rC7L0jMutf9E4ZZZhosxgmwqsqncr84g1UyQ5yBai5luHaMA7c+UT2fEprnqP8kFc
vi/6N20B41R1BKotOzR1cZpVjnK4vS32ycBl4PoScHknf9N/D+eT5RlxwBP1w3gn63lBMSPISblH
0HNv3h5bmlD8rHTnRqVuQo+gdE3sCksYSKOou4+feitStF4BL9Mw6SmNq35phq3Uvb1UsToaeuFT
iJgFg5Ad+9puQ5ksoi87qOO4c1zRnMGL/I8DhywO/s11Ga5ouTA02naPy8f+cvBpMNjJH3VFixC7
cMIDFu+irGHZYo+kG5mIpSPZE5x7HfJjhOlBwVkhJ+C7V/GmjBnp7if11XxEXOadC46HnwSGLLAY
psA4f+X9UGOAeo/iMMaDvOVG4bQ4GVkPdkAD7NeNhVWDvyMobnvUhUDdPR9FiUQZycipnsMNZppp
2XPsNqI9Fuer+33hLFzoA1ojVk7S6fue7Ao3JKxGJwRg9tAuluFsWOJ/hc5Qy2tntgFfSq2S5a8P
SiKjPHr+ulF2VrNcdl4BPgywxals7vfVTMoBdEX070s4vetNZUfZKdCHS2zUHtmY488uvT4W99/p
O+WkoHnoUI3DNL/KUaPxPwUuSxVZt8knrVO6JQx7g+99TOjVlLvBJIiewy8W1NRknfaDECFWa0ue
Xvo2ZlvaCmsy2WEElhQRWKYP9REfiSKQLmONhKW++xPZdh4dZgNTmchLQPHn35yU61ODsBjE4nXC
xU2z7nZ6Tkr3jXntJLDqJkQdo6U7oGneiZxb2pmxHQNJKSDJRCkRfGCposl1VrAIw7j747eDD0TI
u9MfFvt6phdbkbT6HpUCpDpcLUibTpzSFds53mPmAac/MxsXJ981IBe+GVEdwUFgJWyAJ+U0mUXR
w+8X0Qb04H6JZlJI7zfLF9d4057mZcq8VdsUZujSLtFxrp0Nwqu/uxxzqTXYRcVZ9O4WRibUXnVu
PFtD6hBFTYAxoZ2ibYYQ/rYyk65tTEvMrNPRy+varwc7ZzwibL1oMK07zamJ99HC0vC5f9HKXhRz
AIk/0HdcwC75lwEha/gmZW7alxL5s+ScMnvkPD61VZTiFeeagHjc57FKV1/4/IFJn7tO6GYDGKRe
Fv37sRO/Ru9tow/KeNqJZwhuzsoSXetL32S6xMZ0rDhUrpEyUuFCy+l7hJOznWZ+gHTL55R87DYI
tMPWATeuvW5Sc/RQjQHS9uVdmz+pW2C33P9nFuhNCdpsG91uNEwoGpA/EvJHPVFbocMEY54MhJxJ
NQB2KBYcQzsmJ1ESo8+nQg55LlwHIj8ygT5fVW3o114Vnt5abmBYryc7AWI3edhSqVpYtQW5i7Qt
Fc7HNcPCM9PjYo5lZG06x2Gd4ZD9aOCBZ+kqK7SLouw5Pb98zaBM66afSCEsotcwmhSrUAWUHZv4
AHXhVFTa3g8wO8dLcABgRNq/0OMfsvX6hKZZgpH1ePNjFABW9pBcnPf2FzEa9Nj3yXZOk5nVkXFa
sF2ILL6/sE23pJolWiaKp/IWLxPSZgSIUTkcsM1s3C1V3UQ1vE2SPH/oc3/cHENEGzVinbzHDS3B
fpkDtPhVQNLgIsaZ/7ffvF6LPapTn2VXF61xRm/fBv9qXqSldM4pn+PRlFqZ7JW1/yJuoqriUg+e
K3lgN7lgB8BFl/CWcHiNZAq3g0g5MIIwt5pVh7/mkG1yB+PnEjS5cgIgUcYf4D/eToHVtbC3v3S0
Ackkgg7vw8hE7K5GZvBkShB4bXMQtKSFn8lX40vLEoYyfFbioB+6kJVudCo2JIPKxFAx3qc/eyXk
nu+5QGgaj+cvWZ/cWQQbtkyEEnsz8/vQ3ZAo1hPTMwIeUSNIoLDt8oEb/qiazbjs0DZ0TEE6+bBH
hHWBstscfCn4+U917TU4tx6XaKPSacrAJ1kKzVc41R3MTAztSbUkoLGrLdTo0hl5l8K7OoVPbzrk
LO1FJoxP2NEY1q1DZkIkU+ha7TQdVAn4qIX2OqiLUHybtFBP6+Y+yqDyCYkDq11J2Em4Jiigw21x
N34UkY/d9ckE8egKptHWnQrKprr7iiGcqwve83542nfcpQWYNP4Dbz74ciKnfiiAdSyt/hEW0OMG
0snpOl6ZuE7hhnPDOk1YG7mqglOSf3aAJ8KQD1YSku6AQQFFEq5V5N9R6aa4LwM9uSf/Mn1qrWto
wo2a0VBAgE7f8kSG74/cHP9+jR3v7jOQuhqTkSDnn0BI4HRcha7GdNR6k/DTosK+Vn3dFaki1DJ7
59LsG7dzp06AwRlTyzQdL6Emmt1dFedaU5igDDgn4X2PENsN0beVPMv3bmeA1UA+yAKUMpnw6rgk
TcjpR6UtbbDkxg9GdlEUNUhmkTQQjuGbSndXbvFGT1q7GY2UWZQylkCLYKwB9pcf6Mfk1XELxd7z
uvf9sM6z00kDtHzW/rDVzsMx43SNQuSOcZ8xgypSYVitL+RMXJttErRsnG0rSMBwr5VcBwYErUl6
5ztFDtYq9J6mqsVpFG9khEHjnKyccQHa2/M9Z8xD9PTPET9hFlvJjUU1pcfUlCwXGufptPiRGUmL
JWLrwl5ermqw0d6s8emp/pBz3Vez1iCyme5NUO6HNSiyYb4xWCf3f1kmC+/RFg6d9wLzUkfkUwh7
FkEbnP/YeYXhLNaas797Kt6E7moY1Kfxt9PfITK4QqnUJgfxjUgsxow6WPLsxFuPYadEx0MGqXMd
W6YUfsTVPy1D4YxFSAHTvGyvU3doVS7WEY5YquctodrRng3FrhI8X/5774TfFUftOBSXM+rSG9pD
Y5VXksjppciXdJrlGkIZTRmuUCt5yOab+VoX8juYkLXQaS4M19b/qmMQEEBc+hgOK8cY2TZLEjEN
5fJQLlWIRiOMCOV3vysW112yD6yV/L4krZDLl29wm7rHGIgLzOGOO5fseC9ixbACtPCSmWy6sbDG
dTypwhKavbAegEnZd/LMK56BcG7bPzr1KsG+c6c4y4Qca8QV+rZNhmcZrXPGa+0RvywcbeeFsMIm
CPLN4RCZ2TLYJU55VijOtWpInQ8mknWCCooC0EVVXPqv2hTPFB+zDgKE6LMvtnaZf0JQGiNp3keM
3GWkvliOlXwts6fpDBqw/CxPgG19O4iAOZngca7GftRQhiRIzECVB1wny9kAa3vU/BuDBTj8my51
LrnoVXSNXKx0l8/KPvr9zsevqFtp1qLOHOfhIba646MomGMkHaXdP3fyATet3G/rtPfTDEr2bZXv
BdgEcuurKmR/9vueEgPVObrrx/tXHcA6KM1m6oiqEbdLBJOkjD4SEjDQsDxa4bfLmHuAsQqpalzn
OC1G9wpSsrr6k/ThM0bs5Io9u6Rzw3hLaNtgxGUqXnxiGTy+cOqflTpBh+En3Ihr1BsgcL6InK4Q
ge6yC96igTYO3b5Mabu8fuTEfBzE8YbRwLegYr4rHH1buZxS0xfj8QqCglWOXj+xR3oJ5yJT/Jz4
hAQshWWokI1Y6pjtme5DcRgxepF8wcp2P7NKkc0P9/X+g62WTpu/tBtTxGYmHMXClwpowKWo+dar
4KjxOvbrj3rDc1izHMsiUejae5uThS4rHZIHJjgURvcP/OC0+uH+KAOnzDpsVDoXH1sWEBZvRNJ7
bQk80iriJgjX/Kw3ShOmLHSSUvKaphOGDJWHWSr8eKd7D634PUyK5wC10PqrffyvsIh2EoUuWPX+
aLaY6CHUrR6iBptuB3VucdMuxq/Ei9fNBW3OLyWRY4m5gxkWp+l/Ria2Oefay5anVrA99q0X8KjP
kTgdkQG6CIVYOO8zLxJVuZk2lT0E17Ywlq0YQAbnXhAxKzSzt7V2uIwT/0nk93lnN+GG9aE3b6mK
1BlVqqmsECF93c7RyIeM8JS8geHSpULyh70M3Y+jjFzXHBgCQjNiP6gaXCJsjfnVZmIfsaUjmEy4
P3c44W7LxKL6PFUYdkRdByS18LvDvnUTv/BYGY/J7ekn64qCHCmg115HRGvisEF1XwgKz02hi475
cD3nYczHnIlJ3N/EgmgV0uynjT/v8UyjV9EDPP1DWGuoBENXC/bMNmdnwKjNaGQWl3D1f6V8qdb6
8VrehCAKorDurKjXAYKwzr+CgJzOWRTDhiULRoLzvc5btYlY2XeuYwco7W3qXbX+6vdFHocUUPP2
WdOLcWZQfwLGH5YlMqgMiAeJwSfyOo1UOxc2kOOj8c2f7V61dZ0jQOdqb8ayw8MVyZJQPN34tVyF
b5PkiAmI02cJAFVAF0xXWNUMp7YcEBlmojN/UY7nyPeb96GWumoofCn463hLxt0rXYfOMmqjiKfK
wBNYzbsWGQ8n8L8L16lE8P6WkjZkdhRcRS7CttCVBQKLjL79DWdEdfLfKqWnG2tUg5CKEzKcl4Sj
rkCzEVJ7fcINWCDDNciSEc+KWZl/i6WuIGjf66A70+1TOV5/sLCtr0fdcAa/slF7TTAX+pSMG7jK
caeV4QvrOI8I2ZA6wgM9BVjQ+lhJKOhO9pb+b1Rb+snCTq2JwAXn7c2GEfVjwnn52kS402RUYZrg
weDhJm8NBNyuQnxvaL7Of/MC9Wvdy/us/mFOkgR6ZOioAlMj/Px18IkZaPyKMpoElVxdwr8OCePM
wHZWzVW7wVf7GG5v/uiECxv4Ej8ZtKUF3kHJBhwFt6h5uLfbG/sT9oNccjqkYwMEFd20oN3/c+32
U36PMitY2jCkmy+A3kybfaxCeXTY4FwbYklrDM/1JqHgJ42G8u+KmPDBSQ9IMmVPQucUA/pCGqbF
mem+7jLj2kcMiZdoVZ73vrrzRhWOPx7u4alrSmBit8FO7AniGjX329bkWL49S8i1u8cC9q7gnuiQ
hHJHGc/EIobdaoiNS1QOWMmXvDPrfO0Vvn2Q4N0dgVWolJMlnpvx66yjjz/RhePFJ7f3D0IyKEWn
KMNxp4UVfUboW932bb2tjIHcVLasIlWGu7ppHcObFFY0rAvoGBJBh/cZxk+grhey+VWF1ck9/Lko
0VBpLQ8/Sz0+rQ+kNFJTQqme3EPIcEMbdJ9NyM6HEWUhbn0BOjEiXh2JXIIn61we3qoK/NP9wpDo
JAV8WIYHUh1qMYSx7EvJZRlO9N8WJxwvyF+M/wWQ2N0IV/G73M29huT9/Ifo9LuRxiLo9gOaSXRh
sMhmoB8gXzvsOinzImh2I9XSFloB6cgl87OtKEgNjjsSYBd2r+T1uv/nLv9LHoomPVZYYTjruo0C
sIj/MI2plUKBRVKxRdm5BKPIBbscwW32loVJyp3k97ke0AuVsPbiX2ICEBcDUx40olHh6thaJ0p1
BY8Bvxr9E3QNUdHYCNpvq/zt6m8ggtMq9ySxAJnuAozhDEaLd/FUv6VliEW3HtHpGUCQiaM+/4SX
im2Y5IF+KF8q3qmz3U/uDkTAwNLj41ThmyYLKhn77CTCQIJodSDw5HG8nVy06UcitAkiSkIz0wwo
TUOlGLBVoJ+ZwPUBUhd2Jd9IbjVfTt9wpTBGZCpzvkogEAf7mkfg4Nua3fhSWZ+UCZP3gS8jICR9
eV8fHbebcumWDZz10hrhRNxT3l5T07cpYkDa9Asu53YWiSRRL9Cs7/SVv7BRDCAB441DDA3yGWh7
VMo7iEjCDOyKXrvtTPgTkLbI5rH7GISmf1cMv+4r08guMyq+sDOQJYq5oEPDOsOVC1SpAMpV+WyD
6OrgpQuueObxTpPtBB3gyqfrjWMkOtTj7KNXGUQjZW1Dqek78v1a6PUY8JD0i4YPJ2aAZ4BBSYXx
1hDj6tPdcD/QQ54dKZfv6aSZzqMhxwKqSZTOp+BC7Q7OQOH6AqJIb43rPGD0GNUtpnZjMamhvHYM
xBJmJn/9+716u4MyFq4WHJCt/4mdpcn+ACF5CNp8pNr4i0VuHFyh7sADH/0JF4/YOMlu1e2TG5P3
LRoKLS0cPNv5yEsqf4YOnV245luyVl8s3aEqKFmS3D5HCv+VXFF+GVbCNvMWo3bNj0J/9+dRu8Gt
M6+pa9lYYrHN794nmbNEhNae1dgbhBohM70nIxkjWXwizAGtbuSlrzvqzGoVYVUz/WoV4njI9VSM
v+rvBN9TxjHWau4hCwt7blK3saLXXoL2cOjzpX95SPo+/F6JgHIl0Kz7T15zll3QUQvAx5FFwUd7
5woEz3XD5zQKrn8wXR+8qidtrtspbnP3pjjLffeW5N2OaUFm/xG7UKw9bqJIUIDUra4xKpraKK7P
aChhG23XJ1JhIBWj4K73xevmfY2snzLLzb10Y858+yThuD6Jy34M0G/jFB3skvnWkzLH8iKIUhyD
mEU+hMWMZ3gKkWITwVR3lC1+Rrk+KBgsGFEoJpZklv6JUShhYGqd+mrcJElZD6ggws+Vk2xTCicT
T75Mxi+ZJrp6Q16WL3Z7Eblnwuibl3RH1JF0OLArlPHYx/Lvp2uvLk0Tv5fXeuK+dv5dUHCYmogh
02v6HM0webRo2WPMQZqhix/WHydngZU3cvJ03OUPCljiiYcQdB+qAJe1Hmxj5CKKXHkZcbT415BO
bbA4RFjufD+xES/kzTalKAHlBZzgKBFj6QV1UQ1oaHNmbJh0KTCF4zogRrUbu4q1BvS3vSJHcP4Z
bnia+e2l5dwECRWMPG5A90Wt3Wb5+LGgNLq1wu5MTVw6jzPNdnSmeEDK2Ia8Q3rodkLzr4wunIcS
NgdNoKkioQ3/ZvKjlk/Vc4nalDCmFsoXupda0jx2/KGiT4Wd9ZukHn8KLuJweDji7FdDRzWrWPdZ
UXDs6Kf2TN4Gs5ODIQmcD/Ne6vtYUfFw2UBYfBV2VNZM/MudnQ2UfuoQWffUgdQQ6xbQinZ9SFJ8
sdsiGDULq9daZObR8XzfmQA3r0kg1yaDs2l7u2lx2ZbTThPustebm+xYYoJJdQG3YPA8/iB80QLh
JxxTW2SMuq310SceOWvlmcxhLeHNhCVELITSzYzcWJkWkll1paok5SDdqa0KWydOgD579LXcrTKe
sGm2QrGrxTQJ7NmzlrPBC8Jke7iJPhcVatEeGRrpnvVwhQkRnZnY+qclHMtkATuPj6l8gCeeJyoQ
0tDfKd5BN2RbS2Ctva8h4+zRMhY6tp67LPc1nwXKTXLp1Qxir7bzMRTEHIfWbrBp0hZrp+mnQu09
qnfQJgeljRu21WUAHiWE1W3WG0mBk7oAOsDGHM71zSTctDwHCNcatRgQ1uBKdC4m8iF5IMTHxfS7
09qosJh5VTFqucLz4ojtyfHJP3Hy1N2XYoz3VPi/8f/0KWULCg7clXOqHApDrrny++8stG2Bavyo
D8B3xBez1U27JHADoM2xxsYkCFzEBga23HTedhuYoosh+XyPUVWtmGvLMSbS023BovF4DTlRM+eZ
D3Qr+zxTvPPkRylyun+W5sKFnC8DBT+z5n5QBpHZ5yH4Ik8+7uxRyeqDk/UsAMycd+TkJEMwqWGi
IjWG1mgGA1IwtriNhylZ6w67VHiBjKXMrzdCdYe6L9RnP1Uv1spvn8lYvlh/X0lEru6v0LQo1PCq
4iT1gMOChXRpOwaTryZL439BZxsr3IbWMECo3Up1dHPjmI/XlF35mME98yvXlcAcpRKWeuG4o5ET
NcpfAkqw4OJEJIVFVJl5ncUE80+sFiQtBXlL9RRKQObnDSAvNt2bSKuBZrPwiOWQVrwX7RUZuzJ4
PSoKFVdKEVEfqE+OkTukjleFbohuAC2njjU5YCOQOUiTBqZtW0N4XJfaOHuvBR7z8GDCFdEVgEjP
QieA3jef3d9mjt9wDsEq3HA44H8B5vUlHlP58K2P/QQDJUtj8so0/GLJdXSFn59ozy5RCA1UdOka
fPu2+khl/igZcjqlW5D51JOkWdCepSfr860eNJ+nZiQAS2EEVRU2wQ4B+DFDaPcITf3CdNJqQDg1
CGrRTYt4OchumtbTP3d9irkctElEr2amBQTSYC/QRUdg8dvEQHXa5Pu3Jw+Mry56l1f8ZpscFdA7
Y8eXrAcrF66YyZP8FcRMcqTrnlDnb1NiA1uIY4hO7XNEUBj7XwOuFWnPcW87nsGZHxT7dVI7oNqD
7bqRY13wVAbpIe46oNtSoOnJAqbgFYJFmFeOzkFwtoz6xqIukGRo927qKj/3CdU4/hDUtuKPNgCj
HmmoiUvz0yE1snhV569Aw84T2V7m+ct6pa++QjYiLzDh1BFU6FpUIcx414txewydDTEPE7z/8WUx
Iou3InQWZsGOGJo9LdEkSnsEVXoCVyIKHACesfWEH9KXqnvQungL2pm08acdAjdbVcJLQb2s+XEV
Bg+AY9URYOsKYn2sg9ZLBqJsxKSMmsb8IRxsumd0m6FCsRHqVUFoXp2+eAmNO2LsMEy8WGjLqZgz
4D20B9iKWAzu6Qbi9otzvAz93RulpF+CxijI4zMvxVHYuB/jZkzZxuVGG5Wq9j++PtqUwpefG47A
omuHxCkFpjLHEK4UHPNf1Kg/KQgKfZCoF0H1xYALGJmWeuNjf5AD9zy56GLPrTqpii5vyaankUKj
JckJT2wdc3qBkmEvkv/7Fb8gkgxuiPosgSa6hyhL7X9s7SAQ88at8LXWmhXpqMki4R7tdfXizpQq
eUnXEpDwsfniofBjwPbxFhT/1viLkJkJ2nzetfIydxwtiKQEEVbKn+aCgijnvg3yHRc9TfHUYnBH
/opJ/nKM5qeP7I3m1kZOwhRmqu2a1qgK2e4t1JznR+5BlTycp9UKfMvO5ApxPH6N33hRPdI6oAlI
3+93JW039zdNF0dsMr6sW3aV9sHqbz4N/a9nymGtzHx5yEs9X7+KTbT9r3hoiSvYcck9yHU6tTl3
NMW/qzxTqqBfHzwc1o+TT/hDUNYkVuHvEJurH4OKAYRq4Ur1iz0jlybPGB1HchNrRO2Bf/UxLqYy
YL5xhvw9QWtwhdbWhnoQCag5AWsVf+ozSbmwLMLtD4VqEu2Ru6IWVXQaWxzO1rQTINv6yRNB3CKJ
YceQVt1xSU88IC3o3waX3TyovxvhJAcFNUokXbHwOPnZ0/yKGM/E/wNDt2t5F+w+DMHrR6CRJCo3
fUBX3cHY6+hieXOPHEB6Y/NXZY1y+fywFdVX5sgxP/4oF5KPM7kPJ39DaHD6N41trlurB6ZLdtmX
hYSFLstqA5xcBud9EMgOzAnprE6V4TTcWI+o8Ua3c/bIFcD3TYhkFHOtFGJFZ3NapTY7sfqash7t
qgAekS1RuUJ/stxR/6eoh6NN8Li2O9cMFR+tST2gxU841/kNssqq7SlKNv7ACnJuCeZkzY3h8XOt
gNyZNnKMUaIh3sDAhwm57H1vzPu6EefDEQlpO842SjwKyeHveVu8lsBJUkttCL1kI5o9kJtwv8AN
pR4F7/ZJjrCnryvjIKKCM6wA0OpwyycEoY5L2G6CR+8d0lt5Ox2ayXACLxfg1yKozguZFDchSjsL
TfmRRv6eQ5OpJ3l4UEJODZ2Fe1ZXF4IrJiLLhAdhhvLaYnnRPbikMVAhFZ6OKLBMqnAncrgJ0tj0
1zIo34y6P7+XTQ3SNr/29i862/gI7Z/84rq3wYWzGtjyXC/yTaXpDlNn9wrR9pJWlSvCf+niSQvU
tJnHuTgQqo9efne8Bq4g/hJUnw6Rf8mw7sPwFJ16hXUMjxadFrUwOvT3C8PS529O5pSv5i2UwvuV
J5mqNKhMJodKs8/myMLKVMoCaMu8NCB+RpD1LM3FOvpsNA7dTgm/bHUco8GwRDkPUVpZU25CgzEB
zBEPtQaixizcklh0NZG/qY5oDpNPUnNP6gnYLaPXpzAlMFiaS+5EKhig9Cjan2WQE6zF0+ryHOOI
HP9EO4U6mjeqIwlxvHjZmEV80QN5T1epLsARIOQemY2FnP3v1r+W6+pX0EVPMbpxNLLO+4mra2PH
mJV6SVF6hZhN6w2JWQGoEZP7BJNLtlPPyFsTs46USxlRCEtxEn9s4eR9SlIjgSR6vewVlSB26XiV
U3/jtgDzOQ5Uqs80rgAung91Vj5rkNlvnvYew7j7uUuY6ht6cAa6RAJWe7yU/iWXMx7O9A2ocAgK
/v7sv8xXFmvc9CFh/g3YTYcYpcXLZxC9LQxE/MKHNHMhNlVidkkxud8cbqV9wHsDxM+Lr2Ya24C2
ew2pmPL63BC21iPgYH9ZvH5VW+s1h39xCjV3R6rkFohybVHSfrFhC/OQwoAu9OOs5OcuoBMFabAz
RbosrECke7lHAzUk0QoJq2Li4FlABpzrgj0JbMmG6rERXyvi9fvZJvSKTpv3VHUKhbl74BdPbX9J
94hdLqUT8xmlA+VJ4xKbrlaf+C8iGdRJdw57eC8XQkSyIoV5AFWknnqH+bpqqIokDIWNItKvtfzv
L6lJq3X9CxzzW5rlEbdaCvV4uuGTDDjWZNlxx3Xr6rwneQzwsK1QnGBaAi4WXOWbmBkFhIIrnYdP
3FbXswcrJ8oLuo/pbbSoStI7shi+eNCY0KNHvzw9PEZ4KPczjtiUoRzF2x9cE/X5M0MEZsX3egaK
rFNCBIHAVIAGsrP7oHxGd+z43I7grwDDT4NUjF9zHKyMFkjWsICR3VO7/b+TW7X016J7tLXUYzXu
h7EwGPKKPkq/Y4fYFDGGKh4A7YgGxu1meINvUItCIT9rhZX9xn8ELnzrepYXjUQ1QRvlCamtzDk6
B088bXfCJl9DChmHPEgCpEW/JeEM7g77hhe1IKikydy3eb++Ck/hVPtTcoW7LZ3K1NkF50FXLECC
YhxZ5p1yo+JF4lVOT01gOGTe5T8nVQVZdd6JxVedwkpu9Q4qYh/lsbkcatjEqWeuisv/7ScMrx63
+8Yc/SrkyV3Tch0qjq9422ROsfceI8piGrprLFO2yiezR4Es+ui0yOzfz25WMoAnICzLMKrjxbbA
FVpUU4GtcQfwUlGdQvbpWEepb7pUueXAItiMbc1jyaDA8rmdTvSB+U/y/1gf6VUJ1IwMjkjmNNKU
Sv1RnbQDnHIFl8w1Tmx86HTNOLRMAwONC7HRTDCNQYgtHsatHKIiUy7zFBRFyjWQS14RRGa4fyUs
uk/phNPs2Kt3QCE+vl7xxEN8zvrhHnTHlzQabfSiV/hfqtFhkZwuJXNcmn1kuEb4zNwS4Qc1YT4q
pE49c0FvD6hFjGCWHb6Rw1mMwkizp4u4ke280N/qtnQCwTxPnzUe+3fSu2sg1bHh1GV0RLA97ebE
fUsPweUwngPeDFT5Nh9JEfH7PicLjCFED7e1f4DzD3488/+Nhcq79PtxokP+tQby5DbfoZXJ9bL/
i2fuonZshEDat+jYHrWpn2Y71MM2XvDv4EXWyD7KpP4jkI0SeqaqalhELb2vA3E6Vr6wgBL1BYnv
qDtsYKYdiIWEf3ThrZ4FYuo7MboVORZBFYv9rBMhHS0Dm78AxfhoLoP0MBJApSkIEcspOcPkm2qP
4VsOYqMV3mGftkIkJFrgS7lFthsRNvK/S6fb7Xw/ISm4A1VT0k6EhIPDE/H/otBCaWa1PAWWDNlo
sXvngQ0b/AtdFsYlZrov94LCtS34h6obBdOAVwEMsMdLTjfZRq1Ed2edilJj5gswYc1aTrsCP3Ph
+MwrqJrV7JjxDZxyjjPfQ8NTaKVvawBCA/kjxXVclubfJun/CTlqHVvIjSMoH2AvcM8rr9MdSWGn
S5FVxX4ao7Y09e1A2fYbWryNLMM+gYH3kW4zesJXhPKqnqFL5MKSh02F5teoUWlkd5kT4kF/Plgg
R1CI2aKHmLqF0/cKPtEDK+XRHtsHroYoNaUdloJBYzB3fCfa4cFiVbWZXWwH6R7OLCH0lI1moWph
AMMkh/d+MgAvCo8m6q2G/rIBr4CfSCDC5FiwBWeZZezMTg5W3oQyAKaQ4wkk87koVsyLqcOY8FqW
aUsBHZmm3dd5D6GW2lqW0rIbPcghxmf3im751DHXcWDoks2aDVjiN5f9yfsw3oGFSiKHZszA2z37
Pp8Zb8fKvjzuw30Z18Zu0d7HVJ50ACUr05Y8HqfS8Bor00HnHW0/LTqrLfkfEtkcfWECBmO0MkQC
XnMNc7GPOuxdzHssUvkIcJYH9cU2H6IuCBljmgbwmooJ8zyeTALJybGec4KDfS72YYm4IAeO69EC
qNwQEY5IkgxzUtNb9cVQusJihu2nHg6BSLQpaLmi4OiI9WpFEHD7ZwFeZ9xcrTTjhZ2XEEGnD2ns
rjMo/ZcVq9XeH8bM/OyhFBiQmbLZmrxcuTopuZKWID7a3FeNfqGdOvO/GDYfpyq6hJRfFxXqHd5p
tje6OYValHFFZgxqkVc=
`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_4/part_1/ip/fft/floating_point_v7_0/hdl/shared/delay.vhd | 2 | 11968 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Oitl/X21EoNjTgbCpfxZW4Qe6rWhTn1D28TmUl+aYqJIAOU52X82Kl0GLzKilXmmBx2VHhQUuihr
MyoVqvD1GA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
BsmgW7QFfqePYe74gMCkE9sR/D5bdvtf/mmfJtLFLwroWDPjP/+4imJ1v7igLc0NPEfsIny2SuEz
hX5H+98yCpQe98ZcM/ZWD7ARW+9ReCb3MSH/SWKobgksKFss84/FRAzoJBZMYgsfKazJ2IRVY2QF
eKlY18syBOBSHLp625g=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
mVx6JHcIc7Sy7Z237H/zTJkJ+bSUettIGufm7DjTYeN0MckqlgM6VVcp/nfAtYV6KtRbnUfmtTe2
ylYBLDbqXe4SrXpVVTS+Z2+Bvt2Yj4V06kTghIsQhOHWdHNuwC45d2hPhJLrIJYlOupm0ot7Y3eY
natr7UYfK488l5jsagGR334lFyDHGypDkWEg15vpLGmuKqH0t23J2QITnNSii8D1l1pmHJifdpiF
Xfkx8K20t8QLmgYQujHC+ERS15de+cfZ4Rbyqme7jYXuucSxIAbSMob1L7XFX/pTsIvuMZdb/QnK
YSlGBwc1EgYqVS+S+TwJH/pLUxP0FlpBNUdgSw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Jg4MgyAdQu7BatpulShPm3vp4tcZUD6s57ulaXV/t99IKiZP2ztUibabv8uyWT3uuvzRhjPomAdx
ZoAV1QvTRh7+FEC1Olv7m5Qc9znzKiD0QHKvjCRTuOokvKH4FwWoan0MvvlrCKQBCrRph2HMUIyP
RmM9vOfW2eMjSz4oLm8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
RPXJcXWT9OhocQTynlGOoFaQ6ZOXHskRXifdx88smkfKdMSbmAwisH6XpOZvxHbzDbetez6uDSaE
Fh+M2QthtTtqaTOu/4pXD0VtqfceVyk106jpBTaXPqamnIqLVmzz1JoCK9Fla+0VDeCFsYdPP8aj
rGTStzQsOO6PZG9ShjaYA8Z2M9Rh5afh/LC9/OHsAvc/+KILqSAtxFnyNkKmZIXInNj+wTPeskxU
1W+AG4iRdRjOFCCIzNx+pE2Na3che3Xlsbep1VO7Wya5HR1046HdovUmWyuExfUcKGLnI4023DcN
gq+YnvAjYW4oguAAEKSnX/4kO5QQTYsq/hxpRg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7120)
`protect data_block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==
`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/mono_radio/ip/bram/blk_mem_gen_v8_2/hdl/blk_mem_gen_prim_width.vhd | 11 | 71859 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
jrgcvB6NpgzNJdRVHlSeDwQMVl7aDQyabgapGh7rqmEjznKI1NCLrR9ImJTcdoeLOH3xBtfWWaGw
yILZZ+w5TQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ag4YkSmodLksNyI//vguhKIb+29r48eSSBnSekONPTiY5KDvwEVk7FPHt2IwDIgp/+lHIEVNuvl9
mSG5KZ9jPHSPZPyN/4vfwOqsa1suwTYwLnCPSwuQv7t2wLyPCu/QCBXqrtpbIj5KiydhleAx1/yo
23YHJk0egLp0iDQ3TsM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
RVCy0uaMTpqXTvUIzq3E49Qh5tjtPCjUtR5Z0GJzxDQt4fBZLtMlbWao8zrxVXXuPptlCW0nZPN7
Pb1wZL2OJBvZdpUOT8g8t8cHZrubY/a17tJAMTFLjFUd2Cu36GIseWCHNz+iflFRrkSn4RfZu/Qj
2DFLLhHmK6zPRYo48n6QUALR7JLe2Ls3gt6U+JhzuK+AMijToKTiejQK2QXcgoz7swaa3FZ0FeLH
ykamoUQWtwHWo2XRnvRfbr44YvPS67/sOTlSxJ9wISSVlWulUVdLnbgt+BQkbR8iZL7Gkgh7Jf+o
F5J74x3vJ7lb1i1WkuSsGrJZl+WjFODRBuW1hg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
2CNH0zDSx/qy/S4Wzv0Ghu9L67oywnGBNit8FqDzTy7nb++SXXwHCNc2D/9N5LhjapQZrwlrbfgn
OcbLtgGWd0I5hDexG92TQhNUS6RBfFrIGcZtHla78CUuV2C+p07h+9XVeCTNAHcy0msrkbxXSlkn
rB8273SulEPyiFiT658=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
R0RGo4b09yf7xrNRZdxn1IK5E0Ta+4gdve/4VDSCQ68Y+u8TBciR3+qCAWIdzXJezD5JvN6Y6ecv
zOfXJsdxyQb+mcGNqbN/OMeAHTzNiEwwhjfobMrn4SBSEIcg2Tw6Om0FRF+Ibu4a7C/UUE7zsL7j
TcT+QCn4Aw0JXsglEuMq3U0nyE1ZYHK5dym33Zmvlwt1mm0pWZlexHoVJxybfH3/gDwfuvSbKxOy
lHJcet/xY7TUyuFHD1IceBoktuKyRQ24koj8AatheSSbLCOOGQsiQNqvE2CEQN/oHsPg8Fy8Sfs0
1gpTuG4liLXH7SYtNDO3iPLq91fmFtMZeSH0Lw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 51456)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/stereo_radio/ip/bram/blk_mem_gen_v8_2/hdl/blk_mem_gen_prim_width.vhd | 11 | 71859 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
jrgcvB6NpgzNJdRVHlSeDwQMVl7aDQyabgapGh7rqmEjznKI1NCLrR9ImJTcdoeLOH3xBtfWWaGw
yILZZ+w5TQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ag4YkSmodLksNyI//vguhKIb+29r48eSSBnSekONPTiY5KDvwEVk7FPHt2IwDIgp/+lHIEVNuvl9
mSG5KZ9jPHSPZPyN/4vfwOqsa1suwTYwLnCPSwuQv7t2wLyPCu/QCBXqrtpbIj5KiydhleAx1/yo
23YHJk0egLp0iDQ3TsM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
RVCy0uaMTpqXTvUIzq3E49Qh5tjtPCjUtR5Z0GJzxDQt4fBZLtMlbWao8zrxVXXuPptlCW0nZPN7
Pb1wZL2OJBvZdpUOT8g8t8cHZrubY/a17tJAMTFLjFUd2Cu36GIseWCHNz+iflFRrkSn4RfZu/Qj
2DFLLhHmK6zPRYo48n6QUALR7JLe2Ls3gt6U+JhzuK+AMijToKTiejQK2QXcgoz7swaa3FZ0FeLH
ykamoUQWtwHWo2XRnvRfbr44YvPS67/sOTlSxJ9wISSVlWulUVdLnbgt+BQkbR8iZL7Gkgh7Jf+o
F5J74x3vJ7lb1i1WkuSsGrJZl+WjFODRBuW1hg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
2CNH0zDSx/qy/S4Wzv0Ghu9L67oywnGBNit8FqDzTy7nb++SXXwHCNc2D/9N5LhjapQZrwlrbfgn
OcbLtgGWd0I5hDexG92TQhNUS6RBfFrIGcZtHla78CUuV2C+p07h+9XVeCTNAHcy0msrkbxXSlkn
rB8273SulEPyiFiT658=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
R0RGo4b09yf7xrNRZdxn1IK5E0Ta+4gdve/4VDSCQ68Y+u8TBciR3+qCAWIdzXJezD5JvN6Y6ecv
zOfXJsdxyQb+mcGNqbN/OMeAHTzNiEwwhjfobMrn4SBSEIcg2Tw6Om0FRF+Ibu4a7C/UUE7zsL7j
TcT+QCn4Aw0JXsglEuMq3U0nyE1ZYHK5dym33Zmvlwt1mm0pWZlexHoVJxybfH3/gDwfuvSbKxOy
lHJcet/xY7TUyuFHD1IceBoktuKyRQ24koj8AatheSSbLCOOGQsiQNqvE2CEQN/oHsPg8Fy8Sfs0
1gpTuG4liLXH7SYtNDO3iPLq91fmFtMZeSH0Lw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 51456)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_7/part_3/ip/clk_182/clk_182_stub.vhdl | 1 | 1109 | -- Copyright 1986-2014 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2014.1 (lin64) Build 881834 Fri Apr 4 14:00:25 MDT 2014
-- Date : Fri May 9 13:48:22 2014
-- Host : macbook running 64-bit Arch Linux
-- Command : write_vhdl -force -mode synth_stub
-- /home/keith/Documents/VHDL-lib/top/lab_7/part_3/ip/clk_182/clk_182_stub.vhdl
-- Design : clk_182
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity clk_182 is
Port (
clk_200MHz : in STD_LOGIC;
clk_182MHz : out STD_LOGIC;
locked : out STD_LOGIC
);
end clk_182;
architecture stub of clk_182 is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "clk_200MHz,clk_182MHz,locked";
begin
end;
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_7/part_3/ip/xfft/xfft_v9_0/hdl/r2_tw_addr.vhd | 3 | 45947 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
mfDqsHURdIMZPV3okizG4J1dkwoF6Kbk4DKb2kTh1Kttmw/c4aDmg1L5oEZYraSj4INF/HWGERAd
5Nbn35pC9w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
EmCr836/EJ5W4F5hjuEGau4PSZdVY2z+P5HIMoyzWahzPvf9wL2ruCPbC71I512U/CsjtzKHu26W
NMcD5vhaoWdj20KBtyEWu545mOuwJ+TPetuzoZx7/r7E5Nks//EZV+svPIJz3OMGlq3ietia01J0
yMQCO31lHeRJNmktgKE=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
NP25uI24AGlSADsEPuLxz+McSUxcFy++i6oxhr5Jq6S2PB83N6NfATWNpBWyufEyqo6bMerRm62z
bmLSvWboYe/Mu0fNAZixVJYCCP88Lxl2J3TUF4vtVAhoNv8QAmrvx+2/bmvyUfGK579sqc/BfjOL
woUk2WqEQWl67Z+AWG75s0qGP7CgQ9OZRxwZPRGzPxyO4jJQojZpVJ2Bz7VMWa97WnFS9JGYNPGy
WaFhHdRKrwHyjqMAbOy36fHstAuwPZMVyTb8J26P+8bhirZvatnfSzYSL7V4BMRRiWj1dbbzFwqL
bO+oVot8POBfiUTBUYk38kWsyWH5V3xLbnlEvQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
DAza+xpSLHzfa3EemonQdtTm4B1pr/WK11HCu9xdnTGnO2DawZ1o9OCRgTBv6PoUqbFDkRqsVj2h
Vc1Y1JnSgWhxm9boDNm28EeATe9pmPgrk8F0ahFVw6fXIHB4pfkbGkGUABexzF4tOPg74214asYx
113vKuFfC85Yw4bAmkQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
OyZwbKfdagyObj6dYwEeiDpMCHafkEKBQ4Lp5nScrxYSK3K5hHByRf6shoLRVYKbps5iskdo0vDR
Ode2J0uh09a4NN+9N3eVei1lh0Cy3PR4RyT+PmNLcJfoiunqKXcaosRcZtPW67qT0KaPhKg1QdaD
kwbCrG3/owpSDJbhpOpW+1qxd76sDLKRUqLSldWRJbgF0gRhbVQ+CoEPeFiNUmPweIPoWsBDxejn
p1kaXNqERyELixWoHlmQojN6pulIRmJva1R5HIwtyd5/HW1ZNTJpwwmQkvb3/bO8WKRYuiFEcOYz
/SLH78moV1x97O2+39WN/R4G957lFbWEQxYL/A==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 32272)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/mono_radio/ip/xfft/xfft_v9_0/hdl/r2_tw_addr.vhd | 3 | 45947 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
mfDqsHURdIMZPV3okizG4J1dkwoF6Kbk4DKb2kTh1Kttmw/c4aDmg1L5oEZYraSj4INF/HWGERAd
5Nbn35pC9w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
EmCr836/EJ5W4F5hjuEGau4PSZdVY2z+P5HIMoyzWahzPvf9wL2ruCPbC71I512U/CsjtzKHu26W
NMcD5vhaoWdj20KBtyEWu545mOuwJ+TPetuzoZx7/r7E5Nks//EZV+svPIJz3OMGlq3ietia01J0
yMQCO31lHeRJNmktgKE=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
NP25uI24AGlSADsEPuLxz+McSUxcFy++i6oxhr5Jq6S2PB83N6NfATWNpBWyufEyqo6bMerRm62z
bmLSvWboYe/Mu0fNAZixVJYCCP88Lxl2J3TUF4vtVAhoNv8QAmrvx+2/bmvyUfGK579sqc/BfjOL
woUk2WqEQWl67Z+AWG75s0qGP7CgQ9OZRxwZPRGzPxyO4jJQojZpVJ2Bz7VMWa97WnFS9JGYNPGy
WaFhHdRKrwHyjqMAbOy36fHstAuwPZMVyTb8J26P+8bhirZvatnfSzYSL7V4BMRRiWj1dbbzFwqL
bO+oVot8POBfiUTBUYk38kWsyWH5V3xLbnlEvQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
DAza+xpSLHzfa3EemonQdtTm4B1pr/WK11HCu9xdnTGnO2DawZ1o9OCRgTBv6PoUqbFDkRqsVj2h
Vc1Y1JnSgWhxm9boDNm28EeATe9pmPgrk8F0ahFVw6fXIHB4pfkbGkGUABexzF4tOPg74214asYx
113vKuFfC85Yw4bAmkQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
OyZwbKfdagyObj6dYwEeiDpMCHafkEKBQ4Lp5nScrxYSK3K5hHByRf6shoLRVYKbps5iskdo0vDR
Ode2J0uh09a4NN+9N3eVei1lh0Cy3PR4RyT+PmNLcJfoiunqKXcaosRcZtPW67qT0KaPhKg1QdaD
kwbCrG3/owpSDJbhpOpW+1qxd76sDLKRUqLSldWRJbgF0gRhbVQ+CoEPeFiNUmPweIPoWsBDxejn
p1kaXNqERyELixWoHlmQojN6pulIRmJva1R5HIwtyd5/HW1ZNTJpwwmQkvb3/bO8WKRYuiFEcOYz
/SLH78moV1x97O2+39WN/R4G957lFbWEQxYL/A==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 32272)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_7/part_3/ip/xfft/c_addsub_v12_0/hdl/c_addsub_v12_0_fabric_legacy.vhd | 3 | 16399 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Q24C1Hiij5+m65pbNNkRyjw9Qe5ev8cdjSS9lbwXcGqMlZn6MWy4uFU+/J/aLP6EE4qsmeK5qx/J
u9lfFX6QBA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LqA4L0atcNMHsKyJZdoA1WiIxiz7WNig8UG3ftEQ4ovqaHgRP74FjyRaLTZfczA8EghNaEl6m5GQ
VaS3O0clW66V9margpvJnMxxb8tXOLM89lwRE08mdpj2v+fC3XmbWlM5DuDnp+RSoRXSeABd2TYD
BpJLsmwdp5mkW92ROFo=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
QI9m1ajtaZFOac1SB/U7MT/M+xtLTtDQEPBCFWl9n3RH24M2FXKTTmsQShpTJP/LSOAe+wcDQP9Q
/fZrM9duaa/5rlA7IkHJS0IL7KT9rQrloq5WfyaXhVGr1rr4nlmkmBSQmT0cTcweSworysNwYrTA
LxFQhVuvBzKdelAXrLzFL8oEhvwK1aassMCjg15JKqpVbjA64NiCVtrpu313cDlCVWZNaqcWGxQv
4W8JMsO5V2bKrbIp7mtwD0O9ZK3/kikTDtw9+4pLjJDVw3jt+vavB4UGYp5xBwpbtfuKHrr9nA+o
soWUt8hwVWHok+L96dQPPF4uEiYYk/yeGymNnQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
gIpGiXlpboqWDdw+DN6UxDYo+yRw3Z8gN8YQOrCvN0mdMq40SO++RiLxvxyoPyF0FjhiEhjgKEwC
zHFQh4y8kRZDMasQEfzozItzK76PDiMjjAMGxd4sD/RBjSTHnZIcd+wKFj6PihkJbaOb8MtgLzHP
8SECalo0xsbNPI9EquA=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
hu92UVDnQnJsrZqnXv98fo6J78D5QpSnqW3kR6t0rTcTx6zlkL924cQtTUI1UpuHI98IURx3mpLd
3JJQ8EyrY848IXLgMoB5GNnpuC4CMBpjD/FR6pqMaCGoj7pAY6eKJIV/tSIqQ2RjrXj+AeTnKZV6
eNyRa6ih8uhsxsR3P32Kfb6Rh3zJr3gmzX6MNMVvIv3HOQXh3QhTm/CMgWCFU8A+Dih5qMgWLITw
SJe+alpwu/lHYGBdkLhUoJZGH5R72ymTuvCI6oy1PflbIRl255G9Rpd9UiPy9scl9TFyAaX9sPyx
D0zA4uRjy47C5puAblyI39ap6JOEUmamc9TIjQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10400)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_4/part_1/ip/clk_base/clk_base_funcsim.vhdl | 10 | 8525 | -- Copyright 1986-1999, 2001-2013 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2013.4 (lin64) Build 353583 Mon Dec 9 17:26:26 MST 2013
-- Date : Sat Mar 15 17:18:29 2014
-- Host : macbook running 64-bit Arch Linux
-- Command : write_vhdl -force -mode funcsim
-- /home/keith/Documents/VHDL-lib/top/lab_2/part_1/build/lab2_part1.srcs/sources_1/ip/clk_base/clk_base_funcsim.vhdl
-- Design : clk_base
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE; use IEEE.STD_LOGIC_1164.ALL;
library UNISIM; use UNISIM.VCOMPONENTS.ALL;
entity clk_baseclk_base_clk_wiz is
port (
clk_raw : in STD_LOGIC;
clk_100MHz : out STD_LOGIC;
clk_250MHz : out STD_LOGIC;
locked : out STD_LOGIC
);
end clk_baseclk_base_clk_wiz;
architecture STRUCTURE of clk_baseclk_base_clk_wiz is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
signal clk_100MHz_clk_base : STD_LOGIC;
signal clk_250MHz_clk_base : STD_LOGIC;
signal clk_raw_clk_base : STD_LOGIC;
signal clkfbout_buf_clk_base : STD_LOGIC;
signal clkfbout_clk_base : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_DRDY_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_PSDONE_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_DO_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 );
attribute box_type : string;
attribute box_type of clkf_buf : label is "PRIMITIVE";
attribute CAPACITANCE : string;
attribute CAPACITANCE of clkin1_ibufg : label is "DONT_CARE";
attribute IBUF_DELAY_VALUE : string;
attribute IBUF_DELAY_VALUE of clkin1_ibufg : label is "0";
attribute IFD_DELAY_VALUE : string;
attribute IFD_DELAY_VALUE of clkin1_ibufg : label is "AUTO";
attribute box_type of clkin1_ibufg : label is "PRIMITIVE";
attribute box_type of clkout1_buf : label is "PRIMITIVE";
attribute box_type of clkout2_buf : label is "PRIMITIVE";
attribute box_type of mmcm_adv_inst : label is "PRIMITIVE";
begin
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
clkf_buf: unisim.vcomponents.BUFG
port map (
I => clkfbout_clk_base,
O => clkfbout_buf_clk_base
);
clkin1_ibufg: unisim.vcomponents.IBUF
generic map(
IOSTANDARD => "DEFAULT"
)
port map (
I => clk_raw,
O => clk_raw_clk_base
);
clkout1_buf: unisim.vcomponents.BUFG
port map (
I => clk_100MHz_clk_base,
O => clk_100MHz
);
clkout2_buf: unisim.vcomponents.BUFG
port map (
I => clk_250MHz_clk_base,
O => clk_250MHz
);
mmcm_adv_inst: unisim.vcomponents.MMCME2_ADV
generic map(
BANDWIDTH => "OPTIMIZED",
CLKFBOUT_MULT_F => 10.000000,
CLKFBOUT_PHASE => 0.000000,
CLKFBOUT_USE_FINE_PS => false,
CLKIN1_PERIOD => 10.000000,
CLKIN2_PERIOD => 0.000000,
CLKOUT0_DIVIDE_F => 10.000000,
CLKOUT0_DUTY_CYCLE => 0.500000,
CLKOUT0_PHASE => 0.000000,
CLKOUT0_USE_FINE_PS => false,
CLKOUT1_DIVIDE => 4,
CLKOUT1_DUTY_CYCLE => 0.500000,
CLKOUT1_PHASE => 0.000000,
CLKOUT1_USE_FINE_PS => false,
CLKOUT2_DIVIDE => 1,
CLKOUT2_DUTY_CYCLE => 0.500000,
CLKOUT2_PHASE => 0.000000,
CLKOUT2_USE_FINE_PS => false,
CLKOUT3_DIVIDE => 1,
CLKOUT3_DUTY_CYCLE => 0.500000,
CLKOUT3_PHASE => 0.000000,
CLKOUT3_USE_FINE_PS => false,
CLKOUT4_CASCADE => false,
CLKOUT4_DIVIDE => 1,
CLKOUT4_DUTY_CYCLE => 0.500000,
CLKOUT4_PHASE => 0.000000,
CLKOUT4_USE_FINE_PS => false,
CLKOUT5_DIVIDE => 1,
CLKOUT5_DUTY_CYCLE => 0.500000,
CLKOUT5_PHASE => 0.000000,
CLKOUT5_USE_FINE_PS => false,
CLKOUT6_DIVIDE => 1,
CLKOUT6_DUTY_CYCLE => 0.500000,
CLKOUT6_PHASE => 0.000000,
CLKOUT6_USE_FINE_PS => false,
COMPENSATION => "ZHOLD",
DIVCLK_DIVIDE => 1,
IS_CLKINSEL_INVERTED => '0',
IS_PSEN_INVERTED => '0',
IS_PSINCDEC_INVERTED => '0',
IS_PWRDWN_INVERTED => '0',
IS_RST_INVERTED => '0',
REF_JITTER1 => 0.010000,
REF_JITTER2 => 0.000000,
SS_EN => "FALSE",
SS_MODE => "CENTER_HIGH",
SS_MOD_PERIOD => 10000,
STARTUP_WAIT => false
)
port map (
CLKFBIN => clkfbout_buf_clk_base,
CLKFBOUT => clkfbout_clk_base,
CLKFBOUTB => NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED,
CLKFBSTOPPED => NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED,
CLKIN1 => clk_raw_clk_base,
CLKIN2 => \<const0>\,
CLKINSEL => \<const1>\,
CLKINSTOPPED => NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED,
CLKOUT0 => clk_100MHz_clk_base,
CLKOUT0B => NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED,
CLKOUT1 => clk_250MHz_clk_base,
CLKOUT1B => NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED,
CLKOUT2 => NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED,
CLKOUT2B => NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED,
CLKOUT3 => NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED,
CLKOUT3B => NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED,
CLKOUT4 => NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED,
CLKOUT5 => NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED,
CLKOUT6 => NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED,
DADDR(6) => \<const0>\,
DADDR(5) => \<const0>\,
DADDR(4) => \<const0>\,
DADDR(3) => \<const0>\,
DADDR(2) => \<const0>\,
DADDR(1) => \<const0>\,
DADDR(0) => \<const0>\,
DCLK => \<const0>\,
DEN => \<const0>\,
DI(15) => \<const0>\,
DI(14) => \<const0>\,
DI(13) => \<const0>\,
DI(12) => \<const0>\,
DI(11) => \<const0>\,
DI(10) => \<const0>\,
DI(9) => \<const0>\,
DI(8) => \<const0>\,
DI(7) => \<const0>\,
DI(6) => \<const0>\,
DI(5) => \<const0>\,
DI(4) => \<const0>\,
DI(3) => \<const0>\,
DI(2) => \<const0>\,
DI(1) => \<const0>\,
DI(0) => \<const0>\,
DO(15 downto 0) => NLW_mmcm_adv_inst_DO_UNCONNECTED(15 downto 0),
DRDY => NLW_mmcm_adv_inst_DRDY_UNCONNECTED,
DWE => \<const0>\,
LOCKED => locked,
PSCLK => \<const0>\,
PSDONE => NLW_mmcm_adv_inst_PSDONE_UNCONNECTED,
PSEN => \<const0>\,
PSINCDEC => \<const0>\,
PWRDWN => \<const0>\,
RST => \<const0>\
);
end STRUCTURE;
library IEEE; use IEEE.STD_LOGIC_1164.ALL;
library UNISIM; use UNISIM.VCOMPONENTS.ALL;
entity clk_base is
port (
clk_raw : in STD_LOGIC;
clk_100MHz : out STD_LOGIC;
clk_250MHz : out STD_LOGIC;
locked : out STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of clk_base : entity is true;
attribute core_generation_info : string;
attribute core_generation_info of clk_base : entity is "clk_base,clk_wiz_v5_1,{component_name=clk_base,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,enable_axi=0,feedback_source=FDBK_AUTO,PRIMITIVE=MMCM,num_out_clk=2,clkin1_period=10.0,clkin2_period=10.0,use_power_down=false,use_reset=false,use_locked=true,use_inclk_stopped=false,feedback_type=SINGLE,CLOCK_MGR_TYPE=NA,manual_override=false}";
end clk_base;
architecture STRUCTURE of clk_base is
begin
U0: entity work.clk_baseclk_base_clk_wiz
port map (
clk_100MHz => clk_100MHz,
clk_250MHz => clk_250MHz,
clk_raw => clk_raw,
locked => locked
);
end STRUCTURE;
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_2/part_1/ip/clk_base/clk_base_funcsim.vhdl | 10 | 8525 | -- Copyright 1986-1999, 2001-2013 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2013.4 (lin64) Build 353583 Mon Dec 9 17:26:26 MST 2013
-- Date : Sat Mar 15 17:18:29 2014
-- Host : macbook running 64-bit Arch Linux
-- Command : write_vhdl -force -mode funcsim
-- /home/keith/Documents/VHDL-lib/top/lab_2/part_1/build/lab2_part1.srcs/sources_1/ip/clk_base/clk_base_funcsim.vhdl
-- Design : clk_base
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE; use IEEE.STD_LOGIC_1164.ALL;
library UNISIM; use UNISIM.VCOMPONENTS.ALL;
entity clk_baseclk_base_clk_wiz is
port (
clk_raw : in STD_LOGIC;
clk_100MHz : out STD_LOGIC;
clk_250MHz : out STD_LOGIC;
locked : out STD_LOGIC
);
end clk_baseclk_base_clk_wiz;
architecture STRUCTURE of clk_baseclk_base_clk_wiz is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
signal clk_100MHz_clk_base : STD_LOGIC;
signal clk_250MHz_clk_base : STD_LOGIC;
signal clk_raw_clk_base : STD_LOGIC;
signal clkfbout_buf_clk_base : STD_LOGIC;
signal clkfbout_clk_base : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_DRDY_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_PSDONE_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_DO_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 );
attribute box_type : string;
attribute box_type of clkf_buf : label is "PRIMITIVE";
attribute CAPACITANCE : string;
attribute CAPACITANCE of clkin1_ibufg : label is "DONT_CARE";
attribute IBUF_DELAY_VALUE : string;
attribute IBUF_DELAY_VALUE of clkin1_ibufg : label is "0";
attribute IFD_DELAY_VALUE : string;
attribute IFD_DELAY_VALUE of clkin1_ibufg : label is "AUTO";
attribute box_type of clkin1_ibufg : label is "PRIMITIVE";
attribute box_type of clkout1_buf : label is "PRIMITIVE";
attribute box_type of clkout2_buf : label is "PRIMITIVE";
attribute box_type of mmcm_adv_inst : label is "PRIMITIVE";
begin
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
clkf_buf: unisim.vcomponents.BUFG
port map (
I => clkfbout_clk_base,
O => clkfbout_buf_clk_base
);
clkin1_ibufg: unisim.vcomponents.IBUF
generic map(
IOSTANDARD => "DEFAULT"
)
port map (
I => clk_raw,
O => clk_raw_clk_base
);
clkout1_buf: unisim.vcomponents.BUFG
port map (
I => clk_100MHz_clk_base,
O => clk_100MHz
);
clkout2_buf: unisim.vcomponents.BUFG
port map (
I => clk_250MHz_clk_base,
O => clk_250MHz
);
mmcm_adv_inst: unisim.vcomponents.MMCME2_ADV
generic map(
BANDWIDTH => "OPTIMIZED",
CLKFBOUT_MULT_F => 10.000000,
CLKFBOUT_PHASE => 0.000000,
CLKFBOUT_USE_FINE_PS => false,
CLKIN1_PERIOD => 10.000000,
CLKIN2_PERIOD => 0.000000,
CLKOUT0_DIVIDE_F => 10.000000,
CLKOUT0_DUTY_CYCLE => 0.500000,
CLKOUT0_PHASE => 0.000000,
CLKOUT0_USE_FINE_PS => false,
CLKOUT1_DIVIDE => 4,
CLKOUT1_DUTY_CYCLE => 0.500000,
CLKOUT1_PHASE => 0.000000,
CLKOUT1_USE_FINE_PS => false,
CLKOUT2_DIVIDE => 1,
CLKOUT2_DUTY_CYCLE => 0.500000,
CLKOUT2_PHASE => 0.000000,
CLKOUT2_USE_FINE_PS => false,
CLKOUT3_DIVIDE => 1,
CLKOUT3_DUTY_CYCLE => 0.500000,
CLKOUT3_PHASE => 0.000000,
CLKOUT3_USE_FINE_PS => false,
CLKOUT4_CASCADE => false,
CLKOUT4_DIVIDE => 1,
CLKOUT4_DUTY_CYCLE => 0.500000,
CLKOUT4_PHASE => 0.000000,
CLKOUT4_USE_FINE_PS => false,
CLKOUT5_DIVIDE => 1,
CLKOUT5_DUTY_CYCLE => 0.500000,
CLKOUT5_PHASE => 0.000000,
CLKOUT5_USE_FINE_PS => false,
CLKOUT6_DIVIDE => 1,
CLKOUT6_DUTY_CYCLE => 0.500000,
CLKOUT6_PHASE => 0.000000,
CLKOUT6_USE_FINE_PS => false,
COMPENSATION => "ZHOLD",
DIVCLK_DIVIDE => 1,
IS_CLKINSEL_INVERTED => '0',
IS_PSEN_INVERTED => '0',
IS_PSINCDEC_INVERTED => '0',
IS_PWRDWN_INVERTED => '0',
IS_RST_INVERTED => '0',
REF_JITTER1 => 0.010000,
REF_JITTER2 => 0.000000,
SS_EN => "FALSE",
SS_MODE => "CENTER_HIGH",
SS_MOD_PERIOD => 10000,
STARTUP_WAIT => false
)
port map (
CLKFBIN => clkfbout_buf_clk_base,
CLKFBOUT => clkfbout_clk_base,
CLKFBOUTB => NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED,
CLKFBSTOPPED => NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED,
CLKIN1 => clk_raw_clk_base,
CLKIN2 => \<const0>\,
CLKINSEL => \<const1>\,
CLKINSTOPPED => NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED,
CLKOUT0 => clk_100MHz_clk_base,
CLKOUT0B => NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED,
CLKOUT1 => clk_250MHz_clk_base,
CLKOUT1B => NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED,
CLKOUT2 => NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED,
CLKOUT2B => NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED,
CLKOUT3 => NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED,
CLKOUT3B => NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED,
CLKOUT4 => NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED,
CLKOUT5 => NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED,
CLKOUT6 => NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED,
DADDR(6) => \<const0>\,
DADDR(5) => \<const0>\,
DADDR(4) => \<const0>\,
DADDR(3) => \<const0>\,
DADDR(2) => \<const0>\,
DADDR(1) => \<const0>\,
DADDR(0) => \<const0>\,
DCLK => \<const0>\,
DEN => \<const0>\,
DI(15) => \<const0>\,
DI(14) => \<const0>\,
DI(13) => \<const0>\,
DI(12) => \<const0>\,
DI(11) => \<const0>\,
DI(10) => \<const0>\,
DI(9) => \<const0>\,
DI(8) => \<const0>\,
DI(7) => \<const0>\,
DI(6) => \<const0>\,
DI(5) => \<const0>\,
DI(4) => \<const0>\,
DI(3) => \<const0>\,
DI(2) => \<const0>\,
DI(1) => \<const0>\,
DI(0) => \<const0>\,
DO(15 downto 0) => NLW_mmcm_adv_inst_DO_UNCONNECTED(15 downto 0),
DRDY => NLW_mmcm_adv_inst_DRDY_UNCONNECTED,
DWE => \<const0>\,
LOCKED => locked,
PSCLK => \<const0>\,
PSDONE => NLW_mmcm_adv_inst_PSDONE_UNCONNECTED,
PSEN => \<const0>\,
PSINCDEC => \<const0>\,
PWRDWN => \<const0>\,
RST => \<const0>\
);
end STRUCTURE;
library IEEE; use IEEE.STD_LOGIC_1164.ALL;
library UNISIM; use UNISIM.VCOMPONENTS.ALL;
entity clk_base is
port (
clk_raw : in STD_LOGIC;
clk_100MHz : out STD_LOGIC;
clk_250MHz : out STD_LOGIC;
locked : out STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of clk_base : entity is true;
attribute core_generation_info : string;
attribute core_generation_info of clk_base : entity is "clk_base,clk_wiz_v5_1,{component_name=clk_base,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,enable_axi=0,feedback_source=FDBK_AUTO,PRIMITIVE=MMCM,num_out_clk=2,clkin1_period=10.0,clkin2_period=10.0,use_power_down=false,use_reset=false,use_locked=true,use_inclk_stopped=false,feedback_type=SINGLE,CLOCK_MGR_TYPE=NA,manual_override=false}";
end clk_base;
architecture STRUCTURE of clk_base is
begin
U0: entity work.clk_baseclk_base_clk_wiz
port map (
clk_100MHz => clk_100MHz,
clk_250MHz => clk_250MHz,
clk_raw => clk_raw,
locked => locked
);
end STRUCTURE;
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fir/axi_utils_v2_0/hdl/axi_utils_comps.vhd | 10 | 35937 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
gI0Gib0Xo40tvaTEMw79aiJH1u4YEk6HVdqkbeCop9/2waoagY20R0hBuYHx56Xi3cH8QWvex6XO
QV3vawSgqw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
KoS1rv1CFUKYNXTl71AfETT1Kc5fYzKPPR0kXLN6Rix83Z8+HkHQ7xAG+RQ1+wYFYntMPFYXg+xl
jYaYcsZdTVoy/pFQfFzFzIHMvEDyhGlxcCwJE1Sl1y2uiMCYwOlqGqbs4oqeC3o5WmQMaISJXEot
laofg7eBOKIh5zVQBfA=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
nvHBfVLXEIDiVREK1ZtlLrZ7D2o8+j0PfBQlUywpqi0LlzVpakFF1+4oQpQsRCjkU6FiWgvIYEIQ
xF3opsh3cA0gI37cHXNoyxKcLQxiBb2Dt5ILBIpVL/2lp5QxYdpueQnedGu56neNU/SdUK/337V9
TOPZhdfOWs0n9NO+6sHptKi0VUrQEbTdLyOPdpIvhpsiYtlGNt4H6j4UrXNCHEXrsRFrNNaL63L6
8A9bRCIq+R/MVFKYc7XGOwzyv2NvWJLzj8pWBtUQtsewQGRMkz+zKhrZYx9Pi6JkM3pg7prL1N2K
nVfeZOjki/Toly6hp2nAp6bI1GZLcIhnkXFrqw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
vERJPdx2yaiBZPvdkozSOrF5HOgqt7Ky7CQ6WmgQMDyJGvZ/HgSlR6X4yONOLwnio7VEgT81lblo
CsCnrdCzOuuwCgG2laf1xjkkb3zU4ZQnsAe32Rt5/hL2J5hXn3Xe1UN0lqFw7JHTWR84WXQLd5x3
SJohMIaugOcLRm0nptQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
OLi0CblrDwZ0QzOLfc6fg5Rfw9iQF5lR1whM955YYKGPYYzsS7ozaU9fzcer/htJu88wCSAm9nY8
GwuyBXZYHAoEswCVjiHm/hXAJa4NSpRD2Y0IH43uSTW82VYmzpSX3Xz/hdynoXPQj7/wHKIGviHF
4Qv/YOhuU5xhwLsOvbflDS0t7ko6BuR9Y1fE2WdiWwm5DsArRvyqQP1GnbUDsot5a5O0Vfi2wkaR
oCNwOAbu/aYmq8E8iSeITBM+rpWhbyJN1xVoyS5ZIJxtCssx8Dk/FFoVwDgQbYhhPf4acGLSji/8
HwxODCLBNkqFYVyIfL9F+3f0FF88Zz0Jmn8Frg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 24864)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_4/part_1/ip/bram/blk_mem_gen_v8_1/blk_mem_gen_v8_1_synth_comp.vhd | 27 | 18409 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
cPZ8vU4rKWICMycnP8ASghxteX0KiiSQpWJpCIK7voNSpkWhaLkY+/QNXKrCWexA6C73eW4MlVqP
U/aYYyUL6A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LGoeeEeMUHkj3xBumwl7JSHXwdKJWR3APWiWCdcCy3wVC6g0GScQrp7fjvXp784YBiHqjtsyG69d
mOZ3fy7Gj87kc/h2xvc4Kp6GM/IiHJc0mbPVp01AJelfAExlIEaVGoQkcAXR2aVikeaMxuRKkb9m
THdehu5n5eHx4/tJQjQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
aia+xx8RLMhA3IF4tHoW0Vw6LtYVDVgU/c3FBWk9RJ/SaLw9lkXng6eXJGNs7uUJXmkzrbSEXjkp
9p7xWJMhovE7nwsp+7RydSgRQ0ttqPUbPZE1eqSc4iNU9Q/KQ7cPFMFwb6o48JfKidjAmSeXX5a7
n8A9TbJ98klc/V+a8Nj+tTPfVP1QI9dRmdzaW2w+actp2BkWAgSALKaGkzvCVGa/MpfN/fdLNjxo
VsiL86HW3arw5N+Ra4HD3GVUtLt9RoCCVRrMaYywuIwp2m+MgGVDwi2f2wZCZ3t03UamXKangjoy
PBei/XvAf3p1OvrOrKNUCVdwEg17DQWfBwZyYg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iSq3so3iXhp8LA8lrAo4ElVWkZ4sJhg+rWrioZWefLcgVs70gDbHsh3ghf5w2wiNXalSfMYzUoxO
skfS1+28WFbvBvygndpiSNMXeXmzWGrwBeHtNO1nR5azyndKvNsun44/B61XF3kTINCJNR54A+3f
0Ezm1jX/FmstQisPDpo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fHCdwSqtdLKfkpdCYo92uS5kFXmPpII38bIISEYuCqZyK6/BVCrCUL1HNVeJEgqGnb0uRqkye2CI
eX2LoUaDxy6iVejnRrRRAgNtgrlZcFVc3u1KxZQXk/12l8pxvVZj8jnWgIvX3TEsZsoZ6w/D41BC
6xhd1LtUfJeg6bsnb+yBYV5+H8NnHOqkZuFtJBsUzS1+4qFALyFqcNVJhhbdB0k2hn6z9cG6wZBI
hB8OJAFj6xON517ug+qP1OJf6uK1rHsG0pxYXoT6xch+UowAmLY8V/4+ShcI8rx6DLYpPvJVhEGV
fj/RQD4+HY8CEDIrJcGjF+Rpk986lOFjZ/hvRA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11888)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_2/part_4/ip/dds/dds_compiler_v6_0/hdl/raster_accum.vhd | 6 | 51369 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
qxFABSABOjauaAEkWenRG2NKa3+R2oYqsph7GWyP4octxvVSn7n98xLYMOgqRTR7JMxCQbJnMpmA
KtDSnUh8dw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ilyXzYvWDnDOLR7yqIYKqEpaPqYwZouGSH3XEWXST7LZYUrrkQETVkOpibDV60xg0O3fklbNE0pM
qWjaa6po+dCv4BCB1IA6sFtF5ChZ/0SG6rS8yxoyQYmIAgGpa8Ipa76RNBvEYokaYiBOTOfd6X5h
W5teUueA2A44bobzKXI=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
GRPqELA7JpvkE4SxQcQnLorxNBFxrGY+lPYLLi/RYQ4xG5rDxnzt68JRRakaJPhtCeJB4eWyA0cW
BpAbTGnS4WO13Q14/T+ss4qv+TYDbgD9EeMsVZx6y+2kozlumLTB5FGbSlRAuRu6GB5gxBaMSHaW
DwO5NbE+nLsaiygU99H4yl0d2po9AphQVLBU+G8eq9qZk0cyzaAEazHnvdWd6VKGEWyl3Enpdjc+
NZCA9JN+1uXMPt8ah4OJVTRUMPDsjVhJjcWbiYl1OqGNlaw7f/n4JKD+sElkKeZREpS+SS7/9DiA
BSe8sW/a85eRPtXxEoMHF0irVRzLGJCojUqCeg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
vFyaKroHmbCG8KI1yUGLpTEb+aMhU3kwqeJMgKEHiMaLjY9I+39A8kkFhIsmzaHg4UP/X6PyKAHQ
zXRKg1d0o6ROTxrqXn/MmTy8GZgTsKsH4gQrEEecfZZkiIQgBs0iHT2XGaMmmHSMSDWNiD6GaSK4
X0pI4AiMiuueuujFVZc=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
lvcKO4ZrZWRxjl7GZ7vzlQF+BJLnDe7aypyuF434nqzp99Ih+AtqJyQNfpgL/WyeGYFYKzDO+o3a
DD2iTkaAAz9qvtSweDY6PHLqeG6aO5+gEd2KgRsCIuxp/4NBs5wr6wR7In0QfpDacPmqhXX9n0ZE
69qnz+vtRT12q6d+z8wkjRZ+w0ZwZHZ4Hlls5+uStgN7li1o9hBSM3T+hoeWgn8ELyROUSvq9buf
bUXfFeY4kpRjSfODAUgzPh313nWEgAm81+FcMZpY3DRPUfaBm21EyUKLiDFJke8GT8NLPZtY6T8c
oqYL/bM6MNIzelkiCeG8ybp8hR89eEJF0I+ICw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 36288)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_4/part_1/ip/fir/fir_funcsim.vhdl | 1 | 23407728 | null | gpl-2.0 |
keith-epidev/VHDL-lib | top/mono_radio/ip/xfft/c_shift_ram_v12_0/hdl/c_shift_ram_speedmux.vhd | 3 | 17436 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
qnWSEy+Tfa4g3I1AGf+1G16HfHoMRUTbt/nBs5Ps5q1vrQ2GMk8vrwLhoDLv3qTSjLVzZTeZFnT0
tcBopceYZg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
bKqMuqbhTPu5LzXVf8VKSEHAv9TxI6LxTHTlxGAq9U7KBAsUXPYzQgVtFK3fh5Tmgp7m+ztqO8jd
84A5hseDVNAR8xI0UJttZij1LCNOlrq+W9JUn1Axg7HtEnUS1uqc1XApEaFx42kExSQhCqdgBRmc
M6aJGK76siJAtknbuG0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
RvPOjoHS9A/gRj14yo7Xxvwv77pUd6Z1Yv1+4SRHfVbLp0UWo3sPkEYPjSuqww8zu4QZjuEBdaRo
z2aH4vsnCDpyKXEcjp5ycCkFPWWnCn3ADlFH2a5x1N++EvVg64mebxF5oVD7C/CBU693FaWp9S/m
kZf0Ppx69cNiisTM5Xhl5QEpKMa7e5yh2uyNu/9KgHOlDPnGTIQn09tREbEUdTbGy47WXv7nbmZT
GDfe3HhYxsOGSP3GRM6HWM9gPj+Q980zaLA8Llghc4cwk4McaOWtYYHBG1B+bJerf1iLdJejvN6k
RMLSekVz3jwqB6DqSfYeTKwSW8fl4uskC8XiBA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
KaCegTGHSUF25vioJj9OG8bn65TUzXIOfXSIuhAhieCBNPUoSBGXJd7iudvy+jhD5KS/N3tztWGl
e00Ks7YaFHOMfMeUecA8bAj29+xGzaw+RszE+Z3z8ap/TFlTK3zP95M+g3r5Tp4qXNtfIYD+IYy9
+bDZWWip5QqljYuMEAU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
WRA3kXjkQbYPaa001x/1ewImZjKUuOPNOxhEM0+HaF/rhdxNffPCvDYJkH+w2H/fU8GelpL1JtFF
LNJ5BaL9nzLybqN00HkEM1ZehphCQh3ZfKXAyAZ4z17yljqjGR9VF5iDHK4E+G7bVkL36u9POKiP
mS7ojfYkDlhh/luQC/bojSKQYub4X9dibjxtc120R+OdW+92jxznGvArjBa/wQCeqWXO6BLh0r5l
iH32Rw7MJV/I6+oVdkf67qlBvKttmVvX+Izx1ENS/dw4Cb3CbEIJFGbo01ulU397IvUerEGaJH7+
PcyfMPx5OdBucl1RJJAZn6OAqcID+T0o6Vmo7g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11168)
`protect data_block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=
`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/mono_radio/ip/multi_QI/mult_gen_v12_0/hdl/ccm_syncmem.vhd | 12 | 14797 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
cE32RSTvXYb6m77U0RUuhMrh70/RTLzajd7haZYSXDqjXBbOkMuhmdGgwPsX4IRozMfF30OOY2Zg
cQt1sy403g==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
CY525ALSmVJ1bfJ6RGQOsgWG5vbLyb3A85GFtotZAk5zO4kHFUX2zLKu3IW726N076aUSLr3vXPf
Oli1CD38ASBM4ws0COi5MZJQWPSLdDknMEJAKl0oLj0m0yTuNfJKpvRpKfypx4y9dYm1BaYxUSUW
l31pypDj1tlvE82HG9U=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MJ1RpAh5h6WDM7VJMPVnaH7ZiegPdJPPCSivrCDsd0xqxSx0GAxaqqMfmeUtOb511lGvdZZfePZM
6hirl35PUB8TO50mKrjpJMsCSPEsjxnu22z0z78K2WrErMFaYZWitHhHLveOzKMjOpuC7HuZ0/KC
Fbr7g5pt48elTJ9lKvZtUE12Bm/I4kV8Nb5iL2D7+gx6Z9yjuw1ePehvFreJ4y4PPE45R88eIxYe
l6aYLFbwQRb7+OvxrMFNU6JuVJgHppuGYqszhgVvA2KfvjBTtp1OR8xylerA1zkN5U/U09hhhaJQ
j/YZRr0HX3lAtGRaX3zCJX6hHNNg3oWn8RC8Hw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
tYAPVapnqsddRargk5BL8V3VlXyo2pBev77Cr73Ev9YzmTYFWiHCBiCB8ZT/czUvjgo/UmF2BDY9
m7T8pzMSOv1NWDP85q4MjZAbFbgaxGO9+9uNc+L3Q82FJBqhnZsysHkNlWP9JTdyAzTlNpz7dL/A
oN8DrDfwA7C4joixy2w=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
mw/yvv03pGlOzAE5woR39/UkbkuO8v0L0CwJVMZgyMdKDOL1QfsrqOcWz+p+PgnQpd89OlHw5c1j
GNFt1GcmYxLqPl1aRcf0n0yLuWT9Qrd/BheyqObKaunT2n/uAmrFHlkq/A2jl8S5hK9mYWU2+hsg
4D7zmmeOtQ9X9TfB/WNJk0brWcE25VzeWbopR0OqMQIwkm5vu8VjFWUjhEWoUTTQc7UYTGc8zuJV
uJzKkh2svTxDEjmo+7Oc+3n1r2AH3fKp7/Y/rAOIVCNjaiVHnYM4IbhfQtQlapQcmWrUinw9GA0G
4RF9Li7t2MKgZ1fVBjS0X5bzo66SEdfT0Xwe7Q==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9216)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_4/part_1/ip/fft/xfft_v9_0/hdl/arith_shift3.vhd | 2 | 8402 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
PU3oAUFGsFPgT0o5gbhKeu4bmu34L4PPK0hM4aeFQxIEVJKEUqZHRZsKrx2AO+eUET1cj2sBX6aX
LxVpXV3rxQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
S8AeyY/WNF9BcZa4sVdnUvG5PHJj9sVDZcrq/rZJ9vBm+8/TMXE50h7Oeu1QH5lqQLQMTMKbFeEF
BNdF/F/JIdhkBy746DlLyG4+mp9GExe0nhc1+Hu4qSi5ZA3bOxfsK9G7+DangcXZdhFaS0bGG1ga
MLG9xsswK2SCu96PKUE=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
JGQaBKmR3dCoLaV6+F1/Bh81NTzgd/vqUDd/O1VxBAwD3WiBE2jkkCnBnq9rCw0ucw/QsqipKOh0
psvXBug2i0+DsIFC0/WiAQwjMw7+NxKJk2C0e4fGJSxIKofjSDrWWHFamZnn2afWViTfrG82NEST
/bhpvXA3sKcjdz78cgIOOTHCUUtG9wi70UuI96UxH5QgYkyqDuxKs2pZ1fqcIb3E7hicgWPAcAqG
lTVIglkJhbv3Q9ILhppx4Idy2BiKvlHuN92y0wxXzkJiDqtFEcwUxW2LYi0OmJr9eGam4aBFe0A1
RDPWV0e5kEtPM4a9TguKyFZOh+GfH8B0+O3SNg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
szBzRlY4nQznUAmAACGAOI6LcIXndi0tGQElpqtkeIU/eEoe8ljmDqgp/rN/3AIl3L6DTU17i1Yv
V7uHrWQ4Mj+K+NCwfdDq/lhjRrEppiotfa3bXqrX8OR3qegOlZlj6DKdugmiIuv6GHp1gtKYkhP/
vCQuD+Haz+XJogWJ4mE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
pbfOYce9n3gjU0RUVDzP6HMmkMJD1aM955d8R5rX3oFqUPKIk6FcTlPLJvi/8WQ7PwI3auDxitv7
Y1OOVb+gasOwx1TvQrVry2Luf7HbOl6pHWqULRvsKrxFmEi5T9jDNjxCzbh4uiF33hNKg3UsCjxN
XlNS+Q5h7Sj+yTnop54FLamXR/lnPk/ELLc2g4PzFuCxqYQs6MhlOHO4NIkaKu7lrKCjdKnmRY2x
Y1FmrZd3J6pycnDf2A4wFLtdzQ6bArTnyaDnyT8HqNJZ+KiPlHiqyBUhzvH/eCfecErySlGf4dhv
2CrpYut6w5k5XTv/vFHx6zf1oxKabXB4nju9BQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4480)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/mono_radio/ip/dds/dds_compiler_v6_0/hdl/dds_compiler_v6_0.vhd | 4 | 25173 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
aWeCSylmt2octcvBrCdaDNdDiGDHT8crfV5Nl1zevYcgtwIWAm0wUoQ4zc0okYjFAxBcWmsCfepn
8WwkQTJHdQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
gTMkALAL6kjsxTKkiCcVMNEtB5oyEEVZUNvz0rUqXCLdVSQcx/C9j0u03AkitZYJtdfZ4RX0d4UM
Gv107PlXJ/Z3CB9jbjiK54/54Ieq9J1JLmfz4sp0zob7mSPgfFqZKsI0EyvSMsj1b/kZtzUB9wmU
Cv+kJAX1EeNn+f6ghOQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
H1CgG+BVe4xFmzgTW/+BAjDZjGIGZIIQB6xlZhX+5tmF2HYh9OD81AytW9sotL1TOhQNlyOcxxzi
rOJOTRG79O5Zi3hhRA6/v9vgvpNEZpsJwORtKmLclEZejURbktpKMPhsi+RGYF2k4lfq0nWKbfVn
J07JtOU3WwR+Na90TNKEcKKfBIhHNUVJPfsw7XKRiuPsKmMd1x8w+WabPUlZyRoFC0xFhCDmT6jS
bwou3lYBd4ofXtwjOzqKECVMVSyzM/hISWqfKdMt014x85rHEbQU6rrKv5Ls0BTNYKCledhIpdMq
XEzJcsBk/x+1U/mNye6pGHb5WypITUApCY30SA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
bLUlqdqzof+g2XUR5TvuxrXC4rrvliCn4r+vHq+228cVLnFbNXQXGYvMnjEBDcaNOI/8syducoGc
eufpt7E+sxuvOOkt2+DwfjxsRDGxGB0XOBedenslUsdAIIbTB85tkQhcnZ3FjPacCmqr9SDeKdLR
JZUwgqmEG97jAZyQwlY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
gL2UjDSbhhnr5SUPfEDURgoE1ewOg0maI/neMEVBk+GiLleb3xuoQT2SVQDCWXoGLjuQpuYI8NbJ
z1EQNMJt/cVPYlL/0F9mOewOsUi+KEUdUbZWFyCNi2W0qTAlpgjp/Bs08qLd2EMNlh5MB8enjU/z
fW+5mUD/LZ2JuSBnl9GF+H7hy0ajF6lem1yBzTGtglCPYP9A/lzZP4kCcZwJazi4UuNdxvKQqPrZ
0baK+UJ85DdbdfV/G+gFD4TYtRl0qiMMXV09gxCoWHCuoOdkI1PwwyCAIzCAFVH4syVoG/H+3dPt
6tgB33fwlgJAm+mGcAPsbP4sPGruNb02sBHnhQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16896)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xfft_v9_0/hdl/r22_memory.vhd | 3 | 11340 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
NWUUcHF++gPd1M91jO5tVp2UVTJtbkxv7vjpmN+cOcEtzO/VVGFy9Mw3vjRKNl3yKkie9w53hC/G
YCjq9cuDnQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
gZcjuisOeaFThXlX+wPKx4VZwADHlH+L1heSC7tnuue8oJ3ufsrsE6lP8zMeiU10NwzFAOfdRWKP
9q6iMWkLBZ1VrJrhl/QLh5/VLzBfyMXkAeTjmzCYX229KqFzrWRl9EqID/CyMIMxYt8R4nRZHz8U
jLTUccn7jkoHHaXVl0w=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bpPeqLBvSpWEq0POQC4QSW+gUBJqcnAZJ6IlPXFbBVD8WojxJt5wSkD4i9u+bOh6aIFKKYfr2Nel
QbAKMa4Czyy53XSJRjg1x5EFO7+RATrYS2SQ+9CofxuTMF9mmvMbPmK609R4V1rsQNtsRMfWg7HN
ySfJOLz3YQ2l2MSCLfbo5qZTdtiNasfGo3jEN3UUIBHgF0o44Nsfbp+kfm9m1zFpEqizlVsIbCjh
1g62JcTbFfZEQ8jozx2E4BghLZDuPG9BMb8mwjnhQflUg/H9U+04r0nfPWHy8PBWvxXi2+WMXdAm
7Qw/7ect5hsPqP31oAj/g4YkcVIouE3qn0BKmA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
q5v+/usmjJbABc5PiqKUaY88cmlEYVbAFmlY1a+I1OPB7vNfi5fa0f49vHdxNQDpjipm4yCyR9Id
xDywwMLXBOPXf84xfpKmMbkv7UPS/Fxd4/C/qMVztTQIUr4kx/B6vScetui9ZZfe5CM2IZ+arUcc
c4b1yWutnBv/n58vGlQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
o9zslxHWyiQ+srNsAY/YIiGNaBYV48o2tK1jW/Lo5nnOLjojutXGq8ZOHyk3AGyamkBiJRA+bT10
WpWG/hPxFfQ1dknB84GwymImPDh+O+CtsR3Ijw6nLLOzZlnXS9bgP6I4lMQZG5QB6nyVGLcwHO+i
CFiBKyCSK3sov3LG17F9XFyfVrMBry7VDzbUeg3pSY/qmA4+6veuv07To56a5bFvnNBOxHQ+5ZCS
TB4y85XJO+dM98awI5qvxVE0wpEJ82P6F9jA8XolJGVPdOMOsGh7pE5D1bcMx5rJK+ot9MY9w/j1
f3uEWlrafEA9P+KbxnAN/LRKKV1gVpEzjBKOYA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6656)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_4/part_1/ip/fft/xfft_v9_0/hdl/so_run_addr_gen_rotator.vhd | 2 | 225106 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
mYMvhTI/afKHoIj8OslGqk/IlTjPJttL+krHzEVbVMgSWagr0vibaySDskHFZvraALM7DpRVUpas
bpGHegnfrQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ChqXcqAOtYx/p98S2zimxAvk3BHTHzVCPMBpGc3+WUg0Om7B01IhsNlT9Mfde0WlFpyLfEvUin+r
PLOxzW6ayryxr5q3OXmbp8/96IFUHqUH0bSPX5mc3hyH4SxOPDvBydRC0NKk6e89y3j200h/4V5W
iNPKrePK2LhiXMTzW2A=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
wzPeM9Ul1uBsRTPNGrRFr5ckQEXD6CZUS4oyDlP0Xxr6ZGXE8kyb3CgL+7+ksBj6TwjqwZou5HHD
mTe9v8Tqq6H4My7V4g0kV9LJalFk+69+KRGJwbNmL6x6yqOvPd5rXkKfSd6D3cu7IdjJg+8dqgSB
AUejCzv6NyTgtJjVVhazylfQDU1WDJ7yjqQlvgc+cMx1AYow1UoN7R0sbT2X7CWTpdFqhQdm0axz
GRPFflZPVWZN0fhRsCGAuVTxMEBh9DmV+7VaDY5a1NbMqQKdS8BfnP35CXr1SPBiIH+ZSnKKdV0c
yDSxSxEFv3kWGRbyvkm9cRHn2+8kiUEl1Ks2XA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Y8l1vZnGZyhhhVBwqsJCO1sQwkJalku9pWt8lho81gtd18ZPHXf41yl4dPepZYfPTNO19jkHfcsD
szaMH9zykPr2bIiP4jIj758XKyxn+rPwZdqFo32W5zRouw3t5f8Vv9FZ0GoYx505QEt/+bb4to05
ezIbL2bT4kQ6EiUHuBA=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
iWIRzC5Da2kcPEN3bx3NTBKCMSY5G2fHqsgZ/WmvvzomAV3ehjtUVvRifnnvjpkJ9r3r0hNa+yTw
5XTGkon3KZluwDU4MpxHPDLqDC5p3GxIfqVVwhMo87/VmMt+oZ7BgQej+oXCpj0DKjTQRVdaxlM5
QF25yLjRMOFlmTaaOr2g2d/uwx4Yj/dbIbET3sPPiMxC144vCXKosKrqUNHUY8cDPohRmMu9+O4g
63HrymkiRJUaJiAEknUprxwxhptHm/7aNMsxdXj5LuT1EUbbLs2HPVwPluwf9QyhqoZygq9qEmg0
64wMg+uXoQzpW81w3aEe5ustbf80qINWf8kr0A==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 164896)
`protect data_block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==
`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/mono_radio/ip/xfft/xbip_dsp48_addsub_v3_0/hdl/xbip_dsp48_addsub_rtl.vhd | 7 | 22928 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
NFdTejwDVm49L8EGflSeb7XcHI2XkRoEEd32aVmkoceBbRvVvwreGBunFIb4DZwkSDmXt1PHYAVc
zKD9afBYjA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
FaFSREoc55iiEyiMvcmk1NJWu/bLAmVq0TxEMJlh54PRwPHvX4zQGgRzjzbjCiVjHsy7cwgk1KBi
iORR+13ZdDdg3XKc80OmKEZgXtjEUYhGQEvY774ZWSJHzpu/NbUGsvadq/pz0fTedbvpT2tHsQ94
YFM9yn97zYx4Vt4MQNY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
OsOaE8n3U5hWu/vKuACZXx7sY+XepaGG5kL0KCdYk1yPhqWe6PgrFEXohPmimrTXmLbLSpo6HQpi
GQn5r/Nn8lIHvrFO/JAf6xawCPM/djc9fCKjbDfGdA9vISFs36mLiWBzvheYsZ1DErQaiuQJztEz
Dm7/C6GTivt6k371TBj+KsTUt0svqvlBwPaCNE/sre2Zl7AXns39ubV0PeVb6G6BbvWrKb4X5g4o
5sFHg3sD6Ztxd82MJscAy+8TFS2So4pUph6253zMDEY5fcuBRGupjX5oKppfuhhkWi1yNcWUX71J
rx9H1fXW9Fc06G4FEnHWBJYSBnB/qW94dz0CKA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
09MfAZkDeKzhs6lrm51ICk1D2w0hyZ32gp3fYfj07JmZoKRUW7Vc5j8dF5YTAVGf3MQGKvU0YOba
Wurg4L4EYAOoGejThIScude92VeIVWLCB5s0OiSh8h4nzjcKy0ASSzlvPF+HC/8TltQ0odXgdKd/
c+114bX1HNR/zEp94Fg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ZsyB/LQoOe5hU/jgz1FbOfv8pehAozorYmeds0WxVJoPmhhKjRJ9rn3cpDwqLylBxMqUzqWLUY2h
UQtRO1zZbnOjnBHiNx8AyiJjiHL5yufmOL9IqrNrS8q2TkuxAg3aJ5YguUspeyUcRaDhrA+QxygU
v0Xb5y4KWz351xJH8ssQ1vJb/a4wGJo+XQadUVipfW+jRJ7I7vnJs8c/gVKj9gEbDMIiD9KG5ss9
RbAuFcgxcUCXg9k+RDebUAc/kr8XRoCHG2XqUJSFxJNpTxFBwwZOjSb4tB4d37UDjxEsw3cEQgLD
gela+Sw7JBwvE4jH79NRIpzlLZ9AtVElQ8rz5w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15232)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/mono_radio/ip/dds/xbip_dsp48_addsub_v3_0/hdl/xbip_dsp48_addsub_rtl.vhd | 7 | 22928 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
NFdTejwDVm49L8EGflSeb7XcHI2XkRoEEd32aVmkoceBbRvVvwreGBunFIb4DZwkSDmXt1PHYAVc
zKD9afBYjA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
FaFSREoc55iiEyiMvcmk1NJWu/bLAmVq0TxEMJlh54PRwPHvX4zQGgRzjzbjCiVjHsy7cwgk1KBi
iORR+13ZdDdg3XKc80OmKEZgXtjEUYhGQEvY774ZWSJHzpu/NbUGsvadq/pz0fTedbvpT2tHsQ94
YFM9yn97zYx4Vt4MQNY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
OsOaE8n3U5hWu/vKuACZXx7sY+XepaGG5kL0KCdYk1yPhqWe6PgrFEXohPmimrTXmLbLSpo6HQpi
GQn5r/Nn8lIHvrFO/JAf6xawCPM/djc9fCKjbDfGdA9vISFs36mLiWBzvheYsZ1DErQaiuQJztEz
Dm7/C6GTivt6k371TBj+KsTUt0svqvlBwPaCNE/sre2Zl7AXns39ubV0PeVb6G6BbvWrKb4X5g4o
5sFHg3sD6Ztxd82MJscAy+8TFS2So4pUph6253zMDEY5fcuBRGupjX5oKppfuhhkWi1yNcWUX71J
rx9H1fXW9Fc06G4FEnHWBJYSBnB/qW94dz0CKA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
09MfAZkDeKzhs6lrm51ICk1D2w0hyZ32gp3fYfj07JmZoKRUW7Vc5j8dF5YTAVGf3MQGKvU0YOba
Wurg4L4EYAOoGejThIScude92VeIVWLCB5s0OiSh8h4nzjcKy0ASSzlvPF+HC/8TltQ0odXgdKd/
c+114bX1HNR/zEp94Fg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ZsyB/LQoOe5hU/jgz1FbOfv8pehAozorYmeds0WxVJoPmhhKjRJ9rn3cpDwqLylBxMqUzqWLUY2h
UQtRO1zZbnOjnBHiNx8AyiJjiHL5yufmOL9IqrNrS8q2TkuxAg3aJ5YguUspeyUcRaDhrA+QxygU
v0Xb5y4KWz351xJH8ssQ1vJb/a4wGJo+XQadUVipfW+jRJ7I7vnJs8c/gVKj9gEbDMIiD9KG5ss9
RbAuFcgxcUCXg9k+RDebUAc/kr8XRoCHG2XqUJSFxJNpTxFBwwZOjSb4tB4d37UDjxEsw3cEQgLD
gela+Sw7JBwvE4jH79NRIpzlLZ9AtVElQ8rz5w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15232)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/stereo_radio/ip/bram/blk_mem_gen_v8_2/hdl/blk_mem_gen_getinit_pkg.vhd | 11 | 62911 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
HMtBu4FUyLzOdvXt8XhD8UkDVJGw2ywmMdYA9VEIa7qfttBQA4LzKjOcnIQxB2XlOCp6Sgmn4eUf
ZvWGjdLzNg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
oBWCdzLMDkwxP24pHggH/MdGM6OaZ2X+xNiyzZDYcuy1xhIuUsROmz7lguwjKKIoJZ2SKCtlOBky
sPiaX439nkETncTP0ztk2qIYugAYsiXErWAWg2KGb5pk2uxrGSbSSG6wwjVHDKGTS7GO0Qkq/VeF
M19woCOORe7vSS2bBu8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Ykx5Zi9LWy14SITVFA1GVou21vCBp6Z4mp+JC7ZcURn4TC3dU0npNxKIujU9KLmWBmK+HMbxVzKg
RE7YM3bI+E1m2hLE/upWQWFovjnkAP0DtUF80qPA82NaPyEMEbXJMt2MvVTUWZoywvnVkCcMHVTc
SZ6FQyY3nh0xaC6V6inTc1akQ5pub8hoyryMuyt8F6JJtfU1JJVAijJGcPgYs8XvWnQV+pwcy3O6
DJK58pBg0KgPhB6COOiwbtTgs33CgJNK7uOLfduTFuw6pV2QnKK5lEG0rkzD6Ra0cUtAa0PbpBtL
4UkY+auKpxOCCQwa6CaSiXvLR0NBVa7WJkkUIQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
jpJ9aLSNo+AY6AO+kzhV24u/NDhxjvQWp0l7CjPnGOqtuRqWMrvZJnKzDI/ektPMRCDMcNLVcOZh
OmDFqNES7PCyxJayIovb1sHL+5Fo2JbanmfppI5/HAHl2DbHn6Ta9egJ+dZ3DgxYvalh+M7Pw52t
d1+7UnNGeC9fshabcPk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MLiUrcsKmBFvNxNKSKHy/LFQt+IXSuCwj/MBJgcVntzVU+FTrasCY6ppQHQkDViDaWB78UWYvPD0
aHNdIxoHpK2PcWPjbHJ5l63CIkERjRhm4/Scf4M2mIeOkvFiA53nah5pzxpYyBZNuYvRY+sQkN8d
FnERQsuPhk9vfBQSx/ZlzI6g8yuM/VbbAeQM2kBSF+Ehbt+EaJvMyOgrSVLVIwuBfNNA+uKh5xtj
X0QrspcXIq/6V8t8N+rHQIlLLHGmUkh0ZdheJLGpyctVZ5c/HGBA4EyPrLokqw8ndDWj06ACfLWy
4CdGa/iqiOwDfHSGckQMNAeBrEQOvAWmQXTUpg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 44832)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_7/part_3/ip/bram/blk_mem_gen_v8_2/hdl/blk_mem_gen_getinit_pkg.vhd | 11 | 62911 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
HMtBu4FUyLzOdvXt8XhD8UkDVJGw2ywmMdYA9VEIa7qfttBQA4LzKjOcnIQxB2XlOCp6Sgmn4eUf
ZvWGjdLzNg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
oBWCdzLMDkwxP24pHggH/MdGM6OaZ2X+xNiyzZDYcuy1xhIuUsROmz7lguwjKKIoJZ2SKCtlOBky
sPiaX439nkETncTP0ztk2qIYugAYsiXErWAWg2KGb5pk2uxrGSbSSG6wwjVHDKGTS7GO0Qkq/VeF
M19woCOORe7vSS2bBu8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Ykx5Zi9LWy14SITVFA1GVou21vCBp6Z4mp+JC7ZcURn4TC3dU0npNxKIujU9KLmWBmK+HMbxVzKg
RE7YM3bI+E1m2hLE/upWQWFovjnkAP0DtUF80qPA82NaPyEMEbXJMt2MvVTUWZoywvnVkCcMHVTc
SZ6FQyY3nh0xaC6V6inTc1akQ5pub8hoyryMuyt8F6JJtfU1JJVAijJGcPgYs8XvWnQV+pwcy3O6
DJK58pBg0KgPhB6COOiwbtTgs33CgJNK7uOLfduTFuw6pV2QnKK5lEG0rkzD6Ra0cUtAa0PbpBtL
4UkY+auKpxOCCQwa6CaSiXvLR0NBVa7WJkkUIQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
jpJ9aLSNo+AY6AO+kzhV24u/NDhxjvQWp0l7CjPnGOqtuRqWMrvZJnKzDI/ektPMRCDMcNLVcOZh
OmDFqNES7PCyxJayIovb1sHL+5Fo2JbanmfppI5/HAHl2DbHn6Ta9egJ+dZ3DgxYvalh+M7Pw52t
d1+7UnNGeC9fshabcPk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MLiUrcsKmBFvNxNKSKHy/LFQt+IXSuCwj/MBJgcVntzVU+FTrasCY6ppQHQkDViDaWB78UWYvPD0
aHNdIxoHpK2PcWPjbHJ5l63CIkERjRhm4/Scf4M2mIeOkvFiA53nah5pzxpYyBZNuYvRY+sQkN8d
FnERQsuPhk9vfBQSx/ZlzI6g8yuM/VbbAeQM2kBSF+Ehbt+EaJvMyOgrSVLVIwuBfNNA+uKh5xtj
X0QrspcXIq/6V8t8N+rHQIlLLHGmUkh0ZdheJLGpyctVZ5c/HGBA4EyPrLokqw8ndDWj06ACfLWy
4CdGa/iqiOwDfHSGckQMNAeBrEQOvAWmQXTUpg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 44832)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/mono_radio/ip/fir_lp_15kHz/xbip_utils_v3_0/hdl/xcc_utils_v3_0.vhd | 20 | 7255 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
W00CmVavaE6xpJm+b6xK1oylsc3w9012G0NpEidmtkcnNf7sGxYvWk7hZZ/4udVcfLvZK+EwXddQ
Mb1PZ73EtQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Vg+m9KEq1D3Dj/VA/mHeuE6kqIzu1Rz586wlm6BjbXOI0was+kQj16EO1FpBfc+xCgA2kv8Vv/uO
2GuxrNbHFAH/bkB64EDnE9/zIVPVDjIHoCB3zI/pRm34b3HMUCUcP5BWmM/EgYYeAvOTUGm6JHhC
Tf/P+9Ef2oth8ALM+AI=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
KsSsCDq1QIl+O73zb+CIYhmCkNVRObSmEAGyyPq5fWQgZ6yxuwpPRFGmCXxXW5VLFnvTSrc4KIiq
ayzocbJpB/4bnUvuzNexfJ1boxln7QfN6A7LZPBahsghHAdfDmy8PA3IKDzmA+ZGuNX5D+DTzJOH
X4rtYWyvQfz8hsapx2NOxGGRgXRx0sFqOe6HLT6uuJJTqqoRGjiP+9MdrrqXJvlrg9dWS/IoThp3
bUKv0QodGBUMGfrArkAVQm2a+7Bb/Gx4Jyklwkahx3DxqPvj/ROjvefPz6fAD6FZqV+mcQCaeyRQ
XhEFoFLDHFG7WeZRC1h40sCf0Qod+lqTZ0I4wA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
xu6mK82nJVHrDrsBncEkjFSr+C55lIRrJGrQqCRg1sh/afc/8tRMRP8v/RPTMMwluFinLaAbVyJA
qbrlZVcgPaCR9FKt90y93ok74hdhuXjw0+W6i11yQGaysoPjM+10KhEb8JoNC7mDCyu8/zl/NQyl
lOBuZbsX7GpuLWh1lZg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
p5Iixc6t1ooHurr5J4hbaaASc/tYEDZVcIxYVPtPuNc78QswHhpRBdGw3kLlcylpeH2LcvR72QdC
f227apjhBAaQ5YZ6HTEhpb+/d4ZXgkP2ZEfOkGED+x+Pgd6+OudFO0jA5rr+QFsTSb33sXixawEO
GHNFNwmdeNloXriotEE/ZYrwgQzG9VROLCTiEBlMw7lKUAY3toERtBy68/Vd96my53C4LuGk8LOK
oGpGa7lxXVlEuceoUHnfkIH8J2kZk9uo875ERmVNG61SnVWFmUETSJneGqyXQfX7E0/2GVODoU+Y
VTAgs4liRaB5+DBDSIm72W6jVcYctnd7gQs9NA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 3632)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/stereo_radio/ip/fir_bp_lr/xbip_utils_v3_0/hdl/xcc_utils_v3_0.vhd | 20 | 7255 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
W00CmVavaE6xpJm+b6xK1oylsc3w9012G0NpEidmtkcnNf7sGxYvWk7hZZ/4udVcfLvZK+EwXddQ
Mb1PZ73EtQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Vg+m9KEq1D3Dj/VA/mHeuE6kqIzu1Rz586wlm6BjbXOI0was+kQj16EO1FpBfc+xCgA2kv8Vv/uO
2GuxrNbHFAH/bkB64EDnE9/zIVPVDjIHoCB3zI/pRm34b3HMUCUcP5BWmM/EgYYeAvOTUGm6JHhC
Tf/P+9Ef2oth8ALM+AI=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
KsSsCDq1QIl+O73zb+CIYhmCkNVRObSmEAGyyPq5fWQgZ6yxuwpPRFGmCXxXW5VLFnvTSrc4KIiq
ayzocbJpB/4bnUvuzNexfJ1boxln7QfN6A7LZPBahsghHAdfDmy8PA3IKDzmA+ZGuNX5D+DTzJOH
X4rtYWyvQfz8hsapx2NOxGGRgXRx0sFqOe6HLT6uuJJTqqoRGjiP+9MdrrqXJvlrg9dWS/IoThp3
bUKv0QodGBUMGfrArkAVQm2a+7Bb/Gx4Jyklwkahx3DxqPvj/ROjvefPz6fAD6FZqV+mcQCaeyRQ
XhEFoFLDHFG7WeZRC1h40sCf0Qod+lqTZ0I4wA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
xu6mK82nJVHrDrsBncEkjFSr+C55lIRrJGrQqCRg1sh/afc/8tRMRP8v/RPTMMwluFinLaAbVyJA
qbrlZVcgPaCR9FKt90y93ok74hdhuXjw0+W6i11yQGaysoPjM+10KhEb8JoNC7mDCyu8/zl/NQyl
lOBuZbsX7GpuLWh1lZg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
p5Iixc6t1ooHurr5J4hbaaASc/tYEDZVcIxYVPtPuNc78QswHhpRBdGw3kLlcylpeH2LcvR72QdC
f227apjhBAaQ5YZ6HTEhpb+/d4ZXgkP2ZEfOkGED+x+Pgd6+OudFO0jA5rr+QFsTSb33sXixawEO
GHNFNwmdeNloXriotEE/ZYrwgQzG9VROLCTiEBlMw7lKUAY3toERtBy68/Vd96my53C4LuGk8LOK
oGpGa7lxXVlEuceoUHnfkIH8J2kZk9uo875ERmVNG61SnVWFmUETSJneGqyXQfX7E0/2GVODoU+Y
VTAgs4liRaB5+DBDSIm72W6jVcYctnd7gQs9NA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 3632)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/mono_radio/ip/xfft/xbip_utils_v3_0/hdl/xcc_utils_v3_0.vhd | 20 | 7255 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
W00CmVavaE6xpJm+b6xK1oylsc3w9012G0NpEidmtkcnNf7sGxYvWk7hZZ/4udVcfLvZK+EwXddQ
Mb1PZ73EtQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Vg+m9KEq1D3Dj/VA/mHeuE6kqIzu1Rz586wlm6BjbXOI0was+kQj16EO1FpBfc+xCgA2kv8Vv/uO
2GuxrNbHFAH/bkB64EDnE9/zIVPVDjIHoCB3zI/pRm34b3HMUCUcP5BWmM/EgYYeAvOTUGm6JHhC
Tf/P+9Ef2oth8ALM+AI=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
KsSsCDq1QIl+O73zb+CIYhmCkNVRObSmEAGyyPq5fWQgZ6yxuwpPRFGmCXxXW5VLFnvTSrc4KIiq
ayzocbJpB/4bnUvuzNexfJ1boxln7QfN6A7LZPBahsghHAdfDmy8PA3IKDzmA+ZGuNX5D+DTzJOH
X4rtYWyvQfz8hsapx2NOxGGRgXRx0sFqOe6HLT6uuJJTqqoRGjiP+9MdrrqXJvlrg9dWS/IoThp3
bUKv0QodGBUMGfrArkAVQm2a+7Bb/Gx4Jyklwkahx3DxqPvj/ROjvefPz6fAD6FZqV+mcQCaeyRQ
XhEFoFLDHFG7WeZRC1h40sCf0Qod+lqTZ0I4wA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
xu6mK82nJVHrDrsBncEkjFSr+C55lIRrJGrQqCRg1sh/afc/8tRMRP8v/RPTMMwluFinLaAbVyJA
qbrlZVcgPaCR9FKt90y93ok74hdhuXjw0+W6i11yQGaysoPjM+10KhEb8JoNC7mDCyu8/zl/NQyl
lOBuZbsX7GpuLWh1lZg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
p5Iixc6t1ooHurr5J4hbaaASc/tYEDZVcIxYVPtPuNc78QswHhpRBdGw3kLlcylpeH2LcvR72QdC
f227apjhBAaQ5YZ6HTEhpb+/d4ZXgkP2ZEfOkGED+x+Pgd6+OudFO0jA5rr+QFsTSb33sXixawEO
GHNFNwmdeNloXriotEE/ZYrwgQzG9VROLCTiEBlMw7lKUAY3toERtBy68/Vd96my53C4LuGk8LOK
oGpGa7lxXVlEuceoUHnfkIH8J2kZk9uo875ERmVNG61SnVWFmUETSJneGqyXQfX7E0/2GVODoU+Y
VTAgs4liRaB5+DBDSIm72W6jVcYctnd7gQs9NA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 3632)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_7/part_3/ip/dds/xbip_utils_v3_0/hdl/xcc_utils_v3_0.vhd | 20 | 7255 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
W00CmVavaE6xpJm+b6xK1oylsc3w9012G0NpEidmtkcnNf7sGxYvWk7hZZ/4udVcfLvZK+EwXddQ
Mb1PZ73EtQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Vg+m9KEq1D3Dj/VA/mHeuE6kqIzu1Rz586wlm6BjbXOI0was+kQj16EO1FpBfc+xCgA2kv8Vv/uO
2GuxrNbHFAH/bkB64EDnE9/zIVPVDjIHoCB3zI/pRm34b3HMUCUcP5BWmM/EgYYeAvOTUGm6JHhC
Tf/P+9Ef2oth8ALM+AI=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
KsSsCDq1QIl+O73zb+CIYhmCkNVRObSmEAGyyPq5fWQgZ6yxuwpPRFGmCXxXW5VLFnvTSrc4KIiq
ayzocbJpB/4bnUvuzNexfJ1boxln7QfN6A7LZPBahsghHAdfDmy8PA3IKDzmA+ZGuNX5D+DTzJOH
X4rtYWyvQfz8hsapx2NOxGGRgXRx0sFqOe6HLT6uuJJTqqoRGjiP+9MdrrqXJvlrg9dWS/IoThp3
bUKv0QodGBUMGfrArkAVQm2a+7Bb/Gx4Jyklwkahx3DxqPvj/ROjvefPz6fAD6FZqV+mcQCaeyRQ
XhEFoFLDHFG7WeZRC1h40sCf0Qod+lqTZ0I4wA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
xu6mK82nJVHrDrsBncEkjFSr+C55lIRrJGrQqCRg1sh/afc/8tRMRP8v/RPTMMwluFinLaAbVyJA
qbrlZVcgPaCR9FKt90y93ok74hdhuXjw0+W6i11yQGaysoPjM+10KhEb8JoNC7mDCyu8/zl/NQyl
lOBuZbsX7GpuLWh1lZg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
p5Iixc6t1ooHurr5J4hbaaASc/tYEDZVcIxYVPtPuNc78QswHhpRBdGw3kLlcylpeH2LcvR72QdC
f227apjhBAaQ5YZ6HTEhpb+/d4ZXgkP2ZEfOkGED+x+Pgd6+OudFO0jA5rr+QFsTSb33sXixawEO
GHNFNwmdeNloXriotEE/ZYrwgQzG9VROLCTiEBlMw7lKUAY3toERtBy68/Vd96my53C4LuGk8LOK
oGpGa7lxXVlEuceoUHnfkIH8J2kZk9uo875ERmVNG61SnVWFmUETSJneGqyXQfX7E0/2GVODoU+Y
VTAgs4liRaB5+DBDSIm72W6jVcYctnd7gQs9NA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 3632)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/mono_radio/ip/clk_adc/clk_adc.vhd | 4 | 4650 | -- file: clk_adc.vhd
--
-- (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
------------------------------------------------------------------------------
-- User entered comments
------------------------------------------------------------------------------
-- None
--
------------------------------------------------------------------------------
-- Output Output Phase Duty Cycle Pk-to-Pk Phase
-- Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps)
------------------------------------------------------------------------------
-- CLK_OUT1___250.000____236.250______50.0_______89.528_____85.928
--
------------------------------------------------------------------------------
-- Input Clock Freq (MHz) Input Jitter (UI)
------------------------------------------------------------------------------
-- __primary_____________250____________0.010
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
entity clk_adc is
port
(-- Clock in ports
clk_in1_p : in std_logic;
clk_in1_n : in std_logic;
-- Clock out ports
clk_250Mhz : out std_logic;
-- Status and control signals
locked : out std_logic
);
end clk_adc;
architecture xilinx of clk_adc is
attribute CORE_GENERATION_INFO : string;
attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_adc,clk_wiz_v5_1,{component_name=clk_adc,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,enable_axi=0,feedback_source=FDBK_AUTO,PRIMITIVE=MMCM,num_out_clk=1,clkin1_period=4.0,clkin2_period=10.0,use_power_down=false,use_reset=false,use_locked=true,use_inclk_stopped=false,feedback_type=SINGLE,CLOCK_MGR_TYPE=NA,manual_override=false}";
component clk_adc_clk_wiz
port
(-- Clock in ports
clk_in1_p : in std_logic;
clk_in1_n : in std_logic;
-- Clock out ports
clk_250Mhz : out std_logic;
-- Status and control signals
locked : out std_logic
);
end component;
begin
U0: clk_adc_clk_wiz
port map (
-- Clock in ports
clk_in1_p => clk_in1_p,
clk_in1_n => clk_in1_n,
-- Clock out ports
clk_250Mhz => clk_250Mhz,
-- Status and control signals
locked => locked
);
end xilinx;
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_6/ip/dds/mult_gen_v12_0/hdl/ccm_sp_block_mem.vhd | 12 | 13610 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
KZGjAkLdR3kjLPFPH5iJsGRlx2kJ1RyIMt1tF54z08GdHl51la8ekAgXNqSwHm+pUkSxA4BlSLmJ
gvATujadYg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
d7SQ8S104tvG77MP9xZ5+VVHUHX7CaOet7TW2Un6VEQKY30cW30EjDTT2OwPHtWSUDpAt7nyivp1
OifRs0kvgifi8izEVbFRuH/kLIEERalcg84H9yQjz+LVmXiuNUBXk9WZf6vFOBAlXAqmVrTG7VX8
IAua0vEHboqPPMEQJoI=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
sjkpOc5VZ+XmiYF7KtnnIPxnAhbs6+YIgOV3JBfy2/xXZFZiYr0fz8XXQp3MyjkLdXaiMdsN6UoJ
am3mV2qEoZ2ZDtHbU+SLmvSfrFInhb6PBtcHRPZ6CupghoMmTxbkSUsuaT+nCTASqLh5Pqpme+SA
pCk9+evw7/lHFdkSm+dvkeRbcUYvE74gGmjCSZHWU6Ec5bvrAPc/vvFVJtm6BdpKDgt0pX2r5B7C
00qY2RGtNvbLonEXOjfiHxAASF6STcROY7vI7TEQ2qZfcX4PDxk07r9p64R4EkVi81lqhKq04Ljy
aYTOutWxrHDC7vKTYQMYuxHa//0LTnlEgLkqnQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
P0FGyCrlRNvkP/m5GQKXkrfT82yUReudoEnhYNDV6lUCpPGIfBe0kKt0N0evKaD0Vvk4+wE3IGFm
QvNXrBT+D/DaZkGuiz3vGeN2eskrvVl/NJSVDbCORE11zd5mTl2Cm9swVlTFxaboTqvwXJCpWbrI
5T2sOUizFjjMgUhW2U4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
sbxBNj506Htq+9fXIwt8TwsCd7XbwXN6TWfkgpmPiyijyl8QEerDIG3Mjyb5MfQJccsqpgChc0Mj
2LezUGzRKAbgGZZfshGjCIb9KYhGhorzzT4W5OJexGdeM8npWA/aFeuIsvPnBlJqY11DgzzG+wMC
UWHHhyLa/yBrUMp6LW/Ko5nHSbyB3LcVCuB+/os8PZvJDZ9E/DIcBV6I/9RMwPmY7UlPUf5uHkNT
hg61kQH1igmywF2R/gKaA+NkWjQ2aq3+5Wwxu9sTfNKETbeSrKCQENhhTvip6mJ02jyiAbtEqIoY
pCOMfAUCHoZZnuORmhpRGjp29+ccnmJbzapEYA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8336)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xbip_dsp48_wrapper_v3_0/hdl/xbip_dsp48a_wrapper_v3_0.vhd | 7 | 18409 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
rPpnWVEwFOHea/W/tudCqSj+l/CvtCsfG+4KTnbybMGqBu+HQPpFMAIP+hsJlPt0V2V6vnVDZhhN
nxWS2MLzjw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
EGcvM6OJd7fvK2pb/Q3EtlWGzNoJ/56HrafFHhius4Q3CRG80O/0bz8xLpQ1quDCPMGi5LhToZ3+
UtDKvCXzDA26bIzfBym0Jj7/d4I7hZs24aTl1eIHCJSD9tKdN1VAcoC2wVq2NwPxkSavgdj+K5ve
QPCsMPUi2XsU2rVCa00=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MFJOxxwkfXIzr1lklbqsHMRrkfa8rPXA2zSRJzgRNGYX7cZK+uWB1SnPVjckaflOFGfx26J+9gPW
80VcAmR2qNafooyrw/viRmhTf/UFdPyGWLeIC/ROPOBUC4i83+ys7fdD32qDPCVjDn0vITpn2MZR
88TiVHSeczn6d1JnD7pd9HOCzDN+sD+TcjBPqfG+bZQAzpofalkM0qjcRzqWBipgMCYHNskLXRzL
EwIwfpA9j9GkAWj3wUYLLd3AmJm57pilDrT+tpH3bGCXoFTPj8WVE2K1OgRkgx7tggnJlPIxCzXp
1RTnWUTa5cLtiIvHaOGlHD5OY61N7IVINEA26A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
XtyKBgTMN2j464PDFsuoeAgTQGvie3MYP97j1MS9IqaFQAYDsMV9/JJMTEYtr64T2UO3j5fOSWKW
30iz6dSNhDJzNh59Nxnmk87b1UudmBfjyJw0Aq6869yReTcZVPj96F3IlmVwFoMhIbHYv5+Ffz9z
cCbd8bZSwhOFY/0B8kA=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
RxSuZOOBql5W0fva0jDjqYJ/xnwDn1O78gvu7Pk5S8GVXE3Pn2yU7b+VqYlJPLKEl3HyJgtkv8xW
js5nlgBbDzu2X9z+bR+Zi/SYN5Kdzo/XIGU5RDrZYTwOaBvKkGjWgXbxnGa61u1iaHph4UHnS9Xp
rFSVS6BzCunqH4Agliaem7d0tuNVlPzrSMYBdfpA1vcFYFWQyo72m6U1+h4KJwNoxNMJojDTWti0
AhJ6bxw708YJp52k9yrwllEm0sPiNelZsx3UBf3AqT5gxKIZLXlUi8jNQxNVXppvtlth2TggHJof
H1PI2kcMmF7mZGW25IkW1wq2anIz7CI0+Ug95w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11888)
`protect data_block
U99eaHjhwsZMfarCRKSwoQJKt1a2FAdGgLT7kYUx8j9ru/dqnwh1Aww7RHV+QXSa8oq5FeF0bzS9
1eP7K6TJOj4H2ECwb79UynM9i0zAZyPLU4Fmqlwynh6oblygrVxIZk+peLn7lIGHeQwk7GQH1g+6
+ucmIvS1JrG2cv3xY2blOZR23iYCJ/t8XpCqZXrJEBpgQ9gqAo13lpFOktWA14gTqeJLUveT1kO0
dNOnGvjanjsVmEt/uIWQTGBzqIa1JcFEcZtMCQa+C+BLV4qoB5fL0O7ZzigAztlJEhUUil+c7XTR
0FqOJlFQCaxVmZN6/iQfvQAGv1OUSWgPRKEWS9MmBr1PaffssjuW3sZbZZ3J0U2sDxVA83ImPkzk
kTRE/uPE7tVTZD0f34n7+4jT2Oqqg/l6hVdTFESLkvm/VWQik25cPg1m+y3FjGUnFe9eToJM7z6x
UYp42UhmAgsvkQo7Y3MptuoU0hXM34J9PpxGJfXcaF9l6Q5aEFkO5M/gYsJxMbh4oY8NOFXtOjqX
7ufuBdIFqfWrt21o99XgWCdqVFx0/LDwUEY19mouMztiVLDr9hX1b7G/s14Hu93ArU5C1F7ROpch
OqbYsnGJyQM3OQTvPqTbROMIGVON3E9v4eynzERo4oazOb7CxoNYzFXV/zg9mo1UNqL2MG38Qj7+
mIOZVY2+BSIXtAKZz3AICn0YXTk7fFHT20BmyXxOdsvlOFsWwVLwJqTkogEmqBy3S4me6HojhTCH
PI6p4c70GtN2TIjP0vFIy9DmO78R9GIQ80fSSjtRs4tIgvOFwD4+/KtS+PLxoiXuUq+cg95YsT5P
bDkaa0La8y963xbjHif2jbwVhGiSoWxPdnFYsXwf5A3ov7UVcEbaYzjylJ7vkAHXikTYW8IUi+ud
G7hsl1Ja+SYHk3AK3npauAo9wgtnk3Va/qQNe5Dmh8NzHAbl8tKQHuk1fG5VK0PTG5IqR0wVvuaX
d1tGbhJ+OgqmEQ0d/sMNUjWLOpETwEQ35EoBT+0Il7PyC4xftlZyA2ju+UsnO7Rtiw9nMpgAD4i/
2eIBmdkMOmwk3izaQ71tTU5H65nTV1Gy8oqAczPrszxOipBg9282wiaEik601iGsDjXx9XosflNq
ZEMxghDEc9AcsZLQ9Ab0unWvXqGxhR2GavZI1fMFriKLWEsrmPUtGuiwMf8x0X2il/Uk5X9t9ErN
irjJ0Cy3rQsgGQjE9uHDYQ9l1gtaeqfFpaiay5zi6HTl7x4op+bxJv71s2Uea+1FvLgh9SY1OwRc
dnFzr35oxiopRcfCclkwK1/2eyEdhPx5qpj9KM3zck6LwE4lqfdEI2UdHbgIJQvb45iOboN+lGWr
FkNtdedGtxq10Uq0tXgcDpshCE1JaY9RBICTWbC7jRYwlmYwVI+IGoUhgsHYpCVC1Qc0hMxhJpd5
5IExRYB0IOcLSqSGjzZYjyZq2mjkmgFrBDY+wpdKx1gdLDOV+6Q98xBPQmy2InpcmS7tUNRhfO/l
69xo5l+mlwryi9YF6N09urje4yJFsF+ry/pka2HGZZkepjmc7FYLg38kXh7++npwZRTe4TWSLDCt
dBSkmEySsAcMpSY61m7159fHeGTNECBkeHaZ9cpmgbryKCOhZN5DhBY/AToi7JMtseZx3SctMeyR
QLHQUfFJ78MDGxDxqRPOJ/FVeekkxOcD71GPi8NZSVVGKpo6xMkM0Ln/N5fTXuMzQ+1ZSxA4re54
uKi4W735AgS32k3r30PIx3RL5xSC5FyeKJRlWXp9VFE0VLQ1Iy3HAS3IYjjwgLdpr/I22mXww9KN
xYbJ8Uy4InhQNA6CpEjWur/MJvulJavc/fSv8r43x2LVXuKzMpWCDRt1rnv2ZUSrHvUMbn9sv2OM
ierEGU70Fa1mq9DL61qG//UEoDFGhHS1zq2b7bGk7a7Rch7ljIlhdAlltywGen6z9S7rQ8+i068r
RqABiQTNvXJCTSMA3vY7Pzdx6qr2WPciQcWOl3MWoHhrrVlTOwbbGnQlfy1DTnryj8XqTYAPhPtd
wE5NvCyOPYOW1AcCUbCwFaSiYnFVYd+S3N3M/LcJ7PrTMy+F10ni2vHs4DXJJ0cpUE0OcW6/z0Y+
1oUb/2YA4K7+FZah7dIrIb/+aQHPV9ZIdf8LdK550FYilmBkGFYc4bRow97PaIyyt4BOHVLNOzBH
IBPYWZHP+skZid90Qcbfk8GDJ9THT0dK4wuMoczl3ZBGvbYUUAJwJxcmkH4rgjsk78mgcb8OvGo/
ZXeLMvzlU8JDNaJ/vpTlTCoDtVdWmWLQHuqHZUyxuZGSIvf78mBowLMcxTOqGeD3MOReeBeekbOr
rvlJRbx3KOPl6FpGNSAZ5JSaHRFs8uj19BjvLLOs6d36cR6m5wr54YDFm/M0HHIfPxkhPIZsz0Fb
6DD+tyPrPsAbqQwBcjcgRWrXKa2srq7lYjqmltXF7ZIe01Z3KKZa+MW8aysfl4/HeQcs5eaaPL9B
8d33uUHMTM6m9I6o1DB6ENhI75H6HSwINkwG44ZmX3INm66WuLZdkX8Fo+0Ed0H6V3oth1jhSrb4
j9GLC/ZFLas5UbZL1pOrismBDKq63wtn5liejpEVd/vy86JZCHtMA/50IxE/D6NlVqcEtBT+6Mut
7/y4ZOPQyMGiQXYNIphP8vJD96PdA0xruM0jZS3sdQDfieDjULU/DwhXZOhmQyRATx8Y4aN31iUZ
GvTfvvejolaZ6gRRrl5xSwOMba3uklKVKeOoFE1IAN3g4ZPrLmInQl4WsAsxtSXG/iy/f157+TeY
r5Z2ZoJQCQxXIq7vFECt1CORMZ9kxN4IdoGjlVsiKnuhJF/k8dG208ssl8qz0fV3MbpXh7FWlhVA
YGFxIpVvDh3wZEZhA1Dz/RJw6gGS7oTXiPFF7QkxHhjVn/yN8sMVNRfk8/AzO/gjjwFiv2zyXJrt
rrqjyNRkd17fw1UT12FsQiwaO1cxgH7n0lkcpSQ86DZsEaEvVSfBP921S6EarKy0kXF3BoUDsrvu
sIqul1vuxlaB1DTdG69VjWd4u1k6HGqP96qEJtNK3n+LukIcL8naVkZqk3S6pEINkC+UJQJVYLyL
D5Xt6Kg1zTb9oOB4n4i1I20qlYAc63uTEqCk7f6J3d82Ofw64SGpZPkXXKM6XcAcsSeeqxjqxhj/
F45Ac0AKGYqmMMyS16dRUF+RZ9oZiPw7ZAZnbDcMaxujZ0RtIQsp9RYtoyN8AtGk60MudR0BLujC
+wn+NUnhnd39qqih4eMOshQkNYeJ87rNDEjnh+qjMVf0aePCiL+Lzi2rYsAczJrMY9PhbYj57VN4
hLctOSqr1elUB8p9c6SaWBQtLijSBBDWg44AlhNEaP+hbdqiYDwsJZgoYmcfN97Vi3PYS3ZMGgD5
RVnjSYf/1HxhAtbg8ELdxztT9m6d14Cb389KdyJLuy+0PJYVHPx7MQWPEXoAXiKvef5CdoZ+NNG6
RQaxCXXxg5QcJtiCqq2tQaH331Ix70RpoPihJ61JMxARmoEus8s0pYZfjeGASQwoPzXyTMgGa/FR
EOjG2F33ubJg6933T09DiXntBJ8rEHkiHMaABhvlzyRKmj6z71Ck1/Y6sGhthA8hjQ4IpP6VyCqI
PzpXQ4Qsh1JLIDiS9LBVDFvs5peHsu+Q6GXCHLK2U64rG2kY8ZtvFen7xBNY+ZCDlihKq1IvbWVe
qPorCLRYGO1DAAk0c4XsrqUCx+79zZwTc1tw4JZ+EvJONnK2ctnNLk7QddYHEknUF2XjJHz43i7z
gtpjV34Ng+oSfcbko99K4sogBe6jjnGOYzKI3OeBCvNim3MB7GZf9j9lN7O+LhgUM5OqEcvex2Y7
SzrJ0musSqtdGbTYmTMlksy5u6Cp6PNPdDJ5WWCKecKf09e3ptmGfVxmAjURZLIO1M1Wdd9dxMN8
qbLxC0an/Rl3Vp3wopDAkQU46QwdSwZJGRryfmi8wWxN+ahkobcwpuocWjyinQNKkCzLba8r9uTU
Tvch+HVSM0jnOevJo28Eor9YFjdJqA1mRXdx+n7qRZdqsiPL+QodgL7uPsPlJUpetQvMAaARutQz
VdqmqcH3PKQ0d74E24aEpE8HVt2T+BSyX71qetIfMIk/xVJ2e0DurqCzQC4qczzwcR3QkEnRvpv+
04clgZZ8szFDQJXi9A9O2w7WFfV6Jb3FJ8IEGKm1srfnA+52adXnQKjaVszPwOSm6gRBuGJFtx63
EQjtWNr7OgLe0Vat/pMMLs9qx7HQeMLVuP0GpUdtsEpgEfMTreSP7DMRBf4O3BwcIOvqyejGRs7y
KbT8Ix6RqSrm5Yz9mHK9fVFDymfyobdHww9dKo9koGleMvOTzLmZsJyUIjEXFny49Dme0BcWSKrq
d6B9ygFxoPbexNpUad6ElDbO+CFcCLT/I2QJzFIOTiuDgYesfkHeLkDvzBVZsjo2x6ccFj1c+IMa
MGGaIwDheFHpmrXbRSa29vIMCSzbDdFLPFFCOqZ5eiIgbYLUJk2wybDNvQQ17i74mNmajYNC6Nyf
YJqoxVaY/xQNTNVPJLCmolPoIEpt/cMPiwcssq9O1owezWmX5aoy1poeoUSjG2ND06ZNIk8uH7BR
ZqH9av7HkbkiwnFUBOdERtFbBbwjoDLusuVu9F6XpozMzcy+5sWKM/6msJEZ6Kn6RDRT0JFEFJbK
GF+1UmZV1jvXYYqdWZTRSwglRM9kHs+NKeQjKFEnAMwG7nUNfzSncxKRBR1EPcjhXnZ9OIYWfe06
VgDEmfU1QgBdXKhtywp/rPSejs8eHPqmy6LGLPwZmsrwqDgd1qD+0/HppdQ/noVqCV9QemXOa96k
O04KpI3Y2tqjpavP662IspLfKPsnC9Ng/t2foyxrY/JTxNuazHE1k2Ohg0rBFxfzFK4b3h9IGNQN
K+86RzD/2L1xGygBccZ2m/qUp7kjgvh4SiZ4MKwHTUO27DPhEcbMk4s+DJZg8MVKCr4O7ddeyl95
A6M9ADfzcOXapROAIb0kEsV1L93BH4rpuH1V9r/9gAXxIUJ+icUOYc14vNxVST+QNKwNFfI91Ldk
gtL6MYAkgeTTlPPeqNEuiOTXSmonJHBv0UV3In3Kk202xPUPTGTwa4GzM8Ruo7trykQlsdTliUtV
oPUjcM6CSQpvWP54iz4cVoVrGoLmvVjyxaZbgQK2vbqVxfXPmkaDAEIg937uS2rCf3E4Hh51FtDr
RQsDCpJYA5YInLpDeSiE3iVImm7BdEp3/9RiufYqOeCqpjVCjWB9zwMcot/G0zi4sENL2feMTjXF
3IJheMgJjnQ5Hjj1n7dbvC6abC4ZR34rAD2Spx8DPcJUGssmmiZ6Me1Tcy2bsK/Eguus8cHSLESY
Ze87xIKX/1B+2htNoCGBJ/A5BCyT5SI31mJdV5Tcfm53PtBHrVdpq0vUj0XLSUaUvANhbdLE2xMx
6V8zs09VRuuwBcsz5ZgUl85RQPtyZjYsvs3+RCmJrPDL9k3/+xPcmYBgWNBPY8IuU/ymZGSSDAPu
ZkrGRHcorXwG/ADFjIJFsIuA2Ex481MGN9p9BZ99iE4cHGEUpxgs+3BTa7dAqVGdA7ePC+6QAe7E
hA1Av/D6woYTXTbucrTcuiGYVx+/RFDJ3g+4eOUqBbRV0gpiy66dCHb66MIu3O9Y2icyWZEJzP5Y
Ip2W0f49tBwfoFSNAonObUXzirJ8CBfJM1Ra8+TaOTfMcBi+KzQ80xHG0yGFJcWlM56yvLbM116T
fLLrxyFA2AqDNPSsMvLxkNVVcgbyXjCNGQRWVfDTN18jr0BnrpTqZB6OhuaA7VanHTTGEs4MMMxD
qMyvzJVbLOUCdOyDvSw5C9rwgD3X2g6u774SIFJ+Fkwi/bW7Hqyj2w4wzFnmXAz9BBy37/ohZRGq
oh5b4MrP64mjq0JuuLqX1o3qDe1bylLjv5UfA1hSe54UxifuVkMEJyb88Dv+oBQwr0IIiuCVHloF
94LDLJMVoxS0P2z6lgEAbQvaUhejIq2Rur0PxFScCbCjjWvWLCvuTTcIXflnua4WVCUXtBVti78/
1tQKP09hJ4f7+ZwcNIVJ7ntSWFGQeEFvEJpLPAouSXXuSm8hUF5SW4NxcMvvk1h5tlLca45S0MPx
EU85MRIIQPqWdVgE7t0gw6jjYJYmPWcLlc3qxkplzNDMFCldODeVYHJeSigLtac+e+Voerd+IcuN
YWQ47JIJwHe1ePsYmLiuv8holYg+5Rqn6jzeOrKMrEFl8sXHQcfsF0DDgrteEvIqmYO0bZxIKJqN
0kXXZoVVAjzzd5nXBpM4Y6z1GkpeRZi88yu7l3kb7JzpXLRzkCgo4eMnaTsq/XdtDnVUte91raMb
c20Z1iRdvtpq6fzX76cjE80+k0lsrYUHbRHnzh2G172/Z2pp4Zj1fguVw6aL8suTl2etlysTv+PD
w/JhtcY0q39iAZ1W3rvNa2YU9M3Yyedoi2MqxdLL9GnCvkXvafzHH6v7m/odMC7JPrSYoA2Ywcic
m4UKhUHcU6WDbMYBvGIKqwX7/FupzctxJFRImFoGJiXjwBMp+YsnnH9x18ZRjLTAv3CjMZec1miF
659zOe7dWfUrrPZ5q1V6ZkM6NTfTswquKdlQ4xvxv0o0dBjTQ6YYAJyo8CDk5MpZBeUmuybLiS7m
cgV8NSJPrfUjYA1OGD1gZmPGs5wAcHMmo0VZWTRODWlKWBno3noZuY+i+ZoovDWT87Z1TNHkLBVE
JWTG4+MrJYWXthVvBegF56rauQ7Xuyz1fJiGqCdUGijkLRYszQxRaoRi35tXdvobTId5z0soH/+l
2g+2NW3pIKPcT1GM66Eni32ZvCUB+L82bQqqnRTSvoDOHxe1DyuKakW6PrFLpqozsZybIk6GZJdc
zpNjetKqCm7Xdo8xL4xSlCP83oY3qhNAnTnLpRjlPJMQ2y4kEu6hp8/MzMHrB95JDEMGgby8Sp0N
iS3mdE+dH/fewwSft20Asfw+vMFDrUsOt394z0VTUjun1Ne6BBBt6TBuC9RSfExkCfoNpoUZYUiR
cca19vmVyhT9Pw3dNsopWiJ6Ve/COpfPgd7IqBZtQexlkkSsGmhLTP0N1rSdiMJyljAkk+Io/+Ij
USsmnHQW9qkhuYvwkEdXUSIqDN/A9wAaSVfNrfeibBRYLQgP9qraZI6X1HZWChRKBVaQbF3ClCdH
VZYI1lCqqeKgKRKL2RLbpJkFwytKPoxhz1AJTa/ldEJomWsgt1LuZUs3s6oBkRdAnEjWEkC21W/z
9nTx5AKFF6x6BKKZPEkvnKpYnKtcl7Jqnk0k8XhkBTC9o8XvMxj7JJvyePFpA8c/InGhYPAo7a9q
sEnFhRXckX2cfUPb4Mo4IFGJinFiRwTWm/0SrYHiCOso1Gyll1VL382bS/b7OaMRPjzAepaKWHC2
AoJMXUMuxgOgZz9rjgmyrhrVZj3PSGQ6iqiGmSWO/BlAb9eLgE5UkEjONCR00WhhR/nFRbpQ2R+E
xMFXXOMThuv0seXgeePtTsDRnAz5EaPscfIS4ISbDcvtqcuZqc5TJaQebbobnvW1NqB7zJ8VFomt
ZPyNy7XgHqc3kWkUzZEoKsCQtMHIUVI0+EGxZTSYA5p0//d+ripTra+gBiVfVHwmrMDV9NjsZDDS
9348sNiMd899SQ0XuXwF5WuD9AkknBEz9jy18eXcij4wf6AKelq+yVeq1us+SVXXh6QJFi+9fkdZ
c4X2yzeQXV7z28p0V4SZFDyv6rug29xm+y3qToUUzpsYhC57FU2uWBNHoThw/eSxs8t1hh3dTSmS
UTp+/IkArETrZocjGxJKZPd+vUP1BaWXAOgXN2ktznQf5CTHAa2DQytR7zM7oGQCt96VXBMA+3nK
vKzBEQZg5FIbD6RI8V/Gzal9ZKZKIKZjU8JeIONIxqUhRP7kHAcXwjGYB0sfl3Y15phMbZd2Yr3/
kyp5MDHqpdsTfwBbrYb8IZROHtS9ESJC8UnAjS/jaJk/nn+dRftCY0ay9YfjbNiBWa1E4XtEk8gx
SYwNi+uaYJrmeyLR3R5rh5UHnHwM2K5h0qKOeIZ/DIIn5d2K7NJP8XlW9i8ASWiSVhmQd+wNAs10
Yw9hSorfJTeIQ0mkUE9Ay5ypG1XTxIDNYQZRyUwovIo7KTF+vhV1oKL0eld+r5hAsakzSb3w6efF
BydQxzQwgR7q8AAoSaBllUXTBHoRYlNewRNTu+UrHxNoATA0K/06IwixRjcisHY58UTQJHV/0fCU
ieQu6YE69Ahsv75jqOpRRdHzWBPGcW5LCZ8hXzzmfcAdbfaI1aiKXPLWuUFWFv+BnJK4Q5ovChuu
SBHX6YPstehurPmV15BFZdxNosoICVWUWb22aqnJ9VLdhvJKuxx4Dm0h9G+8kW9rcMPHAN/TOyZc
dToVlgpwz3grxg1kYv8Rz3u/fubv3SunQOa2EpW2kCBlxb/bVzxHa0rfzw4bPlMTsRDZ02a+RcXT
kii1j03JFNhrEgoOEz3R1zvn9GtjqY8UYibiJiuYAqCkhdgPo0rYn2CQtnjX/mSq5ZAiY9iAmAR8
Uf9LQc6vSbK/VX35K4td2XJ145fWyFLR7QdiY9AW3MbSSj0ejLeKWwAaqzAi7NbuSi5vvss5Av5s
2kbA/ek9tQYOR1NfxWIxOQwww/JiucUcJC938xWghtu3V9qXqMn/9BF7EZ3i2uYphBgNvXN+fvIK
G3RuCU5UCkUb5Fez/u9Kp3mxDr6a7C3xxm6HW2PzRgD1VtRYAfyU/Yo2ekl9zC9XdgJfellps6dx
Cgi4FbOYZeHKS9gD+PhRcm+6crNmG65o4UnglEa2qXsDQT4rLxgzjavzPvyWBDtucwx4p0gq0k/+
xibtrjSwxvWhw4P4YWjH9Ex+rX+zqmnm6MIw2PiKOF4tz4likZY+Y0cRJYu/lBJIFkEjg6gdCaRd
D6HJgpWLLkVmH/WA68asxoDoi7v9WLrO0QUU/cFmI4jAtqUgcI3jK7ylrA8UZw27S3hw3Na1EehI
d2oz3qwWmnjF5dtqhd4q/dSk3EDic9JSAUNgnTygaSVMeGt7oSWiTID8Qcy8xPt+9vLlNwzadCex
n7MD4/tgV8BrZPO/8LDKIz+kQIVBd5HYBMyT5d7XNK7CTFCdIwl7u/ntNXvthoaodl3kN5emksRK
oozQK85W5rZ1JxWjJllKhhlcRnKOT/zS9n4/q495x/RrlhWJbMkJOlErgfdDhnvV1hpHCPwxFdWx
8xC8/txdaRWMlzgNesrHL2h09XAMKQgS28BDkmPuefQLhAw9MRGl+w72o2UAfM0/xmUd8E0nZc05
Eoxz6LjkAYecSZ6mXM/rswaD/zhXtUZb2VfWd3P7bsBWiTNnAwYb7sONdwSBSqQICv0w3cUWKk7m
BEeiYPo/rv7QB7ZjiMvwXk3pPuJQqZCQmYxii0KnK/AhAOgG5eHBMmJDaORBosudhOxieTeEGjRi
97UB9MlKuxjtXhMPdOXwiuOa/gRumlZaJVuQveDNbNsoCgoNTm9d5BKQwDZZEwDxTeSZx5oG1bom
bbVHPzKvOu5lhFi3hMtZiwqpWNUQbPLBxFUGMGKMwOmWBJmhG7DsuWr+bXGtZmE3u3ucwnYcYvUu
pe6t/xwX2qq3r1Tl66NAEMhocXf4eec1Tg/zrUQYfYKvJZGQzHLmbJDbxok33ExdY5eu4FkKK/Lo
rnil+e/agnrOW+JP+T34nFnC5OOk1NjFIAWhIu8N7TYWNQ2o9ObWpVFVqEPbDHqRb+TgyzquvUDd
4O/cYd8g7rhrGJ8xQ/Z8bsxjXl6nw4BML+2yxF4BKp8xXBvqjs6aSiYAFfkICAyQTIF9lsWvEJAu
hIbN4BDYg24/b6BHVwKjB94tf/F2tWN4+CuF64ZHJUUvMKTZFnf49gusNUzfBkVX98q3PtlM+g6C
Ek6kQdTpx7dxE7gbgM+aQqhdfl/DZ7MAtx+FZ0L3mbFt42UDloludebETXtSxTsyHkLbHUt39DYq
I1BVmvl0l8aM7w9a5s8Bb49P1ZYgZ35qy2oJbA23tKjOXplK7P6U8aInDFj4exrk63iNqYe/00av
dpKsu17pmE5EUFtNHoyiMml5+JVceqYKezUsnegM7p9wHQoSlgn6o2t/Ob5r6GMIejhfFgMeR6vQ
sXes/ezqGv8nFWv0JjUtKQgoixfaTgaCTWy6dgQrx80Oks04OUMA1gmAmIhS1VfZ0qToHngDVMly
1zGjQw5vshlj4h4b5py/Pd/IAPfFsyLAKUe0cdqmmlwI3KpWVvSenwCDIY+mX9q0FGcklOTYvsvq
Pe1NcgrEZ4llcuzsA6OMreI4rP66pTE00nJGDMHJQ8PZx1sY9GZNp9Tyl/ISClyTPKPz+orZK2rJ
glmaPNxAs8w0IXrEeR2o7NQQC18JnonXegmUNStHVnIixzph548rrpoYuzbwaefvCMzq8rvtefsY
yivKaKLsoVZ3Yiaw8MXs/x1SDUuEWT5FZ+/DScvJbldjzocX1T5BnlS+aQm1j3DIjLCXKh3e3Yr/
lDX6AjJrFpQV71UnDbGev518FKS3WtEnLqMAJ3xWM8FiAJf5cyB974w7U1yKiAgykfsOif4aDB+0
bSGiGlcbLiHkPDSlMqSEzZIBH9JwdRnEIiG+DPRwEEkiUn42B94AlC1Ca3cjFPTE0SW0hMg2/Oy+
FYLduCiZlAx3LFvIIrs2i5bD26PYLBcSRJMGgtf7+/grecOPLLdSLtzY1ZHSkcj5eI5H6T5oqBZF
8uXCvKamM1IYGpJoh+hQZ1Dguolv6NXKMDzDonhKlozQ9mVzbIjQ6j3CZJUsQ+Fr6rFuRWzBxNuq
d0ol7fJIZ7CdCgjqcgoVZYhRznxO0y7ibGCOpkcpkRfuXvtE9U4z2NctABPAKfvP00EeyptKpiHI
ndavrriCYz0FAEJMYkrGSQrPNHuWkcMV2rxINv+s+lsjS6YslSMzX7ChAiZcAoIAUoHRt36MU8En
MkL+MJV5slnqvUzcO7xc05ug6aFrCZLZm1W2/TdIbmb2UUj2QSbQSKtvtr6Qiym9FMnCgEmAcZQC
4/4gKPUjUSyymEPEG6uIzRSzxR4ylpSTsHrNvr4lV0USnUbgAR6nQnNgmIEEDYf+80uReRPXseP+
ZplfM71k5nykQ2LqAEfMNUhVW9iuzz0sGkE94UxgMRbYisLMBVqBGIzpk5hYnm9iD9LghF1uTGcy
86+cAwQamcmiH3fSuFuaJHKFKb0n9gtgMYaSme+VX2QL/fUb9F9gMCmfUFG8oYlFS32trS+33WRE
KfpnF8nXCbYt77bM4PxiQw3KdT98x0Rd6sF/m0tYnFzo89z3uk9BF+jwumg/AxEqZHuTeQGo3mri
Sib+Qi2mBEQ9c7ZJ0n+zzl1stMxo5dfZPx0wvuB1CZy1B+dSKlokjWaot8Tk3UfIITelV03Ij9bp
ZaKFbO5ZlC5Ah8NO0jEfpQ87zqFxQDXWGZ4WPOtr/GsSRd6JoAcGI8eaPQtWdGTJ2OySXgXmfjM/
WD0MHpV8x4o9XjNICyE4Yk5VfqyeXA3oDzagf9q4UvEoL/gAxTBLMsrdJ/ZqOmpYdAZHYsumz9wq
69p500H5gQuQfqDx/4af2XgJ+99l5U9xg0I/ZwZl/FDWXyo0cWGy8Ex6a4VyVwhc9ZWHjYm3KkAZ
hOyVbRV/+4Db6JU6c4DMBTXgyJAbgbpNGBN3mEuzA0UABWQujmY6nlF8ml9IlgiHOv41XOyuipDN
GsTmWh6aRSrWf/boh+CFjtJa2WKllxkxclnAH4fD2TS8VdCYeS7odqy7gzVgE5PrEcHx/5l5q4pq
h/2/ltAZ/UnR7zE6di3RCGgihIfo21isamdNAKys7db8/sik79zikKKxRPAgDlw1UBGcJyJQyDLL
kpkkDdZt8fn/fSYrKzqWtqXFqVnkMCpGUrCyydAc/SXZJQFLLnVbtOCQTFaK+eQPNJEG0rgjo/Mf
cwNnOcj3hNNR/PhZ4iWr73rDLlX+OwgGBnm+nLSgeW3J6tWU8tWQ+XUQg9hvB+YfLUJHn6FpVfMx
vc7GuJfbgj9CCGzvD2D34s0no5k/HPIxv4Bah/hV3iRo7CVdKdVb9U2kcS4+Ot54XdsXIEHOVZlm
oNBQMQ6PoHiC7Gr/E5XsZU9wPqwOTknvGKHT/Bp5QkWLcRtobRMpuXm/lpoNFnVnQUjCnPsQzHGN
3Okr9jgR9WEZkdppuLKb4ixDlWR0dZCqlXt3kRcRItBcPOr02+HQa3QRJqPwlNe2Wq3mB+lKC2eZ
VUmFPM1rJ4j4PRx2jzYmx285pmdCIO+s2T0InWzoeVMMI1LNim2PoTk1dcNhIArf9arlH2RCZxw3
ErT4KvUcOXo8xelDfxd1xRcYJN3iQRjgNJLQdMNCN2o0oVdbtg40OH+6nXpE/Xir1qIJ2dxni1tT
StGRyS13x/7uSmdveaP5mcxYZ1KjkV2Vb3yRugvn5j1ajUZc6w7u/W8YEdsIX1ZOHekf5Qalhscz
hZbRcWSKAFHQ+3T4zh/6yUDvr12+wSmI4dNkawo4sSJE9lf0bhoBgAu3OwAwNEuTDtinLofYsSbK
zK04LO4KQjF3pNIUEqWe11S7BbCh9/EV+7DqiHjS3bc5195IkoHqTM2PcnQRfUSplsTir0ZIN8r9
NN15jIFNCZW4RGyYQxQEL8H0YTJsmXLrbBv4Qi29gLr4CvFcKUAYumlwvj+WFSiUoD5zJifu3eiv
9ZpLebBRNA/X72dg03pMVp6/eTiLw1UEoVbj/QHEI0qTBD40TLfsD/DwrZ8P+BkvAk23dvEqpqzG
BwqxnTRrx7A703BXe4GAiIPmtMImuQi4NYmF8fTsHsvlRVr+c+0cryNwiIEuOLDZSkcme5KimYfv
fwdZna6/XsyTHqm/2/5GGzoSHUpV5D/VQ5FErhpd+B6t+ooToigtk5zmFaubtvzzi3BCqf8lhYj0
F05OWWDsFCh6AUz+tE5w02PCwV4XvPLE+ds7e9PnrfNtWgz+9bhgWnrmXOJFvVEt675eJsQJwhIG
GXhrDLyHzODBUcQIQUSf32f9H4SxfD5W2sG761E0mhFLdWGs4utTnY3LqiEaGZcVNbHIFVu9Foo6
q9VRtpugTLxD2K3tDjqv6QdDfuF0RP9RvaQWTrMdxEbWA0PuzRZDPYi+OCHqE66chfeJQMVdQCqy
yVmGCUBHU106qZqjIiWQwba/QlEgrbyOwuY4cY0oJxmeXzd+0UECyp0hZ+Em+CCC0CdHKf7XRtzn
DfQ4GCLodKxIlxIRvIoXa7gfuCf6YGMfZeueAUJ6Eyi103IRg3ROT5PCY3gOjrOOCrzJMhzTmVrF
EX4MZayD1ucSXxCLkbRD/Z4POyPqgBTZSv8rg3PkV7+XUfHYIYjAab61BUTP+DFPt2oTDkqL38oe
pdg1nb5gvN0066nm6iP0CR6f8sZEmwg3V097zTmU/5YZhe7cYScGm/w+HR40FOjuPgiUUEec+XmL
VNn1fcFXE4uVeToykDmkjbpJpcgl5Z4mVQEyyW+xjxDiNfxaIcp1IB5DTy2VsPlen424Klc2xMvJ
Y2AddVRS9nf93cxFgYesul1p7eZB6t70Gfnp1AzeRNAjCzYOBshul/gje62xYb4RdLPdufYPqsZJ
V999UEYBUw74C8KE4FmHQrJ7RGO+3tedLPIYshDO+wRevNfLu7He8H9RlHPK/w5Kys4j5c9vuxSA
wN03mu31qk6iZfNLIDHXrSJ2RMq5ARRDOVHSddaHGHeGVPnsr5BktzRVIv3GQh/ylnQpcEB8I/u9
JEm47YBI3NKZE3T8gE8yYltZXqwBBGX9iyA+Xv7KW3Y+c/Lw0qq16S0joo4fKmJQsCNxOp3OTFBA
fpqzw0kM4y4qbhh29hhSAIBMbYXmumpfrPzKY3lUDegGU37MaLqANAqCPwg9LeN57G669LXYRnLu
YKwNFzDKjbh0I2dwHYFI4J/opcK2IfNOFNZrDx9PMRH5KwSYEqeieAaxfl4g2l5PfgmckZqo17Mc
7APNq5I1jiKItEZ/wCxp7ysrzMcwb4ub1pTa5gVc9ouZJIjIspMam6ihkY162eNc2/2fprF2pLab
NNylnmlNdQ3Bb1UVN07TVI1kGDV/INVl2pYdumR4LejlRvnP9B8K2lAlllKk6hk0ehFwyJfdv/xt
ZSPu53MVRMssUAph1PkOA4+CxxiAm4B77eihbbYXq9o4XI9lHu0P6eXZYH09zFrc8S1d1MEK875v
d/2LTg5QlF9wPYQjlyt4pWcAT3C0cHAz9aQjH97jyn8DeM3ukw0wt09NJOkCxQ7Nr4nwKnscpeeJ
DYKbZh2O4pu2u14l6LHyc3xZlelIKqcr/9ZSo+KxOEDGhRPjS7c2R4X2Z2IYuA3qrHY8+5EbuMks
25S/SIyckyETzIvOk11TFccwc4q76hiKU/vgSu+yFodArTk68dpQAGXcC3kzlhQEUmCX/zl2Z1rL
kZuW6lLYw7zszP5BIvGnM/9p37Mpojw3J7zv68L4O3vL3UkOt0gI0edohMCt4BIyjGJrzMDQBsUr
5xH25pZ/6aHlGof/Uz3yG5/JciAI5Uclvlb2qWp+Beu1dJJTe9mfdlm+6L2+Sr57x+yD3Nm+qKn7
E60p/Bofk3pGi94H9tZ1y6mcQ1VReDkY6TDCcvzoJXbj8Rbo2UBIHFiP7h3J67OMQZ+h/gIxyypH
+BEd3k1094FjOYTtG7B/2vB5oEL8d8k5dQbTdUXkSoLyYaEQkENJclPm05WhjXtmQisGSK1PQBdG
f6Jv2p6Dsi8DaxF2EX+ikPBPtRJgst643PoQL32J+Z2MPzWBpVo/YSIPhnrRzhuCFUcVQALSlRyE
KmKm5Y/ZzyqK7xY+r5ODTc6R/3YW/uZw++2GgmW132sCBsc0Na0XuniTgyTGhEXyRyiVfyQH+AwL
rJv9y8PiW15hJzyISLe4EGrgtAeCB0NUHNnOiJctg5sIgRrFDWoPXaCgfmLYa0LEjk4BSwU04ENC
K979gBqmNp52pIvHGtltgaX4uf/EgCMOFgaArkmUOBnCYkNFDCemlw5Cf9QM0H1m/CiB+YfuldZU
nh6H8abB+3A7QaBJWcDVyFrdOfFXegY+9LfhbgmMppI59eN7sUMh5YaGJQe3DgavzIFqZu/wJbbJ
p/SSVBraxNaJ/gPb59eQvj9RqfpGZPu4lohD8TcD4zl6+YGNvVdb3wAW3FIkWp3souw2okpokdni
gPhIJULIBvNVltkEDhl4D8FKlggx4AFH3cOf/lNqgxbrMN6DDJyqWr+ZRssqOhlEweql5ytH3CoY
Cnnk0xsNLVMmWKkcZfqJclsnPmzwBrKayibQtvs75jbTd0bWysczE2S0hlu44mhbRgeM56eDC+lR
oZ1wDxBgPDjmVATjF5BjKOOUAefl3OAzDSlu/O2jNrcScFAGChTtLnXlgLO2hJ6YEF967KvRPiES
Bw+OOiGiFvj+B5fFHn06ixF0lb69PAzo8pNZsjpaec9vBuZCTeuuXSU0u3IwWxkxBFpyBKywgtvc
JVmQRvgJROci67fuEEn0Unk5uFDGJ71Hh3cUI7iTqXSrpWd/aUMHfB4olehdsSNV+/QhbglDAkQz
U+2mYdgZkqYP8TiXJEziVpnQa2aC3pAKC2OSThG6yiJTWJL0HnjxTsdVxjhAD9WZOTjvEaRHuTcy
laN9wnUeL7tN49HYi0fOTwbteCQSNakci6uC8kDAD4lAwZe7rBs1dSOybaesFpqg2n/rSlTVCHgQ
lZCPs2biOELxBgJ0FtLcqS5TfGM147faUc3g9pnjyLY=
`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/stereo_radio/ip/multi_QI/mult_gen_v12_0/hdl/hybrid.vhd | 12 | 86278 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
nvOgv7ZCjWXhLig0DqIYarjRIYNMgvBL4t+RjnW9dPwbE+2Dmh32daQC+cRejtTj4d/pTHalxJ1U
DXmEK3skRQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
e0ToDGmlBj6NVat0a3pVKyDwHKrzEA+UGHgXhK7OQgn7UuBvEGNAv8O1095qSG6Z7Ap4nUxIQGWO
HN8W9LyttSuXrYZwxN94RSwh8LTpJbvnyIYi7UKCvxXR5Oy5cXr7TEPpgeaKovipUGiYLgfC2CNR
3uJz/3+qMM7torm2K8Y=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Fv7qg58PT8m+ynJ9+MpU/vfVq9t6OiKELULZ8eRfbgNQi0mKRfhO0U6zpHfAktl8i6biNbgdxqUE
lewPF3GZGKzH2NZ5CAy46Ey6BU2Uu1o6ZRPZPAz5O1c4YAafngpK9GxjijwiWyDRgJqYlLhfos+1
TthFnUdpgqsAoQ9NtD2kMZTv4trJ39rcXB5r8eqdA3/HjWFo55/0e1t7me6QYGbO9o4j02WCJ/2Y
CqdYVsXDTWfDKKuct8YE/4EnDinZv5ViFX2jT2xSj6HRofzKZ8wBHZo1qFMDOMZPAHxGBF4o16OB
G6fknQ749sUZkcDpaNI6KqkBUxthfVzLwlR7JQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
vFoT66pkN2So8U11U09GK3GCMg3zGvtB6aww0ejFwkp+kCApkz1FUtfoW+7OurvLGha1nuizzFy6
JHqpRCC//bR/aAL59rW5bZvtLumUP/OfHLcpog4o2Jkknfgi/m4keolMa5f8rve6bl1KHM+P7zCq
lswSgclYJiDaxrvBzjQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
WmbUOreOtpRj/pbzJmDDVseOMTkM2iF7LTkKx4RCEXFMloMUOexcSsWaJjsuaCTUV4RlVxayjIKC
1Eu+tpQLZ3yTZLmqyMw3/94wD5Zc3P/Wung6Wut7iaMBcAD30CTI2i9yGrWoZvfm50+oD0lVDIey
yaJ7Rys9XXn3JxgaPWzVNJcXcFQajItPukj+WhvVOIdv39b3EBSWI9tNjZPLBLn8ije+c8Wgd8cu
KSmWLEix0GbbgKyTg3tTJ/hLjsymY8YrqsGzog9pkhMkWi5q+ZzJ0CzxzWzOn+s4HOFte+NKmRdv
yRVnpdnX0oQ28bUB6dG/ePjWYdVfyAokL+Hm1w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 62128)
`protect data_block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==
`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_7/part_3/ip/xfft/xfft_v9_0/hdl/r4_control.vhd | 3 | 63948 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Gr3iUyD5KvECwMGfyI2KT8Kopz0vAFc+291ux2rI4F6ff5vRgGCcpYAirK4eiGjo05GdPJk/LdKH
nAPFBaO2jg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OYqfhUu/9nLTVkN7KqwgqqYTA5GegCJdbzPht0sYTkOInM+FRLfDDvcGkBh0Y6YcWsjwla7SfQrS
fz1DLG8tbJ5JTtvKDgSu52GlHQadRPUktsNPODmeiM/Nghb7rXFLwZzRvXSz0EExSTlC5LiAh8of
E9GuYdt+8a62CDH6vGo=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
EFUL5hERnLSU6fiBmjhqutlsHjU8+6Y0t8aPH6eGJgnX1vJMcAmlta60tN3dPCcokxfNOiut15/3
vGX0g/N3NZ67cqEFi/xUF801ie4iPadqHJzgpH+2E4zw6DT1uaEYAk12WmLA883Fs+CwdtYHV/IV
SGcw15WpzwaTdh/t2y5SDkqew+1Bxf1cqHgzVuVvk1u/QBfEFkRhEwUIUUBK1cF8JLeyJwy98cT+
7kyA6+NJ8v538am6GVFVyiNpW1tGA0ZOlGPnLRf+RJ8VYRZPik7BxNLqtqc/HmDR0x6cnh6t1ctm
1MRDMeH8RlI/PVjs+PJUvlcrThucWLCER4MzJQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
z3YygYHX6T4EEADqkPnmsvUzpUSa8gik+VbWGHjM+yFJAjzhYKtnzngFnklL8Vjr2+I8tJKo5keg
f//Cqyby24Z8HJM7r1Vq3/wD2OLbRxTvQVoTba4XDGbbYG0tI1nlBDmHfbjATNW27/wGyliOhNq9
hGEB00Ac1ywkJ8aaXt8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
aVkJQgsaw5VUSzDl242hvKZLraKrg1nZSI8qizRGXxkv4fD6XuYAHbfVSZ4CqOh2iLTzdheT7whh
WkfAH65W318R7ZT0t8yi73J2KZJm6GjYNwV1khKGu9qVkrEEM6lT4cBLL/dYigrp3XRFSj+Rpyc6
RW79lJc723ck349BqgNDTi8f4uBBtRoZ1Qcb1zn1+JPvrir9eyCRMeD/Wn2UnauYGIkVRvzyRomO
+6qtG3enVwtaTlEutZsD9zx+Eb6IRN6FMmWWHUG3iwno47/p7bCr5b17G6OtcThYGoJfVi/P14k4
2XMJckHpy3MS2m2UuyACdf6RTgjUkz4shpuP/A==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 45600)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_7/part_3/ip/xfft/cmpy_v6_0/hdl/cmpy_mux2to1.vhd | 3 | 9091 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
XU0Nejsr7q0NDORDwaaD9KBOEWxt8W54ijOmT34M0MPIQsvbWBqXv4C7yiASR7Q80kDgKFI9OYsd
BFI9aNXdYQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
K/Zlf+97U4GMf85SIqU9FtFP8S72qfqvQ+hD5Igh6N65FLEY2610TSmWwZA8Y6gpPqiW/yuxoqR4
eMM38Riso+A5NMehIz8WXzcJIZZqGeow1MBgNngmugYiH9LElN43ZJnD72ZYoIHJzkWAGbVEXC6o
xZySrgc3x8ZIdtP7y0o=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
RPi4+OFeztJgF1azzplEs3TItZtrS0pMRSkHdzruuymkPu72+n6T/iS2og3U/CpgbHUCKWzJDt5s
EfeJLTb+wxjvzgxsiEYJZ/AXIcRNrhDHCytrCLHslV5dbRRIujJUwWXWb8E5JwXQLZBiWTl185a8
VcDi1C/mha+dHajfxxE32H4Yak1v3nnQVfQn7pPCQdjZlJwpnSxxRNEVQn0cgRQOOnZNlByUPRzn
G4jUyPLyDqsnIrb90g3n8r7/GjTBl/bJKO7i52mJ/TDZRgCpZvsA4x7N/m2sq+4QQXVyKPNuZccD
CuR934CvAwaxXKgGZ2gh5P85nQ5Tp21bNMMzDw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
MJ0GsyLSk+5sx+duCdCUSUGDkUdU3E+uXTK7ol32E0EChxtqLTE7A37gNq69wSmBIrMwWMA5jYZe
kWv7BPatFRipZEocxComD0kGWSMVBf+4Ndy2E1SHdzZc52Uk+o70p/C4maiKsomKyVFr5BcZAoZX
Ydv7bWufrtR2YIRsdgU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
pVW6eCpqyfSjjWCxcPAHcXonJcMQjKn5PmUjXHe37P0Kj7x8hzDh7jweTOgTwMWjhl4AKbAUZucc
Fz0/dASkNlBc46u/j5qAG0YecUzL3uWKMBz6VQX4+svEXE0I62b8FNEoUkm8RcL9E23Y11UYAmIs
KRFqXmfkWBrv76SM+4g6febbBI1qkoRoZ5ZZvkWlxj05I1o9aB+uelsSF+k4ctLATNYB1KyzzLyC
hiZCZUOEDdIzJ2cnhUJqCxA8grnb082UgQOtt8G64EO6mjCh3J++DCc+KwAietRCW720+tm0gD2S
DtaBlGekvQ+1z68BoGBwRkmTYjGwsroSsSzZIg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4992)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/mono_radio/ip/xfft/cmpy_v6_0/hdl/cmpy_mux2to1.vhd | 3 | 9091 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
XU0Nejsr7q0NDORDwaaD9KBOEWxt8W54ijOmT34M0MPIQsvbWBqXv4C7yiASR7Q80kDgKFI9OYsd
BFI9aNXdYQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
K/Zlf+97U4GMf85SIqU9FtFP8S72qfqvQ+hD5Igh6N65FLEY2610TSmWwZA8Y6gpPqiW/yuxoqR4
eMM38Riso+A5NMehIz8WXzcJIZZqGeow1MBgNngmugYiH9LElN43ZJnD72ZYoIHJzkWAGbVEXC6o
xZySrgc3x8ZIdtP7y0o=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
RPi4+OFeztJgF1azzplEs3TItZtrS0pMRSkHdzruuymkPu72+n6T/iS2og3U/CpgbHUCKWzJDt5s
EfeJLTb+wxjvzgxsiEYJZ/AXIcRNrhDHCytrCLHslV5dbRRIujJUwWXWb8E5JwXQLZBiWTl185a8
VcDi1C/mha+dHajfxxE32H4Yak1v3nnQVfQn7pPCQdjZlJwpnSxxRNEVQn0cgRQOOnZNlByUPRzn
G4jUyPLyDqsnIrb90g3n8r7/GjTBl/bJKO7i52mJ/TDZRgCpZvsA4x7N/m2sq+4QQXVyKPNuZccD
CuR934CvAwaxXKgGZ2gh5P85nQ5Tp21bNMMzDw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
MJ0GsyLSk+5sx+duCdCUSUGDkUdU3E+uXTK7ol32E0EChxtqLTE7A37gNq69wSmBIrMwWMA5jYZe
kWv7BPatFRipZEocxComD0kGWSMVBf+4Ndy2E1SHdzZc52Uk+o70p/C4maiKsomKyVFr5BcZAoZX
Ydv7bWufrtR2YIRsdgU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
pVW6eCpqyfSjjWCxcPAHcXonJcMQjKn5PmUjXHe37P0Kj7x8hzDh7jweTOgTwMWjhl4AKbAUZucc
Fz0/dASkNlBc46u/j5qAG0YecUzL3uWKMBz6VQX4+svEXE0I62b8FNEoUkm8RcL9E23Y11UYAmIs
KRFqXmfkWBrv76SM+4g6febbBI1qkoRoZ5ZZvkWlxj05I1o9aB+uelsSF+k4ctLATNYB1KyzzLyC
hiZCZUOEDdIzJ2cnhUJqCxA8grnb082UgQOtt8G64EO6mjCh3J++DCc+KwAietRCW720+tm0gD2S
DtaBlGekvQ+1z68BoGBwRkmTYjGwsroSsSzZIg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4992)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xfft_v9_0/hdl/xfft_v9_0_b.vhd | 3 | 58761 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
jUspyP0iAzXCKRkWWALogs7DK0stlsk8Ac9AtlcQkNLb+v4KJXyzKMaA1G3HKNGySfJikCFIiQGN
72J2MmtuHQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
cKqUYaFMNmCnFie+T9Bg/PWl0G/iI0Wwvxi8yg1uvhU+lULQwvsZobF3b5H3RVvcM1TvVo0mPQ6k
kvfjHChtR9Rm1NpzK/TRK2cpT/+XraxaAt13AHt4v2Li7Q1TiFtsxvE6WBJbw8xzFPgX5R8zoI9Z
xQThHyQ9Y0HDSp1JiVY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rFOHHUOUrlJWwbymLPd0dCS2AYpSybSEh5ZJJbT2A45w3gZSOvWrHDhtyqbVqlsstgmvEppRS7Od
QNC5LyNk3kmW1uaE7GY2uSy02V9O8r5GVpsXmVkckbPU6l3hNdHiq87O/M3FndWS3dRZKC2A9DNv
bxz63dYttgfvXc5MPhWWemkmYn4ub4EIx/qAGLM9aFdqsmVcpqD+z3B2/7FaJ04Qz60yVohM/5tS
jIFb71LWEqo1l7vk1zCjSPSV0BZUoqJCLSUriAztHm8yRLgBWaPhdvKrVL2jTnL8VzXHno7XlT2u
8DJTujTqNn3NgU8XdwkEfXWwJloZpkBe/g4FYw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
UXdq/o460lUpiPxpmyXCPPGC8od551Yv5DkCjILx/FsUVVTBP4kjFbMPaO60DdqvjuuG4tbMEfjp
2/SkkKnaMW8T2/bbQ8ucMuk5+tE7e8o3ToGwREI/EcKPPr+MdcsfhulzsMujxgkbDbEzoyjXfX50
GxjOvKXRGfrs9Ld82oo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
qemEWucBj3cySwkGtLMneG+WUTUsSnlBhS2UqRJnnbzkZPsIe5912fGNcd+Nuti2S0HrMJucuzBg
zebkZVhwJr98XAXk9E/oT3Nikt/5/G48yTzIJ1KWyz7lHLQAMY5r9Ue3kKojXrs4FG3eiB8y3tqJ
6m+4D0meMUujO/nNAXC3tHlCuRO8ceR9RAOCygQ2wythHpck6/MLVuFD+G6ruOGnDicsOf9eZMCt
HHOdeHmjhn4k/2RRwCGfdChmxzvczso/a5DXM4ixnJQJqy0OGo0toyQcqZBnI3h+wvQYrg94uDIy
dSHACaAVhozF4EfVFXpDLBYFmP1PxyStVPkjEg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 41760)
`protect data_block
Kf9ZRzDZT6viViR4dEheu/wX8omfwPjaHPglDOTgrX0IjhnSMMLFR+RpZ2NpzSI/d9iR/Zv85gK6
ItIIhUnEZxRYx8CeZVm3cjr8eGLJ+smUM0B5ZSY6GapxuPzJSpfEGvEtVhN7MNCVC85Sv3HOq6i1
3ypkZdwwfYzkLyb2MfOBlXR1KBnh4IqlQqVnPwzSIZmoJVQ+rPTidlJ7BvNMWJ4n6CHaQUUXQvyc
LmD43brsfpcNp9XSAndIjOzp7M7qG+AvNr2No7CNwJRfy0vEdvCxN44G9YowETIPYvGm6liDcqSX
dGEVJOG3w3V0DOxwGOpbKN9Mm4yJe6XDHbWWFgLuoqiwNRx7U67ErgZ/1OCPSqw3HDRNtW1yF+Ys
PzIA8IprXSKW/2frACS7vwkxmh+hkvV7Q3isu3Hhl95OeQJAQM1tVZZBw++iyvexg0ObDVxX7nbU
ywOdKAa4IxXk29e54bguzD52E66i9wHAwaD4Eln9ALgsEgicKvTT/RIoFygMAMeLRehykpK8mDJJ
9qidCrLWO2zqFZkP9SA70GbCptMO5el7AMIlggHOpuCcBO1R/tuNNxUKTsReieG0XKwsTGx1hi3c
sKfat9F6fn2p2VTv2E4m1dBPHq23v2z0nrm5kS8tU4PMMlKCaa0RaL5urbO0+pIGmoMlo9Znt17f
Jef6rn2YfMIeENutlYJdijesXgyfkgaCTZEOqxjS2nqFJ0I1sqbsyLNCj6Agk2EXpEzMsYptH+qZ
VIDTM7yEfjAQHmQTMmyQa/uTg0xtDiC72OoJC5Jer/FZXmI3sic9tlV/wQMGI2yI+OYNtSAT2u6h
Wex4MECHCgkfQjNpn8kWipImxBULHHqgG3S5v8i73Evg/zXFDDPXPNKMWBhs5a0drFs6sUrRefzS
HAjl78O7sS7y9KeV6tFEoK87kQhLkphBr959+0aMcb2SPZug+ztW1JYp2w+fw1CM/bVyezQVsGjA
Z0EGf9zpnp3xW2Y2l1+3PRsYpTKdD/xW4IjpTf1/0vJrgJ66KfsmWtEgFi1qHGSTW2f1iyEwTMKL
KnWplQzewgL/fcZb+zrfjiZ/FH/YVFKMroZKwY5dG2NpG80nCTUIVEdIu9qE4Hh2ZWUDo8F7F57z
dNl2RhsvJMTieypsbHKy9bA6h+cOMVpy58B9GA4MC0TTaL2BO6B8xD5q+HwziJEoYpjEMvLZMpVg
pgByHopr7TKwuSxcGwPUttA4PGLnzS3E8SLlX7xttCmEMqrym6ydIQpSe0CWcuKt9rJl8TT5s93L
jA8sf9xYhBNHJu84jk11Dqqjd2I+oQaAiV3o6gkCYEDogcS23VwZ8rEXq7Roo5TXoXAcjBrKFZUN
UDlyEuP7xalfKRJLJ2liWRzXCwsaNOkeZCAz9qCEZjn6cJHCAtM6nihRUyDuylxy/w/Epbzi082k
G1m7QohnMJ4yOhKf+xkPHP+7lXiJ3dkIJPYrRIh8EpJf5UDhnxhw0vR4kai2L0qsRHzax+rWf6Tg
p7a6lNhkP5rBTWzOBnaYB50J34FePZ4ky5zPfx/4lyBmWLUxyXwpsOu/jF/Dc9X/qks9xz1S3JOI
6RTO/KQvRiQjNC/hFoVNsonuoX9JZ/PyB3ae9TU2X6SRIIiEF2IK0MPcQmuC/Ch7SVgHoeJ2ij6L
z0Ws3rLwz3mQXTjBnwSwgkrULKEIyjD0qrrTCtu9dKvltm48SfSJKcgGCaug0HaWr3F/hM1EH1Da
MfA80xmpm2iZYd+yDSFyf0BBrXbRWV0nU95TR0GytV2y84pTAVOj5G2qfiZIWdOfPnjm2Ei7Emt+
QtZB1RvGnosiGh78aG840TkXJ3Dx2hynYaGSE+4jrjO78VGXuN0QkfpP2kk03TQ/k2MhbgxVfA6b
wYDBxgBisAllhiHFh2imQ2i0Ggzm2BD8f2mxY1uZlS4tifA85KlOwRRF+1FqRPonomPCIetPp3Uf
podYZ6fn2MZvoDkcjD+pq6Z+sySkr3S+JBMN9icPW5LYvHIdCvcnQyGoozvsvzzCwthzkvoDrxBT
JuNCsTI1ZySOJTY8JM1hPyj0vXexG79+44pduYsdFLk6K6ujepihcSC3PHQdcq+J1RNPI+PAYI5u
hQb8qdzWL58FRYxlIfhMq61QXsV8T8ayT2iQzvz0cAR4HO+CJp+BILtXL9Pg12kRQMNGYhPvzsI6
tve/NlYFaSzoy37IOmQhQp7s39MQMv++w4M28t4M0eqwP7zW2Xgvij2uHAMWmGj3Agq3iQt+Q3PB
ZvbAvpxbQ7+GLXy4Ow92PCpWj6AgKrz0QN1rKH8bFwKtQr8ExE39DQV3etUn8b1Mq+XU1lOCZsBE
kuGclbjjqlkB+yw5xHK1Xk2kkuH3FINAlDmLDY02PZI7cVGBHxGe+uUJxqIuaHz7eWDzO7q153t/
vDfaWp2BT+ddUAboNCTRl+s8wXEGKknUrnCermH06ne4iWFaczgOHGq6OMV1l2ekuhmFjsnzHY4v
oXJ1G0RnPTbTuHhSyftB7B0IB4gybRx4ZFOY+21gfCjbhFAXA93PFLIX/ie+AcY/O47sYjYTSlnK
eK3Yw0MUGprWwxxlG0CxlMHubzIIbskXfAElsevXZsI/yaNB16J1UaKYjXUyOJVRvAgq4CulOfZR
ACm3TERU44iRol3ZWX1m6uWSufWNHjXeLO/LZeU3KsQ0v4GTGkADupBwTNF2suIkBpJAPq7YDigj
uQuQiRrhQz/H8ErtfBXXJlS6b1rxQVcIYPjPmq6ZBC4IdffV+mu0lLjAnYHAUnuBWMUHLrjLcbwV
36PnBtkORt+jufB+ppQftOyAvTMKEb82sY8HneUOITs7tx9NjqJa5P1h9lVR/z5YVaiCi0XoVfHY
FKq4qz6o/eoCwb8WPBwNtfOVRi4tNqNuGVCtNlbkbLMzDymP/Eho+aUoumrrfhCzfHg+jLbORDL3
ev/xcmD2/31c3cGSBXjpNP6lA3xHPocAFuR0tELAcUFJPwdebiK/VOLRLkNRh2l0jU267ksu39xk
jyaDXjMtTqQ+02Bk28jOKWVKYwEdonbSclOOGQxNO6skIafDZMyJFGuJzU2q2vhUtN/T98P+Ljj7
Oh38r9qxs7yqEj4kCh4b11TruqNeKjma0k1QKeGYIQiloqYSfwqbptIyCmxoXkCK55d0EyJuo5X8
Cicu+jlL9wIyzrgmP8H3zncK5VVxM+drDiZWqDfj6+q5O9JzjsShEXt7n+yjT5dH8sSgMUg08lwi
32oubJfwLbIa8jdU4RxEQn9SWqINcDI7C8TnbcOqo1fKRbEEpo80yAFdJ5kq/VP3QlFH0ZUz3e67
SNCo1+8bFLvu8Wr1XPbUZxiyrZnEDaHBq6VeJS8wpjuI4VAgwxPUPxkVZnNSbL57wKZJww8OAsuw
r6cPPSQpMpqcRPMQCBB8mes5tH768r1Vap/xouh6dmIL0wFJjVXBYepQB7OlGU4ICUubYpLcPfep
4A9FhaO6xNA0VYf+NuQxzh8UqkkbB1joCFgdiBwL5m9Kvk6N1BpJe3Y+90ULjAxcZYHgmwPS8m9Y
kAVyLx9iCHuoYPof8OWn/he4vUt2SdWXAVVtka4+33oPuKjH97RCBvXWF29AGjMteTh9ACN6fbjX
JqJ/yWZ0JlXuaW7TNuTKJ1L+5ZtQI8XCWFfSW36dvozNnKu2seq4NCTwdtgzbNhztaigZwudlUxu
kdHkqdrtqRNC/EMJptpH1peqLkRv3/rKyocbUAE8oRw+vCpq+PJ2zkq3ugMLwQty+m2ER50MTeYl
X1t01viw/P/KsCQFvA3QQuSUKFxAQqRXjCRX+i3wdbm3LjSWWEn44b/jGFpdSM1wKUBRiasGYj7i
t4vTuTj1uwdGFKyX7feADu562Ry0/yi82okbQN/lyXwbR5R4PyiEAPTDgdfisZ3vI+wytQWbNxP5
zabOutk8FaT2dTC4q+DEFsPsmX40M/iT3oeojN2IhwDnoi9c95ZALthRuj6uKq4uNoDRJ6TypjM7
fQhJwHCJOfZJpqdvHsZC3x9DRHNwvo0gB9U/X2nmNC3ueWEEexxdV2uK0yZS9J3eeRrS84T5AdV4
lv+c11hKVvNI/ldU5m2CgFBrBrXNjXNQTCw65c3GaYhQK70pJiBcz9fmjxuilsG26h4G42A77PHX
XShRX3ThVKxOvesA6bVuAHoJwCGJGKOwwHcTSWnc9AkLPgA6KgXTv39inL9lE3ermdf0TrKKgaMI
9RAwGc4yOMxFYfRsgVyzWTFqnYcAys8/F8FXjmnwdGvWPtGN5ZnxSMx0hrWb8nbHgXTzzkaSAhsl
pyc9eQGdAcZj6fON+YH+qFSmjyL2VxM5kJ5xlnNWYd2QFCwSg4+KTh7ZkawdDydKiTz8SlBQIMWk
2xcuzNytijRBIZSXAY6Wn2mnsFDSvfAfyEWEzrpx+idt4GX+c3LRiTmx0U2eu6daKU957geTxQ/Z
zgLWhOiC00Qnx6CJ6Hp4KZEcmSqwXXk1PPaCNYCvynMwz+wrg0fJ4cKxH+4NZ5uAxXQBhNEQKnXE
F9FkSOJ77GdNkfWltlrkn70w533GcyWc2eIx4yj2p5Gt8y7lvufQVVYwPJ/1Kq+Iq5kwr7/GJ4Zj
SeK8Bhuu7oCsRGd6P2GB01ynI3eYqF8+A4nyAKYcyWBnrRplHPQHsxlHewM4XlK5kOEaan4HWq63
GXrbrMKoZBysYjiNmJs5pB06SZpaAxilodylEA7nvIgfGYLyy5l+oe68dJE99TjIxcyBaX6/vmFH
DMJ3tFQV3SZ3cR363cZexaRBzM7SnfaFH9Dl7wUy5hALSrfUZ3y+XIjEEcDJVGW5OO3viVOpcgbD
U0ftRH2UpCN69IlocWCQGGzHS7FHnrftR8942VfGgKUgb95YaqpU9Yt8GGFe39bH5wMvcYHR//6z
DMp0cgEfpJtrwl1lWx5KrBQseoqyM5PP/L8fXfAVsWroDzEFpYgNKcT61hmCu8oHItHxlLLnAHfp
dhAefnaxIWz2L3gACi+jt3V1XaWKbhXSmmwCEX870Haa4Qx6LXdIM3RO54l/EZP2NHHyqWubktee
ERooD755VKJilpjtvGBwUzmuiV/htbFNl3MyuTllGPYlNoNlE7UasaNccYeBu3axPq84Hb/dBCDa
kRjD/pi1euYDovNxKKPB/nNrEELZhmm2Je92W7Q3CtzWauK5ig7BzItXJu6Tq9bNtdQ9chQyZF4M
zHOlsISlaWIbl962in7y7fUDvIelPauTHxpa0FvnoSuBzqZLHk/6uF3ryYdbZQ7YUW6rStt19nV5
fX5phrb26+tF/oBlsEqPBgGiACswFpOJUjXwRQ09mSUqUDLImLtN03PEuHPt8e+ftEzkR9ktS4ex
glAaoQueMtRY0ga756NV4ju/ttlBEr9cTsexCcEbyj04bpBfKkqlEkuAcjNjm/7+Xa34SK1G0EIP
oT3P3wzbw7F79a0M0hv9cBes1VMdfZmeLHoacbw+46Ci8Jza+rzEzsVGFDK7B9LEUGPkAOcRd0Hl
L4qrqHtelbg1P688CkLof/cMhqfUw2amcl3KZ9Lc0S0O7tPvNpxBG1oFdO9qmDbjXYwZFycWKLZz
2HA1r86kGqiIRiVP9nIso5keXEQo77tsLLYx0KM0bJAUTmwLiuQUFJkhyA5y0MDNldtn5Ot4qe30
gkZtF13Kh3MIimx7iZRWGRKuRqYJGf9VkTPprMBE/aERI/S+lyLi7E2JBh1wF7o3e5U9bD/ryKFF
vKg66jL7Uv84e/dmeGJSQt+ItA0EfUelz0E/lA7YCsduZyRg1ltCMQr2N1daHctkz1Gx7grHP1iw
PpR00qtBAw5sf2ApTNQy7SmiN7CyqxjGntjxSslesBkn6Tgao19RFvNAfd3OFUWC26D+0X4VQL2r
saW1aG3dkTymrPfQYH+NhsL8MoTZW//P465tjCRO1F1Tm4DqZbiF8W0PXkW7VcnGzHllgNXxClA4
y/OOF24/+abYS3HtMFRrsoaLnTwPHFcW2205S8JwNVhLnbUwh82tHwRUiBWCr0nGGrufO2s8dzda
Y0bXeOIe9gnGeGto/D0BXdIXrhLeXFmJEUHlAcP1lXbcDM/Kq+Ggr13VORPnr8byMWOG12WnJ48C
qgpNAc79iXCzVJSWGo+cx7EmnEV4tWrKeqVUNgX0KXZusGZHmucptEpsb9hEWMjklIHTMBYF72B9
90nrF1114clphYlYfxluztKts0Di7A4KSSFM14jqV7Lg/FR0lIUCrDjnt2Jew9o4w2ZaMbp4ej4N
HBvWhi05fGDFOHqMYkiIiOvI9TNRo0DUrr7nHVzSAr4N1WDD0EEF+6ZCIeGXn1s6yeqYUEnypo6y
ROoLvedTT+rI9NuMgz+JI+uZZx6jdfLvJ+qbPM0VktBkUoCng3X2PzqEkQbmWGZHY/N94PlBjer1
XA1fEjmqVmEF7GFPhRbpCsHKVzvlGyq53VbUitcov5takpHPkCUjVl/dhUo+dtY6dM0UjkLd4YU8
50RSYSZwGzxyYr2fZP7Xnq9tVkdWFQMcZ229LmYAMSoGCQahKxxy9sSHCtop0UKAUFBAOAl0RhOc
qwSGFqT/EmroySXTH9Dwp6FqJFC5LGnGkBqKysnAihpUfhJz5phJ6WYLhNgWomE/na7klcL4w+9r
8YH47VOm6hH7kNzmJ9OG69ip6KRORcPeM3KScuFfE2p48yisYCS4R3OmheWAMqranc9SFA5frgiD
pxUwXW8Uj1GJXELJ0xv/A1WUq+rM9BRxfs59WJ6BFNrA8lNesnU860lO6wZt9K6nYjO/YcFZ0MPD
Ut7CJUHE7JRyoJHu6cdHNPs2KdlHlXQauDWMmXkO3pP1PsDzpngNpDLmJAuYv+Kue1fKwLCIyFvu
PeFihsoDpJQgVWOlg7BiiUA33HCqGQfv0zb58kfGpvYrdHNCncvGtNLpq+Lf84uSPasu7Oz9FiJG
SYcew575LFF30p6T0XleK/TG6EMwyEV7zwczDc2hHlJxfRvTM9XmWJst0YoJBAELMm35wgMAtWqT
Uh17X6em2G3UKV7LN8OjqOjpvshACVAJIFMYiHEp3x8COSYgrNHuXTWcwg5welKCMjdbUG69N5Ev
bKcPgqGEh0KCwMA+Wij5RnumvIfGKKffevKuc+OVCD/IxWINkaO3+YvKeSDkEhgd4rVLjNFmEgAY
8Nr9ChJYYAzyFjMo4MOpb0HX4jxYDQgUukuIR7x8DpvVuZhOrMEYp/PzOtGoHE1bVVabp6znHAwg
MTbAbfW3gatOAZbuJqG0KbRKxyS3SiNz/ok9fw80TAFjwFYagrngfTq202tV/CVzw4xHg8+QzG1P
meKnUP9IwtXTXwn3OEk2tmDQOnwTqoMVEmvr/wgWUjEHH267gpoVcviDQfvWFcVqOL2geeFCpkpk
2FGdW0YC09ASPAVm3kS3rWc4r18eBnA5q9Ku/tzQxSVwudyhf/fElbPMF3kX0KIdTWHdfwjzH+1A
heFf78JiSNuQt308VldVSu8ryLgyE5x4aMw6vxg9r9kj/tx44E3OwpER25jzkUSoFjo65OLGkeV8
WFP+BG/S3DdymvND0iphtRvp3zSHLapy8+Sjrl2EfMhKw4TKEkW2wUn73Yw659eSMGcNx9zvKNkG
9KBQqI8HPeHNViydedrqXHxv4Ly6j7AJmdxl/w2asalnwRDERNJ304ojmseznTw6D4MloDg4lqvT
B28ts/qEZJCnYfNI1Pekl5fuU3werqHWnyfwCU8dKT6b7sEkRnJgoQKHVlkyX9H1UrZvV7SLWP55
jFzeYsHfTZXbKJX7P9fOBbnKXdeVwDC7uJrH+MgcewJoaXAlkUSaqJ4yJFfg47xKcCWHepQE44yc
oT+CpgtTAkZckX5pWcbQ1uP0FXh4x+PMPwf9b/ffQRrkr2irO9oTc+YO1jEXdGqp+3PCcO13fIKx
BUjfE1IOaRsszinqQzyIVvqCohp7XYYgY1i5bJT86kupampH2XgU8yutKDw6CKmIbOQtWraGbstL
1Rg+t9XhQ/uT2N3Uynb7Vpj+VpXLYms2net1IBdblPwG65RYU/n0XmdcBDesl12tH0NSjvXynWyh
E7TvjAohDR04yOej/8/C6lSiYdhd7qvh8cf4YcotIOkGHBLSRry4pYFGLKeSEK4UdgqDMK2ReotR
07LpRWzczJsNdCiGgMnyuTNnDPv8Ly7JaB6nW1HyaNVJrZx2LGGqwgDC/vXMMbOoqSPgO5JVSb0R
YiHRGmAkGNr/rQsOp7sHgX/CN/oBpH/gAQimURlekz8DEu4nz+Tu3Q7zb1ZyZuoiVrWx+GKPW+2A
ezN7teXnmvHfuLAYsQjH9aqAPojfuFpehdufO74Lqiev/oB5S2JyReKx2IvLF0AiNINRWSycfMxM
zjNGLCk4DJA+qVCJC2qZeRblRIQNyMcgvh6qP6SYJykzvFR3q29dATTmWQSbm8YNdeMvcnpr4y4Z
f77anQKfc8ek3B1H6hZUlTsVJhP8iFgRtvSCvcA1W9qbBDQoqK99nEhSDBs68UxMuUA/kRsJcrVH
CACh/OdZhJDWDnahb61e74kH8iZw04wo6AmILXqvFQHrAVmpDR1Zx3kOKJ5YJI4bydYxavwoxq55
9cjV1oXsjTfPmebkkAAvzCF8q50LPmzGd69vnpWjQ4HrZm05S5QP9vVifcdjxfdlD9WfVXSgpIGf
qrpw+OKACQogitSZbvKM2iCxZ3nBhCtb1ZSAWU5dFOfg+OcEhQDidDOl0y5bCnqQF2H2+RR4zvvJ
5WgVVVaD0IIbeV5XW2TjtWOFZekm5rSesA48WPR5145tazsOr5b8MD+h2wcxwGG80OH1DJ+W1o7h
N0aRVZU3I3x7sYtOTl0FqEj9aKm1Jx9ZSbF4p39FXY5xTmGpOkIzbJav1cNUl/BQJFmwDxQ+RMKS
svPp3Y+sBJ09CCi5NH0qieShMLq2aToznmrx0W4NIw0HsP94b7caeWoC6kWHWe9lsaJ3rKfyNWjX
+Uc8H0Asb3x8sxxxyxd506xnxJxN9vV3iSIhQsmI+iJBfHenJFzh8KzQU9Lh9iYcSf/zxeXpPG83
fdK++IOjiIk/Iq4OlNNh+2hvBsmT/13+Q/M9qQbY/d6gnKGSbNjL+++RtH4AZFrc/PYykUzlaCbP
yhheYAxeQNn+g5aD3l0teVC3DgSbZKZC9B6wkuGI7umIQbLbb2nAkZZbLjVHNyVf+r93aggUuX6p
Fb3P5TIYpouh1nmtJ/JmFe+eEwEIp+oiOU+FboDg+9CiXwM8l1wErqQ3YjuXRXza0JqtaW2tZnri
dqrCOlxhdAhLQR+1iLE50NDrpWQz6vKyebOLTqiWMGQNhu7Nt5ZvDwzWU/LIjWEzaW+ZIPA9e2lz
nZM1y1588S1n+3CEk/7mKl95BdocUiLmh9kqsy+oJtsH6WlnOoo/uduIXN3iDPmqeHwN8TRxYHh+
GH8CSIJc94dCxpBhIG6EUkxxqDGRYy8kHh+P7SmuiJYMzmYJlXPPtD10e2uADEajQlykXAwi9mCr
aDzfgYA5cdQ3zA4ADdcKsJ4AIZa2zAHDlAK6e9fSujxyJAHqDmCV21ManYAw8XV9c0ORrzKguNXI
5gYaKEXIji0cq5SAbUFIg8ORIc1ORoRjRKGRdNMQw5JQ2OtY52u76BgX5/U5rn0M8jF6QX57jzS1
K1c7r42Wn9nBU0FvS0f7w7oNCNYJCHOR+CPohYR4l543MDbh+7dmEtcEBdnJRLTo1hOfZM3QouBH
MKRIlrJPIYM2Wd6/Mk6tWa0EFaliAFaq7/1k9XezSTPZ7sO8/koSwuO0+ndtOncEGpCS/S5R3fd4
KYNYDi9eUXQQgvWVdVwBDbt5jGtxU3FV1jzJrzraI4BVO9Rhwq1AAQOYWp9uS47+fEHlPFWud4L/
f7ec6G2h8BfBNzc6yhcUBUoANzQhMe5w5KWutWxS2fzZTom5Y3bl5zYWEh6dDR6f3KTira/QJFti
A9dy/SK3YfEuqYtjMTg20+aNwC67sfWmKxqTHsLRNdNbILuM8Xa68WjUXZIMaDTJwlfxaVVQB9Ye
Lbu8NzcaTyzrk3fbuPKVieXq7RtshBtgiKcwtBBKEmdQK+/p+uMvHPS1sWzCqOaMWRW6eReKI9h8
Iu8qRWgHUtMFHs23hHWl+UPcdx6i3609JBjObERMMNMRkz0iTzbLJ7ShurGkFZCoXfXkX2+YjKk1
joKqCEAjC8+6Iqpf3UIpeJXmNAv9l9HwsJZQo1halkJw4sLoPNNkv0wjJB2/2pXeYlnwYHj//tP1
W/REQ3ao92GXbKkGb7vMq10WT3D+HRhywWGBmPbvIGv14+cr99/FuuwWJ9YxTLG1AA0q9pLNtnoz
WjSdS5b1Sw0RUcVWvBbufniAMpisdjRZZrCF6L5W67QFFJ9S1riuY3ChHztqjkvoq6cNcme0feVW
ybddQHJ0uENl51LCERa0Rl4940WnF6vcavcXVnfmxuVdcpzlV8nn8pIVdrgMY6A9Enx8wW75Wl1K
iKkZLn3s7HpoJ4rn4vAhnGRMlRnrnWP76oo/RTMahcN46vDzmiyq/GwEgjZW2oLns08yXQCT7UxL
AHaN8S2nGcAWT/ObrcqOMNA1CjUOBniD85/VLirQ5vOKEULVZmUuwM1dNuXKT2jmnZO4T0zggiCT
yEoG73ZIQpbjhuflKDdqOjGrXC9e1FRRRe+oqJF/07WBPnowlXnaXcst1XCxZWyJqA51CbfX9zwH
rKnlWMwY2p7fPOGMLkZFSxTId4PtLjko8lyVgwln0EcI95qJmqwp2pvJ0aFCYxQXch+MjvHx+vBf
c6j+2ZOvLs8eoanEZOgsbsXbwncE7tEyRLQVMghQVHhLcCrbykSDe5499YQjq3EBKLpawuZMarxU
B9R00t4uIcomqKLppqGvxAEsWBPCPWLyut2pl9O2Ajk7mdFpcfQfEYl4tIbnOvsH2Qa/9WnsdFPY
VE3zz+56DW2v3TEwvkuYWqkSUoG7xFsqAgUf1wLHBF3n8RwKEXNV8n4P60QR6dMB4N1fyBkA9KD4
LuGF4vmKT/f83TlmxWKTY6ervSBjO4rBC5wlpoNMpFeTJ5o/HY5tiG0EvPqygilxlm516LZnzIcA
8b4f8x1OfHWCWBE5Ht5ekUP07bpX8uz39wDNVsAq6PfwjdU4zf0X4DPMlTm+oCJuMroOYzZErL+O
Cw7ENUBpUst2Ng3eNCBKjowof7d+u6zSAG1lvuJdS+3bD6Hr+eSmCr2F6U5Wlhx4DQWXz4RAiFXR
bC8DFC7gDMQTQe4xtzbkYX51MwJo0ZYyq5pK+/sXki/3oI6x3XOizcZw+7kmrMZcQiwq/PjNBKde
mD/R48km1taPOcgo2DQ1tMoJpQxRxTuJ5cPVFHI3sMdUUDsS5Z/iQfgC1S+P1qBxKkCaKwwtVBDw
7Q2nnxmdMv/t6xPXHgE2htCAi8Yl5Sc35l26w97qaStGX+3hODlXyeYu1glzzPsCBEQmjPiW8RxH
TXZd1Fo4NRD6HmKA7Yha/h/5epUkOLoW8207AROYx1GatJmSiDONtGCSOsngbkidI6q0/mLOa+mc
jj6xMMqj9XNNz1vc2ihJq27/xw6zvjZcSUuF6HqwlmJs93XazTdkYd92H3UtWjbkcN0w+OD/ctrS
68Vx76p+tZfQNzxKdxtTykDdAnlsflFCBRN6XIqLZAENiuP+4WXYfIDX2N3LgmDS1yy4gIHif1EA
ibXPPyuiaeZBHQhmdXvl2XRoLyorUGKKa5RTsV1Kps0Z029p/ZYPu8NMPF3Tlq1q88GjjL9IMvSr
VZmYGkmAosv6op/k3tRr82ls1zA0XbjFO9yLTZyD1SD4p99zVNh/g029wrbPILo88Re0wp8XZhXk
gY/wtXD0dPE09k6paOz2KyZ9ifdbuNb+6pdrvQzh/qI6s/l+sIiWhDTM65gqwG4zfqU2zmhm9Nb/
Xizjd0TJ/6lVFf8d3Gzg6qpjpA2tZdElwF0GMcDB4DRKzNEaxcSnkFPgGYL4+d13PeCVU0Lk/k7z
Gh7ZxbwmGPVkmLDiwK12pUjIWZyi7XULVJnEAIoscMpuIB+ET3XZ0gtok1Gvr8EBdHkUypPw5LI0
BKXe9Pec2i78UdtEX0ofipbelDuv1UlWHZwWlIQtrwaKAGSzUEzCwQWcKdV8aBeYK3lSseZ1qS0i
Bodmy03+YINytpsLwwxuWwSkDZU7J8j5r/dwsPy+vk3oACZCTcM/rm/aqshtsORfwkWNRC7RpPwm
dAHXOb4nwlfQU9ltfHHo1Dy7gDygKk+Mqy8OYDb0ku4qUjftypJL7qG3nIJ/NkrqnIo3QLUxYIFA
54fp2TUVVHBoQfe63s300FE3Q4nxayrhKn4tLq+s7Og7fi1P9n59wpDpuvyasViRQ8HWZVKI4Cik
2nQYWFzJcOWJ4h1YeVVXwcoB0B+Bwi4YNs+S3nIBfMWZgHboc7OC0FfvB1sGDTuKkOZWelxD4hms
Uw7X1vKgaS9cJwP7xE2mhcHSNrL7kOWWu0HWLEVWSk+emONoN8P7z+I064pUawhQjfl0yzs9TDgv
NARRNjx0RqF84q5sfa+/jkdCL5S5dzHXI7QoHjZb/FpHtwIF2tmTdAMMEB037FCiWwEVA/NRp1PT
ZfJbHlvSEAolMExJ1bwEYe3185HcRDn5MQiKfDa6Z1fq3dt55U0TBok7Tq/XR6wpJ65MaTVtSB7f
GZhxJLf8niKUlUmv4gmKJ32L2r+IiNS5QoWAq0GuRgyC4AcpAnhV3dBsjyGihxBJsLzmpE3fiaDz
7A5pka6DHzs8B+Ly5gqzLHdVEMe3kvCZaLiSApqSBhHRN4A7I9ziMd0HbNP8yd9HIwyx1Gk0wBlr
DEqj8/XYPB+FfVr/RDZBr0alTOi7eykt7ErYq2fAiTzqhf1kK1MKiVc1xDGBdS1VjCy4HFVLyePL
561CjHlUdaKNRQPK6EhrDxcC9FVfqNAMNzGsgVsDDODOwephPrRzsgHTYz6wCA9p4WvE0wvxMmfD
P0zb0tJvE9LJnX6j2Uuum8Sq1fFENaxl8IPnTs4RA/tIqYnQAfY+FIFblniV2f4/GTTzgYUm9yef
JvaXIMprRzStqelYIOpdBZ042viuP5T9gXj3jPuYPxor6Qw58pV2frujdCEVecgaveYh3j/8lMPp
TQE56kMjogkyR5RJ1q/u+bhvPJKR65LnaX3vnAizessI+noG9SVOQKVICf7M6gKGmzmYw5AuCVqb
m1GQVxXs+AOeMnBH0tW/OBR2pAMbfAxjaF1n1AbRd+IdhboEfCkLsXvHrxdlV2XTTrZoI3s5ioeK
koy8uU848vT4EKHF8Y+OHAnhB1r4odlDDVX1zFPHUEg4hX2hr8hKwRAvufoGcZrlV7L1CbwwbEn3
D5nbxeOhSYx874Z1XTBo5sAi23FWYMAJn4Hp4tw8a/tDC2Z88DrdzgHcsfqTQtNxgUZt+VDJ7daz
baBzFMGU8+RNGVs8fOlnaJ7oyc7Kb3OlsCA0S9KVeJCDLHSvhlrOYwilYRA2P0ZvqIPav4oSWShp
WdxChr/oCjPDmX23eYU05C+qGsdQQjRJ26KhmfQt8KyTfM8v6sm2ickMen5XPrdE6kQxAqDDxFOs
BMjIFtOYzJyPZzt6T0vjRBz4SemQha3CltjPjJgj+geqosS40PpeVQqqq7SwObU2pNA8ZD5Yi+tD
B3rtau8ihBi1drSP9PHS5jf4IZq1a2D1IUZaug4zF0pC7CDyAExyy6CcoizpDT5j5nTacGo1M3qd
OREUeyb/ZqUZBMpRPQmLKUincVFJKn/bwPzoQDLe06OeZik3ua9lekAee8McCWj0vuHXk05eJPsN
8Ys5PT3dz7+hnsASebT1071gNiUwC/UkEaacJ230bg5ZnbdkxrXPfQwgApDHWEmV1NOpIE+Tl3g0
BPzuyIE/uabB/GMC3gC3Rh3IPrcXAI0BJrm5AdN9pA+U9Z3THGKNECrUzzxCAPubOhsIVjbX0VLS
DUu5+4CA7hg2Y/6r8R68uMt7+JlXSfHSqYs56qZ4RhonexwEkmJVAbQjzpUed8YeGHSGL7qbGiZP
gz/Azy8II6mbYJ4aIO/7g1zZaPeOgzeoA7A26DuEdbjFtVePwriTA/VeIi6D1nxirctei7OZu9yR
df/8nClNIdNvLiuybg28VI16Yyapf3iQA2jh+bgstkCq0nqiF29Y5IUrKQSw/aRuFDDrXeV5jjQ3
UDRTIBaIBu+/0k8x5Pd3+X8XizqGa8wyZMiKetlcNVbbI/ka5c27S/bnNqY3dlp8etSymFJ0r2yr
eekW/ekDyKfYPtqDGa5hKc5RBGGFs4pYgF4PIoPwUifu84C9NqRBaF7N8QgYLT6KDyeNW0f1bUQf
h7i4QMdrYTqRwA7rrz1Zo2TBZloCbCSG3UksFiX7LrEizC2XTRQjNzQWFCSgUWb1fRebGD4dZHxD
PYMhZSDyWU7upma81aSyKSmQYPS0mmfLNOsdaEkvPo3MRo4DHH0kS4lPbAGl2aVoSzWjoMv/jwtr
CReqLGuRGv0XUsbxjk8UH7R1tzGAipJsEUlnVEq0Q2iE5elM77Xkt8K6VKG6gJwNO7BOASbnvZ6d
WZ7+4lNfcmFmKLQkxk2n9MC8X5cUrI8WXhBs4JHIz3aHqdPyf6zRTR/9Hwtl/6JYAPLVK92KFdCQ
iRBWOcJBpWpMvZDE/YrqWRZcdIA+GDm654CTpIU8XH+X24rc9tNCN9s4HMhZbhsiZtgze+zCx+M1
kidj84cRPCHae+K58b61w5rvzf/AjEz7zaCRUACnPKVrTEZeKWgv/UYtnWe4xH+GCWx+Qzyush7/
skAINIvsetqwvr0X/uhz4qBbjNunhADPzZBnzufbq2RXuwq6f3BkrS56WeFQWXfeXAN4yVejqmPB
cAGbOXRSvEmIJtYhdHyRn3S16lAaUXa2KS/xWNT9dF3Gg2dA17ZYefyWmKbAZU8tDp1Jr+8MaYnz
hc+3p3XPuuDpUVVGsP4pKPtYxZ/c0SFBxCXvTxxu9NLpCZOqztMFBpVzkJKbQHkMKt6zKQaEQzZn
SEvmDgTFBpzPK+Xo8G0bujr8R/2v4mJom7JkspfgzPdejf+tXVio7+XuI1WVHuIo4knEoo5wl1pf
9KctYuehNlJ+IOFJo8/LTqejN5O35aADUzyh7z4hWiEMBHq6ocXIgWPuEUEaRz88779lCTbm5UyL
vN53lfVJR+SEPYdillMGKY4Lc2ueBUlIXWpO8QlZ82zj5a//7Nw9PGtBaQc64+wHd+gJHMxyi9vH
d8E8xxauldOQwDZT6/yQbuH4fSSaAIm2fACv58LSuLgj2UewFKb3/1LXLdgxRqAuot6b4G2/bV5W
m8T1fQJJnQ7rokdAGvshpIKht2pSUG0BiZRrgFJXJAoh0rCUIp4Y6S0J2kCLaIdy/lmTqyn9TeZ9
AyQqY9uuZj6sCbhsCAhQg1wHmQ7NV5T8GRxKDJH4ub/Mz2Zvbj0+0wkQDwG4+uG/6xbrCF/E48jV
4GaR/XwzjcrQRwIFdW4f3EM0Ucx6l40U7q4m7UBUtjgfm+Ccm4f1ORRjgrljLbOvc6KXky8GiouR
DfiHiUqtrht5uh8nqrLHt/Y5j+ApMFz5YgC/rgTq+KwV46xR6hZ9Y8KFN+hxl2UDlootAbcV8718
abOCQxu3sTgZVGo66pgHL+bf0Svi2lpxAYgFtTvi90prl6jGmu08abe7uPChdIA1x7zMhyBjQQ4b
IY2Wr9rzPorv55ZrnxIvgA+y09P4B2ly8V59HuIA3Nymee+p+mbwlMc/c5ysNYmutHqNWg1YojVQ
W+2y01hc9m4QR5Z8wvz6VbveEKPwPn/hWhKgtqpZxzjDOqT15/BUtBxo68yn5PkwFAk7+v6IAg2j
VnkNVTQwyPn02pTJOAHF+doLQV44QP4IRjTSb3wla8KbSTCItfPzPebD7xmq8eV1/he5NEFppkJi
+5r0I6TE5i+fzG2mtp0yEacqt3lC0opKq8Ca7M5fF2LI9m3QWmsnSWJxVPgYE4mAF/66LwOv7dvN
V+Y2qc9/m3YQZFLZ5stYHG6xTr/29sV0r6S7/knm0I7Hgg980h3m4hGeQpnWa75jIwuTVxfH4Mg4
uINWT0p74dbi6icR1rPzHLPguX6wyD1npHxAj3LzrQCgTIwfyLM6GkY8AyplSN3Am5XKZ2FfAuX/
1+46qLE8olW3u+Wesi4zhZgRJhpWJfXI6oebxLaEMHrzbSkm4/AB04yQrSvb7/oeeSsgQNmkUBmx
BwfewdkwEFTI7ntOPp/whzEPdDIIn06H1ESzGZDKjlBHNirKh3YF39gl5NViXP86lLiUb0qDdoyL
slrEn8ElRgkNGq9mdzJ8VXe2sNTGwaydzj+snwCdOHcbF80J/exj0Zp0h3U1Kb60XCa1ksx+B8oZ
H6PaIRjeCRA81z/VzvlaZxv8DUKKHI18u/TA+Ina6zn0pDAHjUj2Aw9tcGKOMOY7Gzj9Ri0w0kE+
Y/UPxZ1PdEaqzNZEtwEk0X4AOsPNx36fVgNzytmuqRV7yJHNuOU0qCm+isp56fMSgHT6+DgXmtKc
Zz+2Dbb0cprk7e1KPnQhdRnlWPVqq8FnPLbVrsZl727aOZfv+1lqAaOHquvDGN+L2aIg2ZzqKifx
30AUlnrMigWAYR2dbwOmqKxjPiuU/Mtvh9PaWSbpKHHlaymfL9LuwbabXRjiSTcrKgDrVXSnCH19
RJCw4MIaVy2fMUh9bOFyuLPDuyNbJjv85ZUc0nqeVZftbg0nIotRq1N72M2iEfcbRSL+2kdCVbbO
RQTa1W3MVP9ocWKV8cG9a7nr1fZI3tt2Sl7QikdvpHhmLwhD3ayIPVOYhnXyasMFA1PMHddu1kxT
kKJX3wdlOnOt622KTBvTDNy6VpT/iTKCkjg5/muB/iLdE9XGHGSSCLjE1mkzpYLw90vEvQ/Hk0I9
y+y4Z7DRI64rOd5Q0EJLyFv5nvBJ8JsS1ZApE8c2rZbVcDfPWs5K1UkovqoYhcVcIZcr0VHCp0nz
fbAfrQXc3WwoU8msB03s0Wjxdfa5N20aJfLanbBC6iwDfqaeYQ6HFmH52L4yC4T/lfOLYqLeqV/G
BMVsXvcp4fVKhB/hdKDjmwr/nU80MSHiJYE+JkNImwyM3Cl9GpEmAcSTku0uFMx4QkWVAjeR5YdC
oioOqzwDFyUKlJsWUo8cys96/N+stPqgZPOEf2wtNTyqDEieWcUE1GjTz4Kd8T9/RE340qJAGMqb
z+r5ahowY2eY8RQrHpF9z7Z80dsg4Eg/WMTRcfccY/UlIvp09w3iBueKC2w7Y5C81NQL6Y6B6vck
29bh+Z/9EkYZ33BdP4z+XYqtqPZRTEzZi87hT1JQL17Yw41Lr/r0T/MIyc6ibeEkSselxWRb2d9M
HymYuTyEirCiuwV266OkBVUPgP0tspesOL6BFsva8B39KolL0Inp/Kw46OX4Hs2prWy2Kj1arYe7
an9h0daxWAPhX0KxBWrMT0uxXrn9aS/PDT6JUMPRepnhmZRrQBrZF03TzL8R6phhDZqiCToxcQda
qgTlNkMGbpdPoV9l02i76AlDoY8EFFt+ovpJXXwLO46u6Wq6zBoZvb3Os9xVEqnL20IKigyCgzGv
7Rws4MFrcEuwQjqx3KiDCNS3YsO1IXjIA4GDk2JDPN9s6TTIgwCAggQ//ItzkPHVf3tniYsGxPLn
kvhGaiR3yyePMGlUSszDuwi7EXeS0Jvw4YhsnvgOPAdqHKQtvthpn3RCBTzM4tOHgWxWmWdOLE7N
7lGHHXiM0T95l7rU0RhHiccvXXHzX2nMW6UGqmpbLKWjSLTLENt9vYOxUzqJezgVbx1sUWRKKot1
93XP86blUoxL3Nkps1SEYbZqZwrsWDsOwbv0R3I1Zbb4oQVJn8NItDJHUGuehGtHr0760W2hjL2u
VK8gY+YOv6SdJ1fKcOYO6Atl7K092CvSJ5jZeEI7NxlSNDp4q26Gdxeg27vZRhQ2121HwmJY5tzi
iAnPEkroCZbmMGXnGn3UemYYPPdQm1g6Sji2qmUYRxA6aepBm+s2azOGWXoJBbp4+QjmlUu3QLmq
HZ0QXkmp/PQQvetaS8a193inKMiHE8OdzRCUGQfL5h8XbSN7z/ruSZqxRz8GcBhGUTNksoTPunr3
O5EK3HkVFVgc5e/h71ZWwtnEwKn8Z8u+z/sFl9xIDwivN6iRxospbpZWoTAODFUymP019s4HcLvT
wl+UTUb58o0R+zcM7q7PxAIYxVEvQNnUAooem+VrWrylnRyjetaNSVg1ETxcujA262qXoXpMHNfS
76D0LvP/DYr8EDGFCKioaKygpj+TtWtn6xbSvKsxBjRnt7U8DL2RP+cWKjPbAG/eGyKZuSrCnRWP
J5osjDYDEhdItKQ9n6n0wBtx47VSfl9DdopBv2/1dikpa4hYT1cvoRjSPCf+7W5pSmxe+i6m8hAR
e5ykC6jSCrbdPocs9a+u0nCGNxYxc+B6Qf4wSLlCOmaanfBxPQmPgmDiDFPpPpI97Ul8tV4phkz2
Ejyh4cc/Q42OYEPTmngdIYeEYKPtFFplyGXB69epmoFyasf/UE15IjsF9wdDFuKZs0Nbmv/mco1u
LF1eqkC5Va1XZrykhkKqaOL6tnLV5lJcvqsB68ngUVDkSmZ4xs0KHZNeitnoLGpICs2NzNDpay8y
VuMg8QKgEtd0Q0T0l7Hu141Rhr7O+AwYdjYPhZsR/m9cM4Sdhd5B7nNbcW/nlA4srxd/EvUgfiQ0
CybZrqlj5+T5nInkzNzTcxzheupw6ItWlkQD7Uf50wG9wJA74HWGUGhxYv5R6262C21wY3Lf8sqU
L4xQ7oUJbeMGLp/XTCZIodhpVftS8J0eGv6O/icSKZmPrHRAQfF562mXuHS+WQnw5knfDA56NCKn
OMl+Dxsz6QHXypNTZrtwt0gq3UizBWVGtFf6MN11KrS8G9K9gGYmuAPGe8yshR0kEPCkkMXBPwIC
1SLLZei/yvmOZHwFCSmaqwwzRaCuKfNYPJcmmWrX6+miRGvQBdpuc4jyD428Jz1OuI6BDHd2PXLF
eV7N+yHAvo+t4fHQPuOa/3K9q82hfvSc7X7n/Utnrh2BRJo19v+YrPoZCPPCSNcBxRWBtaVTbs8+
oAwZ6XxA6SwIM1T8amdXeeofwFzmTkjAJyegwFMTMyY5r9tam4/bEvLZMlLKEPn4YRI+YFBbBQ1o
uJOhL/ItqBqerVc+kHFPZQ1vIrWj1duyJE4lzbbZCPkatXG89SVWI7ZX45aYrz4puyNZ9tV3LiO1
OqVvOHdciggksf2qxk/YJ5FCWZQgOhZenpOm5MYRNcRiiRd/Nx7NozcPw4t/89BaB9UK+2MNcYa6
FtaHOfyRqHjqU7FXoldSlIak6PLTxrKNPlmNLj4ePgZkVV7mv1qhrnyaVZiUR4tPPIWIXq8REPJp
G4NhHvqkzqXbBHvaVfgawyvU4ftLS0Y+/eUOamVbUzalNysqnszQvopboUzJileAYVQMLcvO3zIV
pmt5mreD7upkiEKP08ikVzLFdWKO2kyQ2P+kzR03jUnnpSocHH3JYQoJCa5VFBos7pr6GfZYdXAw
cmrSowiW47i95R0KbVONTpXo3xoO8bMD7xJn9hx89jmsblWxveEsUwxtd360of2wKwFvMEoldR5T
GVFk6p2PqWGb9Ni06lWjFd1iAsWO7jiE9t9zWEQeknmEA3BbCIh+oUsbiNINyEF7QINppHVw6VOt
9Cuqc8Iq/BcdhUqvaVeWhGX4FdFWuVuipo4jeyHgfW7/Q+v56IGCWAID7A2Fc358lqMVQe83Jpsb
igIYe1k+dYDmp912UkBx3ACYX7WQ8on1aRomrFCrbhbjDEyuD3khv1tBVTx9mpjg62Xm1vP8HPio
//G9ipX1oItfysjlS5kG8QTf3/rcV/OvlnEqCJ166x9IHR+eoBNmPxGorj/qdYnncRfww3veDIdt
GTuQepsVEvN9Ac5T30hqKtMJr4TM30B9Y+r+gjEgjjMiR80duIlBcZHP/ZRMGwUhghEEq79Z1wda
kPIxhut4LSXWcdqxUogHLgQJ9u+iB/1r1ZEzT7BE+v/wJXBzdW+BgZR9RtJoTvNLIFv3hUtU92yj
q+hHFt8rRVogQxBDlB9oYczNdTHJQO6+/M2gtyl/xDBbSdVOjxNX8KR3jd1Kn1v2g2Nj0pwcwM9D
CHje32u8rDQx2JnS76z6qf4uJJfRBpiu/LG90WnbNPPqkhWqiFr57iMeOe6l3R6aN6D+9Tk9kOFF
7YP3YVzDMXDV9llhcvqRpnL4CjLNNTJ/AKH9QjP/xpD8Mc/IdMsux42mZu1Tc4tseyP0n+0NEByY
DxyEoNbP4cX/pzkkYC2QIRm2JeuG9S8ivxGS9ukcgL/ujqH03qdaKNbkKJM5ABJAUwHxMJHuUXMV
0ZaxXQkPouLKPjfrh9DVxCSLIMelJMEAgw9O4T8mgaHKAfsD+NCSdpkaTJwmXF0y33fHCyZNhM/G
OO8ID7zWcbya6H8TBAJxksMjiUSYqV9Wl24pyZZdCPSGeDVm6rRUQdQ6qJKIXbHLvePX7vfaXHID
x/ZSXOp/4mFGAxe5ZXxLusdOXe6ydoZ1MWyN6qlg0Hqpj5enREaFRsHSyJJoBFwkQ3SUw5XYmJNF
9j3tVXhNbWnFR+Z4csuhuboeBU1PXYyMOMBxK3o4LTMzZNDPfSE6U+F/lc/iqbRjmzZZX43pgvxP
f3sPDTeu+Lhju02V8BT69G7Ad7tH2Afwh8pMO84AOB/Wzj5Nin2j4LsfNCF5+nE3oqo5/ODCI8lf
PrDIS3SuNOnkHuUhwvjOwKZdG4BhC3n40mG0DIFFxGp/ImVCwLGxUBqgjTlcIsSB9Tu2sSH1cZRL
+3/vixF4dsx+YHFAcQvnQGGR1bN+1MGzToYfqPJiyurQmCg6h0yuAuu8wcfyLZrn5hb2IrFlF+d2
CueoBFE+w3Rgo3wdOrWtw69dJCUfLimdyKsOs+shAMtaR3NnlB5GNhxTCtMPPZ7jnLf/nKlDJqfs
9L1Mqtd7wOeS2sgJOWbamhUHTT17fViXtppgXbwlTgRROV8fboq8FXpoRAnP3+4gHablmZMvQYZB
mDYNHus5ajf/cxAA3P3iElSx8iabq4nJb0bFRpWmTpTg9Ot1KvtLqmDjH8C9pobBdCvnYOgV/T1X
qQ6WQ4nkXKtBm8L5UdVE5xqrnIab5+t8q4OjF0m7twGSRCi9Q4og1vrNp9hWW/AZel25EQ25hmhE
w8t4SfbAQUmAhhL1q1E9Gb0hMO82NJd7PrXRst7ZSfy/b9HhA8vlWc59cCnE+m3k5xthJvGDYaQH
mTh3b0jicWlCPRcIZIQXufe6cYbtADa7i3y63RL/l8ot77L/Hao/Mui+oqu8rbSoiovAdXsNsuAy
B0eOsM9iAv+qKwC+GH2hcjHgoFKDI5AGtns/sfDlhPB29yHWJVxY+lH+MatTh9NlVvicATsvO1AB
ZkZaHJsBwLnuvOX5FEJqXZkcs739uY03731MeJVQMYVNWcafdc4XTG7jIjb/lRxrbWuQr3EqRqbK
w6rm2iAKfJ88a7/qvQfdYwmdC1T+WKWC3tBVvW3lgBVX0F6s2HY7Y5nROw6KSIgU7kZbfR+z6SYo
57SKzqdI2lxukk4owAijuU3TELUujvNKabYfBsqRrx5FOjssF5S7K/jrgogzLic7Gxtj+rM+9PWs
wWcOE/qA6Mb2hSYW2gy/57Mc41/yxXIol5MMml1mSArPVA+EKFkFYrOEMYULukMOV1oFRo+O/JjY
les2x9g3HLrSJJoYZ5ee2RKaqtGgQPHjE/6x65fXvj082U4tMt0WYjdNTFO/9+8KqPghQCdvfFP/
ml22tMWEjeC7D0R7q9du6JEt1cGWdkQy5euIvyA0t3iRIwSF6vt67u+ttL68w0mBWAqLa7OGqOBW
XTeGr36ePsk85p6tggkI+HaAGi47Q9P96p5CqDOoP0ayBnU994XrtLjnjP1XphQAE050427rmhXG
yDvRGDx8HOn8/h+KrrkStgeYT5G5kPhz2I1SbaKPIK5hprbGpUjSE51nGdSsNemVPsTVoagxkrLO
zcvsK2Ut48ju2wQixnVxjkZKxYJZ/ziIn/ioCiI/YrPlDoz3DKAWdH7+DQP0nbcpha/MP4/mhoYS
r7zxC6yfXVtxYoDJzvCoChNY4OdgQq0fuPj93t3P+vjyogT3USp5pWeiWYNEyqgY0OwTJWe0bnHm
QDbiZSWPcOhL02xDo62v7C1p1lRoFCqEQFVRem7V/0pNaM+J5p3WoP6uloIlTlGALVLH+6Cevs5b
4Q7MNGn1qp4y48qp9+/Rc5xZv0+dxYmzQbMZ5aV59R+Vu/GXDU4VxuXNIYs29U3I9FCPBWoCpCSc
Ws+jfTpGR/IlrkVwtH9Kcz5/CEVztYiU65qAsOknLOZcwphmaOfAQq1wT1vAAzdaLapYK3sBsqDk
F6GGrWN+zGqckhne8M/YVAz4zGpH/FUpzqck4sh1WNMNs6eAsX31Undsr5pjfta5ORYUustNxtoQ
EVok97pyvl13cl0x03smMxqY7k2Pz/gLVqhM17SbnM61ocFS1m32VP3b37YukXm3QiqM3XmNVd9i
f9y3XE7f4sONvdkvjysSv3R6UXr/vf6TLnOSGMIw9RknmEnFvWX2S9j/A882qOlGtXa6xTUB8yhQ
w+oFKO7fxoWznw/mYSKMO1IPJsAYza78s4kABfyrZ4HAcRg8GYD0T39Yf34kBZcd2UtzYWNP4U7f
maco8KCiXoUzgUZGLIa1FV3KzsHaOy6/pEssFxn8CCZGf34WneQ5gqCTD07FUVgAtjudJdUAEwCB
VoxpIQNc0buwxF9IVdxeGU3aVPBWc2X+4dQT1lY3kbpjLH2FLqHn7oC12K2aYJCaQJdP8ZbrE5yx
UgydxgfGLf/HCZi0msYsBvnRBRw9jx2NCLw4iSs/d6bAP25zGwUOtw6nVKru8q2vI/6QMHxaMy1l
j0xItRAAHzu+kcmzhTOg63mw/EnMDURrUPpaiO7gFeG9IsRcZWaRUfQTJtgw54Mj78zEfJSfz/9s
cEnEhVD0GsiAlKD6ObFxHg6kfwjCzy1cY0ipmBdLT8j1092ePsQ62WYce4a+lQ01oMhwBrZ3o4Vq
qDPLWpQ41w+8og9Ve0oYgo2M5iii/EcUVF303IbNreOK2dUEgqQECMuIQrAHhipwfoPx4aY/n1ML
UDFo+DEetD9Rh+EOEIoMSk8HLKmVEoY4YWEMV+Zw00TfJSQe3WGtjf5OObNvGscdPWEiUGkiB0J3
doKp0fMKINycHd/KTQF0hOAcM5VbUrdv/gaEeBHuBBh5rO/saliIYthGoFAlr1EYGNq1tZYoay8D
Ry8jghQh6ZM9/v6IZwvWkFwU/9V0ejbuoObjHjRkeyq0Wj0/qM5AjgPQbeJZ3aLcW6GPWLJrrBTz
OstbLoh4VlxZsjC0BCosqH65PPEmzTGf2Uad7EuDgCrhcehB9v5NR6SSRzuLM/W1TdpAntxluT+l
3suqizNJ1TRNUBI3wTrIUnb0fTM830c9wOj6xVWNdaZYPPtjv+oSUj9YZs1o5Sf9H3otR8nlWFRR
eKz5T4m+BkCuf2Uam/sq6iMgh8bCw42u5dmUICaj7UyRKfP/r7W5NdwrPA4ew1RqOV0rLhNyEpr3
4FNF+1is2vOqP+MAHPVPBEm7EFTHNy08T6Tp6xCifEqhbw27g3tfmZ3JDK03zHzDqAY/oOtQF4G2
pXSxeanAhkEB6aUEXjPiPux/53RBfC8Xzxb03kCD3flITragfo/r/l40f6K5l85Q9D5kGUG5MRnJ
5hnR9uQo4skwnifUD3B8+9aRHfROIQ336ypcQiyn7bH6CtlO8bTzo194M/TZB1vJ/kgHpnjzcYm/
hcoPGtzlDvezWNLucnZcR2ZzDIP6cyMrdIZxm5o3mn4Q/5M8du8jyu0wBIJFsHD1tRmCGQ8hiOj8
ovoymdSLlC3xe8ZKcxMxHl0rokcDYUC0+FhhDZm8epUuGQT3Y7QOLIqt6z4njdJYqELkiACw15Mh
J93/I4ML/1blGqDRQduKiMwE6OwVx2Up0WLYq9vHz5l6fi110sofpRPDVE9xEvBcHcHdzV9+RHjz
OtZw930yOArEsBFSMToLRbkmdlAfGwbkkbgM6Iu4ubLIW98sEVDMblJNzjhbAjGy0gxpSUHxNL4K
fmGfc/phpkOuRVPRfpw1jftb9/KRga6KQrPyinsKPLv5zm3u9YYyTq3xnusxQeUDy8lOcBvi9SaJ
xRfoc0V7c/uVQgiqbHpMa8iwbuNg0XrXivcKfELr4ONap/D8h0LOMLuVpi18m38gZkttCxmb269m
N+hXYQL+b7r/qm6vrBNZW3PTo0F/+XVuu6oUarAhnRgd4HBhIkQMTmKgwN+CflIPJSxMb32kbAMy
K3yQNH8TuGEkdU7m8v3R01jtYFxxSCJhpcNsLB7s0W2gvaulxVF8xyMdVVu37ni+In4ngcKfKq+H
Mv8RY5uwrQ980e1Hi94lhf1NUZbo3mPoXBqLyNUUCADs6cTvGB0H2yjSYIXcDZTgh6Ng+RPKQ6Dv
zq/S39TIoOIzFhDUf3x0qI8MlCZMY0qQZPQHHcwoAbp6kXKX6ZSYpwXr02u3RYkUeNWnoC9Sszl8
gsrusESlyO8YgghFStHdbsEHlObQ+cxtaDXHInillXg1Aao0uwfnpeZrHC6Tc9jN/9Lqj9EwJGs2
aPMr+9mBKPM1f68tfjgQMiYS0i8RrlXRavq0oHEm9NqWfc6Sk7uVKoN8PRBT3jtzlMmQ1hOuw3QS
Jb1bpCDzg6VvTht1+2GUx/KyR5onlKA9ohmUM/JobbS8golQMEew0Lzkc44GhsYVB77VgSi1V5Fo
+AkZUAQJC/PECLsr4eDTun8GUKuPiDsbrMZH57yM5cfmlaNnJe0OzofsOcWCfD+L7lJNdlKRdBf6
Bzs0+A618teK2B8tf5/A5kwDC8et86JeNHca4iZnGJEYPyXVdcoo3ftabm8jGF3aH40bgknWB+bX
b+4NDGnWKhMXhfJAMH41X+NtgFVu2I4TEJOyrZu5FMERGY9ToTpNeQLtzC2dC5uu8sj4E8dIztOq
14//2UlSx/s5HY17S2+QZjDb0n4x04rOr6yGZix2V8or9qlBENwnQ/vCI54sfrQxu4JwAlKsdX7Q
h8pRziPb+CBfCcTxjQsMqQPpDfZncZneIwlypirD3BAmwXKTaYVfXLDW/Eq7FNMM/Mm9Z2RC7TbN
mtpDB/IkTlQzN/VzPPVu8V8RQFlrCZr3hmOcLJRF+RBCevgNTZGyiWB4UHv0PUZ2tT3s3kUjQxt+
BL0Amyl2wbYdTgmtzEo3URGaIqtg+olkh9uJ4hE+rtHVqv9GbcznhU9KZtxuzG0Rb/VPp4h8dLr1
x2l0BurZ4YTwdeG0zpp+Muk+FkOOVV3OKIOPgpzokhDb5C4ExLEVekGU+XXADWmMSK/5+rD2I9DO
Xx9UOJHkmZIEAHURhtuhFmjlCnF07UtSqPSbvGENPO79SiM/ptPPB1uafIDyzivB6vCwrv3AqoB0
uHpd70xfrM24wOnxjkvRWwDO1KftbJx9ehIwOmTQGzhggTtNCP3ao40Dg28kFJoBaPOTJ2PLLpvd
8KuwLUZRliuGRLXynvuq7YlpCNoKrSgoWVBzFqnTr2hnlV1qS00HLwyxL25xFN25NQKLSgDCPFy8
F4j5TyZkKX0NjDOFd1WVxskjG2IzzUap0Sncq7Irdzg/A8BPJ6AJi21uXAGSrE+oVC00zoZRY1Wl
k7vzO91JPGOfNPim9qI9vL7kwzZKm7VRZYiqEcIDe1ihdOoP8jVz9ekYBaTdvh2ynEgvIUuyUcdn
0hUnoXovd7DmKLwHxdE6uxIJS2thFDiSTZrL7+8Bl7xVqIP5ybqYqz1A40Fl/J8woy228gog9Vmb
NLx5xD4dVrdx1p5I5paCCZcIw/sfnoWhM0MwiibGswI96sEI3M6SwTLZ8RZUbDL9UEv5Rd5Rfmlh
hRvtbAbn9ZMG+6StjQXtfyH0ZsfNsjW9TSV6D+AbdGqUZj3cdZr24PaQ+OWFEnyQDRCTTDo4s9lE
byUnpLHAyeuMoPIXbobxf3zZBhg5ZLL3OVHq/4RODAH9xgSNTI5wWgSY/YMZohBVJCr/7gVdu17X
ihYYCdbfOTeb8mqpSDuGwfR4g9JSswb4Ag0q9FLaF4kIwx7F2MwFCBXS2dk4lvUfdoUdth6Jw1EN
k7G6szx5o5l7O3sg1P8FOYF/JtwmTh8rWlydjYtAdzqRwB2aWihgOdu9iU0vJp9pFNBxR7PgZZVP
hRr72yS38Ukn6ghZRySUCt1pCSWcmpnIN5rIWgFRA+YV8M5lxDSY3dp2m7BNG8pTRL57v3WtZReq
hJfp9NOJsEhE8uEFU0tR4A0DN1cpLRsNkhtToC3IbHVGWY4LW3465rTBCwxXUWYB1xMCS57k+1CE
1UKEiTizdnfJIA6ZqmZTA45YaPe2hyCtddPvDRQlmyN9aJDloTQkCtlsbmDizxbdv97MJMJKSbEj
eHUsBK5ZOOJiq6XrUV9Csnk+E5zqbYDxvRvPj06mdwqHwifaxeyZdb/7elegWLuDdBjp4UsZ2EEJ
uyQ3ilHE8ygUO28Mb5Xm5uq3tkpGWJvYKHXC5nxZYPg0ro3+0rX79c67DOXWkvFzXw14GLbVR+9z
cCngcrESYO1AKVFXP0ggUz4PirNDWZ1Oi4uqRXy2nCGOtkZrW/uELSDmxVa2aFSe3MBEC6S/xCxB
b4gZo9HtEYRSzoLvORwTrDNeFoBmTvBM+D+EsKAk5/3sUAyc9h2sEShMu80ByrVap+pos+dC/PKr
ZTEp/ini0NGFA+SqDtMqVrnrZ5sgqmR1LxZsAWwseJUg/MwfkEOoF5Scq5RBtLYfDlJ0HE+dQ7f5
Xv02Nwl9cQ3Xh9fXM5G0yQYwBCEsLFTtF/hqsH9V4mnumoPlqmKuXiAH8HrB2K5PTrR7G51YkajB
dgzUzQc6YQYgPr/4tAqczt2f0KXhJBvw6Zv363C3d2GZAvPAsgYlJDEi9lJMVkSLcjxfVNheB564
WWheC/WPS9bRaktakpI7odUuz80MM7DL4YFPMoBVohABdmYSPATOZrNiq4dnZpKeGoA1cPShWQ8c
UG0WM3cZYH0TmCoZUISg+5jXKPaNvATdDR7tqdCAxCapWVZlRi92uh2QgR4FQ8BkTL4zP0+U/g5g
ELRWNk3+2neEaz2bGJs9sBLuu3fPRF3i1PU+QR5XYy1nUCla9y8fsf+BGM/oZZEXoHB2YYRV0daz
8vgFYG7ukJmwnaG9q/eOBITgFCPVPe1/rdNqmfvQ3ivz0K9oMY/HryQnf5N0YQY6jPnuiPb/VA48
lFHuyqPPiYdMYYTarVB1w5Hki1kIeZWH0Ap9aExTPtPnITg3X8Ptz5kRRH0/uby4Z/H0e8darEej
FML4kEvinl4YzwvtkmOWwDu8v8npRONNEga/nb4aeLZ2H7PQ/EnRv5tijR+MmptoYoG3zucSd/69
ALU1JIlBlteMPh5Oj5GT3ckG4TEhZMF1lpbPCyHw1cHYd1GAIFnJBQoDKLWS5TJQ1otmJO6Og03Q
ZIEBQHz6aYuNanWK24EnXyG2dxfUYUz8PFpWZQ+l9tq9uquYVLj5x0JgPc7ukWKdSg4IeIN3w7vC
V4qPvDygGhJORuuol5wsmtgdjkxEZPEzhW+DVvWMwUmrQQx1w65zeyse2emnS991B0Iee4JPTdut
VjiQNdQ8LGNvmkiZ1GlTXcTswpmEPNBqx4dhxzK8ONSV+vcbDI3vGay34Uo+spRbVkm3JyZd1ox1
iRdi+akJtw6pi+ZO/WQ7hXYN8wAd+uTJ8dFhL4P+zlFtt/slM4N1/4akdIXhK5iJjBA4tEOZ4T3B
poZbFcMeSLKtwR5siTF1VOA6Rd5flwbUaDcJgy0e0Y/pD9efAkBwlIe83heMkyicV4nD9jeserv7
DpIineowyucyNjpghXJBEB6mexCGKihz4xwFnQKRhnRDoh1BBvQ5eYEhEO9gwFcAMqMOM2cPAPBV
b3Yb3oTlpX8gznOOZSY0bRTSJiGiJwCEvm5frnQ712YdOJTejCWCZwYJa7AevjdREJnK85T4xbdm
8xkwBQqvUuSEGr3mExv9cC/NaUjNp7CxbWidemkoptJqhYxxSAEA/Kb8cB2F34t3EMMCuCd9mLVc
zXmS30LXOmghkDiJTHK3c+ekscwQF43EtqkVHSAPQgtIGe0JEWM9J0q/Zi8xHMwp0l192/QsfxVX
22QIH5G6+yLY1BsmHiqrUUVeWIv22V8r9fQ0jUFb36TNF0joKzE6eodtIQlvxpsH9egN7E8FScCv
mZk0kegBeHbInuSUhA9OrSRkQWqjrjU1q/MsNMmouPF0tftTDXGzKQqt5SElegZbbDRvFGuHXU+C
AxYxu3BYH5mRppn/6ZWaOcaPXsoTsjvE4GDYkx6OoMo5F4b06sW3/+DD0Xuv4XOGSnTHFzX3TZcc
AT1cMwspropb5l70iNI/zHKQ0MnfOsMPNWCntAJNxQVUR1V6BxWYNeEpEweoEGo7GTsiH2aoj98t
85xjU8lDUjjULVztNRa4l1G1p5Uu1aIK1k9Hgz12p3d8FDFxswgwmFoShPFUbfUwb7fYnBdyG4uD
aFQI4O8JxeqWl7b+NJeh6Go1XC2Vj6XaSrHIf2ut+PMQKzdTIx4TumWnQtWc1+9R7mmKjDdTDRmw
ff81ACWboi8maIEbHfLhJDp+1Ihyb63WAteJrq8w6XbmTq/KpPK6CuRIbCxOFeE+ftTBAZgjEWAh
RPhgbTOYxXopgTgRHN3YNULPzWSrzFm8gReQ9IszX5K0nckSdtrJPm8rJyrCpltQ9Gev2sNzgFaR
SZn57Ty1F6jtOTeZMY1wj+qIbuvaBDzyX+9iCO7QfdeUuzyyk6eWR82tv+9QiCO/mdof3t3uwjve
W7zyeqDQcoLAoNesFNs3Dxx654pXQ4SQKI4jdNG+EU9aN9yB0ejOrrqvzNrrGCX3spz8o/U5uq1p
GdbuW5NL+f1yZrTXZG2S/KeObeGGGGiXj08q1HHDIerRQxgdLFADqReULBlfXo6YEwx+eHvoFY2H
NF9I+ZJymaRZ7DpXSxB8NsYtlXKr22Hre4aNZjsZm95RivYfvV/kevRzwEU00lSPwEHIuieKAeCk
9h0zCyPaQkN5FvXPQ92RSXdPi8psjRpMm+1cn0Ak6GDG2hsGw3M8vQkoS5xkVrgdToOTkJlmbrlo
5MmJcF17rzSSxhK3D2mBVH2sk3ACnybcTsBupPbtYap4/5LIBprPeBSUCzej1+VIPfyaruYATW5i
P508bcjivycntxLpVK3MpwvDPea81X24HJSH9HB2Oc+T/hLaRfzo2UcDZ9AeH3wRs80f8JLPv3LN
QCzJJE5QUNpS98tN4oRZycIHfNnUjG547eSuXaOJ6kpk6YoVcld9TLCmrXR+3HGgzXj4oWX6jDmu
wWotaoYelcdufZLwOfulIoh7/pDl2X+Rmf7MWeTN6AzrTyp8joqG7444hepa2dfFz9pDTvSn153Q
JNz1LnrjWo7m0B5CgcgUWASMBu7x/SG79WpPs6ERGZV3nnHBba1onrW33LT+vTQDcsUS3uiw9Qjp
k52V0H3XCtLF2BlFXEnbdgseIbUQd8jMP+fgkOFr2GEQZJ63qFUIYmuz0HfZvoNJ712puHth5qAi
SBSpPOmG75dXv1dQOpuNv4zIWwmNF4UC3oe7GnyFrLEwrRZRE/iZyUGgkqbBjymIxW1TKoLvhba2
UTkjMfhzuJ3HVTalYYa0NpmvwsQ3V1TiuKvESQ94t/biUa9JWlKJezXxeFKDs9fSzDqkKGbpQPEl
SdHWVL4aHzK4ylQZek/EVU/lVJCsyxwuia7pNw5VMJIFaUOlNdYd8G2OiAp/NbVZkynfqIEgA1W0
g0SO2pzNH9TMQSpn0a1DlV72DCLvoM5DHmfhShqizSbL+T0MgCM/9wj6nTfdDWFwoGYx36dYYBSU
dyQTSurHmEBxBlEwIAmLN3qTIApozHKbG4Wi3Cdvn76GcIBxe1gdJ3GMVs2HyR44IAlsv+vXVRRa
47BMgATjaTvH/9P7p0EU7tNIIXWuNkiqWtLAu/FUgqJh2ENNWeakviOnT/Vbh5tpLN32h8DlNaCw
jQU4wjJvFoQkgkUVjrST14Lco76ir8lONeROoSGuJO44mjQ2n4HpUUS5yge064qU23FDS7855jZY
g19eMfhcni3O1ExBeKpdTEeQfaHx7leG5VcUcCCOqs4+e4szmsNxVBFsVcqvq0jiv/A+ZffLLCIS
qR6NWBxi1F1uEHWpqCrI5ffuuKA/qMz6GoBzXNDisl/DnT0afMgsP+U46EHgZV13EGKM6GIIKBwE
hZyW8f23ZmbXYfLgnqJt8gBlB9BCQqgJ+/AJkY3H0BTusjheeF81D1NjVACicNeMtb6GGkf8Bgwc
Yk0LLzOm754FNrprLaee6OPRBMf5nB5fiyodahm2cb/rHOhkL81yS3Fyzqktxfw9zkqz+D9ze1js
xX6VVbtTlFHrzgBhPU/X2MYkacWSEJZodVi+oYVne47/4Jav5O5Hw/QqbZaY+e4qUhOmxS1B2ImA
yqkcAjX6muEcfYVmrbE+Ry8WqpaLqixmONW6OuKqaMD62e6xbDRapR3llNzUgI59hk0NuKDYK/2L
prZfCzR2OVRXYN5mZhrrRBiIqqnZCgmyPk8nqlvNFMuVbQvPoTqV7XuyCE/ZOV+Npk6BYnCI//Hz
XP+AihKAeYvuVv6MhC+XH3QbWdJLziQNkqsnBJWu1+I8MGg4tv/AUqGgN7wGIovXh6vhLOtRqdmX
rbq2TUTQUALy6YL10uqa0IwMp1UiiztnRrDLixOomPpbFVpwJhvl4lCEI5IFYssBBYmNBc5TMZ1k
Q7UJpQv4w/npec0QTSl8GFnDa4ReUzbY53lCalk8YSTJQap7K936J9JDkdZWKdgCDSNi9ioQXgWs
JnvinaAT8vdiIFeNtl5wP9I5L6yGZY98MAQVmf+6SOWSCnXrr3hOUCOuYaHhEFjLNaTpJsjizt/H
WNnbvtgssz/R+rVetAODsCx/6+IkXxUS2hyKuQJPNMpa1iTKOnp2ITHA8FvITg9lzN1lmYX33h/D
tYxzx/xL+wreruC9nSO0g8mQ7+Me78G8HX5M9h2KU7PfrVVRwBqpexpPmNpJJ0vE2/pKR1lOPMu/
LLMBph5X0sOhXR5n3wUj3r/wEf4eekCE2Eyef41vy/Dcs5eYzuBdvlYhCq0SuugPbYn/1ZQkjqji
EFEfUsJToelhTlqGEj/PC+ZeOpFfHDgqjIvGjRwr0ajq8LEX2xcZaeRQNo2WznSGlPB+oU16V6A5
wMaOrbEjOTBGQy3qpCRcX88iim4TOH+sdGKNauw4mHejtMOgUElmAsAuYCJ9q09sXACb62+YoCLU
gMlVWGIxbGlgeswS7IcfKQswQAYh/+vuceS+qPFBNxH34ZhLUnJ1qmrX/cN/FYzyuudX8Vo1eTkR
3M3+7CCcbrC2UQJ8VpC7DENntnwuGqykNZGV41u64WXzn6mw3MFyrahA9pVIOvouZ47fIDcqmEAm
rrD2JAtkXHzhmwGuueUowaTZA5HeD9xWypjorPA23RXPFV9N49gYj5iT/1f//syVbrwWLdl/t+kH
ArpNwXv27n+tguITRzVjJY7G+CRW8ABBrgORxXPVZQU3pr8CoYcBQgZK/1quePZHKeirjaIQE/V4
aCsZPCPIpdvCRTdQScenItM7csRbtJD/rSLtQFed8QucMCnMnIQjzjzy/iAfeEYLyMl5CUelDWdB
JOv0VaAgUGdkUKCErHjyQP7jOrIfSc7UKnzACmN7wzKuNkqKplI0T1gzkNiN7Qx2Pr3OOg0q2X6B
LlB2b69Zy9DRe/aMIUTEOSCgjH6su//Rv7bcAuSWwPX8b/1QABO8DQiVassLbOGFZJbh4rkTTwYi
xz0i6KfQjgKVU2IuCNAqQbzb9XK9pblKHmbrrrfKLHdaTeorL/LBoJWFugVTg+WM3tWAlLmHOQ9q
MOJQWbOfyhZCJBC36ZiZ8508UWv/YZj+cr/PhDKXiJQ04A2jm2q8smpkO17e9imPruocoKqY02lQ
0lZsmLk79kfepMScnClfbznr2CgBdSJbHI2qPg5UXvchNNdmE3Vnaw7vPOREIG0IUiN+fDKMC7rL
lMN8XtxgLWUZaajH/UMImnvHDOPFeCzWidTwWqr7WwDisnDvnfwmjyhsn+PpOih0QAzfkwhcwA9k
QEYwWO98A+WOP0KmBMY7yqHa6iiiouXp+TrHlzGDF6JhDGKk5DzsdqrrroeF8zOLLkXmZbcK3G8d
oiDOSwL6Ds9Cc8wb2RI939OwFep+7dyA33ApF0gLHrnl47JyVgvAEyEvjd8xlkcEXgtO6dllL/CJ
hGiTJ3Pkuii7X5BJOSiI51kAbYX5wcS4QZ/ImBQm3MhPr00LIwxCHaYSAjNKvR5dHkXQCGlxuDfj
hN20/bk2LU4W1+AeuAon2EH20sKlR7zXfmugr3rZxxEgx8/oh/nplZUhYosG4WRD9eOkmM2yWrM+
wNBs7U2sKCayX8cHQi9kNStFZB5WsQNKfuNnzEYaqOfLaa/rPD1P0ewmTG1jDIqv/T7q+jTt8G1n
AP22u94nTLs9gjXXoL89676SufKP6RiBcZty4LznUtgL7eovlyKa9UUEnR6qRIhUoefpZyWyoihA
iVFe0StLejtUpvS4vzEP6C012EONNEztAquiLsRpYwftz2g9m2u9+9LBKrCv1YeLy/zz2PzMUbMF
eoezsFyN5XylaQPfE8vyFMNgwcbt9W3TMFn4l71FRm+6p1W0Yjd9nedNAwWpdkpZLUMshseHrSiI
OhJKPy9zIh5qBDYgRCob2ZXW+kHufDGkx4NTANEhhUviwtox2hKtoomjE1LY4d5DuDzeC7+lwVBj
TPjQ63b0yPE3cPv7I0nZ2og+2OihTMJVyEGsUqE1OWeZH1wTZPvG3Gp17E5PsDRL/p5pDwRUojti
umNHfHxR8r3Qq2khW8lJmpwIk76/Tqlwk88Bi8LSPT3OG7OjQn03rvQ7K4/QfFhe/IRTHtovg02x
VIWfsJEvn2HaIUSYQhdrqPLtSBAQ/+AuFQ5/r8+QnYnR5I7ZNlckgU62mHsciJ5Qy0anRHXW5FOj
Pwx/YDCSY2kWJlFEcjLEDU2/aurlME8imghJiATg6syXEoTwyTQuNeDg58SJNHpy+v16czjTnqvn
mvWH2S1HH+XDOAa+6QM9lRYr8OO4nHYC44+oZMq0CCRRctN4aFu/jRLbe4JZuemxoDXXXgPr1pGi
nyOv1MLM6/W3zL52Fnaf79nIEU+lVn8DnC03BJTTzsacXITc+CJWozq1Uu8bqD+PQwYLbkYFNWbK
UlEE4hOhMYfIrnFbJutixh7FYa1ijlmklH3dw83JfN86Kn+DguStHzFTiseKH7FRTZ3y4Tbp7TY6
CInYMTceGJ3nLDGMjCw3L+dBIIwztfmP08W6+L6xDGJYgpnvnhv5HRt29w4GSbxxxPuILuJdGznq
JQmQerxxa3XALc9J3oGHK/fwIfGMOD+fkASAMPV9YqlKOn/y7qmPAHZjeDTVRy6MZq1k8YfX+GN/
X7WJw/C25vdQvOPpRT/aaFRKS3Ic/m5qv45T2ubbtqzWMxmpEs4zNVpi56qi4Js7W3H/ooPcYDtl
uKAAdxgC+aTMZH/9ZqLwmWk/qRnvvfivVRvUeLsDaCuH8q+GAp2iFRMG5+Z88NeF0dWqIuSRRfLD
tmmYmBvBBG4Bn9msTiz7JbePJbAxOOgBk6BbLdAMFmyJSUeVux63rdYUTqJi7Z8xz7B1FcW/mQtz
YTXm7ZicTsO7Z93KAIrCHrm6uly32vp45luGvQaK2k3adc74nAOUm4AAZ17dNMmJTaCdu7VetHlL
+XIAwm54c4qyPrWEiecSOt0Wiz9SXoi0pYvqYOxj8D6x2u8uKb7TES8pmai4WMLsru+2ENhmRWi2
Z+WnptsvKSKvFckcPbFOOFtPsGwx8Umbm8pGWC0+pt7PDa1V9e2w76acXwu9m4qaUa7P/uqC2LOQ
ZpkFQe96FW3P1neCiJhRJqKspdtN0Lhl2019MM23ibL7dgy6XpIo3OwyXxjRmHv85kiXj+rljzpS
i2LZ+jiJBd0V981PbjU2Qg3Mkg7qgJrNRq+Bnt7CeRFKmLQMZ0DozZcbymoAth2Zpdnf/FwcySMW
jZssnieAkS1jZ7eueceHcWVVuVTrUpwpQg16p65CQ6DiyLTHNDYhQxymwty2RRwXPhXXbEA8Aj/z
d/rt3yovN0I1SMozEMLvci/9pxVS12/fBhUlLmg9xvdUNBikvuYvYioRpdUtna8MAFp0HOCk9Ax1
7B2RLaxMOrrZeO/fw3rPK0TtEo/Uok/drq5CdJgZCy1Z3oQYAHTXvd/HpvQZNFOlnkvpGhzALdtF
ds+hEeqEV0U08k+haW9ARjY7a17/HzlO2/ISRdTDLuaPxmBEWdxKPktFz/49VaOtBLiKR4Y1JHDZ
WMd8Bklmd1CKDglNwHWnbXGNrI/iaQ29PIlbenzTJjDOJFlEb2RgvrqyiAl/6n7OzMhe4O55X/S2
Ls8O0PBnliPg38ZpT16xKYbv2MfZNWQqETew5lJmqDhNnULNRHFrv4IQ73r6avbyRKqlKkwkFPX/
1IgzLGh/qwFgnQTShWC/Dl4xrtpF1/tahh86tGoNJ7R2mAJ0Bv+ld6B9naQsNvNGfoOdq3c9DaMa
kUNTjkwEE7/gkBnyuQ16f0ycUVkwORd/ztekFDIpwWx7KRWwGWXF7xd6viMGU/afEplYj15YaqB9
LTiY6oZ07aPKohxUwJ+l8Hf8GCBfk/VzSeSqsdtgW9U75tUtRQhw9zdyxtISbuw0i0YQo9ZFS6N/
acAlnMMLBU7vq8pfhVPuQSl976/BQ+FZmwO7OV0Cpu8Pa0oWORaq5a8ZkfCT2CPuWa7IgTl8uay0
HP1UBzw8B4KLPB51ll3XP4iIulVLX4tGn5mEOpmjUWHCI1HBJy4OrK1iMTWXRSVwXAs3hGO7p8Mc
0s6keKRznMuUIgUVEWEiimvbLtzszfZ7PfGhQYtztfcUosqX2pywg9MYqRYPPaKYokj9k7nHPHPF
KI33F8XtmAexCZaziGyWsdm8ejCGnHY8xs/gdcfxfdYy42bXhsPMdbV7OWha7SaLifFc3lp4gYAK
hAiERJLSVaWCm5aTbJoKscGb1nmiU4qRvjZhMmet99d+SAhjBF84uBxhdvCRGuPTw73L7cFNTp8c
1gywdsmWUcsUXi3P9xvbs7J+5zZS97bw31mzxPiZnVs2tVfr5RiYU7AESAEVdM3uG5i/i62glpTv
XV/UgumoR8M8Z6xNYIefULMyjthIWwIsJqgaApVbj/u3UhrFjuAJ2pkjkqtG6s27aluwMzh0dRgc
1qUY2X6S1C+OAPiEDehkJWmMQyiioquG3CoiKNJCiw8oxgKS6oW/qbmuUyfjkWfvw1GMXZ7g1yP+
rqDBTpIZtaXdtI61mZkIhN6eWGCTPf2NKnCmYnFVZAn1oZoWjHsQRsrxgoaWau5dWF/HrqMsZKTA
jctCpmnJVq0JB17D0Ewp7FHRhoyiMeChVwW7cZWeRwUYIm0FsrUJ0oFClxpTKkp5e2OmPWNXKRSD
dR9IoP9Ev/1bhr7gt/llkS6HLcJogYoliULNPb30rjVNkg/+kMUpmvo6pMvzhZKIT92/KLMZC5kM
9He+lOnJuBS6C48EhG5X8TvN39vQ0ybVNroxi62MgIPvasJmlZKtoz7y1uVE0HXeIlFKaeTyRkYb
ZAw3BiixUPCkOTU4M49dSlQiasqoDApfWrY1MRHHeCRPmbYC73lwOm3fYOuWzSgLVNSnVQcMt8ev
i6l8/EF4+vgKluwGl0ZUJ/cejo4q57kS31MLTk2h3+PC82dEJ8C+SBed2iNeAy4uvu8MqSIGoYrA
WYb0AEotgx34/KqHt5Msl3c526K17EQHqwMT+nJ4GcgNkeE0E1Xep0dU/4mdoiLlC3GXnS8VV0Sa
381HyYlnu8f70v2NhXdVFQBiF8M4lDu33kK54BJA6IgmfcimdGhSHo6dqJ27zgzZxJcFR1JWou18
spo5yzgU0nnbYwMyaiAu0+zhNAWfwEl9B+MxeSWXsNgp8laWv9210ffoQq8x07x4ujxZUltc8gLc
/V6J2o4i7+wo+5X7ktfHipLBAFbGZ8nHxPX/kMASz6dBn/9exzxN03Q71qOfQ2l7HmzVkPjnBFeK
0uMAPK5xug35ojP9Mi3ZDJUhb+8U9eS8EcQrB0YSA/aNsi2MfMHucv4NjJnAWxBhURgw2wrgUH56
NKDSSrV6VR0oO9R4ookY9KoBOP7aWudd+IL/EG8jc14m04cyS32Y64t4F40VKNLw9+Uu9jgzCDPP
OxcsmZ8ATjN54U8/apfb2tzl7EmDKZv65FABcLOtXHhu/Dq3p0yh86lU770DKdh3nrrH4Zx0U2Xp
brF/nR09t/MD/TpFcMTA8+6DJZDXHp4IpTBTTLfInzmwCglDlZKuPlLJKCbx1s5eCnZRDbsH+OoW
ZvBQFtyIB+r10w2USpy78GI1B6eUV7cHFKNf5h48bpZoeqJefkoI9FI8uE1BkbjLZaxT2VgKtWTF
KYvl6om03AKKejjE58Z07rNnVqvRejkQxBgLV98U/mGy+QtHiC0wCkSBmPpP3bfTrWljDv67C/7B
v8JgIYeYC8Nz+20k9j1TI7RBFRew7toulmZPQFJk2V6Jq33w6+5IcvGg3oAHdqGbV3Q9arl+2eto
sCbEW43iVfVjsKeQoDu9MotfyZSiT7VaQuriTjSHeDnkaNjRATtGVzLcLpeUGPUCdf6fAm2Nw/Oe
dNWlTSSkOOF6B73RIvro2oIGaVNw9PN2mHFgcDzN6jzKu+cRQfIa/rhTmfLlT4TUK8I5oLYppho0
DdyEPJtOW/lV9Y+OJlvT1/9NhiQHvsbKuXUWB3Iye05GmnRYwP+aGiN6adXVkxGdQLdvlloHOyaq
qQBC9JoxprFPFFz43zcgajpEIH0bM7jbQ5/yG96goaWfK3pMNZld/H8+aYfvWuTYyR2HGMug6N6v
FEYGIBd6DX5CkEWLOMdtrcH7Oc3yuiWbYTnx7NtPz+gzwA6hnG+sgzfbzfTRz+E0+4NFBOIGyNvc
OMrEedIFFAZt7QJF4P2f0kNrYVME1cza3gqDotEKJyNsOMJLMep7dZwhZAXKTRnrK9wQFm0Bv5xw
ogZU6xC41rHthBzuY1MIpKdgT3fR2Hrks0qm3l8rLceLazN8PcumZRHLAB2u8Kz9RWk/UfH2HabL
XI0NSqfJWcUJ3wkB5eSeiaKBTIPJzaSiyE2HKEDoW+ew50hAcseWeFEwRLwyG+AC2uoA/YvmID8f
jfGQImRrb26ilg5s33yRdkD1rDj4hPOFsGGC7xQiTOHFxlqUck3af4FUbs7do1Bp6Vbm96LOfjM/
+2imAxLcTsQVLVS682u1WNLD1xtvaGk6b7FIAxfjc4P3IDM5huJVon8H4kO1bG5Kd5+qQyO4miyD
qTVyTpxlnFOIJIN61qlVuHI12lBgo/ISL15SWn4azgBzIIpSq9/RW/0GVJ1yeHHsWhn7dopjsQ/f
tu39YfQ7R1FzVFgBaWoQxPgTQc9A/qc8L1IQVaLp9XFdcTwF455V1XAe4i5fjqXq0wAoVI2LqV+a
GnwhV3FbyBZ1R6LSK2QePzNYnsismGeNMjiUzS1cc5D9jvz1MStVRddkaKbKqhP6KNX4e69Qk5Ko
cljSONyew1uNXHbb+tfKjsf4281PA2DjXiw8/Xctj6rkrMGKW3W2YKzxaW8wLQUdt/Bak2zjjdIz
HAfrTwLpzzN/VRNbMlHU0tT88D57KMwipXiOXKgBx6MFwrTZXUHX626alJZcltBDpN2oHMsK1SIy
xUNbugBjb3sGdxvIrkPlfzfghwKmJIzQADyEGo7t+Rh3UHBlVM1eFZujS5tw4rcPsOMnWoyf1E9+
X+STQeEum71s2DeJt+LzpCX6lvI1GsrfYuwXGIkUagYugsbJLscaLVzvFbwM8N2pF3GPWDig1uFT
duPaKSvKkcmmho5ii/2aPGRAB+mFxdGWb1R7V5U+A94lz/aF4WUFaJ33I5YKHfmaCoVHpTzaXk+Y
NLVG77X0/f8/M4R7cZCgfnJiAXa4DLgwwe0gPnsiOExZ7Rqzwoy9v5/6T0Mphqm9yUzp5y9k6b5/
NVDQLTiCmSJGtB7HrOP78rlDePf1SVp48bdVBKRuOKjQ1npTq3Pm4Riz2/0YHSAMbZLkWkXUm+zt
HKOkvMo1Qu545JbZR4tNHf133iiz8KYOEwALu4EwJQ9RxbonyB2LkuwXFK1eRH5m2tRSsOfbgTzS
ZLAR6JlZT1jm8ppzPhI11/FkJc+gAA/VBLlPzAkHRTnKMbZEZOAyTVC653nTBn31vawtGK8Qx4qZ
15ZNibVSmgsxLkvRiRgC6oOWKOSVNl9y00JFyaCNCEbo+kFf/A6Gqh2h3nV4A60BxB5oxFPmNSvF
13pDOJFoJcCZ1PQdJFZJl4yBPSy+QyzD/lU6CabJmO7wXaIsroBUsitKGPowvBVDzhTj/yOCItIg
99re8bBbhPZnzg/iBjQcIuSvCMUM3grAHtYuMANIEl0fwlmFa9EglDvypgR9oK75BSR+zOp+mC9t
7P6/xsEuLXhYeI8m/eLMA3WZw5JNzPd0OYkAwv1YQbsWPnc1SSxnq3s0UnAtkuVAWoIxQrNVf4In
tsVml10O6A07uR/egYOOsssRnKRGifqJcnjiassCD7VuJj0Q+RLv+s0m8ajrVtov6SKlQ3PXkSi3
2IrKldVgklQEIi/ngOzIyLiAK8vXvCO5MLRahBRdg4HU/gFmadwabdFvrkfgC4OVUGSpjCFxBcl1
A11XfzlU7LNAeafkrYqy0jrAClkU9rv2m41C0bB09LD5ImntBEVWjJFiGMjea0/sgKY0sIQfT0Mz
7ATwidLpwkDCRSLn50jifxuRUiIA+Cx41SBjVU3ClOM9J9Ko3IBSo48qfQbqyBng4OKciOh4lvXR
8fEgHa+kc24HB3a9AP/LHA/2qi72lThWO/ymmUfdD6IJd1ptrfp/gYMlq6KRulNRgIKJ6RU2Ugq5
xpgEliohCZkjw9i8Rwml29QNHIFjT6AwFPSIbslbq8da+UlMSwvlgsBivOQ5C6mN7Y1OC5rkuGm6
8wB01NM77BQJpOOHN8aFoM9oMkNWNv/vMf2wXDY0fHmIu0i1GnoT8hfW6ZHFJ+u6UdAYDJVzX49I
IfjC8u1RHzd9kTUm+bwo0xcbwNe/IOGgoC2F8uSgPi5VQoYIHNNE/ev8pDUtmWj4KoI+sC6FyNEb
vAOn/U19JuObQrjP3e2nNXe50T0QUad7U0EF+bk4ZWllVcGDvSeK47tu/UuBY2pv3jRDfZu5wNUu
3ej55hloN036jLs7FN5vpI0emzOJZZnsEe6fZGzIQl59fsrWLI+ZH6NdgmtbOsVrm2jlIiPJmKcc
rCKja/5A8raEF+OpVSclGCYs5sxjNdSGsfR6QVsRwIBeXAv7a200254Wsj9VTcjqGdAsPIVxu60z
XpWWsGLFyxXCTjVZW89v5Qgcd2H7BlYUHYqTwUdnPpd2QgkMQ9DYTvkCbhW4FuECKqPoM/ML3PSi
UBxi0km3+Es2r+tmBXBmah26DBfmo7mce9Ez3vv4pDcFmTH/bjMzjwKLBU1geM9D60QIFfYw29Om
n1me/muvKmnxRPKRsvkcnfym5cK9EK6TNnNqxgOiAdzJXbAG2IiBJ9Y8Di3T+D6Ls0AsEnD/FtiN
89FrjGiIUsrLfboK7n3xTsRQKBF6SzgJZGDW+MMEeHjGeWQ3NL8bPZ49rNdmpkivTDfNpyuMaXBO
6uJviUtwePk0W/1fzG9hbXCkajRkdul/wfQmm86ClQOBzXqLOz5+GEaoPMITOOUG1tTBk5IseHOk
4dl28iYybndFPaOeH4ol8xurRBVP+43D/p/lLjaDlPD0GlFOKROT+EXwpjN6oLXvM6zX+ZnYVawo
j4KQuVhcm6bRZR3HYVAu7h04PZRaAfoincB6lf5p30O35dNc4ZoLbO5ZDS9A+4rGJvTqwcckz1CZ
y6yZDSaquZExESnF4cbgYZON6Izw83ogCuAL1zAG2GNKHkRZpc4iRrpJjFxomvwtfyi3vLB74EH9
PtTSDECKu3H0mwwyRKeNOIxm7zf4LLIrxQY/8FNwFF9uIJ/xRCEFukDK+y2nCPOTLZ3OBF5rv3TY
DxyaR9wSn0Uo2GJ9VDlCe6ixm15KB9BbKU+PhEmxMxheSpRlwoe9kGdMnF9+00nvp181E6j8cXrL
6u4Bb157XtvUpTiyqNtVOEiDyAFvgmnbu9Y+InAL3A32JsKg9jGgwFwbdbTBTsKBNfN4P41y2UZ+
G4jpyGxa/vCbmZEn67M+zX5zlzivZfCGwfcoe8TAcYw+VVWjQgnf1ZN7dpCvmUWQc7GOpZH8ZeSq
HvwcKfpaYFGcrlKYNP6WoSLMFS+OTh5bBTGGcYfNiNrJHt2LRklsCNoSsoaeAmHvZE3QH8qkTkko
5z8O4cIL+m42ElnPLfVKvFYbyz2PIug8dQs3UoZru/P14jdWOaqYqXj+R1NWUbQh+LcckoCF2aOT
qPuzxI7ZOb5ZIqX9jIOTegeQ40VtNf71CnmzQyFq+uLZcpx74ZGH9dbrYLioYnXjUFQmYp59KPdM
qNvCwKC0zBQGlqFmxUL/4iISA9c9JRa7Z6h9bXvlqI5Gl7mMm6+CENHrRoGc1CJSe4i7oIyhNPnn
B3WTuCZbbjxvUPa4DfWR3EKtjdM4BrQrl1GrMp61+XPf563R8hHP26dpXy0UZ2W0du2WDHDqoAjT
D2GhjQT+oRCRrgXf1KwSxR+KcUkPjiOt3os4Lnz24nhs8JmgCIFmaVvpRBL9QmgfdlZ3ycWRTOzH
RlofEjpvyc8ZF2b2SYdDU9qUG/YB5h3/nXrhcOu4nOiBOTAwdGtr/auAf8SmCX0eHsukSOwvt81E
YCtF1vBmcMT5m5kh4SYOlzMljjWVHmB9/heLKcHMzfRhLupv7z0kyTPrCvQMZ5PX4M4pHuSHaEOj
I+a3HleSmSU5d2AKxtK5o0ePWZGBFeXp6LM/S/JEylUXxouLFx4nnEWB5+TsF6QEKVKVtXKx4tKW
owQxYJ+gGIYBD8RTYvifUpylCwWFkIS56MauYUXPj9GfqQw01NyV3nY07KRBwN1cTqab4upgtjlp
abzTdawFhh/y3xKsCVN55Yv+K1inbd6K+xOaAPyEQiJyV8WIZxqWLIQwD+F9cZMuR7SD4KPin8tA
ffu8DdS65FLlM1uwG5g9AXAjdabfrlK1kkztIRPlGv9d5JbyM6IsOLYG8UkajeNYZlWtHwxiur3K
aamqS0Y/yEPWJiLPCZ/3CXWbg47ys6xiJQQFlrWHJ/4wtDmD1YnhvkM+1oDt+mTOF/68rpB81hW6
6BvWBBC0RGCBWk8Zz+0epCd21gKESzz+XcZMWz2blE3+0OX8qNRuhXg+S1xXp3CVGgZhUuRQAs0K
K3QTyeeiJFuKdsXcM5fNCK2bT1XNQ/Vf6ItejHerpEteZsKV6nXejb5DWmN1we2IxU+10TNA478R
kxAVV2AGCgWF5rgfT3h2M+OAomHRX/PgUQYR/q7rwFhpPcZ7t9d2EGjhX3ivQNzyve1+6ENpwBZW
bI4c69UyN5T+bYZUiEUnpQ0ygYs+SvGXWt83shNSPISrSbHWsrGQLzvCJe4mTtP0bcEiLgbTVhwC
2+KJdqQtVn0LpJ79m67DwIWpby3ZUpuUtQHjeoU5Z5W1wDlfJm4JW11vN8/3F50Zv7tkVX3m3NJu
822i1Lb3AppZmMYfoDoG62/5sLhOzNVQHSKnR8AH+f5DMSoDPF1HYcV3S5aFBq90HZLoEiHDE63L
UZ7v/4GCSXYgTiWhKHJr7s3pDt3cBjvrCNB49k9QCkwC87KMmj7eGIh7gRBi52J4aNANHktmsWvI
5t40k4bGQOb8bMXlIuv06k9IDizX3pF0FkLIttNExx4c6u7IRiXO7OYbwF2mUOnxwXdLs5IkCjGB
CjPMZgUh/M2LeRatQlAhtDqWn+kO2dafB/wEWVk9A9YZG2GYcaF030Bh3IpFlXAqcGI0Yca7UZDR
h/9gqhF4s+0lF0b/Hk6mn02qIuhA5iLH5GVSkwKQT5Dsu2C0bkKTVL+VHYBPb7/zjthMpUOqT5pu
c2zm/cWpFPae8uaw7dz0eC+pnwDA1L4dCNN88seEznrHjP0aRv3bhtPn62j7nWHRRv6hZOuH3a0Y
Sky2ifxb8c/q8NYZ7m1WxdutlOy96h/QPTpywC11T0d8FO4zp73gcrbIUNsivMV57Zgz0BxKuZ7g
9yApOygIARfUUwdgYY2cLKJtL5ZCQ0idjZ5Psbf4XXGAyKmgEncRNZ38PzLFr7hKJpoRpt/4Ji4J
iy1F4uKnpbta3s84+8scr89YOWKYbfhs2dv1yVAA5mXlDXvLH7gh9FFmGvpq5Wlh8PWDkMSZjxAn
yCytlW3fyA4Is6UzqcC9ZGCdNZUN8dKrpy1InEBv9jafAY6p55V8zKFQyCLAQdAx02EgKvKAVAzT
Uw0Yy2g9GoDEw0MDCQJJ6lAMRQfg1nB7xacXmpq2R/pDyGAKaYZsHjJPlXbv5zKtVtXmO5WUFgLl
C07pG0M0D11di4KzNCh+f2rIWZ46E9jOQyzMMwcFHOeeuXlxfFb9rS/FMmK0wRLuA4GPEVbdBuvj
CbKGa85X2LHVUvArmOGsvBIz9Y1SI5bwse2aJdj8ZW757SXAsb57c7V1fnMlTZPFVKRvDzO5+4Rt
F2Lyd+cVLqFkwIBv+BBlqtqEzhWE6E5VbyVv97qjbia6xpumUicL2ZwKNdnHE23lXfRiHP2lhqg3
P2grC3FDjtIYFFl3yOdSGMY8g+9G1fF6PCzsBa1F45HIykz47iFUb/rsIZSBQcPzv44+N4rF75eJ
3XQtMy20CrxTd0e+ZN9TImRp/qiJ4ZNJtxySS9OG6cyctK/3HrTPImzMTl7uQ0t2GAmh+aDEjH0u
sTp8kqFwojh66nqYM8LfjE+H7Tuf6952jvsMKKEUCJxaKF3iCSyQmyQfpUm4gwEZOcwRQuKYlcGo
it3zAK8LaGDKrjiC2DOXD3st63OSUNV6+FOqnC9q7RbbKRQ5z1B75eie+Xu9NgCAOoMTnLcvBNaC
eER6qFu4LSFBTpPff5aCPN9qAqJvEBqQ4BeHm9sDlc2J9pJrUbbuuBJ3VpkLWkQHtks/lwoodFwv
kx/LyITYeIUgcXF4em3X9WDh0vNHgifcxUxBMkgJ4isteoAHW3hrEzGJKtMsy6DNOgDm022CSb5J
kcExeNhx2zWadba4zKRWW5+IEEkOXPoBAdkYnXnFtKvkjC6k1s1dpin94zFJJ7CFLkGpTCuSlffA
rOQYwKBtfzt+CHCoGSP5KkHAFWT0DZQjCZV/sJSC6BDbmLhfuR/0GGMKT5p8WCxphQp9Dfxajp9X
Wt7PUkUM/mJHn2Su+tvUuEEUCS3mAV203i9WGQneGOPehFpryvWQPg7Sx1/NHFb1aXXL8LA2g3TX
xFn8WppJAgKxFFo3KpTiOViDzVftr8rd6aOUnhEMiN6Lcf+/sjkDmXlWPpAEK5RrRrzRMxkVpvXD
Vw/H0eZP5Vkg9C89AdpCmMIoZsH6ETHICOVmAJhzEyygnxsj88+OdBIx3uRa2Gqh6mBx85nhEYaV
MNm7DucVs15cVgWKyhW2kYBOT4NaFK1EZN2Lc6kcKuhf0OeS+EjWxfs2Ra0g8PfEb4VxWlNKjttk
XrVlGGmA/6EXdRG0aXSJe5XPlqnStQEPO0R+45G9Gp2SbWQF/fnQP/Lb9wz/vBU08tghsS50Mdn2
DB2nMeHAY4XSdHK9/vkYxVlhCWRrj+LnUUmzsnKAUvtWw6kppuznmMUm6ocTt7LorwtV9/NMDcmh
R+6GkexZ10apZkXmWrY84fislnLQfKTsD/CSp4OXLXf23OLUD409tBZQ22q+3vWb0AvWysS6iu88
q5ppICV5VjClMNTq4Wnb7Ixi0ADd4VOyyZaMC4CdWSMlj2IDUhulQfRvjKvsi2zv5PA/zB63LUok
E0WEOqCyIGBFE2QrVyxmJEfJwxp1St8Sr8iRNQsKq7/SC8lQZSNGGIf4WOpPff52mmiaFY8qn8CB
oWV70wPHgBwVCJeykGO3BWjUl/Hoa7VkzeQKMAfqAp1yuUXhDAIp8raytQJi4HD5hz4yrUVz7KzU
5IEsvrFDyF3/vlILte8J2zbe6rEdIQJecKq4YQbznGP52BkBDLzzoxEqifY9zLZr051bA6USmPJ+
0XYDMWoSCjZGJGHvK9Sx2gI25NIpEDbrwg3OlAwv+e8N9lsJDffMNwLAEegITPqI8yIq6tgF0+Hh
3fE82brZ1BF1lLOun1s3xMnmysnPHB3gK1DnOeZ/bX301H1NGjNrosCyPjy7gyumkIEJECqhFREb
664g/wPinOU1ZmFsS0ihaTpfy1HYWdcLsP3tzZgLiX//Rt+qHqlZlgflBpHOrEu7cwbfzhaezEAM
9IEnAuX5/nndI65tAsEmpGdHaCf5yJr2cjzAews0V3ul9H4iMZKbpX1h8x2IVH0Ys/NypLJpiYy7
f+wfrxfCOKiaJudLq4JdOEb1CvLey3Z2PNtQmfMJ3sUayHcnWWNF6ypqEHm2s73gmZ83rVxoVjLI
4otcgZs1ZJREEs0glrJ2uYSrEmETml2UXnCVYL9qvr3STBHoeWR8RgxFBIF21XMg9URjtDMZThZ9
iw88N3kkBQSfNm8Y8VHsBoxTTD3wuIoWTAdY1yvWfRvibgLryETn8WirvwTDdGswnw4W+w4eTvoP
gT4Ej/vyXe680TWdOJgz7+gs8Ec46WQzi59ry5QfXzmrC78tMHaO/XeT/LPTPvXHYu6WNzHGeFAG
ZG5vWmr+LcExnAgHWdtMtaCy2qoOVPVTRKei1wMCFo/tYeCxEd6IlFWSAcS25TVmrM2pAb+CAQ8d
cv8EPGozqPk+8RW0ECy2I/WssIXIMtCC9lU/m/jkHcAHKJNBLD8UobE3QCezTAjTtWdGhP8ShDnv
r8+xtEdaVTDw9E6QHpOXedDC5R+PdJdQSuRNiMAnA1vgt5NC/Uc5Bbl0W8OvSfIMSfQwe148bpKg
j7KCUE6mrs2A6xlUPvLP+RO467i5FQpMNIt/j/13wCOGcYxqG9cPTu4wolbJIgtoMxaYV/a5+FyI
UpO+PxnpRzmY5yg6iKqWWAP1lDY7OXc6JIvyRwuNQAjfUiTsmaWTYU3RQ/KXFepU+lcGXWhiklvD
MEhcCdst9Eh94KLez1uiVXzP8RAZiRRsGlqirdGBIEQl9fqs9ukDI06GIwQpuAcd/l1ACWkj5U4z
gctdYMDpx8WttoPDOqaFGQDnK0yYs0ce7zWrZUChGxyFAwbukDOOi9yv2T1iyaF6iBmWtCJS+8gb
HFYTpLnyRa/eYcQ/2iEsJ5xIP+idbh/HrxZhXACD9o6O1cuGuBBVP39ie2Hr2vE2Jjx/v2ydIhpU
s8rwKrstI47p2cWchUvlJ/iwrniSUuCKMhAch68zOS84L6nDT/N60sX79085hjkP8F7jonUiooSb
A+Vpk7lIYBi3E8+GbgpMMqw4MbBs0hSPPuVezrvfghd7Pj/P22u3CxFqV+2nkLW5PgJLzjWoCIzD
77vWtvfNy6xs+W0G8Hs+73nGW9LuZJvXCc94jjGnSYT/KM1hhYvNBEs+EeySe3rmu3s+pH3wui44
Ro1y5DK03vjv0+AFp/sVZkn27RCwIhdj+DPYC2fKLgSDfZEMi4/oxI34GNLLCaVgYZMunTXSBikP
/rOC5jhLU+LA8fOzKBv0v4fNsElYU9hSFt9rRBuGtc36VAnYurMQvOOKiC4x8HMV6mqx+DQlprjp
zFeb+Wbbw+QidrV6dWjRxL7GsooWCD+kqXaWZqpNvm8rdUfqdSRXGZCgXn+/K+nQ6uwH366Bwk9y
jae5XYH5N+LXbj+SZQvkg81/7HG3NKjyCcRzyJutrvFz9jqr4jU2vJzYPpzT40QNJtWiyZNIgZZA
acsrBdPhgXzkYRUSM4mQGEyvXhcep/uVNKJZYO1t0zp20DLi4XtBYHGj2uF2h/BSCYQsyO/7xsp9
wLxOZHr9/JSlalWxH+sfsz6VJt4bF1mnWU+UbDt4Hc5XjNDZTKtQoWLeGXOBYI/A36VWwT/T/HeP
ptCPy9+S8zknNA0y16o098V5zMp9kv/XhcB4AlWEvjdunRnJIXn10zv/Yj3tKOCV358UfN0jMG3I
VvP7X5nGCimgVF969i9pz1eu/C61w7dLiqM0iCdhvS0OkE7GS2V982ogkGr5j64cdD9xQ7MyACUq
8YVkNCVkOTJsPxnwSvqKF0a4zCkXx+kNchJnipmazpGKfV4kdtn9X7rVSMOzdzCVhU9D91kRYHn9
0Qr6T3J/VKAD1sTHAzX3w3UmWkbZe+kSmxw4FcFzlAySpSUDpXHeA0hZFRUo2OU2ezHzVrjH9yhw
4TBEAxOqv1FEQi7s8ap4AdjleeU+vI0hlltqn9IbZItHh7ma/54Isb7Cqj7I1+DQo9aYVUUgHdvB
ZNMVClrbAMVQje/AIY7iwK6sWfLa/wzue/ttiYnyxOXB0Mk3C4ECR6TkWxLfbp9p6869w+c0ZJ6G
ZJ7FuEka4OfCMlF7SaWeG3K+TnT18x8rGdL35ocov5l6qRjroTmIa3ANcsAuwQC3+A7FbviWQGCI
g2hKwoexyLfTkGxshhdrYLiKCQCjkQBy9T/95HOvvcb2tkdiZZoXqkA+u+gSiXcJcjTuWHs2f4WD
IRG7BZNdPf7se0qU0C+Azq3iJYSupLmhnsH8bo7hWjuRkN1EL6FtM6SGZ1w72BDp53k1J+92AULK
SexBC2mxubE3G5B8SlcbMync4YW+8U7EaVi6j5maMR88EpkYo9qbhv4LcedUFN8BHvYMAjEMENc7
wVFN7PyIP62FPfCBeXqDFCCeLDYWBmELVMCGJazlBW5iktX6xgs4KZ7b5lI2GtSkDLvzzvpRp/Cb
LgEQHn2ASP7vb/Ssv6dnYl0RbiXpxG2cCLIfxsssj3TevZ2OcCez85KdZlbc7JoaLl7+W+si6cXl
bnfur22f9swYzwOeg6MOqXgVWY1ydXyuH0mCBql/rYMXdyLQUk/xepXEKtn+vvsWKdpIKm+RB3hW
AZyrtNiwn0otjQKNK33GXumkGWH5y6uQg9rXTYPIwf4AS2jMCPamfPSv/2OKTc5pQIz4l3i3FK7+
/N9syzX2E5XOSsZGnqsmI7bNC8Gvk9E3GfpzB1dOoG9F1Xocii10lB5ADmLE6+0sY+/vXzLDJSOe
bCMphI4pwfQIYfvUys+XWtiLQSVDxN/5uw30TeHGyQj+4QgNKzGqRxEjXMQJBkNjbcynZbcSKYq8
8P7l2mMUv1qXwd1jCd6CzSrqDrHt5qcH+ZYbt8QCJyxddgbAOgfrtNnb0+WCCKBtNCUmrTAa+XiK
8ZTjR6wPH/rhRfcU6ww4wStpkej1+ws1u5rhAv9YVFw5TICosdRDJC5SndE/F14biEQkcd8F17vq
hxqju2x9fEZSiVMF6ybcUGCMK1+u3ssdRQFZAowq8o8MQrm7o8c+HmFXmDsPA5AiyTnvKAQQZigc
C12mvF3qLiKxGAV1cbOvtTO/8yk0LAgn4jA84Ktf0CwrojMSQFaPF77Dc6QyAyadhs2iM2ZcezpO
DWKpFKpAtcl43rq8YSL2jfQSfo+nIHWQ6v/k9+75rXtVPs4I3y6TolsvBdq66BXfDbAELWCOC8PA
T7FCVp7B1/0TEgLALb3dyVdqlz3ZnyjVVl5Zpxuoe7oaNsrRFQKihhZqbOXMtkrEzQFXdkNESekL
oTc6bSXVa786IV4eOW7n6u90+yHXQ6vjkZn3Ni62Dzh1M+Wgb6ODV/tCxcX7/jOFopo8NU6PFbQJ
hlZoxu37y4pMrdDprCGf0097FlNcVjtoHQyJ+P4OkPccOt1q/g+UsezE4WaCiolhjzJJhPvG0rqy
UYpaflL2r1RS+Pu1q+xqtHuFHEwS786oUuOfn8R6SsO4AhGcTptEY2sLSarm67n7JMSiaEeE+iU2
vdNlv/tomb57gRDSRYmAmbFIzOMNDZDHDN8WrG4BwlpzWvNEVMSAjvBBbJfbVstXSJohrHFLfuNt
S7fc1T/cp5dNzSX9IcqFjiIhkz9OiMbvQyqfHlmBSvHt6FKfES2YQKYFCLAlcqf52xMBDD1+wF9o
fsdX3RLvtCrocs66mqRqxr3OlKsPWKiuMlG9iyrHoupkakNnES44ZuKzVv3ilw1cmt3bjDqXlczr
uEbBOScaSa9QNPJLDsJbY9j23BhqcWmFXCTfEI+DGInGO3A1YRY1x7YCgQjNX8HeVfmhrflKyjJo
IyAIbi6d/YpHysqAp4QkHdta8/Q87/vzSjKbp/q/zH1PhCtv5UCd119XCSQ4+S1MlYBRGh2L7Oay
FA5VY7Z8pgvwojHdKSd1SVzmUBnhQcQxyos1TYsfcA84RZk1Abb2QXMhIzQnbuKF8mZXJsWmD1al
796xUoXuvzbZCoLMerTY9mHQA7r5pvZYjPivvEUiotTVO48nuFtTols6pAD++hBdjRnepsdhh7+r
w0vVOzt4ABltwdoYgbMYBcOGQHiC51Kyj3YflyHb1MAocD38x5kSYrGxpDEcsf5Y6DVJffgr6kW5
gRd4TNqNbMlU+4a51mF7NukjmJPI4Ds3vquxY8ZsPs26Dh1iShevW0ZNGvXmgW4+WImKGM4/lzdR
1ebWA8pcdh0FfTOAz77mCrPY9E29EOKuM278APdkZRdQiDtomis+tDgqmi7Q+JA4gsTGoxfOAyXL
tEFJoZ768W48q9H0K88YBEHY7U5mh/rs5MaADSjlHxZFouxzbYK1UivNdcCm5PJ7lmPWVeibq4gu
aXp2qJS5G2c87nu5wkHqW6jXZgvvrXfBy2Q4eFFql+S+HacdV+T4NLeGYPDoWiGnI48LPPssim4c
orx2GftqYVUrNnSscjZXSK8bD4KnkYg5btIucxNKPhaJaaGon8L5FkeiuROxLnwCRipkaZZmdunZ
XJ24KyLsNTcuPvhggI+m6B3u3rIScocSDbvp0udv1lG5aij1RGwIaq6Jj0aNmxbE32vx+wsrulwV
TxFsqPbBWB8AOK57t+RYcEMawa/AGMvU6xxWyz5HKPAlucXd+YbFyozY/I+muSNcrdrl3JWnyoVi
O0s007bO6wP4XdaXlUxx8/A2BDjAUYnwNnX9lSz9dR8RXHUtaaOidjk1YIGF8bsB2M7IVpT44aaD
YQBTpR3mjQRFouQ9NVuoZyOWz/P1QNbIYJAee4p1EqeyMWnxQzAMHjxCOLBHz5p58YEP0mHB4HEh
1i0XPPAzefjFzEHRbkrTTv/X3b9PWC/4p5xzPL4kXSJBqMcQ7coPeAytX/c4blj2Mbs/oUykRqz+
hPC/pdRra7z8Vc2en1ydhxe4w5aj/gDlduY1+pcA3XayvSxJYxi3q1W52k7PhEFOXpQiKLIUlhLZ
wLBYCdYq+Z+qYmAT9iXN2HHREL2G2PJiDxhKGx0p6lpYuIzsW9RwfN9nZRoYjOwFXoz/0zk78r90
/t23psK2c61ixUUYNvp6sDSbGsHbnTz61DNKI++Q36Zuwd3IU8xD+siicrQOstt9nSSkAVSVkoJj
Qek6jCNI6un7hDykhTm+7nOab5CSqmZxd7ZexWMp+6JYku2LR+2bQ7nHofIMyX3G+UViEHvhCglg
VT5kmVh93eDpbaZ/2SUCAFqVJKPxYuxnGIR+q71Zeh9LmMggIR/MzLmyi71XYue5oexQg+/kzaYX
tpNnOrgeFJnNHG0xUWN3ChWBKYIBzIcSxTXgAb7LPe/a0L2EqXzuskb+gYPdnbGTPGYsolDhyN2b
WS2dqG/3LRML42sNlaUlhO66ETASA5EOyY6TtlDOFXAb/W9pZ2301wB4oacdHYk537PX5JiU6n4d
+WRWbFzG89gyhk8jkWX+pJQEJf/5MJr8NkgEMaMEc21bfLJj4E5KCTWFwE7nEa6ifjQauAaXiHh+
5lJG95R2ggdNfMjaiBMC35ayMiBGW1KMYy6lefvPl3txoYu/W6f+EdySpJZectOfJvXIwm0AY6Y7
MO+13ZEC+V0kY641wYlW1DgX9t6kr0eJCigHR4NiX/D7BGtosyx/rLg2SKY+eHzYUpTD4Lm4e14Y
oipGkW6Z2Qlqr3gBtx3BFOVvNSHx0jv5uu056CUBK99cgEVhJVmqimaGj4w6YM7XYYn2TdRVWRnu
VqCJnswi4/vLv46H1cZqtziSSn5a7tuIl+n69rlITXGBXjQaDCty1A085hTTX7QOU899gU/0IevE
6YQWtEW6G2Xx4SEIa9Kd8YlsEL5SA0IyzezXDuHWEZcMylHthtZwFRYS2+nxzBpCpkEbE/DoEb+x
R6Ch6lsRGQp+dqqgxf7odbGdW7C/nStzMT5fpKGCpHHGpam7i9h49qTE6lAALh8OIK/gcQTkS0RQ
ccDEyYG4Cmq8RZAC1sNxPLltpg8CIgdtW4PUr6+VRmqeLEup/Jg4J2m+KmeDSG8HhVvgi3ltl/HE
z4mILjP+0YjckkVq5DslB6OJmru40xMwbG7DFk9diX3DfU0DKfw80qFgakp3GPp/EnXgzBFaTQy7
pk3tzmUFP/cDD5h7Srv8Q8D7IsyQ94ldyznXzTfFw9SIx39paIiZcfPK0o+GN+RIJZWomIftzcXo
kooD6mRoG18u/9LA6yHyoHHLU7uLN/BBkl1URxEEXnINWEVXSoR9K1o7CcFTe7+rlLBJfr/ilqE9
bwAH3Brih1oUug7jp1+MZuUl1znFvbAxEdo6o+jsg5Gqyz6JRZ6MzVmJjzPmobJfurUiFDsXuQym
3C/FiJ5vtjHwOPMqWiH+2hmpiCnKjrKbw+IovBAPxCnXXz1lCl8d9O/mPIO46564fCBXRiTEskLA
K7amY6iAFQkUaNO0fnTlEPrbc/jl7rGHBkyvm+fywC1VNzW6P5ZoHPvRASeFL3M/V5xSLkqtGTPa
4s6o6VRd2Kbajt/Jui9WEwn6IqXQPGp+TAtqQ8jus34Nk6+ynuIZoq/kkc/cVE6UVGCRIOIC/MX5
E1BiC0G4mAHIj/Gm8H4bd+zsjV16Uofs7hIJcbz8RnsBd2HQtv+pMzP7EteApMlnqOO0sazSklGA
5L0Lcfo+MrRyyonDyMYWKzhotAcPjfLY20VILgCLlH+yXUMgSqwQ8xUgrdlWQS68FzcrX5GwYHJD
AcCUbUU3xHOA2iIgNq9G6S9XVcSKxGKqVbj8V8pKTVlI6mOyduXJ8ZOPw3f8pTq/nHf/SD/vjKK/
w6hgTKGVxm7eM/+6lmtDlsu2FXXWQWN8ITyDlpYzSMpDYQ3HsaT3ihcbFBZK0T0NP0h9NDH6jOkb
/rLAS0wIYhKR8fSJHJcLzUi3CBIDlmrax9sVW81kEj2qqvYmTQQ26VyGBxtJ5tjNraJLv/fNxH7g
QBHjHeJ2D3jPP0kqFKjmQm5F9mmCO9IT8rMzduFVzjE1nAxjG8gP0FFHn1syM+4C5i+4NmSurbmQ
w55sVWIH+vizx5ufI7AjZw47FNkfwy4xXoM0JNs5bD5TFpIJJr0gc+WBn/ChcPlEqb2AoFHKB42k
AmS1atTSbD5zodSutIk2kTrUKQpOjsHVut59OQLDg6doqCfOD2A9cJO9twksQWEq9AOTvKU+EWSy
mtCEQ5qfbfaKT7s7dduaMzzPnJQSRvtC2h0nKWuLYgRGYykY6HaBlj2BsCdtgdshK2H7q3Sch5f7
5gOjKc8IDVeve6PfzAuq68lKNgnpOnBcH3Wc+6zmAK8ufiHo0HSp7OXcNRHHpXo3MG7EnAF+i1dz
HllJp26QK0i+Hsgre/3FIYZaTjXUo5E//1svf79YrZ+JxFo7VqNb9tUaTYZQrRYXBbekzhqN6qkl
fe72t8fJOXObJ5cY29FzAtGF1rBDbjq/4wNRXxXmFK1DFzE6Za5cRfQcPgeBMDaL0YzyxfTBJ+WZ
KjV0ZmSdYI3dlwiJa2twcktriZRbmvsW48SYrHrYBleJfUvrSQq8Ba1o5yP4Sbp06wHi2lm0jbaJ
6UJilp6zKjP9aoyPT4tQiI7FcGDUWPa3Tjbd0RSe1A8XbFTGapzkjaALH2mNDRwOyFbdiDQXq0ZH
UvPmzlbjGXlTiSVf4gwNstc+joAKTd/rhCbtTSVXrk1qdLWKFHhewzFX5zNwyl+m8VQLD2DPe5YM
t0MUlSRsca1DNHTihXEQraZDgz5XXgIVVIG0QVnAUxN7iB83mA50PTjf8oP9G+V5sqQ6WCAwESxo
4/AKSAvXOH9mqB2o3SxXEZE5rPxG9m5zmqw+QzqXP+hcZap9VzFBVQieCi7qlR8yHMU/ov0+aFOz
vlQ3D9nlK8sWFk2VwzkbfQW/i+juJXbiUj6V8XPjOK3NAr+SDLSWuL90RuTqKXw3Lzk2JwXY08q7
1FZLXlnk6jdccIAKaX//9/gH/T9wSRZt9extME8Rrd/7w/LjqkjLjrhbFp2ApxzhMMpY0aLtwaQd
SqvGdApg+/NziuH5AoW0xXGKssaf07/p74Wjt9x0OHWyZsFWd8EaNpxRFmWxd6W//3KVXiBnMZvS
XZjJvk0LRJtjphwTS/mkbWtdLUuVYyyFZsNj4tS7MX6aM0rohWirmchuQtF3tutJDtAC9gN8vCfS
0CjFG1mIDE9KvPFU8SoXWJbFPcU0GhDhdI1C/ofiPgavayeDiEiLf1/XW0Cfa2RZIIzSIH74w238
m8I+xTWZD0gGQLZGuh8Fqx/DL5rs7+yGKxvh3LgSYs3B4JX++ui+4QN5KtJXl6Fh71I02B0ba0K0
+flnr9pJoceP+wAfYVFbtBGOugguFn6I8nwODNq8n+Pb0gDn852kOYm3j4yGAdPMFvwKHzsr6PU4
UX05c9ta8yvelmUs1bOHGbP2P31xcYkZwA3aVGt7vmO8we6GaCxUv/obKWGuAkMc0mX9jAuvFjfb
hyXr83uu2xaR6cG+PXasp8bfqV9QmFTowWD8Pao5uT+U2Gwcyu+AnvJ0yoCJprJpGgz23tcvb+F0
Ca9B2ySc/x0fMPLx4XBpyx9ukZuGWiS1e884ZobXvvPpGtO+k+Q03Bz0NIl66cdBJvEhLPYaMHIk
JjWl0S+HjtD8MrAwc9HW+eCmVPZ7eLuxE1r/AwpVEIM29gYPWnBHJQDXBD3yCdJwmqTAST52q500
9XVmEljpg+RECm7Yr2p4LP2zYCihQFqO0iN1njVOjjyiYmZPtMFptIqIMMyGnmNvUf4KElp4lNlW
2aAdyD4HJ6hOfJohBzStqyXaDIt8velbesdJSf15mCJe+HlG/53xJRbtpOOuqYCP4mK48Fa6KJsp
JXeSlT119vkE8CWT9qIGqV33yUdL+WxMByZa32dYKfscwF60AcWkwLRMFcHgvzO5kNAF1nhHdzYr
FdZA7UtKP6IDQ/tVq3+AeKbvJyspmsLoIRAnAmfnk8wjIRs4YBi9uXK3+9dkaraM7unpvlo4BaST
B41j9x4BEPDcviSHEQiYcn7WpCjWPePjZobIgZZktA64fSyvOSKXrZj2zP3lkYnjBZjPLsKphcA4
+pf/eYb/ent5YuI3ipuN0XkhXTm0snBgbjBdlK4jljR0v2BkvkT5UFTYTZkccJCEQJwnx94tXZvT
TmRRR1k34af1xqDNqYHlbl0oBIgathRLEB5crIqKFDJLVe1urpf3gj5EK7TTOyAp/OIUiAqlJn9E
sFFXv5fCCMbpBvtGXpTYwiE2lJZJjQaRyZxXzlFu+sqYEEAiC+3rL34pt7KjZQLRcb4hl8ZMXGfK
3Zggjh/kkkK7tnZSm/VWurpYcqaQP/MAXtGrQ2QoZL6MIKqwZ7Hb2GQgWYmTMmi1kWbioS0d8iLX
Pz0tkixBhZb9RWVjmLRB8hYo1uSSgm3hPZMfUIAupaaR84qcNaIpws8k9P4DSCbZpoNAfkGsZjUH
ETgrrBuE0xEB+2CvBQ06TSS9EuPE1tF41DbNlXnagDsm7gfucLP3YbOgs3K14NoiIx0G7QMq3rNr
ydgV4l8YYendIAat5HSb4Th1BZsTx6pE5XIRfVtuG8uBckY8C45QpNc2ram7rKjkP8MsllwmRjzL
rJOk2blPgKZVpCT1KJ541gpdw9nkRxCReU3GOaR7Ae4Vq9cFZP1zz3R8/EG3mRhPTxGUEgGjUHG6
Nf7fVjzb1y9Nx3rgIwdA8Q1DT5mA05ECqHgWRTpVBU4kPbXt/Sogd+8joztjVBniqbwuccJhEy0s
1NFuVdZ98CLcDW+IaELl/t+mEN9g2ZpJH6Qxf1VWMh1LjNep+yprfhnCR9bFxhq8tRvjmrhZXW0T
9cFPfzarmfbmsseORWb/h/WquNQaDQf8fSVVjmc4kZn1OPiusj+wdEwlLPSFH5mVZFXEfK5oWcRS
PN6CqCQYRylz4QgIPQrTsSGhDljEeapgBSkk29b6szkP/NUu/mstXUpKBB2UKf31CJ2i83NXpfDe
TcjLmylFX3dFWGcumd+cD8ab2wHJxbu/qEsQJ4xqelEvPXobnHXuzgrD4Zq9SQPMnXNVLV2D0MfT
RpEZQqQxXfvE3BXawE6Xk78pTcOgNtDTUuitBU9MqmgKY3ypmNiV9AKhkJ3r6P9WGP+qghJ67eHh
qJLpsRohn1PCkFr95OfHx9w0ZyLFs30OPy6F2xUEGMfOncq59YovAUOGA6XJmshdiz0BuSrS7P2T
iFNEZIJDFHEH/PoBTGcJX+IwLEsGC6gDZjWtdJhKemJK19R/GPsvNe1ummp8p75W6FNfHPp6mwSv
v4Gf5wmEWan7zn14eRiiBiu9+WcQkdyArGOtLdiLBeE7jjStLDi5M7SQ9+zIvij2doQCGeArM2b7
Ucgq2ZyrVShTK2f8pN1IspJ1pFDYtRn5aWqGaogJEv4YQvV+V6kv2R6vS8PEZ4RxH5op6p4e449M
nCDpWXc40gdeEWVYcr10XMtf7ZwMW+xxq+SbE8oU6SDb99R481m9O94c4JzjT4FYwEzDM2TwLuy/
+uuHyrCn7xZDbGOJW36gX7p3DjdTEx6y/Yw5r0CE7IOO7168WcKgqO+l/RV0LLlBACQbWzQ2ZdoV
ZwXJwvGOFZ+EYasNCfs0sJX/DXe3bbz8J6mww3D0bj/iSiqyacqYFHzYr4ck16x9bxPjCiSudkWw
ESeLp2h9jHnyhILD8r8uyQZG3yhK+eECj9O3UnztkUXYjCkaxLIjmObNBWV+dfKyrrXTxO5pg2XJ
cNAdxVFwRQlStrM3Ic6LmE39AnrN+/dpqAknQUNnnKsYQAlU
`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/stereo_radio/ip/multi_fft/mult_gen_v12_0/hdl/cc_compare.vhd | 12 | 10907 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
igspxRBvZTl/586mNdrH4BQX+itgOCeZ1xOzm9aZbUvP0UoMU5TvTDXkimIeEenF+LD0DgFrCICq
OyRbSMJ7JQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
YRQhrmSAroOiD1bgLLadPnYM04gJV4uAj+82+Xbog+8blLhXTxB3/UTJk8MmcIYY+btdA2Mkcr4W
vOZ+b/TBUytWrSyGdj8/SUu4yCdpwBDZ0YEJXp9vZU0zfysPYTQO1RtloeHeOljj/nxzfU8JO+Db
qpN6CPtIH+ilgYQ6gDw=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
N2BeVmmjyQ/CsQIE4JZ/yf8177W/13UJcP7zdeLaTDJri1seNWBmKCyWCyq18RPFjQfO0/txaT35
bdyW6sQRFxRssWbwWh4Y6YEhtQ/q6OP4ZAFAVv0r007J/OA8jdphubQQQm1eh5B/XPtFvUv++cTE
KoEIuebQXW6fyrLDhj7Yp5dP65WkU3tcUEhz8uWaA3xrs7vfI/4Crr8suNQattesIPJ2JthlzmSO
Xneb0NLqYo3RuKOD8o2Ihf5fg2UZo0rCS9si754eyanQ6L+9Zd4JvSAvXdqAASvkbV/gHP7/3I/s
1R7KAdadkd9Dx84J6YS6lZchMA770COqUFFzlw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
sAn7OmpbNaLGVe3KSsDOYCI1YxIuh1s83IP3Je5Wm4JFkRSwsgP9UCFROmwCdcvkDDnFuq8ysvfR
9j6WvsgNhxE9ciKJnL+R8zmgPjxOzQ8U/BHS5f9y44rdX2MmdwTijgqaWkbE9fMEmn/MxvG3H3dX
fN2OWLeFpOulkej1TV0=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
NUQUiunqpt4DSM9cFCfu3SaZP7zUQxovsQqbP/L87McvkmjHlaqmgcLM8LwgY3+bovOQ8ifV3wPZ
VbFoh18SQNmbCCpwtdCEgauh7i3rOjM56Gar2P8O0c/bs1hx0wHagO1XbXdyic3a4Wjr9ph7+hja
Vmnb8Oh3ootlsg46NA3ZsMiCPanLiaUd47D0ujs7ZqQhcCtDS4wuN97QhDxcmc5PIXSc8BAXPLOy
ECyR20WFQ382HJW2V7WyKnRRIuJzb5QI9bfRoxKRmNJY5phbr1npTBGzFUDdQEieanhUaXupH0Be
uttrMyA7VuFJZINdLEhfDKM6bw0ZQcBF7Ihfqg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6336)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/dds/dds_compiler_v6_0/hdl/pipe_add.vhd | 6 | 8921 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Ti/yHjK5PwCWF/IK6X0p/EfA1GLqc6Mew7HiQqfpDKPbMXDjfVi7n2HfCmCmrEXeb8LAwwfz39t9
DvAOeLGfEA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
BKXLn8pNYnLb1aiWd4QSOrcNYN3HUURch7DG/rMvUKDwZ3+rx/uYxOaMTqNgHmyehaPezZWKmdfR
xOw+XnKlOeWCUgxII+2dgcHkv2LN/SooarEWho4SC0FPKLzz6NDGhD8FL2liGABSj2kKYGKo2ikI
C5wmqr19bk9HbjeWxYg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
A5QgknpJCsY2Rf+th3SS0lnBNR44O+VmGUZ0u7/vHU1evUfNiAEqsXO5hhuNdKGu5pXLEWBk+SMT
cJANpReGFqL4hALVhyiQ8ycgXSLXisu7F4sAYcsd5037tKpiXgn+4FpwLX9GCxOmreBrhQm1A1J5
sCZUs8u1loNgk6lSFCOqB99fiRNMvfplXmi1iUfLcmHlu/LRGRJbetZDtM/aAc8+NCYaN/k7/x27
cCZRU2Mid4RKKN3lSg02ba3yHKtNdsdhMRg78QVTMXyt+4lHhBr3m5u+d2aJTQuvXE7P3ODu2q/W
dprDjwR1roT8q1OXp+6+8MQIFSiFT71cj8CkvQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
FJvblnfUsTfgB7X9hepV/h6iR5XDb+VTmc3DPsB+Flm/Z2WsRZB7DOCNRt5HlSQeacUy6nthR1pq
g4NRM1aTacHDo5dlg/0uBjh4pLfTG4usVOh/WsFncNHD9KYMc+mBZ7obB+yOaD96rEBGkNMyzocj
uKEXrtawvmlp0jAL3Ms=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
RsC6acME3d2o9qP4MvCwOTYLEerGRCTl4QVgjJ5EpV25yZAuZ1dgwhnNsNeNI2Qz8+5e2DWTS8bG
pER9+iDTaIyDS6dhnfavjAHFxkm4OV5VI6HLKmQ4tAkd2bZ0PkZiufMley0A1OS4NtoiTE2yMM0r
GZcL6G0Ts4+iKToiP5AGluMSXmo9FEVOsMVnL7jMBXkVX9Hv9eq9V9CAnXHfbF/Br+B3bYs5COnx
2Lgc+EIQBrEdMKWs/FW8uPb8h94JMBr3iuFH8nd4kaN1BgXS5S/b62KGqkOD8dK1Dawfi1Di7WDR
3PDpHyT3QhiN8EYnrnM1C6r/Hh//lY7BVv8Vlw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4864)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_4/part_1/ip/dds/dds_compiler_v6_0/hdl/pipe_add.vhd | 6 | 8921 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Ti/yHjK5PwCWF/IK6X0p/EfA1GLqc6Mew7HiQqfpDKPbMXDjfVi7n2HfCmCmrEXeb8LAwwfz39t9
DvAOeLGfEA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
BKXLn8pNYnLb1aiWd4QSOrcNYN3HUURch7DG/rMvUKDwZ3+rx/uYxOaMTqNgHmyehaPezZWKmdfR
xOw+XnKlOeWCUgxII+2dgcHkv2LN/SooarEWho4SC0FPKLzz6NDGhD8FL2liGABSj2kKYGKo2ikI
C5wmqr19bk9HbjeWxYg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
A5QgknpJCsY2Rf+th3SS0lnBNR44O+VmGUZ0u7/vHU1evUfNiAEqsXO5hhuNdKGu5pXLEWBk+SMT
cJANpReGFqL4hALVhyiQ8ycgXSLXisu7F4sAYcsd5037tKpiXgn+4FpwLX9GCxOmreBrhQm1A1J5
sCZUs8u1loNgk6lSFCOqB99fiRNMvfplXmi1iUfLcmHlu/LRGRJbetZDtM/aAc8+NCYaN/k7/x27
cCZRU2Mid4RKKN3lSg02ba3yHKtNdsdhMRg78QVTMXyt+4lHhBr3m5u+d2aJTQuvXE7P3ODu2q/W
dprDjwR1roT8q1OXp+6+8MQIFSiFT71cj8CkvQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
FJvblnfUsTfgB7X9hepV/h6iR5XDb+VTmc3DPsB+Flm/Z2WsRZB7DOCNRt5HlSQeacUy6nthR1pq
g4NRM1aTacHDo5dlg/0uBjh4pLfTG4usVOh/WsFncNHD9KYMc+mBZ7obB+yOaD96rEBGkNMyzocj
uKEXrtawvmlp0jAL3Ms=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
RsC6acME3d2o9qP4MvCwOTYLEerGRCTl4QVgjJ5EpV25yZAuZ1dgwhnNsNeNI2Qz8+5e2DWTS8bG
pER9+iDTaIyDS6dhnfavjAHFxkm4OV5VI6HLKmQ4tAkd2bZ0PkZiufMley0A1OS4NtoiTE2yMM0r
GZcL6G0Ts4+iKToiP5AGluMSXmo9FEVOsMVnL7jMBXkVX9Hv9eq9V9CAnXHfbF/Br+B3bYs5COnx
2Lgc+EIQBrEdMKWs/FW8uPb8h94JMBr3iuFH8nd4kaN1BgXS5S/b62KGqkOD8dK1Dawfi1Di7WDR
3PDpHyT3QhiN8EYnrnM1C6r/Hh//lY7BVv8Vlw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4864)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_3/part_2/ip/dds/dds_compiler_v6_0/hdl/pipe_add.vhd | 6 | 8921 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Ti/yHjK5PwCWF/IK6X0p/EfA1GLqc6Mew7HiQqfpDKPbMXDjfVi7n2HfCmCmrEXeb8LAwwfz39t9
DvAOeLGfEA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
BKXLn8pNYnLb1aiWd4QSOrcNYN3HUURch7DG/rMvUKDwZ3+rx/uYxOaMTqNgHmyehaPezZWKmdfR
xOw+XnKlOeWCUgxII+2dgcHkv2LN/SooarEWho4SC0FPKLzz6NDGhD8FL2liGABSj2kKYGKo2ikI
C5wmqr19bk9HbjeWxYg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
A5QgknpJCsY2Rf+th3SS0lnBNR44O+VmGUZ0u7/vHU1evUfNiAEqsXO5hhuNdKGu5pXLEWBk+SMT
cJANpReGFqL4hALVhyiQ8ycgXSLXisu7F4sAYcsd5037tKpiXgn+4FpwLX9GCxOmreBrhQm1A1J5
sCZUs8u1loNgk6lSFCOqB99fiRNMvfplXmi1iUfLcmHlu/LRGRJbetZDtM/aAc8+NCYaN/k7/x27
cCZRU2Mid4RKKN3lSg02ba3yHKtNdsdhMRg78QVTMXyt+4lHhBr3m5u+d2aJTQuvXE7P3ODu2q/W
dprDjwR1roT8q1OXp+6+8MQIFSiFT71cj8CkvQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
FJvblnfUsTfgB7X9hepV/h6iR5XDb+VTmc3DPsB+Flm/Z2WsRZB7DOCNRt5HlSQeacUy6nthR1pq
g4NRM1aTacHDo5dlg/0uBjh4pLfTG4usVOh/WsFncNHD9KYMc+mBZ7obB+yOaD96rEBGkNMyzocj
uKEXrtawvmlp0jAL3Ms=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
RsC6acME3d2o9qP4MvCwOTYLEerGRCTl4QVgjJ5EpV25yZAuZ1dgwhnNsNeNI2Qz8+5e2DWTS8bG
pER9+iDTaIyDS6dhnfavjAHFxkm4OV5VI6HLKmQ4tAkd2bZ0PkZiufMley0A1OS4NtoiTE2yMM0r
GZcL6G0Ts4+iKToiP5AGluMSXmo9FEVOsMVnL7jMBXkVX9Hv9eq9V9CAnXHfbF/Br+B3bYs5COnx
2Lgc+EIQBrEdMKWs/FW8uPb8h94JMBr3iuFH8nd4kaN1BgXS5S/b62KGqkOD8dK1Dawfi1Di7WDR
3PDpHyT3QhiN8EYnrnM1C6r/Hh//lY7BVv8Vlw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4864)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/cnt_sat.vhd | 2 | 12248 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
n7AiL7eyd0jKCUd7nSgOPKMaI1kr5hZ6vVlIclB0/bdKBjRH7DeRn65dEmQvQVuWMg4UirD8DUQY
wD/gW3UV9g==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
PmJcDhrpJE4hbaFvzqNTiQV9OEEU36qnnorCGUxv6rHIemKRwV0yJR74yDdUVDHta3Pw15/9hMb1
IXyayoRtGTsTIAt7BURk2E1W6yFFicfgB9OUXlkFqjnIYco58oZ55sODvY7+0TtrI37toZIrDRNT
WPk7gaIBvMbOU9SlvIA=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XHPzYHjIJk5d3yMmk8PbcO0+Hp7VT45NwZ1fuozWYgOoaCyRl8PMn89l4h7Yu4M6Wer1RcuE13Za
n0Dxnket3MwbGALPMNLJ/s2jdd+xS162W4M6A1zamrz9sdzdYSpaVq23WJZbNOa96oonG3y8pF4u
pnf4zoDpYsxoaAcM9+9QTdJMAe0n/+hcOVcDSaOsS+cCUcGn2Ul6Cl3KPduuwaxadPi0oan8/ZXS
+Gy0OAGdR9T0NZ8pocS+3j6vjr7Jy/uq3aZ6/X1KzAvmThlVJ594wFfkWD2Aw9mOEPjRFQnkroos
PgJB0gdAg3vluTsko9lLaL7AOzeg7hlwTIARtA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Ud45jqsK0JG36rOPVVfz02yqOSE/aDJ5H6uKWihtR1Wt6giXS+xI2tZZWa/6BcrhpGGLRzkG2KYz
YJ8NNekoq8ReKbFIKI4+J9IUyxHb2jA8DunITGcyDPX/KS6K3kGlfGzXf4NwJ43mFgHnu75gvSRB
dIAjYltNcpLympeDkH0=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
DOEwdh99GK4bk3w6ElRJX4NcFdKywkamS++EzubnE+q5xEeRnlNy6BnTQo1I9KsLtq1XNarUpGhZ
TZLEfs0hCcvIlm5hmsS4znNtVqANm9xnduU9BpFI5HDGpXHBAakfy9tAN+c6EnuuXR5lOn6zXnlJ
bUYbXcy0tsCGgTGBuvL3UEyRwHnmAUZ1UqPz/oEX5DE9XxsNo1lmr59CRXr5pZ9gvbnx52nd67ye
NgNJRpX6QyRz0CdGJe7M57BKaIXfq9rQjY8IWq9q9gzAcRTbyexsPj3CiNTVCs28w73b8T1fdCJo
ycN/Ze1qA0+0qqn/LGLhf77OvRRNjQK6rkU9yQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7328)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_7/part_3/ip/xfft/floating_point_v7_0/hdl/flt_exp/flt_exp_ccm.vhd | 3 | 19013 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
JaifxNGpOe7XIHcxAckhQPFecCM/+VgckK853UGtCCHgN9n5V//jReNnlmeOsLb1jX0Tyz6+pZaM
JsL2Q/9u9g==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
np0RMLj+wzh5+62qrjrTo8OXheutUZ2VPZ12WfGmbU4rn/7BJ/SUym+/KCcPGEN/y86VmV/BAfzA
zyFI6FMEzceaiD6DMKjJOFs3MUarFmFLT479PpAVX0Is9P66qv9GIoFHOnbcXpUNgeBpeUFHrJ4s
jQeQLgAY+v2ImTsiO6U=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rPTgRxigba81ifqPByWxTEkQQsPFPY/VPItOhpNlR84ywNJ2oQqJsecoVoMITrCZFwS2pDy3ZDR1
y3xjX31hFaFFysthZ1n7c4XwQ76xnIvXG5EzQcituqdh6Pxr09cixX4GACh2+Lhd/jkTyB5oHQIT
gTOlscI8jZ1rJlZ96s9XB5z8PhYPPCpLUM2HgUHqxf3wL2eSZDXsCq6qtLopz1zl407ZS3wR8zO7
wF2KFCnF/udaNB5F2TN0ogC+yhoyKZQUWpcFR4+C8fTenRyDNtBWdZLfX/QXFzpm7tW5LrkeIyuK
4Xskhm19SJOPOPOZN65TcEQju1/DrKZDaGUngQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
3ku9EmCuDzyvQiGuLFgf0UjaOuUUxHtkgN84OXeW9AwQj56tXzAZYx0PGTEAqvimJ2U05kYM3V9h
pKE8+BgpeghVkVpbU0sn5rx99grpcdvfzbccFzu8rLZuZc/T/YEH42NQbQ3Wdt3o47tvnqqSPeza
CrxtbKlVT7ML4GWpKqg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rb77OOVJY1npmO0BN2HZzlF8FU2dAieSiAXciD7lctbWGQR6mXaQiBp+Tj8CbFurcbxp/iN4CHgj
t3a4GAvYegt4LlC1LFmjtoPG/FEqHyxj5puFcHWXY2euTzenrEGFSlugyMZaUwjmhK35E3y4MR+A
TQntZ16dLsbyVqxqGugyNxD1phGmsdZiWXYnXns9BTRx5eNBX/4rzqg3hEt/hOF1QdBO/nAV2yuy
l4BBXz2P1IalA123m9hreFrZGQoBj+wzyvmJ9rWLK2ANLIpvZ+RjYjPzPEu6ZY3RlGf85GyIOuVJ
eJNITHwPSN47DaDdI8huAhYLy9TNLVAjxKyr7A==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12336)
`protect data_block
eslo1IacV50zRiAU90J6Onqu+mI9pHC/VmLscS/mvdlQOHN14Rz4ZWLk3jE3dnFboktX90D0aHva
py89B7iZRgXpOu84hL9SIH5BTtKctQt1+JR6MGwaD1pdA1PJ1HFxtFZmSwYRXQx1gm8LZf3OUHPf
idvHyU+CYGcTqfr/QccZMAmEfaTTnisn6YBGA3crSYh0c2xJ7uScXHlTxOwFzWJaHTiW5Df2LnQn
hY55h2gKYiS5j5bgyLbQuNWZajKArSkty7go52L3ModIlNf1MdvXC6DXaXOdx8571Q310JjOOiPn
2n13qTQmyF4GiqXtBc7+24cXSmwAD79omO2Q2u5g5XNJouYm+QSsv2SJsW+nCn+IVOU/DjQKyZfs
2Vm97WSwaWMikg4gKwhS+xzx5eOk0PIa/Yoeezlod7n5xDwzl15cQidZmIvHgI65M/vwHij1kEz4
I+r8fCAcbr/IxtjJ2J6jY+6D9zB7WgBVSVoj6sz3jM33eiLPfKESaD/VQjwbqofgSAdcmapjKLZA
uNBNec8xluLZhkEfkofLm9cV5myaGcIv/+T75I8UUEyy7/bVWCaEcDjdak77ztHohxtce3MVvuNf
AwdktWF89K9s8ETAeaXPNb7w3FWWno0x3lo+8MpI+k4aIpGI2jtYT8ZE2+1jHoE9o/RuqoSAJXNV
QvemEnZTssHYugqX/LldvR5VmJz2l78HCtN7dtOtMB+7/xEVfvXhwg2xyQgPABUncswwqI2f0Sf0
a80QKGm5Pk9ycTNk+EUYcNXmI8glcVh7nWWdHJGuIsZzcvJpyTDT1QLB805d9qrL6D08S9oXRubI
DMcsHpQcCu7aPMN8MVQliJazNiCf5tbHf6gT4UbsZPPiUPpa9cinA+KAmLN5cmib0nb6hjPSAIID
C8C+f/+czYa7pfgf+wzg9vPNtLPwDSagnbjA+8D+s1rv7a8Gc64S/MdJHhD5JxiOTM7h5QKQMHKQ
iATgefkRNO7DOnRAaoSNPOyi8xllC+scedgqTWeFAXnmkjzb6foAZGre/WISGzNI/VZqOCn2bDO4
ZrWsM6it7YBk31eWoyfPDUYbqb5Wu4NamfrJ/aFGxzrXXKynoZ+3sBMcNsDxQH/OcvsxPZiRt4dj
WSJZ8r7W8Gcm1LwcmCxGVvNJKlyshvsjpJ7bglQXLkqIXhRcVtVYyp4vVOrw20c7a0wlvW7YDBNC
la3MyMAzrmZibfj/2ZkTtOxWIqjFpxeV0lp/FWC7heh0gTCi3jL2ArY4lUylR2HnIeN5E07WHmFg
z0hYC++5C5jEJxTPLSWCk3U9GxRo0FjiyaFnHlC89WeFeMXo1pffhjHw1DHz026H06/GKsf+qAlV
igp6VoVmr5+SMEYTslOkCOxG/wtjhk360Xcr4gTTBlcszSwI1otIcs1BfDdjd8gzSwyQJrIoowX0
73CsV52RqsjioiD9LixTznzrKWwd54DLPZtSilwr5dQmWSSrqC+x7YuXPWhUoVaFAbaoQxGzqP8i
8bOcQL0ndgGOX1seQHN1lUeYfxpvijhXJ3MPzT1H6gu1XxbqtYZyiSHSflHBS4C4bqM9zHzg+a5a
L4OxnfEp+SpV5Bd4gLegU0RcawYg3e2NTBkNsna4GrA0WVRfBjPsKVFnT8FXWDR/WuLucOhOATHV
W2BsRtN1tVA7gcReH5vhXh0kOsphS/NvQkZipNudT2ja+zdJGiRBo1A9tcXFhhXFJp+QceDrm633
SWzq9/1DfMo7jqZ7SSXb/PSCS+wGi1767u8K3f1DMKfFHRrBudtPEINuivpxKBsnOsAtx8IdHJYT
/7P+QzlZOFYFXSHBmkOhjO1vtJxppsYVlIude2sRNi2YjGqeJP85jmRdUtgE/ZO/RJncx0R0HwVH
uWV1HvgaFVE/PZpXSEjyxxZafHAge4I17rpAuh0bYMibmbQJvW22cmf083W4yVYpwkmvU4FGtWY+
GrPJ1qvaLPwzdxywcSj1xDM7memJHwQ05fPCA8ZehPNTqVVXOweV9CEQ2qe2aP+LY1vsUiJgpQBp
UAShzqt0xOiJByErrYcfU9g4dQgNjvQeyuJCP7XvbKUkoPy0sA8FC90qJfn+FpdnLcOFBpIK0k+G
Q3ep8yFJ0iMRDMLGlVs4EsyShsBV/GGzEXYprAS4lIyZJB47f9GFQZCHyShl/D+Ey3pttHnUsH9A
yjV+Xaj2WqEMUrNI4fQSqZmrwgmQNCdFWRha4kYtAelMdV5nzuztmdJdsZaRR3PlRvU1x/YPug82
NLjY5uZj0dLlPvije0febwn4U16DRJ4OY6OHPbR0s3dFRAgtLga7y4da8AABgUQdA8oYzbScyVEs
rxbV8aPZMlsdXRAczgd57/PHJoNozuXHQMnZnsN2TTgSMTGEsdpC/yKFsjWWUCHMN7z5C0Px42qi
2ajirSgtFKtepZKkUlzKGXpeiAwbYaCTCT5sj/ObHdBqC74CGlVGORg0fhZdLZRi9gXswqId04Y+
oq+1V8sCVZLPsDs1ubrtJwhsgYoRleS/7BiwYZGV8lnxn2N/s6iHh7dETG90s3wn3b7VfQjv/O/b
NE/qA0+caYINTQH6BuVnK5GrD4vD33MtwTDTwkzTmBHD9pLorEwOpa9fOtTvL1guAB9Z87DIRrDk
+zhhe5lTebD2GsqqAH3lp6vu9mt2I50sBD8NnBTgbNRQDbBdT0MI6GfaHA42BN/ASZKaVgzZ7p+h
J/t9U3W9ooWaWUouRm+SMBc9cw9aNc53D02biTAxaw0I6u/28yL60Xu6yL7wpHz8kJ+CWc15LARU
1KgTFUtQdEnXEv04SBDdj6kng+bZ6DRyb/QB4/i4gU8y34fURfeN/7sQ2bHdAPeirWHnCvwPE72P
Nev6YCyGgZbTyeAvhRstinHmYiX6Ng0HGELCe41DTKK72NAtN4K2sp1GExDvNhOkZXaeV0W15W30
JircTCjdnZWBTrev+snUS74vQXYQc76WXWYAuC16KwGVSSCH6n/ahW7TYsKjVMzJuH2FqZ/7+2/m
FQm0nZBptJCNkQds72nFT3SPMA++6EeiGI1P0PNVAnk1zNbwe9yErPlSOPaNu6HnOyUgvAHgNhjI
P9VL1uIS4iBlw4Apa3ket+qYAhTisLks3pxq90IOiJN923fSiD1mbfQ4ahJNuo4/94tygyI8y8qC
2HNkp0uPiTxcZeEWJcFdQQyc3Fd/A8PQx20Yrkn1C8BzhLxzG2pdJ3VZYjJeezd1mc+tpzuV67O5
cRPJj4IFplCqUIxpocYG4pu/QgclidAvFHP1oQfxyTjuOky//oN2gUm91kNxLhDpUvo2v1WpkhUH
4Fg3Cqa8B16YmgioJ/YgcJ/jjCZzLmopgiNM72b6udWSD1itddKdxopucKX8MMn/FV3aJiQNo2cJ
bbT78n4Bh1X4SQf1WyqPZs0fSGnMc9ORIUmvNB/8gW5DJWuLAWbeVuW841RbN2KOT5rkyo8KlfB8
WBStHoZc50XHPh0p1H8olFULIZRMEd4CNLTxBO/sTZjI6MrwBcK2MmaMA5CgRPTGSY5h8R4MLY3C
mKCBPw4MyUGEG958Yh5HcO50+RKCOlpDHTujlApHxYS+8IGNKEvT4zRovd1gQM5pHz4zuvQ1rFTr
uIDjNqfnlxW8GBCLq8YKMdciKtbVlquaFfH5toK0dKz79SV9HUIxn3vLZXRHTut4NePmvGpSvJlJ
jvGG+4w8lMFui8bRkwoBKZ1WNqnRZHhBIjuh4Ma+fJaGSipcfuMeKrkZVOjB9uIyMGOIVnAjynao
s/WKv+iFulR/Kc0Zm9FlWB7jXm/Gf0jPXij+gfsLvk8c5CKcALROrVsTWnniEWZTreLc5M2FvRQ/
HNkx2kiJ2jnYyxcrWOSWSstkpLtJL/dT6qz75Uiv/7RwLsyQzKe6gMUo3L7QV9UZMGQ6bpF1pcMa
cMo7Z1A6gQaP4YmP8/MxuxZoBL1MQSRbkr163zOC2wYWNLqs1/mEET6SxCGIQlaJoQ3ysKw/T01K
3S2auVbbTcQHLyC5FpS5SOoEX+jAYD8Qah+PxpKE1SlUAJahtdg8wgfwh3TN7xlbxY5ZEtblM+bd
MIGBmz0Za0EDZ9qTufdfvGkd5mHokEi9xTBXWzl2U2j2G1eezhISgAZc4N4u/1hTctbCYP7igRPG
cYrjKQmmyM2Rc3LyTFokNLJBUUXFBMTlONqC8ZwxQCyU1BjN8NCswpK+euaw73L5ai0NtcobaVT2
z7u3wvOpw3wayB9tErnGlodsfqgxGHqz7LTa1jXdxVVkepJzRsG2tngx4vtE/1gsBICipP46r6jh
SyKEa/gFTaFNS93Glw1Ac16EuTId9WipWucz4R/x/m+Lp23/iip6qelJm+R5d6KwMv1NAjizgN+m
m4hBkunokixIYefsdX+7Wj0OOpXCXujpX+3pxo7ZLfZkr1XMF7C9QTM2Nyc2PtQvMpcG1x3NYgZw
HRke1atpQobT+Ji2QRArLIgiU+rHB16k2dEr1a2qioYQFaAqEffEjBNy7kabwjjf1Z4ptkzfSKKr
6keXrU9dXRHSPZayS4hWSghgiyzHc5JiL9Po7M+SvEffPQMjBvgUKn3MCWFceGnkIWAX9t5jRolB
aUVhiPCQCRmET3pYX7CNUY1HVorWySJA3KrbnrrKNY/i7cvACTaDHJGtnt8Vocj/661KAPvAGtHF
kMQOXXRFgfZYlW3jJghzsD77N5rnPQBsKyRapoJMo4oF1KeNuQxwV/WK6UuoI9x4qj8S12rRBV5V
75uEMU7oyZ7f/fOybd7NBQRBvk9KOgQJ5IsXfdBhdhhaEtCWG7xwL1WJEZ+NLYnSwEzPzRa3pmG7
dBYmsz+AASSW2g5OLHuEYgOMR8w/RrimBghmpCGUFNg6+K4mItKuCDHz/HexDHdPCJU6NPL5vmnR
Bj+EeZODo+Eub//P/3T1/js4PbK7Sbs358t4WncgSTQqqhAKXIomyhf9WNml8EKOmvTSZUBdUva+
7/thpPAEfEyE20ftoNitFRtZmCAtzshUYJiauL8rnExCMiyA5jq9Ts9xXN0Hi/uR1Fsaa76sos0E
UG3j3S0E1uWYhFj1ZqcfQMvxncTTLJMYv/nF4NDTGBwPXoFzv7ufSuXxkAtnsRvg0gNd+WMXy6iN
012nqGF1xN/aGoxM6uIOEi9tpZNl6Pa8sjPNuAc/NJQ2FGUL0VUmmPOX0HHUu3va1FsWavyvVue6
sgxSdlV+gqxaoLic3BrzMVxnjni7hT7HqJFDmZK+bJL23XD6kfo/qRZxYqE03ZvOIiGE6GKel0+z
rg1Iv01LPmwvbYCdigup0WjBo6NorFQ57MCG2XMcNVE3wN1Nlpsc5OX4T4UHcqj3jcUHvNUATk1Z
augW7qXMi96U8aGxRLUbPRlCHU4cUB0JUpxIck3JFBzJ5yDH2UO/lkJc1R6SJcWaCtvx34aiR6Pk
k7kbVa08OxxGprp4szycRF4Y8Uj9QBj9WZCecNmgwphGBzG8D2aQlwGoUxy07KeBcAyddAX3jk+I
9HApqW+AGnhVaNcuJ+d5aPwj4dw2ORJY3t9hv2E8PPea1FNjwBPxxHhnADpUvAwU4Hcl01uhBlaf
2BHE0s/yW84jLxD8bXoxng/BSsVHrE9eOK8X+YgODJqq6t5WfWAZ8700iZXByQej+Jvuf52KjKKI
5uWyR2W0ssx0KhcThw9494g72CbCdx55kguZy46Np+BFjXHilkBa5KmXnv2ysChiAgZqfJMmQb/6
8443Jajep27/C0KmDfL23j7U6+VRMdFWzwkgPDN08Dr8iP5NW4NIO7RFTJa/19j/IPTVGDBtyZS+
+9NfkNnMlMG4crDg3+TpmPk6BY1bZXuyr7SHLuEQUg5rm/9DlkUJkzuESnRfawTaRcyZBxgawP7w
UsdJ4RzvTIHLF3x67w5ULJRGpgjyMqym4igx5vFWNf08nTl6aEJi54WwcEsMnlG7mxG4IYQs1D9F
68Op7Ozr9WzTMG4OIBS6A6jDGolSUVy5cwokx9AF9ZdQyA7oaPkrurPxjQupWxEy1Vy9bSIGYcSD
HJLrEZkHagmivdUl0lqo6MBCkZnhablGk3BOexpk3aO5fkw/KmERe4r4D9HQ7C/LqJmRuklrZAkw
/RduzQcA8mwCzTujUrV3hq8eZWHgy+S45dh+awwBOQCgEQtk+ffbHPzHuI14q+Ynd1juPgIEC1u9
3ObcMnQNNvFt2ABjorrkO78J8JtCfgpurX6ayu8LlHsFedvkSsOiWU9bZiTDG3I/p7wiObVl7DI0
/ccZdnjBaCo9b/HjvFs5LeWhG+2/zVTdhrjSs1F+rbp8Yxz2hthA2MB+rQ3eZbKIUbXQeshzD8ld
JdVtqKFl0mcHRZXi3BFIUYHgE/OlxabktvRvfWgzAycTQNgSSmlu+yPhN6wPR8HPGjTG5t65uRSs
3kS2rmyaP9mIu0IvJtJ9/5u6zavceACAGzpVIIxSJfcyMTp3Tfdy1nbSCrVBxmxIdiJhyg15kQG5
PpXpUcyBdluq/U0DBl6N2b4mNW6nPNUF1BorHjUwBeMNBNQJHlWJ3zhM6J7FBKPrieMug3gW6BUE
CPP63N0mYjTrkhS4cvWfgOZAf3F0NjjUN28TuQhxaJZLpnimoFEDwDk+mhWZis/5BPGdGMjl3mtV
j49obIFjmgaOrCkvVaSXlVrgamGTvfGVJTCRG5MsAJdJ7d75w2YVX12S8yqp9JhxRZ3r1piQSxiB
rUdYlRLzjnm5aNa06ol3QoGr6YrReU5w6CkL+DbNufgBLo2KLlz5r+6Lq42mktgTdx3QuUwYdKmB
8TiVEvutrnVo4ga9J9HTM6WwHyq8cwxhFbPqEDo/rZL1xP/NqyIkYvqJb/rOReCJcVRGjT/lMHxu
xk+NMgad/K4NLIbfstwez3L0BtxwmZNPFWnzM1tqce60tHDTa5917v/OU6a67fq/Mzl5o+rQxZ32
YRQiS67JvsNXYjfApbi1uQVQnBeH8DUy4aJAZDloOMkU/yuEXiCydXiLkC7u10JTpka17aWvzOCf
dkBPQ38tDEPcu9dTRWKUHC5Vem6GUWohzzcwAArvtFgu0w4FOqfXYsRrZMgFyUfdqCBAPQ0rHJn7
albcdzyGc+oyQeflX68qXWp/ul0lSxjCfIf1UZY+2eb4Kay2qaDDdBCkf1nYzHX4j+NIXagB1Gfo
tiaMNzSt3whr9JXi16n5iDJorUEPOc70i3K4zxsMCGP0IpXOPiIZjJEAd5NieEBu76kpzAd7shhy
/13CZj+oIX1PoKpeJhwesEkaKFS5UCyjn1QIyJNxUPGbEZyL11PMpVRpwQRVWVjcK6izeu2EtmlE
vgY2r20n6njx5utV2mi+7l8xDa9l+orvgA2tEFKSKZ5kznZMuzDAsNiR8t9A20ZU7fQ9+dyvieTB
TUwsf+VEh7qrVcWJa1vwelYjDXLSbXP/q3xDNU4jBGPzzOjVD3cQslM59YJlF23Px3nCGZeNqiZw
GJY7WGCBxChWTpcpqi/g2J2SnKa6DkOCSeQb/QngQ4HO/OTdX2F0dnUsekEGly+znDFzisXvLEhV
ZYJ4rOvy+I1QumvFJ+R6GLswxJSTXGbN4o3sJUOmX/4mqwFsDUeIuY1/Bj6YjrMozl73w+OzcuzG
VtzO8iMhF5Dhz/AOx8+UhzTWm4YrjmLtrnX5jGozYL0za5EJ38Bu4WuLf9jguvKcn6h7ISx3KA5C
Uzq92cbTiQbp5g0XoZ+M1GVrVsnOJokFgoRjP6Y10nGQ7+Qxwi8qsS4V/AMq+aoU/d/3p9Z0B9wx
R0C9i+XeIF/w67e7lW1+pFy8YZ3L6OrvhCrezdYMIjqcaWm+y41O7hyvkQffT/aeyyb9BhYOm3g5
1iFPQMKDNDqVd34URYs0x3lurBZdNqQ2rdOQE5+1IYlfqL0qy2UDsQeFCHtPKmV3dQdCoYOvgXkL
xA2A/618Sj5Vdr+B+JIe/ch9lxgembfA++4UF2+ODmEPDdxB1y3ZDkNI6kZcaIfSSfuwHDMcxd9i
UES19swtlu108OogwT/w85HEuSJcYYfNHjPUSIaecI4lMJXz7NXztGE7JTebRpkpdp9h3bS9k3my
C1o5rRZVAprQW7IXsvhAe8K4EyCvwtoI9N/cJpvorZzSDVSZjYyn/9fvYOjiW2/WyaHYr36NHfqV
ZBSWQ/z+JWTC7CdWRW/ASwJw0hmLunX0oYQUCrUAn5pWmjxHwmGOegKSLnXRDfvxUwJwmkeRwFf/
sU5ujlxfJuW/R5OYkTUn+Zmr0AQuoWidrKA7pvIXXQqSCbHCk3SlmOYw0QM1Vm6KEug+K7/G2AcD
kt2NhZlJENQ6bGP0BSRTv/ad0DONK1zN+oVtqKiuLtVvM/E9eCiTBouk0/qS63rB8NltQjxE81L5
jRErZ6zLLeTq2kbdd1Leu0WU+M4vV3Efo1woUtX7fFuiUWse7aPh2jIpiQZoJpI9NRHoAWAzQ3xi
GHNx+RWSkqJhr7N1XuO6k7G4UBu3WWTeGxyq9QYr6kVoGxulO9uGU4HUtML8t1MFPXOGtWqdh2VY
XSWb48/AIQGvH+D9irXXgc3HgrCQ3fCEGrJOO92fG5qdKsE2Cwoeu1z+OwDT9nknMtMHxzwY2wYe
PZsfWilhcVgUwVUf3hzmloldx8lP3d2cs2v3Vxw5NWMktkPPQ38QzOxBw90U1S4TgGYgQ9Rx1LbR
6XqxmSfNNELjxHP9JnO7KUcYJhKtidsEiytjQlJRiEmKLPUzLfRS3VnntyuK2kVAQso8GrtPsRXC
yG/QWZqSseBYziOL14ZV5wfB7CWaQLNqP2X7YU1a4Xn6vudXkLe+3MgX6WU16JShHXpI/Df2R/8C
RIVKRdth2vXNWUPVaje6lpKePf8JVj0kTmVqIljLtXK2GvjC/HPhAE+Tga8xrgtZddLBDQ7n0ZYC
3Ni9wdQ2U9EnSJrHQjznoZpfPfS7zMPSlmcV6zM9AT/ITUaRNUbVwmm/MH4AB4KBBt4xR8x16rKV
Wh247LBKnjFkN0VbsND1FYW7bXV+p7JfNY33J8DvJ7G90ZGBfXgLeaYh61nG+iVxjQx7JeIL7yPY
dX3RXnaDwMV4LD9GCkEYh1mt2ibC2g4x6r0WQqQit6V8ZJpjnxfMjj7v4gmHJC9C8M8c8KNLcOJn
U9qJKJs9XmZgRLKnotv2PDfINWjB01+F6dMwP17LTyQrYh7eY9cmdnc8BMtx0mFinHU0/eCqKugL
V3lYAdNDdWA8HKNJDtwUSnhS86l8RQYyuuZ/wVSG+UxiqdnUWSFxlInQoku7PR73fpUHL2GLiPVs
5fzPAXGDihpsMBWizk9mnyCy2OJ+q2AbJn8eWEmlKOUP4ktmZc391OhTMP0LQPQr4TcFEgun1jcu
CGzvQsWQSxRdz9i4B3x8TvX3fNBF5MRcz/LPZnYkOC7CYhOMapy6G2wnkLLPdpaP1dpA1qzmhB76
nTy8vNjjl2DX8I5VtiFVxGe/xdBozX4cBUwBuxWDMKeQ7Zo7n56u27mABXLbxBPl/QM9eO7tF1E+
wJ5i+Fx35JQtiyqbKTC9+Whl68JbZJoIEOojELln0grUfSNr6Gv+aU7+Z81dU4aSBeHmjJ5Io1vh
McsUfoopKX1HZHYIcrkcqXsSWuvSEIVvs/Wu0y8+aemoDOMOMnfGtCr6V6tKONn6MiEvy2crl4JY
gDP4bskY6j2JmpLmxeLcrbBe6b6o2di044lX+Z0EYrY1YASXc6JTqu9rAkiGac3SlBkJJwJKlnuB
S1owQeOXvIBiDvk7Hlc5Mnz3LaGOn9u3MmePd7rkI3xcCQo1ZNfRwQba1NRAX1C02eunLdvmvJQU
x8knLlALA+SqC2Ff5rPEPjSNLLYvPvAjHkNi291SmvRoN1jkRTeF/jH9nRUdRfutFaPe4JWyLAxT
siimio6BDvy6xjfbngO6Xsq+XeRDsQxgVLQAQCEuCQhvVZsN63lZRmCeKT3BOshBT90bvA6P5ViU
nKBrWbQm25MVZEpz0xjcpsnueIidg2X5LFABreV0NiC7pyLAOwnhA4zs2zBGDi0FX41i1w5YOZFZ
Bi0/RehuUdTwREzj05NDYeK+3e8E4QJYKST9dy2sr2rlhHEgf/c31qaGWiNIAHlTbaDj/Y0myP90
9gnX0hJcZ3MLub2xtIIu/QmeERYLSRxmScCna9BQzH2ltZRrDNVofP0Jz+O5tN2icsNRV72PyEoI
1yob8+diUltBucDtqopYZfTyK+NHefds6UzmaW2TFj2Qu/W1OH3C9atJJoZshgdSYb+VLEQP1Qz6
Nq2QBrIAgRpFJvzdm7vfN1kH1v0UniPNS/sER6oCUETvbx2D1eU7D0i8MgTyqiVUI1Q4CwGUCBID
fHXmXl+dQkR3qC9CBqWzc4SUuyYVfD8khGItspshHVMltjOZA9jMSYZ5fR4ae9UG8Tt0zwMINhIu
FzKgfjTUEyJk1jQ9PqS1SxcZN3/9N0Geui/RzSLOEwfdwDtEnHp4J3Pf1SfYNqmrZZAvBDtCucH9
v/FTsCeUCed4PNAwHcGC+5kCfjbxDz+fTNDSIrd8kUzO6mymHBr9oP/WAc2u4Gg5Feb48iM85yuh
33Az/LppXgViv770+ckXnRj6S2hraHtbxOkRnNpVOTgI5yDXMqp8IGMzx3dbicgT93nHJ3TMjxgn
oo1fdAtUhvqfg1Lkg90uCUQb7UoVnH2AUIgp5x4Wen3wU6n6nIQ0qNdzWOG22bAKi5gk5VntD/Le
uw0a9x7LbxfYxmVg+x5zi0LrWd/HeCRpFlvLOJnlaG3VkarT3HlcFuklBfoNmOg3wjaEn+WYvm2a
PlXAKLmw2w1g3xLyRqZOG2JcF4gzEjSSWdf1AdV/Mok44TJivNTOQd8H9KQgmjxp55kkKWm4RLDB
Cq28/3tZZwsJu+O6pncHC9Ueke6MUExEwne7mc5dYfMk9iuFk4AX8W31AbjYRpKDLoeqeAMbJCV4
83N496AJ4U1pa9RWj0R07LTmZXB3oz4jpPXIIHAMHhCqFSbzw0QnoXRfwPu9iSXf+WQXKJTICmaC
jFlh87N34EfQWSGmknmz2sperWysVbWq35zC/AQotLBudDhYsSJ3bdb9sBSG/E1OxIPKW7BPizFD
3L8Hb3FwgDdqp+Q6/+qRuFnEspMWGNUWgngIWMNDQSm45E448GKQMCIs74xa/XJHuiWP1e5o4gfp
hwqjdCAta2xtnY+pz+fNfrr0kmhuiXOrL69tlDGjGPTDofuoCFTIajFFuEsjwIyonLxrZTV+Pr/D
NcyCSD5RinAQ9biKRWmWFmGcV6otmUnI7IdM7/aIxpK8oQy1Z6HNVqT/I2AZdOZRuBgIh6LSa+Pg
oOF7tJETZ1iwsz2mPkN4Q9Gf0MdAn0x/e3MFwkonEF1cUsdiK5lsN1BjtGm0GzvR5sBcyEmX7Dyw
seVMvtWlNtTMsYT5l2GT05WpBvbTBsLMGVfXPTSdPOOGZvH/XQZBFKcwpXR35G9/qJo64sufvdAe
q+eldqc8LjaT/sz7HNA6Wb01v1L/FlcclZU0CT9dfV522g/HsDPkGWjwc0/iMaOPTPfCxWzUO9XH
3CCEIJCl4l+2DxMqFbCsYav5cFZFYOyMK9Wh03QVJkTCrQnKzdVJ68NkNl+P3IbYTBWenvRPyBTh
mq2Xt+iS1XU1Wc/kwgt1gjra1GneqSw+zgP2R8GqU4iw5sQ4qutQHNSBH9JXQqzvnqkMPbnrAgsD
Up5ZiloeLFA7o1wUk8HYK+k1UBPM6zdjecHUtd3oAeJ1KS13MtnNIQvymx4+SA1uYafePOCHDxgm
EHmF10T5t+qZj6r/osIe4/deW8BlT0Q2oLJJigLuoCiNBrWnZE3kxGgCMDmVSn3g0u8PX7DCHY7Q
myI+kNBrzgrkZ0LCs5W8Zu21CUa6rdDSrwc/LvromQocWWMBYw18IhjufufWuRuazHWTlDNNkvEl
GD2iuLO1xj3emvRu9TsnXDHYGYZalquRqFbKDphueWqCT98F4qsPqGSKlbw0ts6QWugl4aCpmLeO
5/8QA/nzDg+w8CNa2uwrYFVSNF6HQmG09Pn3EZgwRmNj3V3B6PEcH1TxkqlKDpkDUbVWQ02oNPwH
HyPeUBBX6aclhgY7QvQufnVQ9PvRGN6RKL0Nq3smRyGSPGAgLXoDV0OavKPoBMJqKDvEtAONm8qy
Wy7WyWE0cAt3tgRVeYe5im1Ssb0qFevvRFe+fnNMGmFIpxUlHdUYvuvnINGzc01NSMyvYOYQtPFX
0CFYWPTlFIGD88kFlfBsGRH09kXctJr3e0yGZ6/ps08DBk26aLjOrSAqlWinzr+0kaNyNcYEaMWn
sDeK3w4MZOfubaaevcSeZpaMD1BvGLOzab4U/y7aY2XcKx3gEa1vBl5nMrPvqUgGJTnAK72fG45J
ogguyP8+9aJymi6wlW8MS0b64h42QD+emABU2x0Vs3FAUUwQMnc8wKYJjeGkcRFqAs2kAzDsRUXC
4XKtiSu+ludM83nyfHHY7EFDgvy5PKPN37PdFfPCvzfgob57f1ymVw01V2DkBhD0yjrbUrRUb16v
NXOj5Xw4IEnigLTiW8X1CzTqp9ypQEJ5uYRA0G473AWTtb19DNsHxPFbDfbR6dVaHbcKg4en9AEI
M6KEy6BkjxWHS+5di4pgtf6kVKKbAojW/VVpv3eX3/vMZdGdVexr7eFcEQ84F2J/AeXSP7qZ6RMV
AjDzXwUCL2phQGYNaN0fvJAAWZklqW27fdwkPFHnq8YUraML3DhNkYb0ZI8xfPYzWhijFfNzBC34
tvzjdGYOfX53DtaGZOdt0zbzwgfvPDjHu/YsOVm5UqdaOJEopikW4s7KCKGlCxQGr2Z9RbNmB6hW
b6KxE/udTnXL3+OqaeSRMNvtM3GNZjetpZC0GZJMReHD1I8MB11sXlhv6H9ZiZoWNrBWdYCvMZWJ
dE9tWmILCXjRn/M8O/uXAOiX69qbAdln9mOITK7Bt+CYQZXnB06MUbdVlw1hc7R2hfoVigL7c8ZP
X9IkGTke9rFbp0QlOG8i4xS/nJbjoXxHEYOIFETwOMA4aloxkTXMUCfuPUaWRjuQ6zH58stuvJqX
YsTTj+uxpxkt5w3HCwtm+IGmX0H1d/0iGznyNtk89d31YjM7PUQxGwyFkxVdAy/k7DxjugZV62Ao
gX5loP2BOeHuBAfGVSyc+a96H5Xtz0vVqk3R56TdU05f75ZhOHsJBbDztFQ2lbZ2mi65h8IpmXvq
fJUtIaLWuPL3BP3uf2dbB7KWYzSKzEaDnu4OrLWril+ZuXa2B9nTi5WGKqMqsYqP7MDyPIPRRTT+
YoMfKcUIhn6f2G3RUn4J6GkKTp4hiqJM7Elomh9eItxOxrnCUCpobMz9rsl+fg3qY8BwYATzcBNT
WCSO5PbYn1xGkKmj10TloZR6EqjLV64/8otnTcaUxHG+jfsqwUaupK3g5oE4Hxw0DI2pKUf3b0T/
hXmJt7GfI1hFg/aRbBwCadzWwBrka9cxDBWdBdKz+hTDyp2k2A+ePQO6aIUvPR0jlEAZx4kZkdQG
/bdD5BADmvTwSVL4oBZNCvwwurHkjOoHYC7La21jdbrZZgk3WFacF3g1f9pJayPbIDDYx/xmiBeM
J6zxVe8qDq5IPoSnTARe7pYiehyf42Kq1C9hGqsoGROu2HJnLDgauQrRpmxguzqSZL899FELDF/Y
6a6yVnOLPtFK1W3z0DNIUZmtH42mPpxHQlkOc576JeOFX7luu1ZxahpAoRmMVMmirmCk1NXAtwyE
0iz42iHd2SQUHa0Ku6LBZFqtJchuoRUqMoCLnr7jxah97MsxFVIrp2w9/BoQHri1fTt7t+Urg1Hu
J3sZgGycMTpa7DHQ77a4QQV8/5NTFycPk8KUJFRKlc6eA5mKZug9b8Gzjr+qe5LicEbU+DDFlkEE
yT1v7HZqLHsmagewDbPHeZ1h5ghB5IhSyHlKAOWCw9wKXohlsSpaCF1ZPxqez3IQmyvtZeSNAvxz
s1I2egv3gEwZhc8tq20T8ilUfyHBmjLkbCrls3ABp7At1TLHgkteFgPtR6E+j2lr5SdO640H1wnH
E27CUCSjRr7vi7eYtOwaMDcTTgqvikxMqBEdBfDSmxiVgYCUABMHvmhsn7+c7pCL2YOrc2s6zYoV
UOJ+ijCCTFDvQm4oGo+TZW/mslzAgrF117oPA6uz/b3fJRiUfP1D8x9CKEIZGr4RFeZcZdHqkAzG
uqHMytey3d9l5uWNwUgAJ3Raqf3fl4vx2INZ/KVoZEJ0k6K8rOgdyqhHW6TsOZnM4OHY/LChSDuS
5QQSnlNglp6qiJtPlhc97r9F3z/1iNOxfR3j8rFSm8/i/fzjY8GUmvdrWpB8KB2fEb43zUDznWaB
E0O8JUoigxj0eJ3xuL89rnhD2cQ6d9lxFRbL639V0cqCrIgvirAaNFkWMqavy6pt3TF0MEnaGw8r
yIGb5uCyb8iS2ddCZkeifPdZCVSK9FKndLLfCtaygfHlolZ3L7q7cgFKjgCOXnNHdfm3qDHbbP67
dTlkIl97ucHvpl2OEIGtt4YFxf4iWOwqCwaIQGACCqJrKCPuDXA3w8/azu4yDiyrxJNFMHhdmgz2
/kk6e0ubY6h5dPCPd1QTJ8TXu6FOTC32nIwCnc5fj2sECRz7u/Vx4qO97V86QBu8OpJ1Mf6PM10m
+NkPI08HkcD704DWPgzCzASmAFNggFE7rBeZ4Bfrj1jVKAmsn1kRuPhqDA0+ys3WloND8FtJbXDd
Xx8kkAOzs++ttwpDICdI7hZ06hv+L+qm/b+JYPBpZhWj3tf7xksWAwPXcCQSs3fmcLIhp+Wyqpco
kN5/Wi0RvHjWDwacKOoKTdQge/jPCSZOheRFgVWNYb0JMZHzChYhowrgvVnLlauMW0vy6ixmZijX
AZSxbXkir22S2Q/q7TtJj0qj5UvUgfRnkAmpNn8G6XnVdWfFYszG5qMBARANfkofs5FuNkuO6P3/
YMZIfFxHI2jCPCtpQMv8dCqb7iaKLaS/PvVS1hJXT5Smb+kX71DJ6/oy42db51bdBAFLcGXu2FW6
UJuEP3X3PoG5FYn7eGBrROnJtyjLVl2KOR8HhWYc16sqD8EdU5yeG4cTkCaEgpqQoBT7mbYq2jgo
U7dqEM8is+rclwOpx6WrGD/9GSczCNPxsradW3osJfaOOrzpaqv/KpXRoXx+xO0NJMxH364COWLT
hOt4573I9mNDcCcgmAx8C51EQknu1Uz4Wq2d1PgWfgtDWkTBZeBZ82Q62xUG07HIJ4+NyONz4ubi
HNxX2az9XxiJsRMnlolcaWSs1yvn9Rn4gkzXEuhjz5vUvRpKzw5wxPzwVXp1SCtPeQ8kcyYtUyHx
W9EJAm3ygYrx3N0NN5CiTUfH6tDiL7tHfexTkxI2uKgB3EpRDpFS2yeBdt2uAOjsH9ZohxQfP0kV
lV5ayuOsQ+V6IaGMFgxG4a29sHAQ/KsdObwi7E+dy/+rVOICW2WIleFixXjOQdHbPBpA9vvJ52/0
bSOH4OKf9275vA+o7wMWoxyIjiH6fy9TptEo8F6DOf1Df/dBHYxMjHfzAXhcDwTDEhbeoSzcgFok
iIXvMlJuk4IF18wQH2QQr8rxpYgEqIo6vIgOFp5IYzCSelqC9+bm9Sq5ePDujzHzM8LbHUPUuBlj
vu6vv0+ahmA9Gren8FjYzPdkkiHb0JGnUSBY4eeOP9ilCOI/L68So8IaooZpPoyE7xIMuWOzGuSv
CN+ZwBww+LezYXvWHapOZ/J1AXa6Tsy5prVxgYtxGNbqr/l4NDfRmgncwIgLCDBZIINi85kt+mWx
q4prplmDBfGv4xTb0A7+CFDS8gz0STmz2U1fQOswpPUt9togo+iue9HDynKM4YVvAFubeYkjgVlo
H6harFtFUnTdlHDQebQsRVvXnYohfPSlWA0l0GZFEZioEVrv3s+lKmn2xL11Se4mTFTl/nIPbx/M
Ikf1WFGKW9I7CxlzYsgNZszRxpCzpeqe2RdCYcunBG5jkKs8qym6Ovv9AAyZd8p7fWt0fm68cxmz
OzD1lQ9NO3H1sDo8aQX+Zz6fQ5L1w3Q5ZLKIehMOkxhJmH6+nuDDmiyKMSEON5dJ3I0jKm8h7/AK
mxZUW/xf8Vd/I0ac4jG4EJIYV2whTq/sszDeusdCmLWkD21UM6GkRFZIzSYLCeDJNJKXg5lW7Bfm
T37sooQlmcx0Dq7B60El35V9/uM0wS70FOofp6RJua0Qm8wgnUh7FruXzJrQy7u6NZb/6IlL98db
/Gnx/Plz+F+AIeE7I4IMJ6RBbXt0MhAfe3p0l0bVoqvYuyCV7NmBPw1IAGEh9g6/GYxUqfKuaWLT
2a9/5zVGqRg50m+bPVbEqwWjBINnzJTA
`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/mono_radio/ip/xfft/floating_point_v7_0/hdl/flt_exp/flt_exp_ccm.vhd | 3 | 19013 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
JaifxNGpOe7XIHcxAckhQPFecCM/+VgckK853UGtCCHgN9n5V//jReNnlmeOsLb1jX0Tyz6+pZaM
JsL2Q/9u9g==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
np0RMLj+wzh5+62qrjrTo8OXheutUZ2VPZ12WfGmbU4rn/7BJ/SUym+/KCcPGEN/y86VmV/BAfzA
zyFI6FMEzceaiD6DMKjJOFs3MUarFmFLT479PpAVX0Is9P66qv9GIoFHOnbcXpUNgeBpeUFHrJ4s
jQeQLgAY+v2ImTsiO6U=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rPTgRxigba81ifqPByWxTEkQQsPFPY/VPItOhpNlR84ywNJ2oQqJsecoVoMITrCZFwS2pDy3ZDR1
y3xjX31hFaFFysthZ1n7c4XwQ76xnIvXG5EzQcituqdh6Pxr09cixX4GACh2+Lhd/jkTyB5oHQIT
gTOlscI8jZ1rJlZ96s9XB5z8PhYPPCpLUM2HgUHqxf3wL2eSZDXsCq6qtLopz1zl407ZS3wR8zO7
wF2KFCnF/udaNB5F2TN0ogC+yhoyKZQUWpcFR4+C8fTenRyDNtBWdZLfX/QXFzpm7tW5LrkeIyuK
4Xskhm19SJOPOPOZN65TcEQju1/DrKZDaGUngQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
3ku9EmCuDzyvQiGuLFgf0UjaOuUUxHtkgN84OXeW9AwQj56tXzAZYx0PGTEAqvimJ2U05kYM3V9h
pKE8+BgpeghVkVpbU0sn5rx99grpcdvfzbccFzu8rLZuZc/T/YEH42NQbQ3Wdt3o47tvnqqSPeza
CrxtbKlVT7ML4GWpKqg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rb77OOVJY1npmO0BN2HZzlF8FU2dAieSiAXciD7lctbWGQR6mXaQiBp+Tj8CbFurcbxp/iN4CHgj
t3a4GAvYegt4LlC1LFmjtoPG/FEqHyxj5puFcHWXY2euTzenrEGFSlugyMZaUwjmhK35E3y4MR+A
TQntZ16dLsbyVqxqGugyNxD1phGmsdZiWXYnXns9BTRx5eNBX/4rzqg3hEt/hOF1QdBO/nAV2yuy
l4BBXz2P1IalA123m9hreFrZGQoBj+wzyvmJ9rWLK2ANLIpvZ+RjYjPzPEu6ZY3RlGf85GyIOuVJ
eJNITHwPSN47DaDdI8huAhYLy9TNLVAjxKyr7A==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12336)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/mono_radio/ip/fir_lp_15kHz/fir_compiler_v7_1/hdl/muxf_bus.vhd | 8 | 8183 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
UTSlG+4TGXqAmuyI+7KEsrEEEXqFkMRSbZOgJhOAuifGl8jAazjpTyosu4p7nUOxi0+yAiGs840T
8kYxEXld+w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
JSAjcCdHS7dnEXXJGm3NCGQgTUONHQuoCn1JhnCtZy/6aIuThJLPxXquHJxv8gWxtxfOnV0t7F/V
evAsybKAquWrBinvqM3IISRSym015rqeHBQ10/zfBs/CyDvYhzVvMingFXTUCBIXWNmFQ1NSS7yO
VjnLlPq6JMdyRi9KBMI=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
WNgKgKlRTQfu4BxJOJwGLegaZyahcgFaZVJpuHaByloCbJaDEwwuuA+zI9cgyL4fk4IRnf6QXSEa
R7e/mrNSrE1ujr/vqmKdVRrgA+NmotYKvR8T/rOtYA1je1FfgwMbA0Hs87dGdtltFuk0sXvd34rU
3oRYTDmk8/ASWKvybV+R7/uzDRHvaWn6h/OQVWMg3OQ03aq2W+HK4B9WiS66TljOfa6/WUnLYBmR
zW9zaiguxUOkW4M/KZwo7EIhH5b/7/6gstdSqMOqOQ/IdBwevCnmW5CHVlOO5yK+xTGyU2LijFLf
yeWg2g47mxWBhv/AFY9DDPbCNMpVgOVYOQmpog==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
g2gzWyO74JM76OTGspUnFO0shPUxZySAKAgXf9kKj6aYqg7ceN2WZkW9Kvl6TmFsxhkf8dIlJFlZ
U8ZIEymuImaZ5naxCYoNL0TcrYLOgfF4cD2Fpr/lxQPqU/rhJVB8uqKUF9TIJGXT39dLG7X0yW85
gxUwFlDj65tw+GslYLY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
j2mzPdOza6t4PPP8bnIU9DfxLXSo9d3FR4UgpxmR4ikPNE2+gFIj/oHj3AP6iOfzQWCU1YJHZTE7
UtkOTyDPMzY2tgvlvEl7pQnVpLXyiXlLoEcB4ZrX72sGl4fqYgHxE/nG8NUD4h4XvyqkFLCkzi/a
myvKpACUxj8uSFAbqCSxCJtWVb7qF+ZwKJauENr5lm+v2DBIWMDNazb8MvdaKq5N6wIRIhg5KYEB
xqU8qJ3U6emuprjEWpPEqhdvDeIUACCVKl721IFYVDBYehb3uu2EpL0JjCpI/akNeOdQeViHEDBl
DRW1st/gDglgR32rQVenHp4Yco9ALLQMlLMmqA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4320)
`protect data_block
rJq+ugXwblyyf5KxO4vN5ft/sm3DFMq0pXwASKMg5h/NFwM1Z3HdTP5wj7w9rPkrpDUf0fnKVs8D
uvzf7EKvNeL4MWD9+NQ7xWmF5AWTm5zntW2gB0Zw6UgREP9ivjzTvFhWfWazAdK4wx/teoTTnBPV
78Ni6Ff1r0+lfKdOWW7rpXE5rRM3OP5pMCni7kDeCSNbRH/IKRdnH4Y4nFOq1BooTJoysu9tMBBj
4LyynKONy6PNdJVuKEutu+WNCNT2JQqXHSFGmSgH47j5fioYsPVm2m2FXv0X3rKhMqiQZw66H0rc
C17KMU5EEKYF63Fd305DL4wDPf9MR3vccPsRbOdYv7BBlAgniZ8veAcFry9EkpJFEM0XIkOcJGAJ
IS9hc0q0un6OhKiyJhuIcH/SCzMRi7PlwIpSb3tHPbjB0g42p9JX0Uylx1nZz8NO/4E0koiY1ref
qOo3NBUSqztcBgoMWYS1udzgHYcGehFI4OX3a0aHef0D4GCT3Lqb01Csy7nrrVXOQsG43owPgtnk
O0XDkHfk1p++bvoMbiifxqDltaq0UhJUNsx6JV5sv2Uv5Sa7aDMTKvMFgvKQ+/WLJOdJxnoYIKMy
NXxixfHcJ0nuzYhS9mDhGrgLe6v4hIVgeoBv0630kjWDWezAND0uAxPn0aiDvOoronh875hGHj2T
+hmACn2ju7HyrZCca6cYqcvP6RNMAtMNN2xmC5RWJLPjnyybd4JN3u2oU37b6j1az7BLBcNEPWfi
QUzPd64IpFqilpEOeiibAxHJHyCQx2mN3U+c8AX5lf4Knc9oBx/vQrM9vSVZ8cURI4uOOXGvnisr
VZIgK3EU+mf24a9EDMwDoskKF73UBjxvcSh0T9u/qKKM8dBGDwVdUDgpmXwFvqpRikWu/CqnQWQD
OylJk4IwTrJGyKv9Ka63rSpFdSZnJnP0H8zy+hZc1HMXlYkKn2S7O+7mQ+bwLR3PdI7LWIBkTxgT
fyLr37thqi6WCoZupfi1unOWQHx4k5zBvyqcR9/jRyE8yyUjM5j9WpDvIJk79BhRkAbeYOc6EEoV
8IYIkCI9fDhI8PUMDMkidUfZk5THpQg24chDo15DoDB+N5rSNxsBv+h/0qBNRsZM3yjJruvQLTGU
OhIHh7E7kexfxSIa7MbpWfs6JBCcC6NcpO6aDXqf5IHgSKFZ7SCh/EsIiyDzFe9BDfHuNT/2gRX1
AAUgHluSccvFSpI3DqdyVi+XMmIAP64kznrjKigZHOJ4Ouf6lt+jsbXgucOFEFYdOeCWlDDKjOyJ
p9E1uAdvqPN9PdwGX68q8zQwL9mj85BL0O8sPK6ZIQC0RCeM0+P5mS6pODlfihl2wNF8iA8laxDY
j8+hw5CVNemwtnunuwkuJXmPPsaX2i0cpq1FFyZ0GxdlzfAziiySz0FxYswOfv4V01gPO1SH2Beh
EivCXo4hzVwEiZH2U5uD4DTbXMgBmPTZNlLjy8R8VhUqQsH4py0iOVywsgjNm2UUgU6t/rXj//RX
c6RWmMl6qCCYL4ERDUqy4tKJNNSCC4yBIfniwQWNKIwyuk7i4a/7fEjMRQHFInYzlDNInjNMgoDv
zE/wff122bIWW/gDbCTscX/XJywIDyewV7v8AeVPFWCzHAgM56LZuv5R1iwnyBLhIMbue5pb9z5a
FRYOUfBIHxpxTQrdLba7reZMTs1TfgSDfHRoCyNmrPm6UfPKyVUKgzhAqypBm+dOAqOiO7gQ+x90
vOngQq3AelEyPd3AZLwggg/+ohdh4tbOGBgaPA5WK23H6PfwxsjxO/AijFmqHDYBwDFFv8yd0BhO
LxGYyhtsbC0ba2SRok1kg3CLIIVpOV9pAUm1AwS7KDT1d+ClWEgquLI0L/NayrzIuHQX4k+afrWR
pv8IMp6DFSNmYw6a4NidOsQZOfSU+4qeA0Tt7yy720RlL1wx6CIi3mNkKh2WKriXqs0rUZld30fB
uGa9qk/UFPe6IPyPx9a/Mae7DkJ0uinTlA2xeuqdJHfxvKZzMH5eByqL6oePgnKSiyHsqYPCZr7B
TqZc8GWi2jR70nsxXRFvbSmsz8cuC9AIFpd70+R2dbIPNdva2mcQeKTOhspBnMFraItfxqAuuhlN
8C+Yje3JicTD6N/nu3XwfrYur/as4r5/NE60F6c4vumokkpLyuX4cEg5SXTw4YH0Ng5Uql6aOGsQ
MYVlXAO3dL/B4kl0rYlDJBl4wjKvIesGOZqRspQkiC4dqr5ZwMdOUSQOcG3HKX/UTpckj7A20Sap
TX4yB6O8QvHRdZE1zUN+m+vS3bDH3Wwdwaon3cdSMmQA7OI4w/mmQInIDZtqNrxUzxHR1piT/1df
EylnSYQ+XyKhLAcTAWZYrniawAWR2Ojfks4sOJa4HXMhTT6bcY+Z0LfAg6sTogKoMtQdpH9zNSdd
4CSoAlQvuc4HHV2VpIMxBQ7lbOF0IiAdIjVCWWbkDBf/XficjVuL6Fj4uazGtGN2G/9EAvBWxfuR
VnT35dPBPaILTOpbT7mecN7UIU1d10vxoLrWz7wyaAQZcdFnwLjK6pkIENxgMA7aEeB0tlzVgekG
9jkLYA9aPgUfb0kacd+EPt7K4NFZshL5dIl6NdtIo405cghuwxvJpqhMJdKJl5vV9ayNLqNQUvSH
oHsVXTUVcy3gG88HVi47e7IRvKEY05gJR9LGzgWmjI1yPVxgakiI2eHpPUyTWdK9gSzwe7F3K0vf
yZ4+1j3O1bicnJ8P9C5oBRta8v8b5rPdbnLupJo/SrRRbxXruTH01/vomtkyFdeAbPtZzCQtiSsg
o/VcBYuAVIxIhxabKFNBSvrE+uAo7vMlsy7FBGrSVFy2YkAd0RkU2tCyTkYWwtPRP3Wq/dGkGkgr
A2Mel6F9VmHABv+QrVSLlbn+ya0S7DT4EZxSKzCgK0hRYtfYE6JHeRlKWNhLQBg1S6mcKvqasIre
Nc5KZdVrJ7wfKLHpjh5nTWwGMrIL5AZ0S4hLmsXivPrXL8YPjCueW2QvheHgKAfM0mZqIJa0HnCy
leEhU3r3WLUGkQ8iGWPC+HVSTivAHTeXe+8N2F7umZ5lez3ZvOvhW3VChglK1ItQ67LnXdxJ2/Sa
ItK7X4oD0QtSFZ1FfHYIWw03hcocjMzTs+MjivazEhoFxua0JH4FReUkWF1RArK+XPFyE3IxqlqG
x9kGL8UCQxhnWLgnJ/pKGrDhZEwVi0on541s4mQYGY051vDa3EbDUt8NDT2wEEwy6+Six8DEmfb4
Rs0WyNch9O9OY3pYmlxgqRZqQfd+J1O/k4i1KvuZ0FKxHbSIcqM/mH3yUtaPBC6c866ZSMKL4IIx
DTkFHK8tPhEnpzGLeAE15sQ4Kcg7G7MOVLr7/1YV+8MU4sIry6J1Gp93LectaIlQivX120Rt1eEP
pUPIlo4uSh2VoKLCsaLZw/lTsOTv6iDkiwJtSg8c4xzI9JB9PXrOYO6n58BqvprvYn2trrRRKnoQ
waVAtQfXxZvSuEIpRidTmXAE6EsCOOqwmZclldiY+treXkZYGcGAF65ZIvQjinTujBzEFuEmQecM
m/0shotStjrmWmwgvm2tUAUQE2RozjWdbwBBLzscXPqZ9R9hyGooJEVcqZnwvXz/EIFXYAIkiyod
wurV+n8/7zo0RSLO8tgj8b4YGNO317jpny6QRmiBnCZ1gIweu6laOwI6FOxYgWgr/90UcZ0I1yzd
ie1/TiNW8L4ewjIb/sAy0Zf4TWqOLexeUnvYy7BtSkO8gSUYyEo9LYJUp5xp+6nHLH/1Moh0728G
EJHklUpkMP6U1vnCRsK504b+xB3H/Xy9il2aulArHOIjz7uxGrFNg+RSYZPvufm45L0FQmV/+9fI
oy8TI6M/IReZwMUcWdY7nkx/E94osivz6yvhVM2wtEEOIcsG0F8YBnEl6YV2EpL5YnwwemF77Tuh
lhlXzzZI9rq1MuiBRwC1eV3w7AKzs1aLPL0G+YBF9e2LWaZJqK7YBNk/uj3DNm0Naytq0AlSDSE0
5y9uL59PaJJtugN0bSpAbsSroz5VtZy47yjF8CBJz27QKRUTwAE3GvPL+bWGVvx0Fb7eA/rVbfzO
l8kvd78I4cLdGMWOwN2RMNsX0r+Nh3xUSnS4+PJgCTF7CfsVripYw1YmBOlS4Tb/oDYugQfh4AHM
eBqCr9hj8dTbHhFCKVHwWOMNVMNA7TCqkxXOgB4c2hG8f8F3/nDbrD40chL8IY6YwQnt99zHK0T4
OcdoYEl0qcQ/tiNXgV4qZEFN+N3UmNsbyBnc1Ry8uttNtEr4fDudEykBjJXMcXxSv8oD8pWojz1D
D4kCoVukQjd5QHkHUkTIrQhY+Ap8SD7kUjXkE9f2uy5deyEGDRqfJiQrCTIuCybS4PtZSUJb3gMQ
461kL57fZcexE5pTFJcGS8ZAfj7PeMmESuF11SrcFgQ0LPtTfgogfZvLfwCckmPsJ5m3v891Qr6l
KVEUA0qSYzNSswmPtQXrrPkHE5HKlkQAbyuKWS4ljfYfMBfrgXP5m8bm3/7UUfLIWYOsjydxM2Vy
bLshPJtOG0kStwt7gQWE6GB4lHj52TSrKs3PKWgWSHqA7/D2IDBFZbioHDt6U77urTbEETllz9L8
RAcW4whis8DuHwxrg4VPOnp4BLSZakarEK3hWaTgDU8CgkfnFyugptYQCWTdk+V8XdN2Y75zokdm
SDhoQIzHbod/Wiv2us9YLrGjOC+Z3LZyvA0nNZcBJml12WFeIEj+XAoT0H1HqV8pdp/5zly8hMiK
Z6PNnSBfjFmIEWvLqiOaJBz3RP7f10lDjP8ek3fzdUGe/saBAhAlFvdjuxAck/ZS0zM3aWRfmpsk
LbYhatInUPoWfOyOeZREMWKhkqFwduyKcZNbeeKGfAM3DpygQqxUETub4kMOKn+xVgidqKR/z5M0
+GTZsxg8khhok6Ls1fdF/2S7PTU4CCHeWkq2Kfm1nEA4vSppcqLlsbU2jcEqUfl4KjS5FHM0cbvI
lK4VBvWO0OsMP70Z6GgvC8GeaVO4KJbVJwbOOg6edHYmO1l0Zm+QXCychxXLSjlBYXkAxJ4XAgWY
ecBZwozPXYJsqkEmjVJuIZzXGhAf3hZWltvbw33UavEXG533fo5DJn4psAB6smdTNM0Hb/A8dRD7
5qq7g4ApkpFPMOCZmNbvXTO5lRUSFJO86z/x+hK+ji3bZUM6jBCAnz2qlU/C/RiMb7EZe0yF9pQf
5ntJsvD/NY8genr7EtRM4gW3qO5nq6ytNJX1qStb/xiObocIXnu11MNQfixiubtk4oCOTYN4pM7Y
9XZV/PKVzJuc0vugzscf4I4+XGzhgAEhNsUS7Hr6VtVVLlM8ew53CqJdwxrBWawrwgUrwAJStm4K
vg0904RRlZCeleSCiesre9UvhN/caE0rktAnFXxt/2bbVvjBHptIm5l981JjeJngvKxwjFMO/ZJn
/PGXRB6UcPdDwAJthEViN2MnSEKk7QR67OjzI3a+zS7eBs/AYF26HHwMVt5fXy65D4vV1G811798
oKKeYvmsAxBrl0r3Ib0J76JPZFWk6GcuztaxDc5PGZKGE14BXJXnskGFbFgC1Vis//MpHGC0A+mv
qYOQJ8vEgQM7bbvdk226m/6+6JKqP49LmxGC9xEl6thH/dwpl+mzQ76ledMAQFv4WbGK+u+7Sl4M
ThaC7c9xC7Ng8BXuyjEsxd6MaCJw5w4MtC8qkwZUkAP9OlrM2LBYUfX3EqRa
`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_4/part_1/ip/fir/fir_compiler_v7_1/hdl/fir_compiler_v7_1_viv.vhd | 2 | 86668 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
j60cUBDIK7Kn6SyUcULLkFgB2d/vI2cnYgKOEFzVgZUWa8LsPzMa7QDY2hC1ddDex83es7FWp4P6
Rxys0v0OTg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Q0gpn2+7QATsb+W+W1TnIrVtu0HtTibTTmubUeDF7/phm3Qw7Epfc4S8Lqb+lPfUb/9bviAUzZDO
1xQMo3zhFh4YYG5bDFyrtzRz58pXKvnqmJSJ/UTT3mS/lUlUMHGLeXE/baHGNvSKfQ70+heMFa4z
sYS69K2j2miaxHbb9dQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dP1BuRrlmGQxP7WaBSId30A70sAhjyj9GlKHvc4xg7PWiX9Trbdopfqj9azzPyCnW32m5z6oXNMp
0wvABljTFwBy8qs8UYw0um4Uj/QmZTe/FiFST95WdJc1eynEEBcv+qXHssk8GmCk4N9yc1SNqq3O
ft3mXul43Sx550y7It2XPIsNuFqUEUW2oklRtHJnY2YeE1c1iLCOMKck6b2jpzvAD+pwVNT9Z9fQ
3siwqDfr7EuozUs6+VKkU4o69SHp6YOHaYaH30CvvVbBz0Q1ESURVzOmsTjk1GNfSuS93udb0rNK
gNK54DFQqsNma7eIvGzOeIjggKf4VJFd4PwDLA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
1atFfsKb7hWXXc+CLb5Jnzojbcnn0SoyHTF2+XFf3ULokiBwDdnUKVSAQx5bwYPOsY0tQtkidNHR
Iag0us5tTFH2c9LAH5Gc22aAC1uhmsio19O7f+oQbyOmN0fFn+sGZrdb82SJMbfqaAxN0cnT8uhV
lOZVeBUfEZnwJITcF6U=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
R3P6E6Lv+tW9EddQ5JamDhuzsGRrgeifMYfgoWoFlg4x6COj2uBHrOr99DjcAuLy/YnRCJ3fsgoA
QIEy6n2GmHHjYHwsqNko5cOHOwsRYZv+jqB58mjAlSimZMMtrvy4xgEB8m87uhEDy4xtGbzajVvl
fMhHN1KcboB5JhrH5LmtE53lcmrBMhTMHax/ZIH+UBIKHAHm9qmlOuf5Flh13USPSd6YEPcidgSI
WKGsmiS2DFrpSJJdkkqG4UxaVvRp89M3jMCEOLNQTPe0GzbmPgHLDGzQnfSb0h3qpyofIgUOknEc
DNjaxz18qDJH6AUtVd2CwBerYU3Db881/LNP6A==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 62416)
`protect data_block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`protect end_protected
| gpl-2.0 |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.