repo_name
stringlengths
6
79
path
stringlengths
5
236
copies
stringclasses
54 values
size
stringlengths
1
8
content
stringlengths
0
1.04M
license
stringclasses
15 values
jairov4/accel-oil
solution_virtex5/impl/pcores/nfa_accept_samples_generic_hw_top_v1_00_a/simhdl/vhdl/nfa_finals_buckets_if_ap_fifo.vhd
2
2841
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.1 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- ============================================================== library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; entity nfa_finals_buckets_if_ap_fifo is generic ( DATA_WIDTH : integer := 32; ADDR_WIDTH : integer := 16; DEPTH : integer := 1); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_empty_n : OUT STD_LOGIC; if_read_ce : IN STD_LOGIC := '1'; if_read : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write_ce : IN STD_LOGIC := '1'; if_write : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0)); end entity; architecture rtl of nfa_finals_buckets_if_ap_fifo is type memtype is array (0 to DEPTH - 1) of STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); signal mStorage : memtype := (others => (others => '0')); signal mInPtr : UNSIGNED(ADDR_WIDTH - 1 downto 0) := (others => '0'); signal mOutPtr : UNSIGNED(ADDR_WIDTH - 1 downto 0) := (others => '0'); signal internal_empty_n, internal_full_n : STD_LOGIC; signal mFlag_nEF_hint : STD_LOGIC := '0'; -- 0: empty hint, 1: full hint begin if_dout <= mStorage(CONV_INTEGER(mOutPtr)); if_empty_n <= internal_empty_n; if_full_n <= internal_full_n; internal_empty_n <= '0' when mInPtr = mOutPtr and mFlag_nEF_hint = '0' else '1'; internal_full_n <= '0' when mInptr = mOutPtr and mFlag_nEF_hint = '1' else '1'; process (clk, reset) begin if reset = '1' then mInPtr <= (others => '0'); mOutPtr <= (others => '0'); mFlag_nEF_hint <= '0'; -- empty hint elsif clk'event and clk = '1' then if if_read_ce = '1' and if_read = '1' and internal_empty_n = '1' then if (mOutPtr = DEPTH -1) then mOutPtr <= (others => '0'); mFlag_nEF_hint <= not mFlag_nEF_hint; else mOutPtr <= mOutPtr + 1; end if; end if; if if_write_ce = '1' and if_write = '1' and internal_full_n = '1' then mStorage(CONV_INTEGER(mInPtr)) <= if_din; if (mInPtr = DEPTH -1) then mInPtr <= (others => '0'); mFlag_nEF_hint <= not mFlag_nEF_hint; else mInPtr <= mInPtr + 1; end if; end if; end if; end process; end architecture;
lgpl-3.0
jairov4/accel-oil
solution_kintex7/sim/vhdl/nfa_get_finals.vhd
4
14969
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2013.4 -- Copyright (C) 2013 Xilinx Inc. All rights reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity nfa_get_finals is port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; nfa_finals_buckets_req_din : OUT STD_LOGIC; nfa_finals_buckets_req_full_n : IN STD_LOGIC; nfa_finals_buckets_req_write : OUT STD_LOGIC; nfa_finals_buckets_rsp_empty_n : IN STD_LOGIC; nfa_finals_buckets_rsp_read : OUT STD_LOGIC; nfa_finals_buckets_address : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_finals_buckets_datain : IN STD_LOGIC_VECTOR (31 downto 0); nfa_finals_buckets_dataout : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_finals_buckets_size : OUT STD_LOGIC_VECTOR (31 downto 0); ap_ce : IN STD_LOGIC; ap_return_0 : OUT STD_LOGIC_VECTOR (31 downto 0); ap_return_1 : OUT STD_LOGIC_VECTOR (31 downto 0) ); end; architecture behav of nfa_get_finals is constant ap_const_logic_1 : STD_LOGIC := '1'; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_ST_pp0_stg0_fsm_0 : STD_LOGIC_VECTOR (0 downto 0) := "1"; constant ap_ST_pp0_stg1_fsm_1 : STD_LOGIC_VECTOR (0 downto 0) := "0"; constant ap_const_lv64_1 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000000001"; constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001"; signal ap_CS_fsm : STD_LOGIC_VECTOR (0 downto 0) := "1"; signal ap_reg_ppiten_pp0_it0 : STD_LOGIC; signal ap_reg_ppiten_pp0_it1 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it2 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it3 : STD_LOGIC := '0'; signal nfa_finals_buckets_read_reg_63 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppiten_pp0_it0_preg : STD_LOGIC := '0'; signal ap_NS_fsm : STD_LOGIC_VECTOR (0 downto 0); signal ap_sig_pprstidle_pp0 : STD_LOGIC; signal ap_sig_bdd_136 : BOOLEAN; signal ap_sig_bdd_67 : BOOLEAN; signal ap_sig_bdd_135 : BOOLEAN; begin -- the current state (ap_CS_fsm) of the state machine. -- ap_CS_fsm_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_CS_fsm <= ap_ST_pp0_stg0_fsm_0; else ap_CS_fsm <= ap_NS_fsm; end if; end if; end process; -- ap_reg_ppiten_pp0_it0_preg assign process. -- ap_reg_ppiten_pp0_it0_preg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it0_preg <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((not((ap_const_logic_1 = ap_ce)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it3) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)))))) then ap_reg_ppiten_pp0_it0_preg <= ap_start; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it1 assign process. -- ap_reg_ppiten_pp0_it1_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it1 <= ap_const_logic_0; else if (((ap_ST_pp0_stg1_fsm_1 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it1 <= ap_reg_ppiten_pp0_it0; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it2 assign process. -- ap_reg_ppiten_pp0_it2_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it2 <= ap_const_logic_0; else if (((ap_ST_pp0_stg1_fsm_1 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it2 <= ap_reg_ppiten_pp0_it1; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it3 assign process. -- ap_reg_ppiten_pp0_it3_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it3 <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((not((ap_const_logic_1 = ap_ce)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it3) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)))) and not((ap_const_logic_1 = ap_reg_ppiten_pp0_it2)))) then ap_reg_ppiten_pp0_it3 <= ap_const_logic_0; elsif (((ap_ST_pp0_stg1_fsm_1 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it3 <= ap_reg_ppiten_pp0_it2; end if; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (ap_ST_pp0_stg1_fsm_1 = ap_CS_fsm) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0))) and (ap_const_logic_1 = ap_ce))) then nfa_finals_buckets_read_reg_63 <= nfa_finals_buckets_datain; end if; end if; end process; -- the next state (ap_NS_fsm) of the state machine. -- ap_NS_fsm_assign_proc : process (ap_start , ap_CS_fsm , ap_reg_ppiten_pp0_it0 , ap_reg_ppiten_pp0_it1 , ap_reg_ppiten_pp0_it2 , ap_reg_ppiten_pp0_it3 , nfa_finals_buckets_rsp_empty_n , ap_ce , ap_sig_pprstidle_pp0) begin case ap_CS_fsm is when ap_ST_pp0_stg0_fsm_0 => if ((not((not((ap_const_logic_1 = ap_ce)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it3) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)))) and not((ap_const_logic_1 = ap_sig_pprstidle_pp0)) and not(((ap_const_logic_0 = ap_reg_ppiten_pp0_it1) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it2) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it3) and (ap_const_logic_0 = ap_start))))) then ap_NS_fsm <= ap_ST_pp0_stg1_fsm_1; elsif ((not((not((ap_const_logic_1 = ap_ce)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it3) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_sig_pprstidle_pp0))) then ap_NS_fsm <= ap_ST_pp0_stg0_fsm_0; else ap_NS_fsm <= ap_ST_pp0_stg0_fsm_0; end if; when ap_ST_pp0_stg1_fsm_1 => if (not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce))))) then ap_NS_fsm <= ap_ST_pp0_stg0_fsm_0; else ap_NS_fsm <= ap_ST_pp0_stg1_fsm_1; end if; when others => ap_NS_fsm <= "X"; end case; end process; -- ap_done assign process. -- ap_done_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it3, nfa_finals_buckets_rsp_empty_n, ap_ce) begin if (((not((ap_const_logic_1 = ap_start)) and (ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0)) or ((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it3) and (ap_const_logic_1 = ap_ce) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it3) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0))))))) then ap_done <= ap_const_logic_1; else ap_done <= ap_const_logic_0; end if; end process; -- ap_idle assign process. -- ap_idle_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, ap_reg_ppiten_pp0_it2, ap_reg_ppiten_pp0_it3) begin if ((not((ap_const_logic_1 = ap_start)) and (ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it1) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it2) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it3))) then ap_idle <= ap_const_logic_1; else ap_idle <= ap_const_logic_0; end if; end process; -- ap_ready assign process. -- ap_ready_assign_proc : process(ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it2, nfa_finals_buckets_rsp_empty_n, ap_ce) begin if (((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_ST_pp0_stg1_fsm_1 = ap_CS_fsm) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0))) and (ap_const_logic_1 = ap_ce))) then ap_ready <= ap_const_logic_1; else ap_ready <= ap_const_logic_0; end if; end process; -- ap_reg_ppiten_pp0_it0 assign process. -- ap_reg_ppiten_pp0_it0_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0_preg) begin if ((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm)) then ap_reg_ppiten_pp0_it0 <= ap_start; else ap_reg_ppiten_pp0_it0 <= ap_reg_ppiten_pp0_it0_preg; end if; end process; ap_return_0 <= nfa_finals_buckets_read_reg_63; ap_return_1 <= nfa_finals_buckets_datain; -- ap_sig_bdd_135 assign process. -- ap_sig_bdd_135_assign_proc : process(ap_reg_ppiten_pp0_it0, ap_ce) begin ap_sig_bdd_135 <= ((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_1 = ap_ce)); end process; -- ap_sig_bdd_136 assign process. -- ap_sig_bdd_136_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it3, nfa_finals_buckets_rsp_empty_n) begin ap_sig_bdd_136 <= ((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it3) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0))))); end process; -- ap_sig_bdd_67 assign process. -- ap_sig_bdd_67_assign_proc : process(ap_CS_fsm, ap_reg_ppiten_pp0_it2, nfa_finals_buckets_rsp_empty_n) begin ap_sig_bdd_67 <= ((ap_ST_pp0_stg1_fsm_1 = ap_CS_fsm) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)))); end process; -- ap_sig_pprstidle_pp0 assign process. -- ap_sig_pprstidle_pp0_assign_proc : process(ap_start, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, ap_reg_ppiten_pp0_it2) begin if (((ap_const_logic_0 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it1) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it2) and (ap_const_logic_0 = ap_start))) then ap_sig_pprstidle_pp0 <= ap_const_logic_1; else ap_sig_pprstidle_pp0 <= ap_const_logic_0; end if; end process; -- nfa_finals_buckets_address assign process. -- nfa_finals_buckets_address_assign_proc : process(ap_sig_bdd_136, ap_sig_bdd_67, ap_sig_bdd_135) begin if (ap_sig_bdd_135) then if (ap_sig_bdd_67) then nfa_finals_buckets_address <= ap_const_lv64_1(32 - 1 downto 0); elsif (ap_sig_bdd_136) then nfa_finals_buckets_address <= ap_const_lv32_0; else nfa_finals_buckets_address <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; else nfa_finals_buckets_address <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; nfa_finals_buckets_dataout <= ap_const_lv32_0; nfa_finals_buckets_req_din <= ap_const_logic_0; -- nfa_finals_buckets_req_write assign process. -- nfa_finals_buckets_req_write_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it2, ap_reg_ppiten_pp0_it3, nfa_finals_buckets_rsp_empty_n, ap_ce) begin if ((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_ST_pp0_stg1_fsm_1 = ap_CS_fsm) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0))) and (ap_const_logic_1 = ap_ce)) or ((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_1 = ap_ce) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it3) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0))))))) then nfa_finals_buckets_req_write <= ap_const_logic_1; else nfa_finals_buckets_req_write <= ap_const_logic_0; end if; end process; -- nfa_finals_buckets_rsp_read assign process. -- nfa_finals_buckets_rsp_read_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it2, ap_reg_ppiten_pp0_it3, nfa_finals_buckets_rsp_empty_n, ap_ce) begin if ((((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (ap_ST_pp0_stg1_fsm_1 = ap_CS_fsm) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0))) and (ap_const_logic_1 = ap_ce)) or ((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it3) and (ap_const_logic_1 = ap_ce) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it3) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0))))))) then nfa_finals_buckets_rsp_read <= ap_const_logic_1; else nfa_finals_buckets_rsp_read <= ap_const_logic_0; end if; end process; nfa_finals_buckets_size <= ap_const_lv32_1; end behav;
lgpl-3.0
jairov4/accel-oil
solution_spartan3/impl/vhdl/nfa_accept_samples_generic_hw_mul_8ns_6ns_14_9.vhd
2
3326
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2013.4 -- Copyright (C) 2013 Xilinx Inc. All rights reserved. -- -- ============================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity nfa_accept_samples_generic_hw_mul_8ns_6ns_14_9_MulnS_1 is port ( clk: in std_logic; ce: in std_logic; a: in std_logic_vector(8 - 1 downto 0); b: in std_logic_vector(6 - 1 downto 0); p: out std_logic_vector(14 - 1 downto 0)); end entity; architecture behav of nfa_accept_samples_generic_hw_mul_8ns_6ns_14_9_MulnS_1 is signal tmp_product : std_logic_vector(14 - 1 downto 0); signal a_i : std_logic_vector(8 - 1 downto 0); signal b_i : std_logic_vector(6 - 1 downto 0); signal p_tmp : std_logic_vector(14 - 1 downto 0); signal a_reg : std_logic_vector(8 - 1 downto 0); signal b_reg : std_logic_vector(6 - 1 downto 0); attribute keep : string; attribute keep of a_i : signal is "true"; attribute keep of b_i : signal is "true"; signal buff0 : std_logic_vector(14 - 1 downto 0); signal buff1 : std_logic_vector(14 - 1 downto 0); signal buff2 : std_logic_vector(14 - 1 downto 0); signal buff3 : std_logic_vector(14 - 1 downto 0); signal buff4 : std_logic_vector(14 - 1 downto 0); signal buff5 : std_logic_vector(14 - 1 downto 0); signal buff6 : std_logic_vector(14 - 1 downto 0); begin a_i <= a; b_i <= b; p <= p_tmp; p_tmp <= buff6; tmp_product <= std_logic_vector(resize(unsigned(a_reg) * unsigned(b_reg), 14)); process(clk) begin if (clk'event and clk = '1') then if (ce = '1') then a_reg <= a_i; b_reg <= b_i; buff0 <= tmp_product; buff1 <= buff0; buff2 <= buff1; buff3 <= buff2; buff4 <= buff3; buff5 <= buff4; buff6 <= buff5; end if; end if; end process; end architecture; Library IEEE; use IEEE.std_logic_1164.all; entity nfa_accept_samples_generic_hw_mul_8ns_6ns_14_9 is generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; ce : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR(din0_WIDTH - 1 DOWNTO 0); din1 : IN STD_LOGIC_VECTOR(din1_WIDTH - 1 DOWNTO 0); dout : OUT STD_LOGIC_VECTOR(dout_WIDTH - 1 DOWNTO 0)); end entity; architecture arch of nfa_accept_samples_generic_hw_mul_8ns_6ns_14_9 is component nfa_accept_samples_generic_hw_mul_8ns_6ns_14_9_MulnS_1 is port ( clk : IN STD_LOGIC; ce : IN STD_LOGIC; a : IN STD_LOGIC_VECTOR; b : IN STD_LOGIC_VECTOR; p : OUT STD_LOGIC_VECTOR); end component; begin nfa_accept_samples_generic_hw_mul_8ns_6ns_14_9_MulnS_1_U : component nfa_accept_samples_generic_hw_mul_8ns_6ns_14_9_MulnS_1 port map ( clk => clk, ce => ce, a => din0, b => din1, p => dout); end architecture;
lgpl-3.0
jairov4/accel-oil
solution_virtex5_plb/impl/vhdl/nfa_accept_samples_generic_hw_mul_16ns_8ns_24_2.vhd
1
2575
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.1 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- ============================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity nfa_accept_samples_generic_hw_mul_16ns_8ns_24_2_MAC2S_0 is port ( clk: in std_logic; ce: in std_logic; a: in std_logic_vector(16 - 1 downto 0); b: in std_logic_vector(8 - 1 downto 0); p: out std_logic_vector(24 - 1 downto 0)); end entity; architecture behav of nfa_accept_samples_generic_hw_mul_16ns_8ns_24_2_MAC2S_0 is signal tmp_product : std_logic_vector(24 - 1 downto 0); signal a_i : std_logic_vector(16 - 1 downto 0); signal b_i : std_logic_vector(8 - 1 downto 0); signal p_tmp : std_logic_vector(24 - 1 downto 0); attribute keep : string; attribute keep of a_i : signal is "true"; attribute keep of b_i : signal is "true"; begin a_i <= a; b_i <= b; p <= p_tmp; tmp_product <= std_logic_vector(resize(unsigned(a_i) * unsigned(b_i), 24)); process(clk) begin if (clk'event and clk = '1') then if (ce = '1') then p_tmp <= tmp_product; end if; end if; end process; end architecture; Library IEEE; use IEEE.std_logic_1164.all; entity nfa_accept_samples_generic_hw_mul_16ns_8ns_24_2 is generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; ce : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR(din0_WIDTH - 1 DOWNTO 0); din1 : IN STD_LOGIC_VECTOR(din1_WIDTH - 1 DOWNTO 0); dout : OUT STD_LOGIC_VECTOR(dout_WIDTH - 1 DOWNTO 0)); end entity; architecture arch of nfa_accept_samples_generic_hw_mul_16ns_8ns_24_2 is component nfa_accept_samples_generic_hw_mul_16ns_8ns_24_2_MAC2S_0 is port ( clk : IN STD_LOGIC; ce : IN STD_LOGIC; a : IN STD_LOGIC_VECTOR; b : IN STD_LOGIC_VECTOR; p : OUT STD_LOGIC_VECTOR); end component; begin nfa_accept_samples_generic_hw_mul_16ns_8ns_24_2_MAC2S_0_U : component nfa_accept_samples_generic_hw_mul_16ns_8ns_24_2_MAC2S_0 port map ( clk => clk, ce => ce, a => din0, b => din1, p => dout); end architecture;
lgpl-3.0
jairov4/accel-oil
solution_kintex7/sim/vhdl/AESL_autobus_indices_stride.vhd
1
28947
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; use IEEE.numeric_std.all; use ieee.std_logic_textio.all; use std.textio.all; library work; use work.all; entity AESL_autobus_indices_stride is generic ( constant TV_IN : STRING (1 to 75) := "../tv/cdatafile/c.nfa_accept_samples_generic_hw.autotvin_indices_stride.dat"; constant TV_OUT : STRING (1 to 80) := "../tv/rtldatafile/rtl.nfa_accept_samples_generic_hw.autotvout_indices_stride.dat"; constant DATA_WIDTH : INTEGER := 8; constant ADDR_WIDTH : INTEGER := 32; constant DEPTH : INTEGER := 10; constant FIFO_DEPTH : INTEGER := 32; constant FIFO_DEPTH_ADDR_WIDTH : INTEGER := 32 ); port ( clk : IN STD_LOGIC; rst : IN STD_LOGIC; bus_req_RW : IN STD_LOGIC; bus_req_full_n : OUT STD_LOGIC; bus_req_RW_en : IN STD_LOGIC; bus_rsp_empty_n : OUT STD_LOGIC; bus_rsp_read : IN STD_LOGIC; bus_address : IN STD_LOGIC_VECTOR (ADDR_WIDTH - 1 downto 0); bus_din : IN STD_LOGIC_VECTOR (DATA_WIDTH - 1 downto 0); bus_dout : OUT STD_LOGIC_VECTOR (DATA_WIDTH - 1 downto 0); bus_size : IN STD_LOGIC_VECTOR ( 31 downto 0); ready : IN STD_LOGIC; done : IN STD_LOGIC ); end AESL_autobus_indices_stride; architecture behav of AESL_autobus_indices_stride is -- Inner signals signal FIFO_req_ptr_r : STD_LOGIC_VECTOR (FIFO_DEPTH_ADDR_WIDTH - 1 downto 0) := (others => '0'); signal FIFO_req_ptr_w : STD_LOGIC_VECTOR (FIFO_DEPTH_ADDR_WIDTH - 1 downto 0) := (others => '0'); signal FIFO_req_flag : STD_LOGIC := '0'; -- 0: empty hint, 1: full hint signal FIFO_req_empty : STD_LOGIC := '0'; signal FIFO_req_full : STD_LOGIC := '0'; signal FIFO_req_read : STD_LOGIC := '0'; signal FIFO_req_burst_flag:STD_LOGIC := '0'; signal FIFO_rsp_ptr_r : STD_LOGIC_VECTOR (ADDR_WIDTH - 1 downto 0) := (others => '0'); signal FIFO_rsp_ptr_w : STD_LOGIC_VECTOR (ADDR_WIDTH - 1 downto 0) := (others => '0'); signal FIFO_rsp_flag : STD_LOGIC := '0'; signal FIFO_rsp_empty : STD_LOGIC; signal FIFO_rsp_full : STD_LOGIC; signal FIFO_rsp_write : STD_LOGIC; signal FIFO_req_temp_state : STD_LOGIC_VECTOR(1 downto 0) := "00"; type arr_fifo_req_RW is array(0 to FIFO_DEPTH - 1) of STD_LOGIC; type arr_fifo_req_addr is array(0 to FIFO_DEPTH - 1) of STD_LOGIC_VECTOR(ADDR_WIDTH - 1 downto 0); type arr_fifo_req_din is array(0 to FIFO_DEPTH - 1) of STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); type arr_fifo_req_size is array(0 to FIFO_DEPTH - 1) of STD_LOGIC_VECTOR(31 downto 0); type arr_mem is array(0 to DEPTH - 1) of STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); shared variable FIFO_req_RW : arr_fifo_req_RW; shared variable FIFO_req_address: arr_fifo_req_addr; shared variable FIFO_req_din : arr_fifo_req_din; shared variable FIFO_req_size : arr_fifo_req_size; shared variable mem : arr_mem := (others => (others => '0')); shared variable FIFO_rsp_mem : arr_mem := (others => (others => '0')); procedure esl_read_token (file textfile: TEXT; textline: inout LINE; token: out STRING; token_len: out INTEGER) is variable whitespace : CHARACTER; variable i : INTEGER; variable ok: BOOLEAN; variable buff: STRING(1 to token'length); begin ok := false; i := 1; loop_main: while not endfile(textfile) loop if textline = null or textline'length = 0 then readline(textfile, textline); end if; loop_remove_whitespace: while textline'length > 0 loop if textline(textline'left) = ' ' or textline(textline'left) = HT or textline(textline'left) = CR or textline(textline'left) = LF then read(textline, whitespace); else exit loop_remove_whitespace; end if; end loop; loop_aesl_read_token: while textline'length > 0 and i <= buff'length loop if textline(textline'left) = ' ' or textline(textline'left) = HT or textline(textline'left) = CR or textline(textline'left) = LF then exit loop_aesl_read_token; else read(textline, buff(i)); i := i + 1; end if; ok := true; end loop; if ok = true then exit loop_main; end if; end loop; buff(i) := ' '; token := buff; token_len:= i-1; end procedure esl_read_token; procedure esl_read_token (file textfile: TEXT; textline: inout LINE; token: out STRING) is variable i : INTEGER; begin esl_read_token (textfile, textline, token, i); end procedure esl_read_token; function esl_add(v1, v2 : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is variable res : unsigned(v1'length-1 downto 0); begin res := unsigned(v1) + unsigned(v2); return std_logic_vector(res); end function; function esl_sub(v1, v2 : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is variable res : unsigned(v1'length-1 downto 0); begin res := unsigned(v1) - unsigned(v2); return std_logic_vector(res); end function; function esl_str2lv_hex (RHS : STRING; data_width : INTEGER) return STD_LOGIC_VECTOR is variable ret : STD_LOGIC_VECTOR(data_width - 1 downto 0); variable idx : integer := 3; begin ret := (others => '0'); if(RHS(1) /= '0' and (RHS(2) /= 'x' or RHS(2) /= 'X')) then report "Error! The format of hex number is not initialed by 0x"; end if; while true loop if (data_width > 4) then case RHS(idx) is when '0' => ret := ret(data_width - 5 downto 0) & "0000"; when '1' => ret := ret(data_width - 5 downto 0) & "0001"; when '2' => ret := ret(data_width - 5 downto 0) & "0010"; when '3' => ret := ret(data_width - 5 downto 0) & "0011"; when '4' => ret := ret(data_width - 5 downto 0) & "0100"; when '5' => ret := ret(data_width - 5 downto 0) & "0101"; when '6' => ret := ret(data_width - 5 downto 0) & "0110"; when '7' => ret := ret(data_width - 5 downto 0) & "0111"; when '8' => ret := ret(data_width - 5 downto 0) & "1000"; when '9' => ret := ret(data_width - 5 downto 0) & "1001"; when 'a' | 'A' => ret := ret(data_width - 5 downto 0) & "1010"; when 'b' | 'B' => ret := ret(data_width - 5 downto 0) & "1011"; when 'c' | 'C' => ret := ret(data_width - 5 downto 0) & "1100"; when 'd' | 'D' => ret := ret(data_width - 5 downto 0) & "1101"; when 'e' | 'E' => ret := ret(data_width - 5 downto 0) & "1110"; when 'f' | 'F' => ret := ret(data_width - 5 downto 0) & "1111"; when ' ' => return ret; when others => report "Wrong hex char " & RHS(idx); return ret; end case; elsif (data_width = 4) then case RHS(idx) is when '0' => ret := "0000"; when '1' => ret := "0001"; when '2' => ret := "0010"; when '3' => ret := "0011"; when '4' => ret := "0100"; when '5' => ret := "0101"; when '6' => ret := "0110"; when '7' => ret := "0111"; when '8' => ret := "1000"; when '9' => ret := "1001"; when 'a' | 'A' => ret := "1010"; when 'b' | 'B' => ret := "1011"; when 'c' | 'C' => ret := "1100"; when 'd' | 'D' => ret := "1101"; when 'e' | 'E' => ret := "1110"; when 'f' | 'F' => ret := "1111"; when ' ' => return ret; when others => report "Wrong hex char " & RHS(idx); return ret; end case; elsif (data_width = 3) then case RHS(idx) is when '0' => ret := "000"; when '1' => ret := "001"; when '2' => ret := "010"; when '3' => ret := "011"; when '4' => ret := "100"; when '5' => ret := "101"; when '6' => ret := "110"; when '7' => ret := "111"; when ' ' => return ret; when others => report "Wrong hex char " & RHS(idx); return ret; end case; elsif (data_width = 2) then case RHS(idx) is when '0' => ret := "00"; when '1' => ret := "01"; when '2' => ret := "10"; when '3' => ret := "11"; when ' ' => return ret; when others => report "Wrong hex char " & RHS(idx); return ret; end case; elsif (data_width = 1) then case RHS(idx) is when '0' => ret := "0"; when '1' => ret := "1"; when ' ' => return ret; when others => report "Wrong hex char " & RHS(idx); return ret; end case; else report string'("Wrong data_width."); return ret; end if; idx := idx + 1; end loop; return ret; end function; function esl_conv_string_hex (lv : STD_LOGIC_VECTOR) return STRING is constant str_len : integer := (lv'length + 3)/4; variable ret : STRING (1 to str_len); variable i, tmp: INTEGER; variable normal_lv : STD_LOGIC_VECTOR(lv'length - 1 downto 0); variable tmp_lv : STD_LOGIC_VECTOR(3 downto 0); begin normal_lv := lv; for i in 1 to str_len loop if(i = 1) then if((lv'length mod 4) = 3) then tmp_lv(2 downto 0) := normal_lv(lv'length - 1 downto lv'length - 3); case tmp_lv(2 downto 0) is when "000" => ret(i) := '0'; when "001" => ret(i) := '1'; when "010" => ret(i) := '2'; when "011" => ret(i) := '3'; when "100" => ret(i) := '4'; when "101" => ret(i) := '5'; when "110" => ret(i) := '6'; when "111" => ret(i) := '7'; when others => ret(i) := '0'; end case; elsif((lv'length mod 4) = 2) then tmp_lv(1 downto 0) := normal_lv(lv'length - 1 downto lv'length - 2); case tmp_lv(1 downto 0) is when "00" => ret(i) := '0'; when "01" => ret(i) := '1'; when "10" => ret(i) := '2'; when "11" => ret(i) := '3'; when others => ret(i) := '0'; end case; elsif((lv'length mod 4) = 1) then tmp_lv(0 downto 0) := normal_lv(lv'length - 1 downto lv'length - 1); case tmp_lv(0 downto 0) is when "0" => ret(i) := '0'; when "1" => ret(i) := '1'; when others=> ret(i) := '0'; end case; elsif((lv'length mod 4) = 0) then tmp_lv(3 downto 0) := normal_lv(lv'length - 1 downto lv'length - 4); case tmp_lv(3 downto 0) is when "0000" => ret(i) := '0'; when "0001" => ret(i) := '1'; when "0010" => ret(i) := '2'; when "0011" => ret(i) := '3'; when "0100" => ret(i) := '4'; when "0101" => ret(i) := '5'; when "0110" => ret(i) := '6'; when "0111" => ret(i) := '7'; when "1000" => ret(i) := '8'; when "1001" => ret(i) := '9'; when "1010" => ret(i) := 'a'; when "1011" => ret(i) := 'b'; when "1100" => ret(i) := 'c'; when "1101" => ret(i) := 'd'; when "1110" => ret(i) := 'e'; when "1111" => ret(i) := 'f'; when others => ret(i) := '0'; end case; end if; else tmp_lv(3 downto 0) := normal_lv((str_len - i) * 4 + 3 downto (str_len - i) * 4); case tmp_lv(3 downto 0) is when "0000" => ret(i) := '0'; when "0001" => ret(i) := '1'; when "0010" => ret(i) := '2'; when "0011" => ret(i) := '3'; when "0100" => ret(i) := '4'; when "0101" => ret(i) := '5'; when "0110" => ret(i) := '6'; when "0111" => ret(i) := '7'; when "1000" => ret(i) := '8'; when "1001" => ret(i) := '9'; when "1010" => ret(i) := 'a'; when "1011" => ret(i) := 'b'; when "1100" => ret(i) := 'c'; when "1101" => ret(i) := 'd'; when "1110" => ret(i) := 'e'; when "1111" => ret(i) := 'f'; when others => ret(i) := '0'; end case; end if; end loop; return ret; end function; begin -------------- Assignment for output port ------------------- assign_proc : process begin wait until (clk'event and clk = '1'); wait for 0.4 ns; bus_dout <= FIFO_rsp_mem(CONV_INTEGER(FIFO_rsp_ptr_r)); end process; bus_rsp_proc : process(FIFO_rsp_empty) begin bus_rsp_empty_n <= not FIFO_rsp_empty; end process; bus_req_full_n_proc : process(FIFO_req_full) begin bus_req_full_n <= not FIFO_req_full; end process; FIFO_req_empty_full_proc : process(FIFO_req_ptr_r, FIFO_req_ptr_w, FIFO_req_flag) begin if(FIFO_req_ptr_r = FIFO_req_ptr_w) then if(FIFO_req_flag = '1') then FIFO_req_full <= '1'; FIFO_req_empty <= '0'; else FIFO_req_full <= '0'; FIFO_req_empty <= '1'; end if; else FIFO_req_full <= '0'; FIFO_req_empty <= '0'; end if; end process; FIFO_rsp_empty_full_proc : process(FIFO_rsp_ptr_r, FIFO_rsp_ptr_w, FIFO_rsp_flag) begin if(FIFO_rsp_ptr_r = FIFO_rsp_ptr_w) then if(FIFO_rsp_flag = '1') then FIFO_rsp_full <= '1'; FIFO_rsp_empty <= '0'; else FIFO_rsp_full <= '0'; FIFO_rsp_empty <= '1'; end if; else FIFO_rsp_full <= '0'; FIFO_rsp_empty <= '0'; end if; end process; -- Push RTL's req into FIFO_req FIFO_req_write_proc : process(clk, rst) begin if(rst = '1') then FIFO_req_ptr_w <= (others => '0'); elsif (clk'event and clk = '1') then if(bus_req_RW_en = '1' and FIFO_req_full = '0') then FIFO_req_RW(CONV_INTEGER(FIFO_req_ptr_w)) := bus_req_RW; FIFO_req_address(CONV_INTEGER(FIFO_req_ptr_w)) := bus_address; FIFO_req_din(CONV_INTEGER(FIFO_req_ptr_w)) := bus_din; FIFO_req_size(CONV_INTEGER(FIFO_req_ptr_w)) := bus_size; if(CONV_INTEGER(FIFO_req_ptr_w) /= FIFO_DEPTH - 1) then FIFO_req_ptr_w <= esl_add(FIFO_req_ptr_w,"1"); else FIFO_req_ptr_w <= (others => '0'); end if; end if; end if; end process; FIFO_req_read_proc : process(clk, rst) variable FIFO_req_RW_temp : STD_LOGIC; variable FIFO_req_address_temp : STD_LOGIC_VECTOR(ADDR_WIDTH - 1 downto 0); variable FIFO_req_din_temp : STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); variable FIFO_req_size_temp : STD_LOGIC_VECTOR(31 downto 0); constant IDLE_STATE : STD_LOGIC_VECTOR(1 downto 0) := "00"; constant READ_BURST_STATE : STD_LOGIC_VECTOR(1 downto 0) := "01"; constant WRITE_BURST_STATE : STD_LOGIC_VECTOR(1 downto 0) := "10"; begin if(rst = '1') then FIFO_req_temp_state <= IDLE_STATE; FIFO_req_read <= '0'; FIFO_rsp_write <= '0'; elsif (clk'event and clk = '1') then case FIFO_req_temp_state is when IDLE_STATE => if(FIFO_req_empty = '0' and FIFO_rsp_full = '0') then FIFO_req_read <= '1'; if(CONV_INTEGER(FIFO_req_ptr_r) /= FIFO_DEPTH - 1) then FIFO_req_ptr_r <= esl_add(FIFO_req_ptr_r, "1"); else FIFO_req_ptr_r <= (others => '0'); end if; FIFO_req_RW_temp:= FIFO_req_RW(CONV_INTEGER(FIFO_req_ptr_r)); FIFO_req_address_temp := FIFO_req_address(CONV_INTEGER(FIFO_req_ptr_r)); FIFO_req_din_temp := FIFO_req_din(CONV_INTEGER(FIFO_req_ptr_r)); FIFO_req_size_temp := FIFO_req_size(CONV_INTEGER(FIFO_req_ptr_r)); -- Read request if(FIFO_req_RW_temp = '0') then FIFO_rsp_write <= '1'; -- Indicate the output is valid FIFO_rsp_mem(CONV_INTEGER(FIFO_rsp_ptr_w)) := mem(CONV_INTEGER(FIFO_req_address_temp)); if(FIFO_rsp_ptr_w /= DEPTH - 1) then FIFO_rsp_ptr_w <= esl_add(FIFO_rsp_ptr_w,"1"); else FIFO_rsp_ptr_w <= (others => '0'); end if; if(CONV_INTEGER(FIFO_req_size_temp) /= 0 and CONV_INTEGER(FIFO_req_size_temp) /= 1) then -- Read burst request FIFO_req_temp_state <= READ_BURST_STATE; -- To deal with the rest data end if; else FIFO_rsp_write <= '0'; -- Indicate the output is not valid if(CONV_INTEGER(FIFO_req_size_temp) = 0 or CONV_INTEGER(FIFO_req_size_temp) = 1) then -- Write single request mem(CONV_INTEGER(FIFO_req_address_temp)) := FIFO_req_din_temp; else -- Write burst request mem(CONV_INTEGER(FIFO_req_address_temp)) := FIFO_req_din_temp; -- Input the first data FIFO_req_temp_state <= WRITE_BURST_STATE; -- To deal with the rest data end if; end if; else -- There is no request in the FIFO_req FIFO_req_read <= '0'; FIFO_rsp_write <= '0'; end if; when READ_BURST_STATE => FIFO_req_read <= '0'; -- Stop reading the next request FIFO_req_size_temp := esl_sub(FIFO_req_size_temp, "1"); if(CONV_INTEGER(FIFO_req_address_temp) /= DEPTH - 1) then FIFO_req_address_temp := esl_add(FIFO_req_address_temp, "1"); else report "Burst read out of size!"; end if; FIFO_rsp_mem(CONV_INTEGER(FIFO_rsp_ptr_w)) := mem(CONV_INTEGER(FIFO_req_address_temp)); if(CONV_INTEGER(FIFO_rsp_ptr_w) /= DEPTH - 1) then FIFO_rsp_ptr_w <= esl_add(FIFO_rsp_ptr_w, "1"); else FIFO_rsp_ptr_w <= (others => '0'); end if; if(CONV_INTEGER(FIFO_req_size_temp) = 1) then -- The last one is done FIFO_req_temp_state <= IDLE_STATE; end if; when WRITE_BURST_STATE => if(FIFO_req_empty = '0') then FIFO_req_read <= '1'; -- Keep reading the next data(The data is storaged in FIFO_req but it is not a request) if(CONV_INTEGER(FIFO_req_ptr_r) /= FIFO_DEPTH - 1) then FIFO_req_ptr_r <= esl_add(FIFO_req_ptr_r, "1"); else FIFO_req_ptr_r <= (others => '0'); end if; FIFO_req_size_temp := esl_sub(FIFO_req_size_temp, "1"); if(CONV_INTEGER(FIFO_req_address_temp) /= DEPTH - 1) then FIFO_req_address_temp := esl_add(FIFO_req_address_temp, "1"); else report "Burst write out of size!"; end if; mem(CONV_INTEGER(FIFO_req_address_temp)) := FIFO_req_din(CONV_INTEGER(FIFO_req_ptr_r)); if(CONV_INTEGER(FIFO_req_size_temp) = 1) then -- The last one is done FIFO_req_temp_state <= IDLE_STATE; end if; end if; when OTHERS => FIFO_req_temp_state <= IDLE_STATE; end case; end if; end process; -- Generate "FIFO_req_flag" FIFO_req_flag_proc : process begin wait until clk'event and clk = '1'; if(rst = '1') then FIFO_req_flag <= '0'; else if((bus_req_RW_en = '1' and FIFO_req_full /= '1') and CONV_INTEGER(FIFO_req_ptr_w) = FIFO_DEPTH - 1) then FIFO_req_flag <= '1'; end if; wait for 0.4 ns; if((FIFO_req_read = '1' and FIFO_req_empty /= '1') and CONV_INTEGER(FIFO_req_ptr_r) = 0) then FIFO_req_flag <= '0'; end if; end if; end process; -- Generate "FIFO_rsp_flag" FIFO_rsp_flag_proc : process begin wait until clk'event and clk = '1'; if(rst = '1') then FIFO_rsp_flag <= '0'; else if((bus_rsp_read = '1' and FIFO_rsp_empty /= '1') and CONV_INTEGER(FIFO_rsp_ptr_r) = DEPTH - 1) then FIFO_rsp_flag <= '0'; end if; wait for 0.4 ns; if((FIFO_rsp_write = '1' and FIFO_rsp_full /= '1') and CONV_INTEGER(FIFO_rsp_ptr_w) = 0) then FIFO_rsp_flag <= '1'; end if; end if; end process; -- Pop data from FIFO_rsp FIFO_rsp_ptr_r_proc : process(clk, rst) begin if(rst = '1') then FIFO_rsp_ptr_r <= (others => '0'); elsif (clk'event and clk = '1') then if(bus_rsp_read = '1' and FIFO_rsp_empty /= '1') then if(CONV_INTEGER(FIFO_rsp_ptr_r) /= DEPTH - 1) then FIFO_rsp_ptr_r <= esl_add(FIFO_rsp_ptr_r, "1"); else FIFO_rsp_ptr_r <= (others => '0'); end if; end if; end if; end process; ----------------------------Read file------------------- -- Read data from file read_file_proc : process file fp : TEXT; variable fstatus : FILE_OPEN_STATUS; variable token_line : LINE; variable token : STRING(1 to 128 ); variable token_len : INTEGER; variable token_int : INTEGER; variable idx : INTEGER; --variable mem_var : arr2D; begin file_open(fstatus, fp, TV_IN, READ_MODE); if(fstatus /= OPEN_OK) then assert false report "Open file " & TV_IN & " failed!!!" severity failure; end if; esl_read_token(fp, token_line, token); if(token(1 to 13) /= "[[[runtime]]]") then report "The token is " & token; assert false report "Illegal format of [[[runtime]]] part in " & TV_IN severity failure; end if; esl_read_token(fp, token_line, token); while(token(1 to 14) /= "[[[/runtime]]]") loop if(token(1 to 15) /= "[[transaction]]") then report "The token is " & token; assert false report "Illegal format of [[transaction]] part in " & TV_IN severity failure; end if; esl_read_token(fp, token_line, token); -- Skip transaction number -- Start to read data for every transaction round wait until clk'event and clk = '1'; wait for 0.2 ns; while(ready /= '1') loop wait until clk'event and clk = '1'; wait for 0.2 ns; end loop; for i in 0 to DEPTH - 1 loop esl_read_token(fp, token_line, token); mem(i) := esl_str2lv_hex(token, DATA_WIDTH); end loop; esl_read_token(fp, token_line, token); if(token(1 to 16) /= "[[/transaction]]") then report "The token is " & token; assert false report "Illegal format of [[/transaction]] part in " & TV_IN severity failure; end if; esl_read_token(fp, token_line, token); end loop; file_close(fp); wait; end process; ----------------------------Write file------------------- -- Write data to file write_file_proc : process file fp : TEXT; variable fstatus : FILE_OPEN_STATUS; variable token_line : LINE; variable token : STRING(1 to 128 ); variable transaction_idx : INTEGER; begin wait until (rst = '0'); transaction_idx := 0; while(true) loop wait until clk'event and clk = '1'; while(done /= '1') loop wait until clk'event and clk = '1'; end loop; wait for 0.1 ns; file_open(fstatus, fp, TV_OUT, APPEND_MODE); if(fstatus /= OPEN_OK) then assert false report "Open file " & TV_OUT & " failed!!!" severity failure; end if; write(token_line, "[[transaction]] " & integer'image(transaction_idx)); writeline(fp, token_line); for i in 0 to DEPTH - 1 loop write(token_line, "0x" & esl_conv_string_hex(mem(i))); writeline(fp, token_line); end loop; write(token_line, string'("[[/transaction]]")); writeline(fp, token_line); transaction_idx := transaction_idx + 1; file_close(fp); end loop; wait; end process; end behav;
lgpl-3.0
jairov4/accel-oil
impl/impl_test_single/simulation/behavioral/system_dlmb_wrapper.vhd
2
3721
------------------------------------------------------------------------------- -- system_dlmb_wrapper.vhd ------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; library lmb_v10_v2_00_b; use lmb_v10_v2_00_b.all; entity system_dlmb_wrapper is port ( LMB_Clk : in std_logic; SYS_Rst : in std_logic; LMB_Rst : out std_logic; M_ABus : in std_logic_vector(0 to 31); M_ReadStrobe : in std_logic; M_WriteStrobe : in std_logic; M_AddrStrobe : in std_logic; M_DBus : in std_logic_vector(0 to 31); M_BE : in std_logic_vector(0 to 3); Sl_DBus : in std_logic_vector(0 to 31); Sl_Ready : in std_logic_vector(0 to 0); Sl_Wait : in std_logic_vector(0 to 0); Sl_UE : in std_logic_vector(0 to 0); Sl_CE : in std_logic_vector(0 to 0); LMB_ABus : out std_logic_vector(0 to 31); LMB_ReadStrobe : out std_logic; LMB_WriteStrobe : out std_logic; LMB_AddrStrobe : out std_logic; LMB_ReadDBus : out std_logic_vector(0 to 31); LMB_WriteDBus : out std_logic_vector(0 to 31); LMB_Ready : out std_logic; LMB_Wait : out std_logic; LMB_UE : out std_logic; LMB_CE : out std_logic; LMB_BE : out std_logic_vector(0 to 3) ); end system_dlmb_wrapper; architecture STRUCTURE of system_dlmb_wrapper is component lmb_v10 is generic ( C_LMB_NUM_SLAVES : integer; C_LMB_AWIDTH : integer; C_LMB_DWIDTH : integer; C_EXT_RESET_HIGH : integer ); port ( LMB_Clk : in std_logic; SYS_Rst : in std_logic; LMB_Rst : out std_logic; M_ABus : in std_logic_vector(0 to C_LMB_AWIDTH-1); M_ReadStrobe : in std_logic; M_WriteStrobe : in std_logic; M_AddrStrobe : in std_logic; M_DBus : in std_logic_vector(0 to C_LMB_DWIDTH-1); M_BE : in std_logic_vector(0 to (C_LMB_DWIDTH+7)/8-1); Sl_DBus : in std_logic_vector(0 to (C_LMB_DWIDTH*C_LMB_NUM_SLAVES)-1); Sl_Ready : in std_logic_vector(0 to C_LMB_NUM_SLAVES-1); Sl_Wait : in std_logic_vector(0 to C_LMB_NUM_SLAVES-1); Sl_UE : in std_logic_vector(0 to C_LMB_NUM_SLAVES-1); Sl_CE : in std_logic_vector(0 to C_LMB_NUM_SLAVES-1); LMB_ABus : out std_logic_vector(0 to C_LMB_AWIDTH-1); LMB_ReadStrobe : out std_logic; LMB_WriteStrobe : out std_logic; LMB_AddrStrobe : out std_logic; LMB_ReadDBus : out std_logic_vector(0 to C_LMB_DWIDTH-1); LMB_WriteDBus : out std_logic_vector(0 to C_LMB_DWIDTH-1); LMB_Ready : out std_logic; LMB_Wait : out std_logic; LMB_UE : out std_logic; LMB_CE : out std_logic; LMB_BE : out std_logic_vector(0 to (C_LMB_DWIDTH+7)/8-1) ); end component; begin dlmb : lmb_v10 generic map ( C_LMB_NUM_SLAVES => 1, C_LMB_AWIDTH => 32, C_LMB_DWIDTH => 32, C_EXT_RESET_HIGH => 1 ) port map ( LMB_Clk => LMB_Clk, SYS_Rst => SYS_Rst, LMB_Rst => LMB_Rst, M_ABus => M_ABus, M_ReadStrobe => M_ReadStrobe, M_WriteStrobe => M_WriteStrobe, M_AddrStrobe => M_AddrStrobe, M_DBus => M_DBus, M_BE => M_BE, Sl_DBus => Sl_DBus, Sl_Ready => Sl_Ready, Sl_Wait => Sl_Wait, Sl_UE => Sl_UE, Sl_CE => Sl_CE, LMB_ABus => LMB_ABus, LMB_ReadStrobe => LMB_ReadStrobe, LMB_WriteStrobe => LMB_WriteStrobe, LMB_AddrStrobe => LMB_AddrStrobe, LMB_ReadDBus => LMB_ReadDBus, LMB_WriteDBus => LMB_WriteDBus, LMB_Ready => LMB_Ready, LMB_Wait => LMB_Wait, LMB_UE => LMB_UE, LMB_CE => LMB_CE, LMB_BE => LMB_BE ); end architecture STRUCTURE;
lgpl-3.0
jairov4/accel-oil
solution_kintex7/impl/vhdl/nfa_accept_samples_generic_hw_add_14ns_14ns_14_4.vhd
3
9512
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2013.4 -- Copyright (C) 2013 Xilinx Inc. All rights reserved. -- -- ============================================================== library IEEE; use IEEE.std_logic_1164.all; use ieee.std_logic_arith.all; entity nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_5 is port ( clk: in std_logic; reset: in std_logic; ce: in std_logic; a: in std_logic_vector(13 downto 0); b: in std_logic_vector(13 downto 0); s: out std_logic_vector(13 downto 0)); end entity; architecture behav of nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_5 is component nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_5_fadder is port ( faa : IN STD_LOGIC_VECTOR (4-1 downto 0); fab : IN STD_LOGIC_VECTOR (4-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (4-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end component; component nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_5_fadder_f is port ( faa : IN STD_LOGIC_VECTOR (2-1 downto 0); fab : IN STD_LOGIC_VECTOR (2-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (2-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end component; -- ---- register and wire type variables list here ---- -- wire for the primary inputs signal a_reg : std_logic_vector(13 downto 0); signal b_reg : std_logic_vector(13 downto 0); -- wires for each small adder signal a0_cb : std_logic_vector(3 downto 0); signal b0_cb : std_logic_vector(3 downto 0); signal a1_cb : std_logic_vector(7 downto 4); signal b1_cb : std_logic_vector(7 downto 4); signal a2_cb : std_logic_vector(11 downto 8); signal b2_cb : std_logic_vector(11 downto 8); signal a3_cb : std_logic_vector(13 downto 12); signal b3_cb : std_logic_vector(13 downto 12); -- registers for input register array type ramtypei0 is array (0 downto 0) of std_logic_vector(3 downto 0); signal a1_cb_regi1 : ramtypei0; signal b1_cb_regi1 : ramtypei0; type ramtypei1 is array (1 downto 0) of std_logic_vector(3 downto 0); signal a2_cb_regi2 : ramtypei1; signal b2_cb_regi2 : ramtypei1; type ramtypei2 is array (2 downto 0) of std_logic_vector(1 downto 0); signal a3_cb_regi3 : ramtypei2; signal b3_cb_regi3 : ramtypei2; -- wires for each full adder sum signal fas : std_logic_vector(13 downto 0); -- wires and register for carry out bit signal faccout_ini : std_logic_vector (0 downto 0); signal faccout0_co0 : std_logic_vector (0 downto 0); signal faccout1_co1 : std_logic_vector (0 downto 0); signal faccout2_co2 : std_logic_vector (0 downto 0); signal faccout3_co3 : std_logic_vector (0 downto 0); signal faccout0_co0_reg : std_logic_vector (0 downto 0); signal faccout1_co1_reg : std_logic_vector (0 downto 0); signal faccout2_co2_reg : std_logic_vector (0 downto 0); -- registers for output register array type ramtypeo2 is array (2 downto 0) of std_logic_vector(3 downto 0); signal s0_ca_rego0 : ramtypeo2; type ramtypeo1 is array (1 downto 0) of std_logic_vector(3 downto 0); signal s1_ca_rego1 : ramtypeo1; type ramtypeo0 is array (0 downto 0) of std_logic_vector(3 downto 0); signal s2_ca_rego2 : ramtypeo0; -- wire for the temporary output signal s_tmp : std_logic_vector(13 downto 0); -- ---- RTL code for assignment statements/always blocks/module instantiations here ---- begin a_reg <= a; b_reg <= b; -- small adder input assigments a0_cb <= a_reg(3 downto 0); b0_cb <= b_reg(3 downto 0); a1_cb <= a_reg(7 downto 4); b1_cb <= b_reg(7 downto 4); a2_cb <= a_reg(11 downto 8); b2_cb <= b_reg(11 downto 8); a3_cb <= a_reg(13 downto 12); b3_cb <= b_reg(13 downto 12); -- input register array process (clk) begin if (clk'event and clk='1') then if (ce='1') then a1_cb_regi1 (0) <= a1_cb; b1_cb_regi1 (0) <= b1_cb; a2_cb_regi2 (0) <= a2_cb; b2_cb_regi2 (0) <= b2_cb; a3_cb_regi3 (0) <= a3_cb; b3_cb_regi3 (0) <= b3_cb; a2_cb_regi2 (1) <= a2_cb_regi2 (0); b2_cb_regi2 (1) <= b2_cb_regi2 (0); a3_cb_regi3 (1) <= a3_cb_regi3 (0); b3_cb_regi3 (1) <= b3_cb_regi3 (0); a3_cb_regi3 (2) <= a3_cb_regi3 (1); b3_cb_regi3 (2) <= b3_cb_regi3 (1); end if; end if; end process; -- carry out bit processing process (clk) begin if (clk'event and clk='1') then if (ce='1') then faccout0_co0_reg <= faccout0_co0; faccout1_co1_reg <= faccout1_co1; faccout2_co2_reg <= faccout2_co2; end if; end if; end process; -- small adder generation u0 : nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_5_fadder port map (faa => a0_cb, fab => b0_cb, facin => faccout_ini, fas => fas(3 downto 0), facout => faccout0_co0); u1 : nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_5_fadder port map (faa => a1_cb_regi1(0), fab => b1_cb_regi1(0), facin => faccout0_co0_reg, fas => fas(7 downto 4), facout => faccout1_co1); u2 : nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_5_fadder port map (faa => a2_cb_regi2(1), fab => b2_cb_regi2(1), facin => faccout1_co1_reg, fas => fas(11 downto 8), facout => faccout2_co2); u3 : nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_5_fadder_f port map (faa => a3_cb_regi3(2), fab => b3_cb_regi3(2), facin => faccout2_co2_reg, fas => fas(13 downto 12), facout => faccout3_co3); faccout_ini <= "0"; -- output register array process (clk) begin if (clk'event and clk='1') then if (ce='1') then s0_ca_rego0 (0) <= fas(3 downto 0); s1_ca_rego1 (0) <= fas(7 downto 4); s2_ca_rego2 (0) <= fas(11 downto 8); s0_ca_rego0 (1) <= s0_ca_rego0 (0); s0_ca_rego0 (2) <= s0_ca_rego0 (1); s1_ca_rego1 (1) <= s1_ca_rego1 (0); end if; end if; end process; -- get the s_tmp, assign it to the primary output s_tmp(3 downto 0) <= s0_ca_rego0(2); s_tmp(7 downto 4) <= s1_ca_rego1(1); s_tmp(11 downto 8) <= s2_ca_rego2(0); s_tmp(13 downto 12) <= fas(13 downto 12); s <= s_tmp; end architecture; -- short adder library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_5_fadder is generic(N : natural :=4); port ( faa : IN STD_LOGIC_VECTOR (N-1 downto 0); fab : IN STD_LOGIC_VECTOR (N-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (N-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end; architecture behav of nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_5_fadder is signal tmp : STD_LOGIC_VECTOR (N downto 0); begin tmp <= std_logic_vector(unsigned(std_logic_vector(unsigned(std_logic_vector(resize(unsigned(faa),N+1))) + unsigned(fab))) + unsigned(facin)); fas <= tmp(N-1 downto 0 ); facout <= tmp(N downto N); end behav; -- the final stage short adder library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_5_fadder_f is generic(N : natural :=2); port ( faa : IN STD_LOGIC_VECTOR (N-1 downto 0); fab : IN STD_LOGIC_VECTOR (N-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (N-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end; architecture behav of nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_5_fadder_f is signal tmp : STD_LOGIC_VECTOR (N downto 0); begin tmp <= std_logic_vector(unsigned(std_logic_vector(unsigned(std_logic_vector(resize(unsigned(faa),N+1))) + unsigned(fab))) + unsigned(facin)); fas <= tmp(N-1 downto 0 ); facout <= tmp(N downto N); end behav; Library IEEE; use IEEE.std_logic_1164.all; entity nfa_accept_samples_generic_hw_add_14ns_14ns_14_4 is generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; ce : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR(din0_WIDTH - 1 DOWNTO 0); din1 : IN STD_LOGIC_VECTOR(din1_WIDTH - 1 DOWNTO 0); dout : OUT STD_LOGIC_VECTOR(dout_WIDTH - 1 DOWNTO 0)); end entity; architecture arch of nfa_accept_samples_generic_hw_add_14ns_14ns_14_4 is component nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_5 is port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; ce : IN STD_LOGIC; a : IN STD_LOGIC_VECTOR; b : IN STD_LOGIC_VECTOR; s : OUT STD_LOGIC_VECTOR); end component; begin nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_5_U : component nfa_accept_samples_generic_hw_add_14ns_14ns_14_4_AddSubnS_5 port map ( clk => clk, reset => reset, ce => ce, a => din0, b => din1, s => dout); end architecture;
lgpl-3.0
jairov4/accel-oil
solution_virtex5_plb/syn/vhdl/nfa_get_finals_1.vhd
1
13308
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.1 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity nfa_get_finals_1 is port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; nfa_finals_buckets_req_din : OUT STD_LOGIC; nfa_finals_buckets_req_full_n : IN STD_LOGIC; nfa_finals_buckets_req_write : OUT STD_LOGIC; nfa_finals_buckets_rsp_empty_n : IN STD_LOGIC; nfa_finals_buckets_rsp_read : OUT STD_LOGIC; nfa_finals_buckets_address : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_finals_buckets_datain : IN STD_LOGIC_VECTOR (63 downto 0); nfa_finals_buckets_dataout : OUT STD_LOGIC_VECTOR (63 downto 0); nfa_finals_buckets_size : OUT STD_LOGIC_VECTOR (31 downto 0); ap_ce : IN STD_LOGIC; finals_buckets_address0 : OUT STD_LOGIC_VECTOR (3 downto 0); finals_buckets_ce0 : OUT STD_LOGIC; finals_buckets_we0 : OUT STD_LOGIC; finals_buckets_d0 : OUT STD_LOGIC_VECTOR (63 downto 0); tmp_28 : IN STD_LOGIC_VECTOR (4 downto 0) ); end; architecture behav of nfa_get_finals_1 is constant ap_const_logic_1 : STD_LOGIC := '1'; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_ST_pp0_stg0_fsm_0 : STD_LOGIC_VECTOR (0 downto 0) := "0"; constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001"; constant ap_const_lv64_0 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000"; signal ap_CS_fsm : STD_LOGIC_VECTOR (0 downto 0) := "0"; signal ap_reg_ppiten_pp0_it0 : STD_LOGIC; signal ap_reg_ppiten_pp0_it1 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it2 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it3 : STD_LOGIC := '0'; signal tmp_28_read_reg_67 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_tmp_28_read_reg_67_pp0_it1 : STD_LOGIC_VECTOR (4 downto 0); signal ap_reg_ppstg_tmp_28_read_reg_67_pp0_it2 : STD_LOGIC_VECTOR (4 downto 0); signal nfa_finals_buckets_read_reg_72 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_28_cast_fu_63_p1 : STD_LOGIC_VECTOR (63 downto 0); signal ap_NS_fsm : STD_LOGIC_VECTOR (0 downto 0); signal ap_sig_pprstidle_pp0 : STD_LOGIC; begin -- the current state (ap_CS_fsm) of the state machine. -- ap_CS_fsm_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_CS_fsm <= ap_ST_pp0_stg0_fsm_0; else ap_CS_fsm <= ap_NS_fsm; end if; end if; end process; -- ap_reg_ppiten_pp0_it1 assign process. -- ap_reg_ppiten_pp0_it1_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it1 <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it1 <= ap_reg_ppiten_pp0_it0; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it2 assign process. -- ap_reg_ppiten_pp0_it2_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it2 <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it2 <= ap_reg_ppiten_pp0_it1; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it3 assign process. -- ap_reg_ppiten_pp0_it3_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it3 <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it3 <= ap_reg_ppiten_pp0_it2; end if; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then ap_reg_ppstg_tmp_28_read_reg_67_pp0_it1 <= tmp_28_read_reg_67; ap_reg_ppstg_tmp_28_read_reg_67_pp0_it2 <= ap_reg_ppstg_tmp_28_read_reg_67_pp0_it1; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then nfa_finals_buckets_read_reg_72 <= nfa_finals_buckets_datain; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then tmp_28_read_reg_67 <= tmp_28; end if; end if; end process; -- the next state (ap_NS_fsm) of the state machine. -- ap_NS_fsm_assign_proc : process (ap_start , ap_CS_fsm , ap_reg_ppiten_pp0_it0 , ap_reg_ppiten_pp0_it2 , nfa_finals_buckets_rsp_empty_n , ap_ce , ap_sig_pprstidle_pp0) begin case ap_CS_fsm is when ap_ST_pp0_stg0_fsm_0 => ap_NS_fsm <= ap_ST_pp0_stg0_fsm_0; when others => ap_NS_fsm <= "X"; end case; end process; -- ap_done assign process. -- ap_done_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it2, ap_reg_ppiten_pp0_it3, nfa_finals_buckets_rsp_empty_n, ap_ce) begin if (((not((ap_const_logic_1 = ap_start)) and (ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0)) or ((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it3) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce)))) then ap_done <= ap_const_logic_1; else ap_done <= ap_const_logic_0; end if; end process; -- ap_idle assign process. -- ap_idle_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, ap_reg_ppiten_pp0_it2, ap_reg_ppiten_pp0_it3) begin if ((not((ap_const_logic_1 = ap_start)) and (ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it1) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it2) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it3))) then ap_idle <= ap_const_logic_1; else ap_idle <= ap_const_logic_0; end if; end process; -- ap_ready assign process. -- ap_ready_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it2, nfa_finals_buckets_rsp_empty_n, ap_ce) begin if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then ap_ready <= ap_const_logic_1; else ap_ready <= ap_const_logic_0; end if; end process; ap_reg_ppiten_pp0_it0 <= ap_start; -- ap_sig_pprstidle_pp0 assign process. -- ap_sig_pprstidle_pp0_assign_proc : process(ap_start, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, ap_reg_ppiten_pp0_it2) begin if (((ap_const_logic_0 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it1) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it2) and (ap_const_logic_0 = ap_start))) then ap_sig_pprstidle_pp0 <= ap_const_logic_1; else ap_sig_pprstidle_pp0 <= ap_const_logic_0; end if; end process; finals_buckets_address0 <= tmp_28_cast_fu_63_p1(4 - 1 downto 0); -- finals_buckets_ce0 assign process. -- finals_buckets_ce0_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it2, ap_reg_ppiten_pp0_it3, nfa_finals_buckets_rsp_empty_n, ap_ce) begin if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it3) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then finals_buckets_ce0 <= ap_const_logic_1; else finals_buckets_ce0 <= ap_const_logic_0; end if; end process; finals_buckets_d0 <= nfa_finals_buckets_read_reg_72; -- finals_buckets_we0 assign process. -- finals_buckets_we0_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it2, ap_reg_ppiten_pp0_it3, nfa_finals_buckets_rsp_empty_n, ap_ce) begin if ((((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it3) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce)))) then finals_buckets_we0 <= ap_const_logic_1; else finals_buckets_we0 <= ap_const_logic_0; end if; end process; nfa_finals_buckets_address <= ap_const_lv32_0; nfa_finals_buckets_dataout <= ap_const_lv64_0; nfa_finals_buckets_req_din <= ap_const_logic_0; -- nfa_finals_buckets_req_write assign process. -- nfa_finals_buckets_req_write_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it2, nfa_finals_buckets_rsp_empty_n, ap_ce) begin if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then nfa_finals_buckets_req_write <= ap_const_logic_1; else nfa_finals_buckets_req_write <= ap_const_logic_0; end if; end process; -- nfa_finals_buckets_rsp_read assign process. -- nfa_finals_buckets_rsp_read_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it2, nfa_finals_buckets_rsp_empty_n, ap_ce) begin if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then nfa_finals_buckets_rsp_read <= ap_const_logic_1; else nfa_finals_buckets_rsp_read <= ap_const_logic_0; end if; end process; nfa_finals_buckets_size <= ap_const_lv32_1; tmp_28_cast_fu_63_p1 <= std_logic_vector(resize(unsigned(ap_reg_ppstg_tmp_28_read_reg_67_pp0_it2),64)); end behav;
lgpl-3.0
jairov4/accel-oil
impl/impl_test_pcie/hdl/system_lmb_bram_wrapper.vhd
1
2902
------------------------------------------------------------------------------- -- system_lmb_bram_wrapper.vhd ------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; library lmb_bram_elaborate_v1_00_a; use lmb_bram_elaborate_v1_00_a.all; entity system_lmb_bram_wrapper is port ( BRAM_Rst_A : in std_logic; BRAM_Clk_A : in std_logic; BRAM_EN_A : in std_logic; BRAM_WEN_A : in std_logic_vector(0 to 3); BRAM_Addr_A : in std_logic_vector(0 to 31); BRAM_Din_A : out std_logic_vector(0 to 31); BRAM_Dout_A : in std_logic_vector(0 to 31); BRAM_Rst_B : in std_logic; BRAM_Clk_B : in std_logic; BRAM_EN_B : in std_logic; BRAM_WEN_B : in std_logic_vector(0 to 3); BRAM_Addr_B : in std_logic_vector(0 to 31); BRAM_Din_B : out std_logic_vector(0 to 31); BRAM_Dout_B : in std_logic_vector(0 to 31) ); attribute x_core_info : STRING; attribute keep_hierarchy : STRING; attribute x_core_info of system_lmb_bram_wrapper : entity is "lmb_bram_elaborate_v1_00_a"; attribute keep_hierarchy of system_lmb_bram_wrapper : entity is "yes"; end system_lmb_bram_wrapper; architecture STRUCTURE of system_lmb_bram_wrapper is component lmb_bram_elaborate is generic ( C_MEMSIZE : integer; C_PORT_DWIDTH : integer; C_PORT_AWIDTH : integer; C_NUM_WE : integer; C_FAMILY : string ); port ( BRAM_Rst_A : in std_logic; BRAM_Clk_A : in std_logic; BRAM_EN_A : in std_logic; BRAM_WEN_A : in std_logic_vector(0 to C_NUM_WE-1); BRAM_Addr_A : in std_logic_vector(0 to C_PORT_AWIDTH-1); BRAM_Din_A : out std_logic_vector(0 to C_PORT_DWIDTH-1); BRAM_Dout_A : in std_logic_vector(0 to C_PORT_DWIDTH-1); BRAM_Rst_B : in std_logic; BRAM_Clk_B : in std_logic; BRAM_EN_B : in std_logic; BRAM_WEN_B : in std_logic_vector(0 to C_NUM_WE-1); BRAM_Addr_B : in std_logic_vector(0 to C_PORT_AWIDTH-1); BRAM_Din_B : out std_logic_vector(0 to C_PORT_DWIDTH-1); BRAM_Dout_B : in std_logic_vector(0 to C_PORT_DWIDTH-1) ); end component; begin lmb_bram : lmb_bram_elaborate generic map ( C_MEMSIZE => 16#1000#, C_PORT_DWIDTH => 32, C_PORT_AWIDTH => 32, C_NUM_WE => 4, C_FAMILY => "virtex5" ) port map ( BRAM_Rst_A => BRAM_Rst_A, BRAM_Clk_A => BRAM_Clk_A, BRAM_EN_A => BRAM_EN_A, BRAM_WEN_A => BRAM_WEN_A, BRAM_Addr_A => BRAM_Addr_A, BRAM_Din_A => BRAM_Din_A, BRAM_Dout_A => BRAM_Dout_A, BRAM_Rst_B => BRAM_Rst_B, BRAM_Clk_B => BRAM_Clk_B, BRAM_EN_B => BRAM_EN_B, BRAM_WEN_B => BRAM_WEN_B, BRAM_Addr_B => BRAM_Addr_B, BRAM_Din_B => BRAM_Din_B, BRAM_Dout_B => BRAM_Dout_B ); end architecture STRUCTURE;
lgpl-3.0
jairov4/accel-oil
impl/impl_test_single/simulation/behavioral/system_proc_sys_reset_0_wrapper.vhd
2
4081
------------------------------------------------------------------------------- -- system_proc_sys_reset_0_wrapper.vhd ------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; library proc_sys_reset_v3_00_a; use proc_sys_reset_v3_00_a.all; entity system_proc_sys_reset_0_wrapper is port ( Slowest_sync_clk : in std_logic; Ext_Reset_In : in std_logic; Aux_Reset_In : in std_logic; MB_Debug_Sys_Rst : in std_logic; Core_Reset_Req_0 : in std_logic; Chip_Reset_Req_0 : in std_logic; System_Reset_Req_0 : in std_logic; Core_Reset_Req_1 : in std_logic; Chip_Reset_Req_1 : in std_logic; System_Reset_Req_1 : in std_logic; Dcm_locked : in std_logic; RstcPPCresetcore_0 : out std_logic; RstcPPCresetchip_0 : out std_logic; RstcPPCresetsys_0 : out std_logic; RstcPPCresetcore_1 : out std_logic; RstcPPCresetchip_1 : out std_logic; RstcPPCresetsys_1 : out std_logic; MB_Reset : out std_logic; Bus_Struct_Reset : out std_logic_vector(0 to 0); Peripheral_Reset : out std_logic_vector(0 to 0); Interconnect_aresetn : out std_logic_vector(0 to 0); Peripheral_aresetn : out std_logic_vector(0 to 0) ); end system_proc_sys_reset_0_wrapper; architecture STRUCTURE of system_proc_sys_reset_0_wrapper is component proc_sys_reset is generic ( C_EXT_RST_WIDTH : integer; C_AUX_RST_WIDTH : integer; C_EXT_RESET_HIGH : std_logic; C_AUX_RESET_HIGH : std_logic; C_NUM_BUS_RST : integer; C_NUM_PERP_RST : integer; C_NUM_INTERCONNECT_ARESETN : integer; C_NUM_PERP_ARESETN : integer ); port ( Slowest_sync_clk : in std_logic; Ext_Reset_In : in std_logic; Aux_Reset_In : in std_logic; MB_Debug_Sys_Rst : in std_logic; Core_Reset_Req_0 : in std_logic; Chip_Reset_Req_0 : in std_logic; System_Reset_Req_0 : in std_logic; Core_Reset_Req_1 : in std_logic; Chip_Reset_Req_1 : in std_logic; System_Reset_Req_1 : in std_logic; Dcm_locked : in std_logic; RstcPPCresetcore_0 : out std_logic; RstcPPCresetchip_0 : out std_logic; RstcPPCresetsys_0 : out std_logic; RstcPPCresetcore_1 : out std_logic; RstcPPCresetchip_1 : out std_logic; RstcPPCresetsys_1 : out std_logic; MB_Reset : out std_logic; Bus_Struct_Reset : out std_logic_vector(0 to C_NUM_BUS_RST-1); Peripheral_Reset : out std_logic_vector(0 to C_NUM_PERP_RST-1); Interconnect_aresetn : out std_logic_vector(0 to C_NUM_INTERCONNECT_ARESETN-1); Peripheral_aresetn : out std_logic_vector(0 to C_NUM_PERP_ARESETN-1) ); end component; begin proc_sys_reset_0 : proc_sys_reset generic map ( C_EXT_RST_WIDTH => 4, C_AUX_RST_WIDTH => 4, C_EXT_RESET_HIGH => '0', C_AUX_RESET_HIGH => '1', C_NUM_BUS_RST => 1, C_NUM_PERP_RST => 1, C_NUM_INTERCONNECT_ARESETN => 1, C_NUM_PERP_ARESETN => 1 ) port map ( Slowest_sync_clk => Slowest_sync_clk, Ext_Reset_In => Ext_Reset_In, Aux_Reset_In => Aux_Reset_In, MB_Debug_Sys_Rst => MB_Debug_Sys_Rst, Core_Reset_Req_0 => Core_Reset_Req_0, Chip_Reset_Req_0 => Chip_Reset_Req_0, System_Reset_Req_0 => System_Reset_Req_0, Core_Reset_Req_1 => Core_Reset_Req_1, Chip_Reset_Req_1 => Chip_Reset_Req_1, System_Reset_Req_1 => System_Reset_Req_1, Dcm_locked => Dcm_locked, RstcPPCresetcore_0 => RstcPPCresetcore_0, RstcPPCresetchip_0 => RstcPPCresetchip_0, RstcPPCresetsys_0 => RstcPPCresetsys_0, RstcPPCresetcore_1 => RstcPPCresetcore_1, RstcPPCresetchip_1 => RstcPPCresetchip_1, RstcPPCresetsys_1 => RstcPPCresetsys_1, MB_Reset => MB_Reset, Bus_Struct_Reset => Bus_Struct_Reset, Peripheral_Reset => Peripheral_Reset, Interconnect_aresetn => Interconnect_aresetn, Peripheral_aresetn => Peripheral_aresetn ); end architecture STRUCTURE;
lgpl-3.0
jairov4/accel-oil
impl/impl_test_single/hdl/system_proc_sys_reset_0_wrapper.vhd
2
4214
------------------------------------------------------------------------------- -- system_proc_sys_reset_0_wrapper.vhd ------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; library proc_sys_reset_v3_00_a; use proc_sys_reset_v3_00_a.all; entity system_proc_sys_reset_0_wrapper is port ( Slowest_sync_clk : in std_logic; Ext_Reset_In : in std_logic; Aux_Reset_In : in std_logic; MB_Debug_Sys_Rst : in std_logic; Core_Reset_Req_0 : in std_logic; Chip_Reset_Req_0 : in std_logic; System_Reset_Req_0 : in std_logic; Core_Reset_Req_1 : in std_logic; Chip_Reset_Req_1 : in std_logic; System_Reset_Req_1 : in std_logic; Dcm_locked : in std_logic; RstcPPCresetcore_0 : out std_logic; RstcPPCresetchip_0 : out std_logic; RstcPPCresetsys_0 : out std_logic; RstcPPCresetcore_1 : out std_logic; RstcPPCresetchip_1 : out std_logic; RstcPPCresetsys_1 : out std_logic; MB_Reset : out std_logic; Bus_Struct_Reset : out std_logic_vector(0 to 0); Peripheral_Reset : out std_logic_vector(0 to 0); Interconnect_aresetn : out std_logic_vector(0 to 0); Peripheral_aresetn : out std_logic_vector(0 to 0) ); attribute x_core_info : STRING; attribute x_core_info of system_proc_sys_reset_0_wrapper : entity is "proc_sys_reset_v3_00_a"; end system_proc_sys_reset_0_wrapper; architecture STRUCTURE of system_proc_sys_reset_0_wrapper is component proc_sys_reset is generic ( C_EXT_RST_WIDTH : integer; C_AUX_RST_WIDTH : integer; C_EXT_RESET_HIGH : std_logic; C_AUX_RESET_HIGH : std_logic; C_NUM_BUS_RST : integer; C_NUM_PERP_RST : integer; C_NUM_INTERCONNECT_ARESETN : integer; C_NUM_PERP_ARESETN : integer ); port ( Slowest_sync_clk : in std_logic; Ext_Reset_In : in std_logic; Aux_Reset_In : in std_logic; MB_Debug_Sys_Rst : in std_logic; Core_Reset_Req_0 : in std_logic; Chip_Reset_Req_0 : in std_logic; System_Reset_Req_0 : in std_logic; Core_Reset_Req_1 : in std_logic; Chip_Reset_Req_1 : in std_logic; System_Reset_Req_1 : in std_logic; Dcm_locked : in std_logic; RstcPPCresetcore_0 : out std_logic; RstcPPCresetchip_0 : out std_logic; RstcPPCresetsys_0 : out std_logic; RstcPPCresetcore_1 : out std_logic; RstcPPCresetchip_1 : out std_logic; RstcPPCresetsys_1 : out std_logic; MB_Reset : out std_logic; Bus_Struct_Reset : out std_logic_vector(0 to C_NUM_BUS_RST-1); Peripheral_Reset : out std_logic_vector(0 to C_NUM_PERP_RST-1); Interconnect_aresetn : out std_logic_vector(0 to C_NUM_INTERCONNECT_ARESETN-1); Peripheral_aresetn : out std_logic_vector(0 to C_NUM_PERP_ARESETN-1) ); end component; begin proc_sys_reset_0 : proc_sys_reset generic map ( C_EXT_RST_WIDTH => 4, C_AUX_RST_WIDTH => 4, C_EXT_RESET_HIGH => '0', C_AUX_RESET_HIGH => '1', C_NUM_BUS_RST => 1, C_NUM_PERP_RST => 1, C_NUM_INTERCONNECT_ARESETN => 1, C_NUM_PERP_ARESETN => 1 ) port map ( Slowest_sync_clk => Slowest_sync_clk, Ext_Reset_In => Ext_Reset_In, Aux_Reset_In => Aux_Reset_In, MB_Debug_Sys_Rst => MB_Debug_Sys_Rst, Core_Reset_Req_0 => Core_Reset_Req_0, Chip_Reset_Req_0 => Chip_Reset_Req_0, System_Reset_Req_0 => System_Reset_Req_0, Core_Reset_Req_1 => Core_Reset_Req_1, Chip_Reset_Req_1 => Chip_Reset_Req_1, System_Reset_Req_1 => System_Reset_Req_1, Dcm_locked => Dcm_locked, RstcPPCresetcore_0 => RstcPPCresetcore_0, RstcPPCresetchip_0 => RstcPPCresetchip_0, RstcPPCresetsys_0 => RstcPPCresetsys_0, RstcPPCresetcore_1 => RstcPPCresetcore_1, RstcPPCresetchip_1 => RstcPPCresetchip_1, RstcPPCresetsys_1 => RstcPPCresetsys_1, MB_Reset => MB_Reset, Bus_Struct_Reset => Bus_Struct_Reset, Peripheral_Reset => Peripheral_Reset, Interconnect_aresetn => Interconnect_aresetn, Peripheral_aresetn => Peripheral_aresetn ); end architecture STRUCTURE;
lgpl-3.0
jairov4/accel-oil
solution_virtex5/syn/vhdl/nfa_get_finals.vhd
3
12263
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.1 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity nfa_get_finals is port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; ap_ce : IN STD_LOGIC; nfa_finals_buckets_req_din : OUT STD_LOGIC; nfa_finals_buckets_req_full_n : IN STD_LOGIC; nfa_finals_buckets_req_write : OUT STD_LOGIC; nfa_finals_buckets_rsp_empty_n : IN STD_LOGIC; nfa_finals_buckets_rsp_read : OUT STD_LOGIC; nfa_finals_buckets_address : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_finals_buckets_datain : IN STD_LOGIC_VECTOR (31 downto 0); nfa_finals_buckets_dataout : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_finals_buckets_size : OUT STD_LOGIC_VECTOR (31 downto 0); ap_return_0 : OUT STD_LOGIC_VECTOR (31 downto 0); ap_return_1 : OUT STD_LOGIC_VECTOR (31 downto 0) ); end; architecture behav of nfa_get_finals is constant ap_const_logic_1 : STD_LOGIC := '1'; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_ST_pp0_stg0_fsm_0 : STD_LOGIC_VECTOR (1 downto 0) := "10"; constant ap_ST_pp0_stg1_fsm_1 : STD_LOGIC_VECTOR (1 downto 0) := "00"; constant ap_ST_pp0_stg2_fsm_2 : STD_LOGIC_VECTOR (1 downto 0) := "01"; constant ap_ST_pp0_stg3_fsm_3 : STD_LOGIC_VECTOR (1 downto 0) := "11"; constant ap_const_lv64_1 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000000001"; constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001"; signal ap_CS_fsm : STD_LOGIC_VECTOR (1 downto 0) := "10"; signal ap_reg_ppiten_pp0_it0 : STD_LOGIC; signal ap_reg_ppiten_pp0_it1 : STD_LOGIC := '0'; signal nfa_finals_buckets_read_reg_59 : STD_LOGIC_VECTOR (31 downto 0); signal ap_reg_ppiten_pp0_it0_preg : STD_LOGIC := '0'; signal ap_NS_fsm : STD_LOGIC_VECTOR (1 downto 0); signal ap_sig_pprstidle_pp0 : STD_LOGIC; signal ap_sig_bdd_131 : BOOLEAN; signal ap_sig_bdd_130 : BOOLEAN; begin -- the current state (ap_CS_fsm) of the state machine. -- ap_CS_fsm_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_CS_fsm <= ap_ST_pp0_stg0_fsm_0; else ap_CS_fsm <= ap_NS_fsm; end if; end if; end process; -- ap_reg_ppiten_pp0_it0_preg assign process. -- ap_reg_ppiten_pp0_it0_preg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it0_preg <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((not((ap_const_logic_1 = ap_ce)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)))))) then ap_reg_ppiten_pp0_it0_preg <= ap_start; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it1 assign process. -- ap_reg_ppiten_pp0_it1_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it1 <= ap_const_logic_0; else if (((ap_ST_pp0_stg1_fsm_1 = ap_CS_fsm) and not((not((ap_const_logic_1 = ap_ce)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)))) and not((ap_const_logic_1 = ap_reg_ppiten_pp0_it0)))) then ap_reg_ppiten_pp0_it1 <= ap_const_logic_0; elsif (((ap_ST_pp0_stg3_fsm_3 = ap_CS_fsm) and (ap_const_logic_1 = ap_ce))) then ap_reg_ppiten_pp0_it1 <= ap_reg_ppiten_pp0_it0; end if; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_1 = ap_ce) and (ap_ST_pp0_stg2_fsm_2 = ap_CS_fsm) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0))))) then nfa_finals_buckets_read_reg_59 <= nfa_finals_buckets_datain; end if; end if; end process; -- the next state (ap_NS_fsm) of the state machine. -- ap_NS_fsm_assign_proc : process (ap_start , ap_CS_fsm , ap_reg_ppiten_pp0_it0 , ap_reg_ppiten_pp0_it1 , ap_ce , nfa_finals_buckets_rsp_empty_n , ap_sig_pprstidle_pp0) begin case ap_CS_fsm is when ap_ST_pp0_stg0_fsm_0 => if ((not((not((ap_const_logic_1 = ap_ce)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)))) and not(((ap_const_logic_0 = ap_reg_ppiten_pp0_it1) and (ap_const_logic_0 = ap_start))))) then ap_NS_fsm <= ap_ST_pp0_stg1_fsm_1; else ap_NS_fsm <= ap_ST_pp0_stg0_fsm_0; end if; when ap_ST_pp0_stg1_fsm_1 => if ((not((not((ap_const_logic_1 = ap_ce)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)))) and not((ap_const_logic_1 = ap_sig_pprstidle_pp0)))) then ap_NS_fsm <= ap_ST_pp0_stg2_fsm_2; elsif ((not((not((ap_const_logic_1 = ap_ce)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_sig_pprstidle_pp0))) then ap_NS_fsm <= ap_ST_pp0_stg0_fsm_0; else ap_NS_fsm <= ap_ST_pp0_stg1_fsm_1; end if; when ap_ST_pp0_stg2_fsm_2 => if (not((not((ap_const_logic_1 = ap_ce)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0))))) then ap_NS_fsm <= ap_ST_pp0_stg3_fsm_3; else ap_NS_fsm <= ap_ST_pp0_stg2_fsm_2; end if; when ap_ST_pp0_stg3_fsm_3 => if ((ap_const_logic_1 = ap_ce)) then ap_NS_fsm <= ap_ST_pp0_stg0_fsm_0; else ap_NS_fsm <= ap_ST_pp0_stg3_fsm_3; end if; when others => ap_NS_fsm <= "XX"; end case; end process; -- ap_done assign process. -- ap_done_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, ap_ce, nfa_finals_buckets_rsp_empty_n) begin if (((not((ap_const_logic_1 = ap_start)) and (ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (ap_const_logic_1 = ap_ce) and (ap_ST_pp0_stg1_fsm_1 = ap_CS_fsm) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)))))) then ap_done <= ap_const_logic_1; else ap_done <= ap_const_logic_0; end if; end process; -- ap_idle assign process. -- ap_idle_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1) begin if ((not((ap_const_logic_1 = ap_start)) and (ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it1))) then ap_idle <= ap_const_logic_1; else ap_idle <= ap_const_logic_0; end if; end process; -- ap_ready assign process. -- ap_ready_assign_proc : process(ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_ce) begin if (((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_ST_pp0_stg3_fsm_3 = ap_CS_fsm) and (ap_const_logic_1 = ap_ce))) then ap_ready <= ap_const_logic_1; else ap_ready <= ap_const_logic_0; end if; end process; -- ap_reg_ppiten_pp0_it0 assign process. -- ap_reg_ppiten_pp0_it0_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0_preg) begin if ((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm)) then ap_reg_ppiten_pp0_it0 <= ap_start; else ap_reg_ppiten_pp0_it0 <= ap_reg_ppiten_pp0_it0_preg; end if; end process; ap_return_0 <= nfa_finals_buckets_read_reg_59; ap_return_1 <= nfa_finals_buckets_datain; -- ap_sig_bdd_130 assign process. -- ap_sig_bdd_130_assign_proc : process(ap_reg_ppiten_pp0_it0, ap_ce) begin ap_sig_bdd_130 <= ((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_1 = ap_ce)); end process; -- ap_sig_bdd_131 assign process. -- ap_sig_bdd_131_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0) begin ap_sig_bdd_131 <= ((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)))); end process; -- ap_sig_pprstidle_pp0 assign process. -- ap_sig_pprstidle_pp0_assign_proc : process(ap_start, ap_reg_ppiten_pp0_it0) begin if (((ap_const_logic_0 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_0 = ap_start))) then ap_sig_pprstidle_pp0 <= ap_const_logic_1; else ap_sig_pprstidle_pp0 <= ap_const_logic_0; end if; end process; -- nfa_finals_buckets_address assign process. -- nfa_finals_buckets_address_assign_proc : process(ap_CS_fsm, ap_sig_bdd_131, ap_sig_bdd_130) begin if (ap_sig_bdd_130) then if ((ap_ST_pp0_stg3_fsm_3 = ap_CS_fsm)) then nfa_finals_buckets_address <= ap_const_lv64_1(32 - 1 downto 0); elsif (ap_sig_bdd_131) then nfa_finals_buckets_address <= ap_const_lv32_0; else nfa_finals_buckets_address <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; else nfa_finals_buckets_address <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; nfa_finals_buckets_dataout <= ap_const_lv32_0; nfa_finals_buckets_req_din <= ap_const_logic_0; -- nfa_finals_buckets_req_write assign process. -- nfa_finals_buckets_req_write_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_ce) begin if ((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_ST_pp0_stg3_fsm_3 = ap_CS_fsm) and (ap_const_logic_1 = ap_ce)) or ((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_1 = ap_ce) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)))))) then nfa_finals_buckets_req_write <= ap_const_logic_1; else nfa_finals_buckets_req_write <= ap_const_logic_0; end if; end process; -- nfa_finals_buckets_rsp_read assign process. -- nfa_finals_buckets_rsp_read_assign_proc : process(ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, ap_ce, nfa_finals_buckets_rsp_empty_n) begin if ((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_1 = ap_ce) and (ap_ST_pp0_stg2_fsm_2 = ap_CS_fsm) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)))) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (ap_const_logic_1 = ap_ce) and (ap_ST_pp0_stg1_fsm_1 = ap_CS_fsm) and not(((ap_const_logic_1 = ap_reg_ppiten_pp0_it1) and (nfa_finals_buckets_rsp_empty_n = ap_const_logic_0)))))) then nfa_finals_buckets_rsp_read <= ap_const_logic_1; else nfa_finals_buckets_rsp_read <= ap_const_logic_0; end if; end process; nfa_finals_buckets_size <= ap_const_lv32_1; end behav;
lgpl-3.0
jairov4/accel-oil
impl/impl_test_pcie/hdl/system_mb_plb_wrapper.vhd
1
14676
------------------------------------------------------------------------------- -- system_mb_plb_wrapper.vhd ------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; library plb_v46_v1_05_a; use plb_v46_v1_05_a.all; entity system_mb_plb_wrapper is port ( PLB_Clk : in std_logic; SYS_Rst : in std_logic; PLB_Rst : out std_logic; SPLB_Rst : out std_logic_vector(0 to 11); MPLB_Rst : out std_logic_vector(0 to 5); PLB_dcrAck : out std_logic; PLB_dcrDBus : out std_logic_vector(0 to 31); DCR_ABus : in std_logic_vector(0 to 9); DCR_DBus : in std_logic_vector(0 to 31); DCR_Read : in std_logic; DCR_Write : in std_logic; M_ABus : in std_logic_vector(0 to 191); M_UABus : in std_logic_vector(0 to 191); M_BE : in std_logic_vector(0 to 47); M_RNW : in std_logic_vector(0 to 5); M_abort : in std_logic_vector(0 to 5); M_busLock : in std_logic_vector(0 to 5); M_TAttribute : in std_logic_vector(0 to 95); M_lockErr : in std_logic_vector(0 to 5); M_MSize : in std_logic_vector(0 to 11); M_priority : in std_logic_vector(0 to 11); M_rdBurst : in std_logic_vector(0 to 5); M_request : in std_logic_vector(0 to 5); M_size : in std_logic_vector(0 to 23); M_type : in std_logic_vector(0 to 17); M_wrBurst : in std_logic_vector(0 to 5); M_wrDBus : in std_logic_vector(0 to 383); Sl_addrAck : in std_logic_vector(0 to 11); Sl_MRdErr : in std_logic_vector(0 to 71); Sl_MWrErr : in std_logic_vector(0 to 71); Sl_MBusy : in std_logic_vector(0 to 71); Sl_rdBTerm : in std_logic_vector(0 to 11); Sl_rdComp : in std_logic_vector(0 to 11); Sl_rdDAck : in std_logic_vector(0 to 11); Sl_rdDBus : in std_logic_vector(0 to 767); Sl_rdWdAddr : in std_logic_vector(0 to 47); Sl_rearbitrate : in std_logic_vector(0 to 11); Sl_SSize : in std_logic_vector(0 to 23); Sl_wait : in std_logic_vector(0 to 11); Sl_wrBTerm : in std_logic_vector(0 to 11); Sl_wrComp : in std_logic_vector(0 to 11); Sl_wrDAck : in std_logic_vector(0 to 11); Sl_MIRQ : in std_logic_vector(0 to 71); PLB_MIRQ : out std_logic_vector(0 to 5); PLB_ABus : out std_logic_vector(0 to 31); PLB_UABus : out std_logic_vector(0 to 31); PLB_BE : out std_logic_vector(0 to 7); PLB_MAddrAck : out std_logic_vector(0 to 5); PLB_MTimeout : out std_logic_vector(0 to 5); PLB_MBusy : out std_logic_vector(0 to 5); PLB_MRdErr : out std_logic_vector(0 to 5); PLB_MWrErr : out std_logic_vector(0 to 5); PLB_MRdBTerm : out std_logic_vector(0 to 5); PLB_MRdDAck : out std_logic_vector(0 to 5); PLB_MRdDBus : out std_logic_vector(0 to 383); PLB_MRdWdAddr : out std_logic_vector(0 to 23); PLB_MRearbitrate : out std_logic_vector(0 to 5); PLB_MWrBTerm : out std_logic_vector(0 to 5); PLB_MWrDAck : out std_logic_vector(0 to 5); PLB_MSSize : out std_logic_vector(0 to 11); PLB_PAValid : out std_logic; PLB_RNW : out std_logic; PLB_SAValid : out std_logic; PLB_abort : out std_logic; PLB_busLock : out std_logic; PLB_TAttribute : out std_logic_vector(0 to 15); PLB_lockErr : out std_logic; PLB_masterID : out std_logic_vector(0 to 2); PLB_MSize : out std_logic_vector(0 to 1); PLB_rdPendPri : out std_logic_vector(0 to 1); PLB_wrPendPri : out std_logic_vector(0 to 1); PLB_rdPendReq : out std_logic; PLB_wrPendReq : out std_logic; PLB_rdBurst : out std_logic; PLB_rdPrim : out std_logic_vector(0 to 11); PLB_reqPri : out std_logic_vector(0 to 1); PLB_size : out std_logic_vector(0 to 3); PLB_type : out std_logic_vector(0 to 2); PLB_wrBurst : out std_logic; PLB_wrDBus : out std_logic_vector(0 to 63); PLB_wrPrim : out std_logic_vector(0 to 11); PLB_SaddrAck : out std_logic; PLB_SMRdErr : out std_logic_vector(0 to 5); PLB_SMWrErr : out std_logic_vector(0 to 5); PLB_SMBusy : out std_logic_vector(0 to 5); PLB_SrdBTerm : out std_logic; PLB_SrdComp : out std_logic; PLB_SrdDAck : out std_logic; PLB_SrdDBus : out std_logic_vector(0 to 63); PLB_SrdWdAddr : out std_logic_vector(0 to 3); PLB_Srearbitrate : out std_logic; PLB_Sssize : out std_logic_vector(0 to 1); PLB_Swait : out std_logic; PLB_SwrBTerm : out std_logic; PLB_SwrComp : out std_logic; PLB_SwrDAck : out std_logic; Bus_Error_Det : out std_logic ); attribute x_core_info : STRING; attribute x_core_info of system_mb_plb_wrapper : entity is "plb_v46_v1_05_a"; end system_mb_plb_wrapper; architecture STRUCTURE of system_mb_plb_wrapper is component plb_v46 is generic ( C_PLBV46_NUM_MASTERS : integer; C_PLBV46_NUM_SLAVES : integer; C_PLBV46_MID_WIDTH : integer; C_PLBV46_AWIDTH : integer; C_PLBV46_DWIDTH : integer; C_DCR_INTFCE : integer; C_BASEADDR : std_logic_vector; C_HIGHADDR : std_logic_vector; C_DCR_AWIDTH : integer; C_DCR_DWIDTH : integer; C_EXT_RESET_HIGH : integer; C_IRQ_ACTIVE : std_logic; C_ADDR_PIPELINING_TYPE : integer; C_FAMILY : string; C_P2P : integer; C_ARB_TYPE : integer ); port ( PLB_Clk : in std_logic; SYS_Rst : in std_logic; PLB_Rst : out std_logic; SPLB_Rst : out std_logic_vector(0 to C_PLBV46_NUM_SLAVES-1); MPLB_Rst : out std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1); PLB_dcrAck : out std_logic; PLB_dcrDBus : out std_logic_vector(0 to C_DCR_DWIDTH-1); DCR_ABus : in std_logic_vector(0 to C_DCR_AWIDTH-1); DCR_DBus : in std_logic_vector(0 to C_DCR_DWIDTH-1); DCR_Read : in std_logic; DCR_Write : in std_logic; M_ABus : in std_logic_vector(0 to (C_PLBV46_NUM_MASTERS*32)-1); M_UABus : in std_logic_vector(0 to (C_PLBV46_NUM_MASTERS*32)-1); M_BE : in std_logic_vector(0 to (C_PLBV46_NUM_MASTERS*(C_PLBV46_DWIDTH/8))-1); M_RNW : in std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1); M_abort : in std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1); M_busLock : in std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1); M_TAttribute : in std_logic_vector(0 to (C_PLBV46_NUM_MASTERS*16)-1); M_lockErr : in std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1); M_MSize : in std_logic_vector(0 to (C_PLBV46_NUM_MASTERS*2)-1); M_priority : in std_logic_vector(0 to (C_PLBV46_NUM_MASTERS*2)-1); M_rdBurst : in std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1); M_request : in std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1); M_size : in std_logic_vector(0 to (C_PLBV46_NUM_MASTERS*4)-1); M_type : in std_logic_vector(0 to (C_PLBV46_NUM_MASTERS*3)-1); M_wrBurst : in std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1); M_wrDBus : in std_logic_vector(0 to (C_PLBV46_NUM_MASTERS*C_PLBV46_DWIDTH)-1); Sl_addrAck : in std_logic_vector(0 to C_PLBV46_NUM_SLAVES-1); Sl_MRdErr : in std_logic_vector(0 to (C_PLBV46_NUM_SLAVES*C_PLBV46_NUM_MASTERS)-1); Sl_MWrErr : in std_logic_vector(0 to (C_PLBV46_NUM_SLAVES*C_PLBV46_NUM_MASTERS)-1); Sl_MBusy : in std_logic_vector(0 to C_PLBV46_NUM_SLAVES*C_PLBV46_NUM_MASTERS - 1 ); Sl_rdBTerm : in std_logic_vector(0 to C_PLBV46_NUM_SLAVES-1); Sl_rdComp : in std_logic_vector(0 to C_PLBV46_NUM_SLAVES-1); Sl_rdDAck : in std_logic_vector(0 to C_PLBV46_NUM_SLAVES-1); Sl_rdDBus : in std_logic_vector(0 to C_PLBV46_NUM_SLAVES*C_PLBV46_DWIDTH-1); Sl_rdWdAddr : in std_logic_vector(0 to C_PLBV46_NUM_SLAVES*4-1); Sl_rearbitrate : in std_logic_vector(0 to C_PLBV46_NUM_SLAVES-1); Sl_SSize : in std_logic_vector(0 to C_PLBV46_NUM_SLAVES*2-1); Sl_wait : in std_logic_vector(0 to C_PLBV46_NUM_SLAVES-1); Sl_wrBTerm : in std_logic_vector(0 to C_PLBV46_NUM_SLAVES-1); Sl_wrComp : in std_logic_vector(0 to C_PLBV46_NUM_SLAVES-1); Sl_wrDAck : in std_logic_vector(0 to C_PLBV46_NUM_SLAVES-1); Sl_MIRQ : in std_logic_vector(0 to C_PLBV46_NUM_SLAVES*C_PLBV46_NUM_MASTERS-1); PLB_MIRQ : out std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1); PLB_ABus : out std_logic_vector(0 to 31); PLB_UABus : out std_logic_vector(0 to 31); PLB_BE : out std_logic_vector(0 to (C_PLBV46_DWIDTH/8)-1); PLB_MAddrAck : out std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1); PLB_MTimeout : out std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1); PLB_MBusy : out std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1); PLB_MRdErr : out std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1); PLB_MWrErr : out std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1); PLB_MRdBTerm : out std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1); PLB_MRdDAck : out std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1); PLB_MRdDBus : out std_logic_vector(0 to (C_PLBV46_NUM_MASTERS*C_PLBV46_DWIDTH)-1); PLB_MRdWdAddr : out std_logic_vector(0 to (C_PLBV46_NUM_MASTERS*4)-1); PLB_MRearbitrate : out std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1); PLB_MWrBTerm : out std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1); PLB_MWrDAck : out std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1); PLB_MSSize : out std_logic_vector(0 to (C_PLBV46_NUM_MASTERS*2)-1); PLB_PAValid : out std_logic; PLB_RNW : out std_logic; PLB_SAValid : out std_logic; PLB_abort : out std_logic; PLB_busLock : out std_logic; PLB_TAttribute : out std_logic_vector(0 to 15); PLB_lockErr : out std_logic; PLB_masterID : out std_logic_vector(0 to C_PLBV46_MID_WIDTH-1); PLB_MSize : out std_logic_vector(0 to 1); PLB_rdPendPri : out std_logic_vector(0 to 1); PLB_wrPendPri : out std_logic_vector(0 to 1); PLB_rdPendReq : out std_logic; PLB_wrPendReq : out std_logic; PLB_rdBurst : out std_logic; PLB_rdPrim : out std_logic_vector(0 to C_PLBV46_NUM_SLAVES-1); PLB_reqPri : out std_logic_vector(0 to 1); PLB_size : out std_logic_vector(0 to 3); PLB_type : out std_logic_vector(0 to 2); PLB_wrBurst : out std_logic; PLB_wrDBus : out std_logic_vector(0 to C_PLBV46_DWIDTH-1); PLB_wrPrim : out std_logic_vector(0 to C_PLBV46_NUM_SLAVES-1); PLB_SaddrAck : out std_logic; PLB_SMRdErr : out std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1); PLB_SMWrErr : out std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1); PLB_SMBusy : out std_logic_vector(0 to C_PLBV46_NUM_MASTERS-1); PLB_SrdBTerm : out std_logic; PLB_SrdComp : out std_logic; PLB_SrdDAck : out std_logic; PLB_SrdDBus : out std_logic_vector(0 to C_PLBV46_DWIDTH-1); PLB_SrdWdAddr : out std_logic_vector(0 to 3); PLB_Srearbitrate : out std_logic; PLB_Sssize : out std_logic_vector(0 to 1); PLB_Swait : out std_logic; PLB_SwrBTerm : out std_logic; PLB_SwrComp : out std_logic; PLB_SwrDAck : out std_logic; Bus_Error_Det : out std_logic ); end component; begin mb_plb : plb_v46 generic map ( C_PLBV46_NUM_MASTERS => 6, C_PLBV46_NUM_SLAVES => 12, C_PLBV46_MID_WIDTH => 3, C_PLBV46_AWIDTH => 32, C_PLBV46_DWIDTH => 64, C_DCR_INTFCE => 0, C_BASEADDR => B"1111111111", C_HIGHADDR => B"0000000000", C_DCR_AWIDTH => 10, C_DCR_DWIDTH => 32, C_EXT_RESET_HIGH => 1, C_IRQ_ACTIVE => '1', C_ADDR_PIPELINING_TYPE => 1, C_FAMILY => "virtex5", C_P2P => 0, C_ARB_TYPE => 0 ) port map ( PLB_Clk => PLB_Clk, SYS_Rst => SYS_Rst, PLB_Rst => PLB_Rst, SPLB_Rst => SPLB_Rst, MPLB_Rst => MPLB_Rst, PLB_dcrAck => PLB_dcrAck, PLB_dcrDBus => PLB_dcrDBus, DCR_ABus => DCR_ABus, DCR_DBus => DCR_DBus, DCR_Read => DCR_Read, DCR_Write => DCR_Write, M_ABus => M_ABus, M_UABus => M_UABus, M_BE => M_BE, M_RNW => M_RNW, M_abort => M_abort, M_busLock => M_busLock, M_TAttribute => M_TAttribute, M_lockErr => M_lockErr, M_MSize => M_MSize, M_priority => M_priority, M_rdBurst => M_rdBurst, M_request => M_request, M_size => M_size, M_type => M_type, M_wrBurst => M_wrBurst, M_wrDBus => M_wrDBus, Sl_addrAck => Sl_addrAck, Sl_MRdErr => Sl_MRdErr, Sl_MWrErr => Sl_MWrErr, Sl_MBusy => Sl_MBusy, Sl_rdBTerm => Sl_rdBTerm, Sl_rdComp => Sl_rdComp, Sl_rdDAck => Sl_rdDAck, Sl_rdDBus => Sl_rdDBus, Sl_rdWdAddr => Sl_rdWdAddr, Sl_rearbitrate => Sl_rearbitrate, Sl_SSize => Sl_SSize, Sl_wait => Sl_wait, Sl_wrBTerm => Sl_wrBTerm, Sl_wrComp => Sl_wrComp, Sl_wrDAck => Sl_wrDAck, Sl_MIRQ => Sl_MIRQ, PLB_MIRQ => PLB_MIRQ, PLB_ABus => PLB_ABus, PLB_UABus => PLB_UABus, PLB_BE => PLB_BE, PLB_MAddrAck => PLB_MAddrAck, PLB_MTimeout => PLB_MTimeout, PLB_MBusy => PLB_MBusy, PLB_MRdErr => PLB_MRdErr, PLB_MWrErr => PLB_MWrErr, PLB_MRdBTerm => PLB_MRdBTerm, PLB_MRdDAck => PLB_MRdDAck, PLB_MRdDBus => PLB_MRdDBus, PLB_MRdWdAddr => PLB_MRdWdAddr, PLB_MRearbitrate => PLB_MRearbitrate, PLB_MWrBTerm => PLB_MWrBTerm, PLB_MWrDAck => PLB_MWrDAck, PLB_MSSize => PLB_MSSize, PLB_PAValid => PLB_PAValid, PLB_RNW => PLB_RNW, PLB_SAValid => PLB_SAValid, PLB_abort => PLB_abort, PLB_busLock => PLB_busLock, PLB_TAttribute => PLB_TAttribute, PLB_lockErr => PLB_lockErr, PLB_masterID => PLB_masterID, PLB_MSize => PLB_MSize, PLB_rdPendPri => PLB_rdPendPri, PLB_wrPendPri => PLB_wrPendPri, PLB_rdPendReq => PLB_rdPendReq, PLB_wrPendReq => PLB_wrPendReq, PLB_rdBurst => PLB_rdBurst, PLB_rdPrim => PLB_rdPrim, PLB_reqPri => PLB_reqPri, PLB_size => PLB_size, PLB_type => PLB_type, PLB_wrBurst => PLB_wrBurst, PLB_wrDBus => PLB_wrDBus, PLB_wrPrim => PLB_wrPrim, PLB_SaddrAck => PLB_SaddrAck, PLB_SMRdErr => PLB_SMRdErr, PLB_SMWrErr => PLB_SMWrErr, PLB_SMBusy => PLB_SMBusy, PLB_SrdBTerm => PLB_SrdBTerm, PLB_SrdComp => PLB_SrdComp, PLB_SrdDAck => PLB_SrdDAck, PLB_SrdDBus => PLB_SrdDBus, PLB_SrdWdAddr => PLB_SrdWdAddr, PLB_Srearbitrate => PLB_Srearbitrate, PLB_Sssize => PLB_Sssize, PLB_Swait => PLB_Swait, PLB_SwrBTerm => PLB_SwrBTerm, PLB_SwrComp => PLB_SwrComp, PLB_SwrDAck => PLB_SwrDAck, Bus_Error_Det => Bus_Error_Det ); end architecture STRUCTURE;
lgpl-3.0
jairov4/accel-oil
solution_virtex5/impl/pcores/nfa_accept_samples_generic_hw_top_v1_00_a/synhdl/vhdl/nfa_forward_buckets_if_ap_fifo_af.vhd
2
6299
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.1 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- ============================================================== library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity nfa_forward_buckets_if_ap_fifo_af_ram is generic( mem_style : string := "block"; dwidth : integer := 64; awidth : integer := 6; mem_size : integer := 64 ); port ( clk : in std_logic; din : in std_logic_vector(dwidth-1 downto 0); w_addr : in std_logic_vector(awidth-1 downto 0); we : in std_logic; r_addr : in std_logic_vector(awidth-1 downto 0); dout : out std_logic_vector(dwidth-1 downto 0) ); end entity; architecture rtl of nfa_forward_buckets_if_ap_fifo_af_ram is type mem_array is array (mem_size-1 downto 0) of std_logic_vector (dwidth-1 downto 0); signal mem : mem_array; attribute ram_style : string; attribute ram_style of mem : signal is mem_style; begin p_memory_read: process (clk) begin if (clk = '1' and clk'event) then if (we = '1') then mem(CONV_INTEGER(w_addr)) <= din; end if; dout <= mem(CONV_INTEGER(r_addr)); end if; end process; end rtl; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity nfa_forward_buckets_if_ap_fifo_af is generic ( MEM_STYLE : string := "block"; DATA_WIDTH : integer := 64; ADDR_WIDTH : integer := 6; DEPTH : integer := 64; ALMOST_FULL_MARGIN : integer := 2); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0)); end entity; architecture rtl of nfa_forward_buckets_if_ap_fifo_af is component nfa_forward_buckets_if_ap_fifo_af_ram is generic( mem_style : string := "block"; dwidth : integer := 64; awidth : integer := 6; mem_size : integer := 64 ); port ( clk : in std_logic; din : in std_logic_vector(dwidth-1 downto 0); w_addr : in std_logic_vector(awidth-1 downto 0); we : in std_logic; r_addr : in std_logic_vector(awidth-1 downto 0); dout : out std_logic_vector(dwidth-1 downto 0) ); end component; signal mInPtr, mOutPtr : STD_LOGIC_VECTOR(ADDR_WIDTH - 1 downto 0); signal mInPtr_next, mOutPtr_next : STD_LOGIC_VECTOR(ADDR_WIDTH - 1 downto 0); signal ram_raddr, ram_waddr : STD_LOGIC_VECTOR(ADDR_WIDTH - 1 downto 0); signal ram_din, ram_dout : STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); signal conflict_buff : STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); signal conflict_buff_valid : STD_LOGIC; signal ram_we : STD_LOGIC; signal wordUsed : STD_LOGIC_VECTOR(ADDR_WIDTH downto 0); signal internal_empty_n, internal_full_n: STD_LOGIC; begin if_empty_n <= internal_empty_n; if_full_n <= internal_full_n; ram_din <= if_din; process (wordUsed, conflict_buff_valid, conflict_buff, ram_dout) begin if ( wordUsed = 1 and conflict_buff_valid = '1' ) then if_dout <= conflict_buff; else if_dout <= ram_dout; end if; end process; process (mOutPtr) begin if ( mOutPtr < DEPTH -1 ) then mOutPtr_next <= mOutPtr + 1; else mOutPtr_next <= (others => '0'); end if; end process; process (mInPtr) begin if ( mInPtr < DEPTH -1 ) then mInPtr_next <= mInPtr + 1; else mInPtr_next <= (others => '0'); end if; end process; process (clk, reset) begin if reset = '1' then mInPtr <= (others => '0'); mOutPtr <= (others => '0'); wordUsed <= (others => '0'); internal_empty_n <= '0'; internal_full_n <= '1'; conflict_buff <= (others => '0'); conflict_buff_valid <= '0'; else if clk'event and clk = '1' then if if_read = '1' and internal_empty_n = '1' then mOutPtr <= mOutPtr_next; end if; if (if_write = '1') then mInPtr <= mInPtr_next; end if; if (if_read = '1' and internal_empty_n = '1' and if_write = '0') then wordUsed <= wordUsed -1; if (wordUsed = 1) then internal_empty_n <= '0'; end if; internal_full_n <= '1'; elsif (if_read = '0' or internal_empty_n = '0') and (if_write = '1') then wordUsed <= wordUsed +1; internal_empty_n <= '1'; if (wordUsed + ALMOST_FULL_MARGIN = DEPTH -1) then internal_full_n <= '0'; end if; end if; conflict_buff <= if_din; conflict_buff_valid <= if_write and internal_full_n; end if; end if; end process; ram_waddr <= mInPtr; ram_raddr <= mOutPtr_next when if_read = '1' and internal_empty_n = '1' else mOutPtr; -- if a read occur on the following clock edge, prepare next read data in advance ram_we <= if_write; -- caller should check almost_full signal U_nfa_forward_buckets_if_ap_fifo_af_ram : nfa_forward_buckets_if_ap_fifo_af_ram generic map ( mem_style => MEM_STYLE, dwidth => DATA_WIDTH, awidth => ADDR_WIDTH, mem_size => DEPTH) port map ( clk => clk, din => ram_din, w_addr => ram_waddr, we => ram_we, r_addr => ram_raddr, dout => ram_dout); end rtl;
lgpl-3.0
jairov4/accel-oil
solution_virtex5/impl/pcores/nfa_accept_samples_generic_hw_top_v1_00_a/simhdl/vhdl/nfa_initials_buckets_if_ap_fifo_af.vhd
2
6306
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.1 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- ============================================================== library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity nfa_initials_buckets_if_ap_fifo_af_ram is generic( mem_style : string := "block"; dwidth : integer := 64; awidth : integer := 6; mem_size : integer := 64 ); port ( clk : in std_logic; din : in std_logic_vector(dwidth-1 downto 0); w_addr : in std_logic_vector(awidth-1 downto 0); we : in std_logic; r_addr : in std_logic_vector(awidth-1 downto 0); dout : out std_logic_vector(dwidth-1 downto 0) ); end entity; architecture rtl of nfa_initials_buckets_if_ap_fifo_af_ram is type mem_array is array (mem_size-1 downto 0) of std_logic_vector (dwidth-1 downto 0); signal mem : mem_array; attribute ram_style : string; attribute ram_style of mem : signal is mem_style; begin p_memory_read: process (clk) begin if (clk = '1' and clk'event) then if (we = '1') then mem(CONV_INTEGER(w_addr)) <= din; end if; dout <= mem(CONV_INTEGER(r_addr)); end if; end process; end rtl; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity nfa_initials_buckets_if_ap_fifo_af is generic ( MEM_STYLE : string := "block"; DATA_WIDTH : integer := 64; ADDR_WIDTH : integer := 6; DEPTH : integer := 64; ALMOST_FULL_MARGIN : integer := 2); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_empty_n : OUT STD_LOGIC; if_read : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0)); end entity; architecture rtl of nfa_initials_buckets_if_ap_fifo_af is component nfa_initials_buckets_if_ap_fifo_af_ram is generic( mem_style : string := "block"; dwidth : integer := 64; awidth : integer := 6; mem_size : integer := 64 ); port ( clk : in std_logic; din : in std_logic_vector(dwidth-1 downto 0); w_addr : in std_logic_vector(awidth-1 downto 0); we : in std_logic; r_addr : in std_logic_vector(awidth-1 downto 0); dout : out std_logic_vector(dwidth-1 downto 0) ); end component; signal mInPtr, mOutPtr : STD_LOGIC_VECTOR(ADDR_WIDTH - 1 downto 0); signal mInPtr_next, mOutPtr_next : STD_LOGIC_VECTOR(ADDR_WIDTH - 1 downto 0); signal ram_raddr, ram_waddr : STD_LOGIC_VECTOR(ADDR_WIDTH - 1 downto 0); signal ram_din, ram_dout : STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); signal conflict_buff : STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); signal conflict_buff_valid : STD_LOGIC; signal ram_we : STD_LOGIC; signal wordUsed : STD_LOGIC_VECTOR(ADDR_WIDTH downto 0); signal internal_empty_n, internal_full_n: STD_LOGIC; begin if_empty_n <= internal_empty_n; if_full_n <= internal_full_n; ram_din <= if_din; process (wordUsed, conflict_buff_valid, conflict_buff, ram_dout) begin if ( wordUsed = 1 and conflict_buff_valid = '1' ) then if_dout <= conflict_buff; else if_dout <= ram_dout; end if; end process; process (mOutPtr) begin if ( mOutPtr < DEPTH -1 ) then mOutPtr_next <= mOutPtr + 1; else mOutPtr_next <= (others => '0'); end if; end process; process (mInPtr) begin if ( mInPtr < DEPTH -1 ) then mInPtr_next <= mInPtr + 1; else mInPtr_next <= (others => '0'); end if; end process; process (clk, reset) begin if reset = '1' then mInPtr <= (others => '0'); mOutPtr <= (others => '0'); wordUsed <= (others => '0'); internal_empty_n <= '0'; internal_full_n <= '1'; conflict_buff <= (others => '0'); conflict_buff_valid <= '0'; else if clk'event and clk = '1' then if if_read = '1' and internal_empty_n = '1' then mOutPtr <= mOutPtr_next; end if; if (if_write = '1') then mInPtr <= mInPtr_next; end if; if (if_read = '1' and internal_empty_n = '1' and if_write = '0') then wordUsed <= wordUsed -1; if (wordUsed = 1) then internal_empty_n <= '0'; end if; internal_full_n <= '1'; elsif (if_read = '0' or internal_empty_n = '0') and (if_write = '1') then wordUsed <= wordUsed +1; internal_empty_n <= '1'; if (wordUsed + ALMOST_FULL_MARGIN = DEPTH -1) then internal_full_n <= '0'; end if; end if; conflict_buff <= if_din; conflict_buff_valid <= if_write and internal_full_n; end if; end if; end process; ram_waddr <= mInPtr; ram_raddr <= mOutPtr_next when if_read = '1' and internal_empty_n = '1' else mOutPtr; -- if a read occur on the following clock edge, prepare next read data in advance ram_we <= if_write; -- caller should check almost_full signal U_nfa_initials_buckets_if_ap_fifo_af_ram : nfa_initials_buckets_if_ap_fifo_af_ram generic map ( mem_style => MEM_STYLE, dwidth => DATA_WIDTH, awidth => ADDR_WIDTH, mem_size => DEPTH) port map ( clk => clk, din => ram_din, w_addr => ram_waddr, we => ram_we, r_addr => ram_raddr, dout => ram_dout); end rtl;
lgpl-3.0
jairov4/accel-oil
solution_virtex5/impl/pcores/nfa_accept_samples_generic_hw_top_v1_00_a/synhdl/vhdl/nfa_initials_buckets_if_ap_fifo.vhd
3
2831
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.1 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- ============================================================== library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; entity nfa_initials_buckets_if_ap_fifo is generic ( DATA_WIDTH : integer := 32; ADDR_WIDTH : integer := 16; DEPTH : integer := 1); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_empty_n : OUT STD_LOGIC; if_read_ce : IN STD_LOGIC; if_read : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write_ce : IN STD_LOGIC; if_write : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0)); end entity; architecture rtl of nfa_initials_buckets_if_ap_fifo is type memtype is array (0 to DEPTH - 1) of STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); signal mStorage : memtype := (others => (others => '0')); signal mInPtr : UNSIGNED(ADDR_WIDTH - 1 downto 0) := (others => '0'); signal mOutPtr : UNSIGNED(ADDR_WIDTH - 1 downto 0) := (others => '0'); signal internal_empty_n, internal_full_n : STD_LOGIC; signal mFlag_nEF_hint : STD_LOGIC := '0'; -- 0: empty hint, 1: full hint begin if_dout <= mStorage(CONV_INTEGER(mOutPtr)); if_empty_n <= internal_empty_n; if_full_n <= internal_full_n; internal_empty_n <= '0' when mInPtr = mOutPtr and mFlag_nEF_hint = '0' else '1'; internal_full_n <= '0' when mInptr = mOutPtr and mFlag_nEF_hint = '1' else '1'; process (clk, reset) begin if reset = '1' then mInPtr <= (others => '0'); mOutPtr <= (others => '0'); mFlag_nEF_hint <= '0'; -- empty hint elsif clk'event and clk = '1' then if if_read_ce = '1' and if_read = '1' and internal_empty_n = '1' then if (mOutPtr = DEPTH -1) then mOutPtr <= (others => '0'); mFlag_nEF_hint <= not mFlag_nEF_hint; else mOutPtr <= mOutPtr + 1; end if; end if; if if_write_ce = '1' and if_write = '1' and internal_full_n = '1' then mStorage(CONV_INTEGER(mInPtr)) <= if_din; if (mInPtr = DEPTH -1) then mInPtr <= (others => '0'); mFlag_nEF_hint <= not mFlag_nEF_hint; else mInPtr <= mInPtr + 1; end if; end if; end if; end process; end architecture;
lgpl-3.0
blytkerchan/BrainF
BrainF_tb.vhdl
1
6889
-- BrainF* interpreter - testbench -- Version: 20141001 -- Author: Ronald Landheer-Cieslak -- Copyright (c) 2014 Vlinder Software -- License: LGPL-3.0 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.txt_util.all; entity BrainF_tb is end entity; architecture behavior of BrainF_tb is constant WARMUP_COUNTDOWN : integer := 4; constant INITIAL_COUNTDOWN : integer := 10; --constant PROGRAM : string := "++++++++"; --constant PROGRAM : string := "[.]"; --constant PROGRAM : string := "-+[-+][[-+]]"; --constant PROGRAM : string := "++[-][[-+]]"; --constant PROGRAM : string := ">+++++++++[<++++++++>-]<.>+++++++[<++++>-]<+.+++++++..+++.0>++++++++[<++++>-] <.>+++++++++++[<++++++++>-]<-.--------.+++.------.--------.0>++++++++[<++++>- ]<+.0++++++++++."; constant PROGRAM : string := ">+++++++++[<++++++++>-]<.>+++++++[<++++>-]<+.+++++++..+++.[-]>++++++++[<++++>-] <.>+++++++++++[<++++++++>-]<-.--------.+++.------.--------.[-]>++++++++[<++++>- ]<+.[-]++++++++++."; constant PROGRAM_TIMEOUT : Time := 138 ns; component BrainF is generic( MAX_INSTRUCTION_COUNT : positive := 65536 ; MEMORY_SIZE : positive := 65536 ); port( resetN : in std_logic ; clock : in std_logic ; load_instructions : in std_logic ; instruction_octet : in std_logic_vector(7 downto 0) ; ack_instruction : out std_logic ; program_full : out std_logic ; read_memory : in std_logic ; memory_byte : out std_logic_vector(7 downto 0) ; memory_byte_ready : out std_logic ; memory_byte_read_ack : in std_logic ; done : out std_logic ); end component; type State is (warmup, initial, start_loading_program, loading_program, running_program, success); function to_std_logic_vector(c : character) return std_logic_vector is variable cc : integer; begin cc := character'pos(c); return std_logic_vector(to_unsigned(cc, 8)); end to_std_logic_vector; signal clock : std_logic := '0'; signal load_instructions : std_logic := '0'; signal instruction_octet : std_logic_vector(7 downto 0) := (others => '0'); signal ack_instruction : std_logic := '0'; signal program_full : std_logic := '0'; signal read_memory : std_logic := '0'; signal memory_byte : std_logic_vector(7 downto 0) := (others => '0'); signal memory_byte_ready : std_logic := '0'; signal memory_byte_read_ack : std_logic := '0'; signal done : std_logic := '0'; signal tb_state : State := warmup; signal should_be_done : std_logic := '0'; signal end_of_simulation : std_logic := '0'; begin interpreter : BrainF port map( resetN => '1' , clock => clock , load_instructions => load_instructions , instruction_octet => instruction_octet , ack_instruction => ack_instruction , program_full => program_full , read_memory => read_memory , memory_byte => memory_byte , memory_byte_ready => memory_byte_ready , memory_byte_read_ack => memory_byte_read_ack , done => done ); -- generate the clock clock <= not clock after 1 ps; -- generate the time-out signal should_be_done <= '1' after PROGRAM_TIMEOUT; p_tb : process(clock) variable countdown : integer := WARMUP_COUNTDOWN; variable program_load_counter : integer := 0; begin if rising_edge(clock) then case tb_state is when warmup => assert done = '0' report "Cannot be done while warming up (pipe filling with halt instructions)" severity failure; assert program_full = '0' report "Program cannot be initially full" severity failure; assert ack_instruction = '0' report "Cannot acknowledge an instruction I haven't given yet" severity failure; assert memory_byte_ready = '0' report "Cannot have memory ready when I haven't asked for anything yet" severity failure; if countdown = 1 then tb_state <= initial; countdown := INITIAL_COUNTDOWN; else countdown := countdown - 1; end if; when initial => assert done = '1' report "Once warmed up, it should know it has no program and say it's done" severity failure; assert program_full = '0' report "Program cannot be initially full" severity failure; assert ack_instruction = '0' report "Cannot acknowledge an instruction I haven't given yet" severity failure; assert memory_byte_ready = '0' report "Cannot have memory ready when I haven't asked for anything yet" severity failure; if countdown = 1 then tb_state <= start_loading_program; else countdown := countdown - 1; end if; when start_loading_program => assert program_full = '0' report "Program cannot be initially full" severity failure; assert ack_instruction = '0' report "Cannot acknowledge an instruction I haven't given yet" severity failure; assert memory_byte_ready = '0' report "Cannot have memory ready when I haven't asked for anything yet" severity failure; instruction_octet <= to_std_logic_vector(program(1)); load_instructions <= '1'; tb_state <= loading_program; program_load_counter := 2; when loading_program => if program_load_counter <= program'length then if ack_instruction = '1' then instruction_octet <= to_std_logic_vector(program(program_load_counter)); program_load_counter := program_load_counter + 1; end if; else load_instructions <= '0'; tb_state <= running_program; end if; when running_program => if should_be_done = '1' then assert done = '1' report "Timeout!" severity failure; end if; if done = '1' then tb_state <= success; end if; when success => end_of_simulation <= '1'; when others => null; end case; end if; end process; end behavior;
lgpl-3.0
blytkerchan/BrainF
SPISlave.vhdl
1
9365
-- Generic SPI Slave -- sets the output data bit on the rising edge of the clock, reads the -- data input bit on the falling edge. -- To use, read the data_O output on the rising edge of -- (data_ready_O and new_data_byte_O), set data_I to something you want to send and -- wait for a rising edge on data_ack_O before putting another one in. -- Data sent by the slave will be aligned to 8-bit boundaries, so if you don't -- have any data ready to send (data_ready_I is set) when a byte starts to be sent, -- the slave will pull its output low for the duration of the byte. You have between -- the rising edge of the SPI clock for the last bit of a byte and the next rising -- edge to provide new data. -- the spi_clock_I, spi_slave_select_NI and spi_mosi_I signals should be debounced -- before being fed to this component -- you know better how much noise to expect -- than I do. -- Version: 20141019 -- Author: Ronald Landheer-Cieslak -- Copyright (c) 2014 Vlinder Software -- License: LGPL-3.0 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity SPISlave is port( clock : in std_logic ; resetN : in std_logic -- bus to the outside ; spi_clock_I : in std_logic ; spi_slave_select_NI : in std_logic ; spi_mosi_I : in std_logic ; spi_miso_O : out std_logic -- internal bus: -- signal to this component that data_I contains something ; data_ready_I : in std_logic -- data to send ; data_I : in std_logic_vector(7 downto 0) -- acknowledge we've copied the byte, so you can provide another one ; data_ack_O : out std_logic -- indicate data_O contains valid data from the master ; data_ready_O : out std_logic -- signal that we've changed the byte (can be used to push into a FIFO or set an SR flip-flop or something) ; new_data_byte_O : out std_logic -- byte from the master ; data_O : out std_logic_vector(7 downto 0) ); end entity; architecture behavior of SPISlave is type BitCounter is range 0 to 7; -- driven by a SR flip-flop signal internal_data_ready_O : std_logic := '0'; signal internal_data_ready_NO : std_logic := '1'; -- driven by p_decoder signal set_internal_data_ready_O : std_logic := '0'; signal clear_internal_data_ready_O : std_logic := '1'; signal prev_spi_clock_I : std_logic := 'X'; signal prev_spi_slave_select_NI : std_logic := 'X'; signal internal_spi_miso_O : std_logic := 'Z'; signal input_bit_count : BitCounter := 0; signal output_bit_count : BitCounter := 7; signal current_input_byte : std_logic_vector(7 downto 0) := (others => 'X'); signal outputting_data : std_logic := '0'; signal current_output_byte : std_logic_vector(7 downto 0) := (others => '0'); signal current_output_byte_valid : std_logic := '0'; signal prev_data_ready_I : std_logic := 'X'; signal data_ack_on_first_seen : std_logic := '0'; signal data_ack_on_byte_change : std_logic := '0'; signal read_select : std_logic := '0'; begin -- flip-flop for the data-ready output signal internal_data_ready_O <= not internal_data_ready_NO or set_internal_data_ready_O; internal_data_ready_NO <= not internal_data_ready_O or clear_internal_data_ready_O; data_ready_O <= internal_data_ready_O; -- let the client code know we produced a new byte new_data_byte_O <= set_internal_data_ready_O; -- wire-through for the MISO output spi_miso_O <= internal_spi_miso_O; -- acknowledge consuming a byte data_ack_O <= data_ack_on_byte_change or data_ack_on_first_seen; p_decoder : process(clock, resetN) begin if resetN = '0' then prev_spi_clock_I <= 'X'; prev_spi_slave_select_NI <= 'X'; clear_internal_data_ready_O <= '1'; data_O <= (others => 'X'); internal_spi_miso_O <= 'Z'; set_internal_data_ready_O <= '0'; current_input_byte <= (others => 'X'); input_bit_count <= 0; output_bit_count <= 7; outputting_data <= '0'; current_output_byte <= (others => '0'); current_output_byte_valid <= '0'; prev_data_ready_I <= 'X'; data_ack_on_first_seen <= '0'; data_ack_on_byte_change <= '0'; read_select <= '0'; else if rising_edge(clock) then -- detect a falling edge of the spi_slave_select_NI input if prev_spi_slave_select_NI = '1' and spi_slave_select_NI = '0' then clear_internal_data_ready_O <= '1'; -- counters should already be OK at this point: either because we're coming out of a complete reset or because we have previously been deselected -- on a rising edge (when we're deselected) reset the counters so we can't get desynchronized if we get deselected in the middle of a byte elsif prev_spi_slave_select_NI = '0' and spi_slave_select_NI = '1' then output_bit_count <= 7; input_bit_count <= 0; read_select <= '0'; else clear_internal_data_ready_O <= '0'; end if; prev_spi_slave_select_NI <= spi_slave_select_NI; -- detect new output data if prev_data_ready_I = '0'and data_ready_I = '1' then current_output_byte <= data_I; current_output_byte_valid <= '1'; data_ack_on_first_seen <= '1'; else data_ack_on_first_seen <= '0'; end if; prev_data_ready_I <= data_ready_I; -- detect edges of the input clock if spi_slave_select_NI = '0' then -- we are selected if prev_spi_clock_I = '0' and spi_clock_I = '1' then -- rising edge of the clock - write a bit if we have any -- start outputting data if we are at the start of a byte boundary, or if we were already outputting a byte if current_output_byte_valid = '1' and (outputting_data = '1' or output_bit_count = 7) then internal_spi_miso_O <= current_output_byte(7); outputting_data <= '1'; else internal_spi_miso_O <= '0'; outputting_data <= '0'; end if; -- if we just decided to output the last bit of the byte, load the next byte if we have one, or invalidate the current byte if we don't. -- if we do load a new byte, we should acknowledge it. -- if we're not at the last bit, just shift a bit out of the register if (output_bit_count = 0) then -- we should, of course, only take the byte if we've output the current one. Otherwise, we should leave it there until we do. if outputting_data = '1' then current_output_byte <= data_I; current_output_byte_valid <= data_ready_I; data_ack_on_byte_change <= '1'; else data_ack_on_byte_change <= '0'; end if; output_bit_count <= 7; else -- shift out a bit data_ack_on_byte_change <= '0'; output_bit_count <= output_bit_count - 1; current_output_byte <= current_output_byte(6 downto 0) & '0'; end if; set_internal_data_ready_O <= '0'; read_select <= '1'; elsif read_select = '1' and prev_spi_clock_I = '1' and spi_clock_I = '0' then -- falling edge of the clock - read a bit if input_bit_count = 7 then set_internal_data_ready_O <= '1'; data_O <= current_input_byte(6 downto 0) & spi_mosi_I; input_bit_count <= 0; else set_internal_data_ready_O <= '0'; input_bit_count <= input_bit_count + 1; end if; current_input_byte <= current_input_byte(6 downto 0) & spi_mosi_I; else set_internal_data_ready_O <= '0'; end if; else internal_spi_miso_O <= 'Z'; set_internal_data_ready_O <= '0'; end if; prev_spi_clock_I <= spi_clock_I; end if; end if; end process; end architecture;
lgpl-3.0
FinnK/lems2hdl
work/N3_pointCellCondBased/ISIM_output/reverseRaten1.vhdl
1
10652
--------------------------------------------------------------------- -- Standard Library bits --------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- For Modelsim --use ieee.fixed_pkg.all; --use ieee.fixed_float_types.ALL; -- For ISE library ieee_proposed; use ieee_proposed.fixed_pkg.all; use ieee_proposed.fixed_float_types.ALL; use IEEE.numeric_std.all; --------------------------------------------------------------------- --------------------------------------------------------------------- -- Entity Description --------------------------------------------------------------------- entity reverseRaten1 is Port ( clk : in STD_LOGIC; --SYSTEM CLOCK, THIS ITSELF DOES NOT SIGNIFY TIME STEPS - AKA A SINGLE TIMESTEP MAY TAKE MANY CLOCK CYCLES init_model : in STD_LOGIC; --SYNCHRONOUS RESET step_once_go : in STD_LOGIC; --signals to the neuron from the core that a time step is to be simulated component_done : out STD_LOGIC; requirement_voltage_v : in sfixed (2 downto -22); param_per_time_rate : in sfixed (18 downto -2); param_voltage_midpoint : in sfixed (2 downto -22); param_voltage_scale : in sfixed (2 downto -22); param_voltage_inv_scale_inv : in sfixed (22 downto -2); exposure_per_time_r : out sfixed (18 downto -2); derivedvariable_per_time_r_out : out sfixed (18 downto -2); derivedvariable_per_time_r_in : in sfixed (18 downto -2); sysparam_time_timestep : in sfixed (-6 downto -22); sysparam_time_simtime : in sfixed (6 downto -22) ); end reverseRaten1; --------------------------------------------------------------------- ------------------------------------------------------------------------------------------- -- Architecture Begins ------------------------------------------------------------------------------------------- architecture RTL of reverseRaten1 is signal COUNT : unsigned(2 downto 0) := "000"; signal childrenCombined_Component_done_single_shot_fired : STD_LOGIC := '0'; signal childrenCombined_Component_done_single_shot : STD_LOGIC := '0'; signal childrenCombined_Component_done : STD_LOGIC := '0'; signal Component_done_int : STD_LOGIC := '0'; signal subprocess_der_int_pre_ready : STD_LOGIC := '0'; signal subprocess_der_int_ready : STD_LOGIC := '0'; signal subprocess_der_ready : STD_LOGIC := '0'; signal subprocess_dyn_int_pre_ready : STD_LOGIC := '0'; signal subprocess_dyn_int_ready : STD_LOGIC := '0'; signal subprocess_dyn_ready : STD_LOGIC := '0'; signal subprocess_model_ready : STD_LOGIC := '1'; signal subprocess_all_ready_shotdone : STD_LOGIC := '1'; signal subprocess_all_ready_shot : STD_LOGIC := '0'; signal subprocess_all_ready : STD_LOGIC := '0';signal pre_exp_r_exponential_result1 : sfixed(18 downto -13); signal pre_exp_r_exponential_result1_next : sfixed(18 downto -13); signal exp_r_exponential_result1 : sfixed(18 downto -13); Component ParamExp is generic( BIT_TOP : integer := 20; BIT_BOTTOM : integer := -20); port( clk : In Std_logic; init_model : In Std_logic; Start : In Std_logic; Done : Out Std_logic; X : In sfixed(BIT_TOP downto BIT_BOTTOM); Output : Out sfixed(BIT_TOP downto BIT_BOTTOM) ); end Component; --------------------------------------------------------------------- -- Derived Variables and parameters --------------------------------------------------------------------- signal DerivedVariable_per_time_r : sfixed (18 downto -2) := to_sfixed(0.0 ,18,-2); signal DerivedVariable_per_time_r_next : sfixed (18 downto -2) := to_sfixed(0.0 ,18,-2); --------------------------------------------------------------------- --------------------------------------------------------------------- -- EDState internal Variables --------------------------------------------------------------------- --------------------------------------------------------------------- --------------------------------------------------------------------- -- Output Port internal Variables --------------------------------------------------------------------- --------------------------------------------------------------------- --------------------------------------------------------------------- -- Child Components --------------------------------------------------------------------- --------------------------------------------------------------------- -- Begin Internal Processes --------------------------------------------------------------------- begin --------------------------------------------------------------------- -- Child EDComponent Instantiations and corresponding internal variables --------------------------------------------------------------------- derived_variable_pre_process_comb :process ( sysparam_time_timestep, param_voltage_midpoint, param_voltage_scale, requirement_voltage_v , param_per_time_rate,param_voltage_inv_scale_inv,exp_r_exponential_result1 ) begin pre_exp_r_exponential_result1_next <= resize( ( ( requirement_voltage_v - param_voltage_midpoint ) * param_voltage_inv_scale_inv ) ,18,-13); end process derived_variable_pre_process_comb; derived_variable_pre_process_syn :process ( clk, init_model ) begin if (clk'EVENT AND clk = '1') then if init_model = '1' then pre_exp_r_exponential_result1 <= to_sfixed(0,18,-13); else if subprocess_all_ready_shot = '1' then pre_exp_r_exponential_result1 <= pre_exp_r_exponential_result1_next; end if; end if; end if; subprocess_der_int_pre_ready <= '1'; end process derived_variable_pre_process_syn; ParamExp_r_exponential_result1 : ParamExp generic map( BIT_TOP => 18, BIT_BOTTOM => -13 ) port map ( clk => clk, init_model => init_model, Start => step_once_go, Done => subprocess_der_int_ready, X => pre_exp_r_exponential_result1 , Output => exp_r_exponential_result1 ); derived_variable_process_comb :process ( sysparam_time_timestep, param_voltage_midpoint, param_voltage_scale, requirement_voltage_v , param_per_time_rate,param_voltage_inv_scale_inv,exp_r_exponential_result1 ) begin derivedvariable_per_time_r_next <= resize(( param_per_time_rate * exp_r_exponential_result1 ),18,-2); subprocess_der_ready <= '1'; end process derived_variable_process_comb; derived_variable_process_syn :process ( clk,init_model ) begin if clk'event and clk = '1' then if subprocess_all_ready_shot = '1' then derivedvariable_per_time_r <= derivedvariable_per_time_r_next; end if; end if; end process derived_variable_process_syn; --------------------------------------------------------------------- dynamics_pre_process_comb :process ( sysparam_time_timestep ) begin end process dynamics_pre_process_comb; dynamics_pre_process_syn :process ( clk, init_model ) begin subprocess_dyn_int_pre_ready <= '1'; end process dynamics_pre_process_syn; --No dynamics with complex equations found subprocess_dyn_int_ready <= '1'; state_variable_process_dynamics_comb :process (sysparam_time_timestep) begin subprocess_dyn_ready <= '1'; end process state_variable_process_dynamics_comb; state_variable_process_dynamics_syn :process (CLK,init_model) begin if clk'event and clk = '1' then if subprocess_all_ready_shot = '1' then end if; end if; end process state_variable_process_dynamics_syn; ------------------------------------------------------------------------------------------------------ -- EDState Variable Drivers ------------------------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------------------------ --------------------------------------------------------------------- -- Assign state variables to exposures --------------------------------------------------------------------- --------------------------------------------------------------------- --------------------------------------------------------------------- -- Assign state variables to output state variables --------------------------------------------------------------------- --------------------------------------------------------------------- --------------------------------------------------------------------- -- Assign derived variables to exposures --------------------------------------------------------------------- exposure_per_time_r <= derivedvariable_per_time_r_in;derivedvariable_per_time_r_out <= derivedvariable_per_time_r; --------------------------------------------------------------------- --------------------------------------------------------------------- -- Subprocess ready process --------------------------------------------------------------------- subprocess_all_ready_process: process(step_once_go,subprocess_der_int_ready,subprocess_der_int_pre_ready,subprocess_der_ready,subprocess_dyn_int_pre_ready,subprocess_dyn_int_ready,subprocess_dyn_ready,subprocess_model_ready) begin if step_once_go = '0' and subprocess_der_int_ready = '1' and subprocess_der_int_pre_ready = '1'and subprocess_der_ready ='1' and subprocess_dyn_int_ready = '1' and subprocess_dyn_int_pre_ready = '1' and subprocess_dyn_ready = '1' and subprocess_model_ready = '1' then subprocess_all_ready <= '1'; else subprocess_all_ready <= '0'; end if; end process subprocess_all_ready_process; subprocess_all_ready_shot_process : process(clk) begin if rising_edge(clk) then if (init_model='1') then subprocess_all_ready_shot <= '0'; subprocess_all_ready_shotdone <= '1'; else if subprocess_all_ready = '1' and subprocess_all_ready_shotdone = '0' then subprocess_all_ready_shot <= '1'; subprocess_all_ready_shotdone <= '1'; elsif subprocess_all_ready_shot = '1' then subprocess_all_ready_shot <= '0'; elsif subprocess_all_ready = '0' then subprocess_all_ready_shot <= '0'; subprocess_all_ready_shotdone <= '0'; end if; end if; end if; end process subprocess_all_ready_shot_process; --------------------------------------------------------------------- count_proc:process(clk) begin if (clk'EVENT AND clk = '1') then if init_model = '1' then COUNT <= "001"; component_done_int <= '1'; else if step_once_go = '1' then COUNT <= "000"; component_done_int <= '0'; elsif COUNT = "001" then component_done_int <= '1'; elsif subprocess_all_ready_shot = '1' then COUNT <= COUNT + 1; component_done_int <= '0'; end if; end if; end if; end process count_proc; component_done <= component_done_int; end RTL;
lgpl-3.0
FinnK/lems2hdl
work/N1_iafRefCell/ISIM_output/neuron_model.vhdl
1
22290
--------------------------------------------------------------------- -- Standard Library bits --------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- For Modelsim --use ieee.fixed_pkg.all; --use ieee.fixed_float_types.ALL; -- For ISE library ieee_proposed; use ieee_proposed.fixed_pkg.all; use ieee_proposed.fixed_float_types.ALL; use IEEE.numeric_std.all; --------------------------------------------------------------------- --------------------------------------------------------------------- -- Entity Description --------------------------------------------------------------------- entity neuron_model is Port ( clk : in STD_LOGIC; --SYSTEM CLOCK, THIS ITSELF DOES NOT SIGNIFY TIME STEPS - AKA A SINGLE TIMESTEP MAY TAKE MANY CLOCK CYCLES init_model : in STD_LOGIC; --SYNCHRONOUS RESET step_once_go : in STD_LOGIC; --signals to the neuron from the core that a time step is to be simulated step_once_complete : out STD_LOGIC; --signals to the core that a time step has finished eventport_in_spike_aggregate : in STD_LOGIC_VECTOR(511 downto 0); current_regime_in_stdlv : in STD_LOGIC_VECTOR(1 downto 0); current_regime_out_stdlv : out STD_LOGIC_VECTOR(1 downto 0); eventport_out_spike : out STD_LOGIC; param_time_refract : in sfixed (6 downto -18); param_conductance_leakConductance : in sfixed (-22 downto -53); param_voltage_leakReversal : in sfixed (2 downto -22); param_voltage_thresh : in sfixed (2 downto -22); param_voltage_reset : in sfixed (2 downto -22); param_capacitance_C : in sfixed (-33 downto -47); param_capacitance_inv_C_inv : in sfixed (47 downto 33); exposure_voltage_v : out sfixed (2 downto -22); statevariable_voltage_v_out : out sfixed (2 downto -22); statevariable_voltage_v_in : in sfixed (2 downto -22); statevariable_time_lastSpikeTime_out : out sfixed (6 downto -18); statevariable_time_lastSpikeTime_in : in sfixed (6 downto -18); param_time_SynapseModel_tauDecay : in sfixed (6 downto -18); param_conductance_SynapseModel_gbase : in sfixed (-22 downto -53); param_voltage_SynapseModel_erev : in sfixed (2 downto -22); param_time_inv_SynapseModel_tauDecay_inv : in sfixed (18 downto -6); exposure_current_SynapseModel_i : out sfixed (-28 downto -53); exposure_conductance_SynapseModel_g : out sfixed (-22 downto -53); statevariable_conductance_SynapseModel_g_out : out sfixed (-22 downto -53); statevariable_conductance_SynapseModel_g_in : in sfixed (-22 downto -53); derivedvariable_current_SynapseModel_i_out : out sfixed (-28 downto -53); derivedvariable_current_SynapseModel_i_in : in sfixed (-28 downto -53); sysparam_time_timestep : in sfixed (-6 downto -22); sysparam_time_simtime : in sfixed (6 downto -22) ); end neuron_model; --------------------------------------------------------------------- ------------------------------------------------------------------------------------------- -- Architecture Begins ------------------------------------------------------------------------------------------- architecture RTL of neuron_model is signal COUNT : unsigned(2 downto 0) := "000"; signal childrenCombined_Component_done_single_shot_fired : STD_LOGIC := '0'; signal childrenCombined_Component_done_single_shot : STD_LOGIC := '0'; signal childrenCombined_Component_done : STD_LOGIC := '0'; signal Component_done_int : STD_LOGIC := '0'; signal subprocess_der_int_pre_ready : STD_LOGIC := '0'; signal subprocess_der_int_ready : STD_LOGIC := '0'; signal subprocess_der_ready : STD_LOGIC := '0'; signal subprocess_dyn_int_pre_ready : STD_LOGIC := '0'; signal subprocess_dyn_int_ready : STD_LOGIC := '0'; signal subprocess_dyn_ready : STD_LOGIC := '0'; signal subprocess_model_ready : STD_LOGIC := '1'; signal subprocess_all_ready_shotdone : STD_LOGIC := '1'; signal subprocess_all_ready_shot : STD_LOGIC := '0'; signal subprocess_all_ready : STD_LOGIC := '0';signal SynapseModel_step_once_complete_fired : STD_LOGIC := '1'; signal step_once_complete_fired : STD_LOGIC := '1'; signal Component_done : STD_LOGIC := '0'; constant cNSpikeSources : integer := 512; -- The number of spike sources. constant cNOutputs : integer := 512; -- The number of Synapses in the neuron model. constant cNSelectBits : integer := 9; -- Log2(NOutputs), rounded up. signal SpikeOut : Std_logic_vector((cNOutputs-1) downto 0); signal statevariable_voltage_integrating_v_temp_1 : sfixed (2 downto -22); signal statevariable_voltage_integrating_v_temp_1_next : sfixed (2 downto -22); --------------------------------------------------------------------- -- Derived Variables and parameters --------------------------------------------------------------------- signal DerivedVariable_current_iSyn : sfixed (-28 downto -53) := to_sfixed(0.0 ,-28,-53); signal DerivedVariable_current_iSyn_next : sfixed (-28 downto -53) := to_sfixed(0.0 ,-28,-53); signal DerivedVariable_current_iMemb : sfixed (-28 downto -53) := to_sfixed(0.0 ,-28,-53); signal DerivedVariable_current_iMemb_next : sfixed (-28 downto -53) := to_sfixed(0.0 ,-28,-53); --------------------------------------------------------------------- --------------------------------------------------------------------- -- EDState internal Variables --------------------------------------------------------------------- signal statevariable_voltage_v_next : sfixed (2 downto -22); signal statevariable_time_lastSpikeTime_next : sfixed (6 downto -18); --------------------------------------------------------------------- --------------------------------------------------------------------- -- Output Port internal Variables --------------------------------------------------------------------- signal EventPort_out_spike_internal : std_logic := '0'; --------------------------------------------------------------------- type regime_type is (refractory,integrating); signal current_regime_in_int: regime_type; signal next_regime: regime_type; function CONV_STDLV_TO_REGIME (DATA :std_logic_vector) return regime_type is begin return regime_type'val(to_integer(unsigned(DATA))); end CONV_STDLV_TO_REGIME; function CONV_REGIME_TO_STDLV (regime :regime_type) return std_logic_vector is begin return std_logic_vector(to_unsigned(regime_type'pos(regime),2)); end CONV_REGIME_TO_STDLV; --------------------------------------------------------------------- --------------------------------------------------------------------- -- Child Components --------------------------------------------------------------------- component SynapseModel Port ( clk : in STD_LOGIC; --SYSTEM CLOCK, THIS ITSELF DOES NOT SIGNIFY TIME STEPS - AKA A SINGLE TIMESTEP MAY TAKE MANY CLOCK CYCLES init_model : in STD_LOGIC; step_once_go : in STD_LOGIC; --signals to the neuron from the core that a time step is to be simulated Component_done : out STD_LOGIC; eventport_in_in : in STD_LOGIC; requirement_voltage_v : in sfixed (2 downto -22); param_time_tauDecay : in sfixed (6 downto -18); param_conductance_gbase : in sfixed (-22 downto -53); param_voltage_erev : in sfixed (2 downto -22); param_time_inv_tauDecay_inv : in sfixed (18 downto -6); exposure_current_i : out sfixed (-28 downto -53); exposure_conductance_g : out sfixed (-22 downto -53); statevariable_conductance_g_out : out sfixed (-22 downto -53); statevariable_conductance_g_in : in sfixed (-22 downto -53); derivedvariable_current_i_out : out sfixed (-28 downto -53); derivedvariable_current_i_in : in sfixed (-28 downto -53); sysparam_time_timestep : in sfixed (-6 downto -22); sysparam_time_simtime : in sfixed (6 downto -22) ); end component; signal SynapseModel_Component_done : STD_LOGIC ; signal Exposure_current_SynapseModel_i_internal : sfixed (-28 downto -53); signal Exposure_conductance_SynapseModel_g_internal : sfixed (-22 downto -53); --------------------------------------------------------------------- --------------------------------------------------------------------- -- Begin Internal Processes --------------------------------------------------------------------- begin --------------------------------------------------------------------- -- Child EDComponent Instantiations and corresponding internal variables --------------------------------------------------------------------- SynapseModel_uut : SynapseModel port map ( clk => clk, init_model => init_model, step_once_go => step_once_go, Component_done => SynapseModel_Component_done, eventport_in_in => EventPort_in_spike_aggregate(0), param_time_tauDecay => param_time_SynapseModel_tauDecay, param_conductance_gbase => param_conductance_SynapseModel_gbase, param_voltage_erev => param_voltage_SynapseModel_erev, param_time_inv_tauDecay_inv => param_time_inv_SynapseModel_tauDecay_inv, requirement_voltage_v => statevariable_voltage_v_in, Exposure_current_i => Exposure_current_SynapseModel_i_internal, Exposure_conductance_g => Exposure_conductance_SynapseModel_g_internal, statevariable_conductance_g_out => statevariable_conductance_SynapseModel_g_out, statevariable_conductance_g_in => statevariable_conductance_SynapseModel_g_in, derivedvariable_current_i_out => derivedvariable_current_SynapseModel_i_out, derivedvariable_current_i_in => derivedvariable_current_SynapseModel_i_in, sysparam_time_timestep => sysparam_time_timestep, sysparam_time_simtime => sysparam_time_simtime ); Exposure_current_SynapseModel_i <= Exposure_current_SynapseModel_i_internal; Exposure_conductance_SynapseModel_g <= Exposure_conductance_SynapseModel_g_internal; derived_variable_pre_process_comb :process ( sysparam_time_timestep,exposure_current_SynapseModel_i_internal, param_conductance_leakConductance, param_voltage_leakReversal, statevariable_voltage_v_in , derivedvariable_current_iSyn_next ) begin end process derived_variable_pre_process_comb; derived_variable_pre_process_syn :process ( clk, init_model ) begin subprocess_der_int_pre_ready <= '1'; end process derived_variable_pre_process_syn; --no complex steps in derived variables subprocess_der_int_ready <= '1'; derived_variable_process_comb :process ( sysparam_time_timestep,exposure_current_SynapseModel_i_internal, param_conductance_leakConductance, param_voltage_leakReversal, statevariable_voltage_v_in , derivedvariable_current_iSyn_next ) begin derivedvariable_current_iSyn_next <= resize(( exposure_current_SynapseModel_i_internal ),-28,-53); derivedvariable_current_iMemb_next <= resize(( param_conductance_leakConductance * ( param_voltage_leakReversal - statevariable_voltage_v_in ) + derivedvariable_current_iSyn_next ),-28,-53); subprocess_der_ready <= '1'; end process derived_variable_process_comb; derived_variable_process_syn :process ( clk,init_model ) begin if clk'event and clk = '1' then if subprocess_all_ready_shot = '1' then derivedvariable_current_iSyn <= derivedvariable_current_iSyn_next; derivedvariable_current_iMemb <= derivedvariable_current_iMemb_next; end if; end if; end process derived_variable_process_syn; --------------------------------------------------------------------- --------------------------------------------------------------------- -- EDRegime EDState Machine Process --------------------------------------------------------------------- regime_state_process_comb :process (sysparam_time_simtime,current_regime_in_int,init_model,statevariable_voltage_v_in, statevariable_time_lastSpikeTime_in , param_time_refract, sysparam_time_simtime, param_voltage_thresh, statevariable_voltage_v_in ) begin next_regime <= current_regime_in_int; if init_model = '1' then next_regime <= integrating; else if ( current_regime_in_int = refractory ) and To_slv ( resize (sysparam_time_simtime- ( statevariable_time_lastSpikeTime_in + param_time_refract ) ,2,-18))(20) = '0' then next_regime <= integrating; end if; if ( current_regime_in_int = integrating ) and To_slv ( resize ( statevariable_voltage_v_in - ( param_voltage_thresh ) ,2,-18))(20) = '0' then next_regime <= refractory; end if; end if; end process; current_regime_out_stdlv <= CONV_REGIME_TO_STDLV(next_regime); current_regime_in_int <= CONV_STDLV_TO_REGIME(current_regime_in_stdlv); --------------------------------------------------------------------- dynamics_pre_process_comb :process ( sysparam_time_timestep, derivedvariable_current_iMemb , param_capacitance_C,param_capacitance_inv_C_inv ) begin end process dynamics_pre_process_comb; dynamics_pre_process_syn :process ( clk, init_model ) begin subprocess_dyn_int_pre_ready <= '1'; end process dynamics_pre_process_syn; --No dynamics with complex equations found subprocess_dyn_int_ready <= '1'; state_variable_process_dynamics_comb :process (sysparam_time_timestep, derivedvariable_current_iMemb , param_capacitance_C,param_capacitance_inv_C_inv ,statevariable_voltage_v_in) begin statevariable_voltage_integrating_v_temp_1_next <= resize(statevariable_voltage_v_in + ( derivedvariable_current_iMemb * param_capacitance_inv_C_inv ) * sysparam_time_timestep,2,-22); subprocess_dyn_ready <= '1'; end process state_variable_process_dynamics_comb; state_variable_process_dynamics_syn :process (CLK,init_model) begin if clk'event and clk = '1' then if subprocess_all_ready_shot = '1' then statevariable_voltage_integrating_v_temp_1 <= statevariable_voltage_integrating_v_temp_1_next; end if; end if; end process state_variable_process_dynamics_syn; ------------------------------------------------------------------------------------------------------ -- EDState Variable Drivers ------------------------------------------------------------------------------------------------------ --------------------------------------------------------------------- -- EDState variable: $par.name Driver Process --------------------------------------------------------------------- state_variable_process_comb_0 :process (sysparam_time_timestep,init_model,param_voltage_reset,current_regime_in_int,next_regime,statevariable_voltage_integrating_v_temp_1,derivedvariable_current_iMemb,param_capacitance_C,param_capacitance_inv_C_inv) variable statevariable_voltage_v_temp_1 : sfixed (2 downto -22); variable statevariable_voltage_v_temp_2 : sfixed (2 downto -22); begin if ( current_regime_in_int = refractory ) then statevariable_voltage_v_temp_1 := resize(statevariable_voltage_v_in ,2,-22); end if; if ( current_regime_in_int = integrating ) then statevariable_voltage_v_temp_1 := statevariable_voltage_integrating_v_temp_1; end if; if (not ( current_regime_in_int = next_regime )) and ( next_regime = refractory ) then statevariable_voltage_v_temp_2 := resize( param_voltage_reset ,2,-22); else statevariable_voltage_v_temp_2 := statevariable_voltage_v_temp_1; end if; if (not ( current_regime_in_int = next_regime )) and ( next_regime = integrating ) then end if; statevariable_voltage_v_next <= statevariable_voltage_v_temp_2; end process; --------------------------------------------------------------------- --------------------------------------------------------------------- -- EDState variable: $par.name Driver Process --------------------------------------------------------------------- state_variable_process_comb_1 :process (sysparam_time_timestep,init_model,current_regime_in_int,next_regime) variable statevariable_time_lastSpikeTime_temp_1 : sfixed (6 downto -18); begin if ( current_regime_in_int = refractory ) then statevariable_time_lastSpikeTime_temp_1 := resize(statevariable_time_lastSpikeTime_in ,6,-18); end if; if ( current_regime_in_int = integrating ) then statevariable_time_lastSpikeTime_temp_1 := resize(statevariable_time_lastSpikeTime_in ,6,-18); end if; if (not ( current_regime_in_int = next_regime )) and ( next_regime = refractory ) then statevariable_time_lastSpikeTime_temp_1 := resize(sysparam_time_simtime,6,-18); else statevariable_time_lastSpikeTime_temp_1 := statevariable_time_lastSpikeTime_in; end if; if (not ( current_regime_in_int = next_regime )) and ( next_regime = integrating ) then end if; statevariable_time_lastSpikeTime_next <= statevariable_time_lastSpikeTime_temp_1; end process; --------------------------------------------------------------------- ------------------------------------------------------------------------------------------------------ eventport_driver0 :process ( clk,sysparam_time_timestep,init_model, param_voltage_thresh, statevariable_voltage_v_in ) variable eventport_out_spike_temp_1 : std_logic; variable eventport_out_spike_temp_2 : std_logic; begin if rising_edge(clk) and subprocess_all_ready_shot = '1' then if ( current_regime_in_int = integrating) and To_slv ( resize ( statevariable_voltage_v_in - ( param_voltage_thresh ) ,2,-18))(20) = '0' then eventport_out_spike_temp_1 := '1'; else eventport_out_spike_temp_1 := '0'; end if;eventport_out_spike_internal <= eventport_out_spike_temp_1; end if; end process; --------------------------------------------------------------------- ------------------------------------------------------------------------------------------------------ --------------------------------------------------------------------- -- Assign state variables to exposures --------------------------------------------------------------------- exposure_voltage_v <= statevariable_voltage_v_in; --------------------------------------------------------------------- --------------------------------------------------------------------- -- Assign state variables to output state variables --------------------------------------------------------------------- statevariable_voltage_v_out <= statevariable_voltage_v_next;statevariable_time_lastSpikeTime_out <= statevariable_time_lastSpikeTime_next; --------------------------------------------------------------------- --------------------------------------------------------------------- -- Assign derived variables to exposures --------------------------------------------------------------------- --------------------------------------------------------------------- --------------------------------------------------------------------- -- Subprocess ready process --------------------------------------------------------------------- subprocess_all_ready_process: process(step_once_go,subprocess_der_int_ready,subprocess_der_int_pre_ready,subprocess_der_ready,subprocess_dyn_int_pre_ready,subprocess_dyn_int_ready,subprocess_dyn_ready,subprocess_model_ready) begin if step_once_go = '0' and subprocess_der_int_ready = '1' and subprocess_der_int_pre_ready = '1'and subprocess_der_ready ='1' and subprocess_dyn_int_ready = '1' and subprocess_dyn_int_pre_ready = '1' and subprocess_dyn_ready = '1' and subprocess_model_ready = '1' then subprocess_all_ready <= '1'; else subprocess_all_ready <= '0'; end if; end process subprocess_all_ready_process; subprocess_all_ready_shot_process : process(clk) begin if rising_edge(clk) then if (init_model='1') then subprocess_all_ready_shot <= '0'; subprocess_all_ready_shotdone <= '1'; else if subprocess_all_ready = '1' and subprocess_all_ready_shotdone = '0' then subprocess_all_ready_shot <= '1'; subprocess_all_ready_shotdone <= '1'; elsif subprocess_all_ready_shot = '1' then subprocess_all_ready_shot <= '0'; elsif subprocess_all_ready = '0' then subprocess_all_ready_shot <= '0'; subprocess_all_ready_shotdone <= '0'; end if; end if; end if; end process subprocess_all_ready_shot_process; --------------------------------------------------------------------- count_proc:process(clk) begin if (clk'EVENT AND clk = '1') then if init_model = '1' then COUNT <= "001"; component_done_int <= '1'; else if step_once_go = '1' then COUNT <= "000"; component_done_int <= '0'; elsif COUNT = "001" then component_done_int <= '1'; elsif subprocess_all_ready_shot = '1' then COUNT <= COUNT + 1; component_done_int <= '0'; end if; end if; end if; end process count_proc; childrenCombined_component_done_process:process(SynapseModel_component_done,CLK) begin if (SynapseModel_component_done = '1') then childrenCombined_component_done <= '1'; else childrenCombined_component_done <= '0'; end if; end process childrenCombined_component_done_process; component_done <= component_done_int and childrenCombined_component_done; --------------------------------------------------------------------- -- Control the done signal --------------------------------------------------------------------- step_once_complete_synch:process(clk) begin if (clk'EVENT AND clk = '1') then if init_model = '1' then step_once_complete <= '0'; step_once_complete_fired <= '1'; else if component_done = '1' and step_once_complete_fired = '0' then step_once_complete <= '1'; step_once_complete_fired <= '1'; --------------------------------------------------------------------- -- Assign event ports to exposures --------------------------------------------------------------------- eventport_out_spike <= eventport_out_spike_internal ; --------------------------------------------------------------------- elsif component_done = '0' then step_once_complete <= '0'; step_once_complete_fired <= '0'; --------------------------------------------------------------------- -- Assign event ports to exposures --------------------------------------------------------------------- eventport_out_spike <= '0'; --------------------------------------------------------------------- else step_once_complete <= '0'; --------------------------------------------------------------------- -- Assign event ports to exposures --------------------------------------------------------------------- eventport_out_spike <= '0'; --------------------------------------------------------------------- end if; end if; end if; end process step_once_complete_synch; --------------------------------------------------------------------- end RTL;
lgpl-3.0
FinnK/lems2hdl
work/N3_pointCellCondBased/ISIM_output/synapsemodel.vhdl
1
11618
--------------------------------------------------------------------- -- Standard Library bits --------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- For Modelsim --use ieee.fixed_pkg.all; --use ieee.fixed_float_types.ALL; -- For ISE library ieee_proposed; use ieee_proposed.fixed_pkg.all; use ieee_proposed.fixed_float_types.ALL; use IEEE.numeric_std.all; --------------------------------------------------------------------- --------------------------------------------------------------------- -- Entity Description --------------------------------------------------------------------- entity synapsemodel is Port ( clk : in STD_LOGIC; --SYSTEM CLOCK, THIS ITSELF DOES NOT SIGNIFY TIME STEPS - AKA A SINGLE TIMESTEP MAY TAKE MANY CLOCK CYCLES init_model : in STD_LOGIC; --SYNCHRONOUS RESET step_once_go : in STD_LOGIC; --signals to the neuron from the core that a time step is to be simulated component_done : out STD_LOGIC; eventport_in_in : in STD_LOGIC; requirement_voltage_v : in sfixed (2 downto -22); param_time_tauDecay : in sfixed (6 downto -18); param_conductance_gbase : in sfixed (-22 downto -53); param_voltage_erev : in sfixed (2 downto -22); param_time_inv_tauDecay_inv : in sfixed (18 downto -6); exposure_current_i : out sfixed (-28 downto -53); exposure_conductance_g : out sfixed (-22 downto -53); statevariable_conductance_g_out : out sfixed (-22 downto -53); statevariable_conductance_g_in : in sfixed (-22 downto -53); derivedvariable_current_i_out : out sfixed (-28 downto -53); derivedvariable_current_i_in : in sfixed (-28 downto -53); sysparam_time_timestep : in sfixed (-6 downto -22); sysparam_time_simtime : in sfixed (6 downto -22) ); end synapsemodel; --------------------------------------------------------------------- ------------------------------------------------------------------------------------------- -- Architecture Begins ------------------------------------------------------------------------------------------- architecture RTL of synapsemodel is signal COUNT : unsigned(2 downto 0) := "000"; signal childrenCombined_Component_done_single_shot_fired : STD_LOGIC := '0'; signal childrenCombined_Component_done_single_shot : STD_LOGIC := '0'; signal childrenCombined_Component_done : STD_LOGIC := '0'; signal Component_done_int : STD_LOGIC := '0'; signal subprocess_der_int_pre_ready : STD_LOGIC := '0'; signal subprocess_der_int_ready : STD_LOGIC := '0'; signal subprocess_der_ready : STD_LOGIC := '0'; signal subprocess_dyn_int_pre_ready : STD_LOGIC := '0'; signal subprocess_dyn_int_ready : STD_LOGIC := '0'; signal subprocess_dyn_ready : STD_LOGIC := '0'; signal subprocess_model_ready : STD_LOGIC := '1'; signal subprocess_all_ready_shotdone : STD_LOGIC := '1'; signal subprocess_all_ready_shot : STD_LOGIC := '0'; signal subprocess_all_ready : STD_LOGIC := '0';signal statevariable_conductance_noregime_g_temp_1 : sfixed (-22 downto -53); signal statevariable_conductance_noregime_g_temp_1_next : sfixed (-22 downto -53); --------------------------------------------------------------------- -- Derived Variables and parameters --------------------------------------------------------------------- signal DerivedVariable_current_i : sfixed (-28 downto -53) := to_sfixed(0.0 ,-28,-53); signal DerivedVariable_current_i_next : sfixed (-28 downto -53) := to_sfixed(0.0 ,-28,-53); --------------------------------------------------------------------- --------------------------------------------------------------------- -- EDState internal Variables --------------------------------------------------------------------- signal statevariable_conductance_g_next : sfixed (-22 downto -53); --------------------------------------------------------------------- --------------------------------------------------------------------- -- Output Port internal Variables --------------------------------------------------------------------- signal EventPort_in_in_internal : std_logic := '0'; --------------------------------------------------------------------- --------------------------------------------------------------------- -- Child Components --------------------------------------------------------------------- --------------------------------------------------------------------- -- Begin Internal Processes --------------------------------------------------------------------- begin --------------------------------------------------------------------- -- Child EDComponent Instantiations and corresponding internal variables --------------------------------------------------------------------- derived_variable_pre_process_comb :process ( sysparam_time_timestep, statevariable_conductance_g_in , requirement_voltage_v , param_voltage_erev ) begin end process derived_variable_pre_process_comb; derived_variable_pre_process_syn :process ( clk, init_model ) begin subprocess_der_int_pre_ready <= '1'; end process derived_variable_pre_process_syn; --no complex steps in derived variables subprocess_der_int_ready <= '1'; derived_variable_process_comb :process ( sysparam_time_timestep, statevariable_conductance_g_in , requirement_voltage_v , param_voltage_erev ) begin derivedvariable_current_i_next <= resize(( statevariable_conductance_g_in * ( param_voltage_erev - requirement_voltage_v ) ),-28,-53); subprocess_der_ready <= '1'; end process derived_variable_process_comb; derived_variable_process_syn :process ( clk,init_model ) begin if clk'event and clk = '1' then if subprocess_all_ready_shot = '1' then derivedvariable_current_i <= derivedvariable_current_i_next; end if; end if; end process derived_variable_process_syn; --------------------------------------------------------------------- dynamics_pre_process_comb :process ( sysparam_time_timestep, param_time_tauDecay, statevariable_conductance_g_in ,param_time_inv_tauDecay_inv ) begin end process dynamics_pre_process_comb; dynamics_pre_process_syn :process ( clk, init_model ) begin subprocess_dyn_int_pre_ready <= '1'; end process dynamics_pre_process_syn; --No dynamics with complex equations found subprocess_dyn_int_ready <= '1'; state_variable_process_dynamics_comb :process (sysparam_time_timestep, param_time_tauDecay, statevariable_conductance_g_in ,param_time_inv_tauDecay_inv ,statevariable_conductance_g_in) begin statevariable_conductance_noregime_g_temp_1_next <= resize(statevariable_conductance_g_in + ( - statevariable_conductance_g_in * param_time_inv_tauDecay_inv ) * sysparam_time_timestep,-22,-53); subprocess_dyn_ready <= '1'; end process state_variable_process_dynamics_comb; state_variable_process_dynamics_syn :process (CLK,init_model) begin if clk'event and clk = '1' then if subprocess_all_ready_shot = '1' then statevariable_conductance_noregime_g_temp_1 <= statevariable_conductance_noregime_g_temp_1_next; end if; end if; end process state_variable_process_dynamics_syn; ------------------------------------------------------------------------------------------------------ -- EDState Variable Drivers ------------------------------------------------------------------------------------------------------ --------------------------------------------------------------------- -- EDState variable: $par.name Driver Process --------------------------------------------------------------------- state_variable_process_comb_0 :process (sysparam_time_timestep,init_model,eventport_in_in,statevariable_conductance_g_in,param_conductance_gbase,statevariable_conductance_noregime_g_temp_1,param_time_tauDecay,statevariable_conductance_g_in,param_time_inv_tauDecay_inv) variable statevariable_conductance_g_temp_1 : sfixed (-22 downto -53); variable statevariable_conductance_g_temp_2 : sfixed (-22 downto -53); begin statevariable_conductance_g_temp_1 := statevariable_conductance_noregime_g_temp_1; if eventport_in_in = '1' then statevariable_conductance_g_temp_2 := resize( statevariable_conductance_g_in + param_conductance_gbase ,-22,-53); else statevariable_conductance_g_temp_2 := statevariable_conductance_g_temp_1; end if; statevariable_conductance_g_next <= statevariable_conductance_g_temp_2; end process; --------------------------------------------------------------------- ------------------------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------------------------ --------------------------------------------------------------------- -- Assign state variables to exposures --------------------------------------------------------------------- exposure_conductance_g <= statevariable_conductance_g_in; --------------------------------------------------------------------- --------------------------------------------------------------------- -- Assign state variables to output state variables --------------------------------------------------------------------- statevariable_conductance_g_out <= statevariable_conductance_g_next; --------------------------------------------------------------------- --------------------------------------------------------------------- -- Assign derived variables to exposures --------------------------------------------------------------------- exposure_current_i <= derivedvariable_current_i_in;derivedvariable_current_i_out <= derivedvariable_current_i; --------------------------------------------------------------------- --------------------------------------------------------------------- -- Subprocess ready process --------------------------------------------------------------------- subprocess_all_ready_process: process(step_once_go,subprocess_der_int_ready,subprocess_der_int_pre_ready,subprocess_der_ready,subprocess_dyn_int_pre_ready,subprocess_dyn_int_ready,subprocess_dyn_ready,subprocess_model_ready) begin if step_once_go = '0' and subprocess_der_int_ready = '1' and subprocess_der_int_pre_ready = '1'and subprocess_der_ready ='1' and subprocess_dyn_int_ready = '1' and subprocess_dyn_int_pre_ready = '1' and subprocess_dyn_ready = '1' and subprocess_model_ready = '1' then subprocess_all_ready <= '1'; else subprocess_all_ready <= '0'; end if; end process subprocess_all_ready_process; subprocess_all_ready_shot_process : process(clk) begin if rising_edge(clk) then if (init_model='1') then subprocess_all_ready_shot <= '0'; subprocess_all_ready_shotdone <= '1'; else if subprocess_all_ready = '1' and subprocess_all_ready_shotdone = '0' then subprocess_all_ready_shot <= '1'; subprocess_all_ready_shotdone <= '1'; elsif subprocess_all_ready_shot = '1' then subprocess_all_ready_shot <= '0'; elsif subprocess_all_ready = '0' then subprocess_all_ready_shot <= '0'; subprocess_all_ready_shotdone <= '0'; end if; end if; end if; end process subprocess_all_ready_shot_process; --------------------------------------------------------------------- count_proc:process(clk) begin if (clk'EVENT AND clk = '1') then if init_model = '1' then COUNT <= "001"; component_done_int <= '1'; else if step_once_go = '1' then COUNT <= "000"; component_done_int <= '0'; elsif COUNT = "001" then component_done_int <= '1'; elsif subprocess_all_ready_shot = '1' then COUNT <= COUNT + 1; component_done_int <= '0'; end if; end if; end if; end process count_proc; component_done <= component_done_int; end RTL;
lgpl-3.0
ShepardSiegel/ocpi
libsrc/hdl/vhd/ocpi_wci_body.vhd
1
3439
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library ocpi; use ocpi.all; use ocpi.types.all; package body wci is -- convert byte enables to byte offsets function decode_access(input : in_t) return access_t is begin case input.MCmd is when ocp.MCmd_WRITE => if input.MAddrSpace(0) = '1' then return write_e; else return Error_e; end if; when ocp.MCmd_READ => if input.MAddrSpace(0) = '1' then return Read_e; else return Control_e; end if; when others => return None_e; end case; end decode_access; --function "=" (l,r: Property_Io_t) return boolean is begin -- return Property_io_t'pos(l) = Property_io_t'pos(r); --end "="; -- return property access specific to this offset and size and address width -- the basic decode is redundant across properties, but should be optimized anyawy --function decode_property (input : in_t; low, high : unsigned) return property_access_t is -- variable io : property_io_t := config_access(input); -- variable moffset : unsigned (low'left downto 0) -- := unsigned(input.MAddr(low'left downto 2) & be2offset(input)); --begin -- if io /= None_e and moffset >= low and moffset <= high then -- return property_access_t'(io, moffset - low); -- end if; -- return property_access_t'(None_e, property_offset_t'(others => '0')); --end decode_property; function get_value(input : in_t; boffset : unsigned; width : natural) return std_logic_vector is variable bitoffset : natural := to_integer(boffset & "000"); variable bitwidth : natural := width; begin if bitwidth > 32 then bitwidth := 32; end if; return input.MData(bitoffset + bitwidth - 1 downto bitoffset); end get_value; function to_control_op(bits : std_logic_vector(2 downto 0)) return control_op_t is begin --this fine in VHDL, but not in XST --return control_op_t'val(to_integer(unsigned(bits))); case to_integer(unsigned(bits)) is when control_op_t'pos(initialize_e) => return initialize_e; when control_op_t'pos(start_e) => return start_e; when control_op_t'pos(stop_e) => return stop_e; when control_op_t'pos(release_e) => return release_e; when control_op_t'pos(before_query_e) => return before_query_e; when control_op_t'pos(after_config_e) => return after_config_e; when control_op_t'pos(test_e) => return test_e; when others => return no_op_e; end case; --return start_e; --to_unsigned(2,3); --unsigned(bits); -- case unsigned(bits) is -- when initialize_e => return initialize_e; -- when start_e => return start_e; -- when stop_e => return stop_e; -- when release_e => return release_e; -- when before_query_e => return before_query_e; -- when after_config_e => return after_config_e; -- when test_e => return test_e; -- when others => return no_op_e; -- end case; end to_control_op; -- How wide should the data path be from the decoder to the property function data_out_top (property : property_t) return natural is begin if property.data_width >= 32 or property.nitems > 1 then return 31; else return property.data_width - 1; end if; end data_out_top; function resize(bits : std_logic_vector; n : natural) return std_logic_vector is begin return std_logic_vector(resize(unsigned(bits),n)); end resize; end wci;
lgpl-3.0
ShepardSiegel/ocpi
libsrc/hdl/vhd/bias_vhdl_impl.vhd
1
28038
-- THIS FILE WAS GENERATED ON Tue Oct 30 13:46:44 2012 EDT -- BASED ON THE FILE: bias_vhdl.xml -- YOU PROBABLY SHOULD NOT EDIT IT -- This file contains the implementation declarations for worker bias_vhdl -- Interface definition signal names defined with pattern rule: "%s_" -- OCP-based Control Interface, based on the WCI profile, -- used for clk/reset, control and configuration -- /\ -- /--\ -- +--------------------OCP----||----OCP---------------------------+ -- | \--/ | -- | \/ | -- | Entity: <worker> | -- | | -- O +------------------------------------------------------+ O -- C | Entity: <worker>_worker | C -- P | | P -- | | This "inner layer" is the code you write, based | | -- Data Input |\ | on definitions the in <worker>_worker_defs package, | |\ Data Output -- Port based ==| \ | and the <worker>_worker entity, both in this file, | =| \ Port based -- on the WSI ==| / | both in the "work" library. | =| / on the WSI -- OCP Profile |/ | Package and entity declaration is this | |/ OCP Profile -- O | <worker>_impl.vhd file. Architeture is in your | | -- O | <worker>.vhd file | O -- C | | C -- P +------------------------------------------------------+ P -- | | -- | This outer layer is the "worker shell" code which | -- | is automatically generated. The "worker shell" is | -- | defined as the <worker> entity using definitions in | -- | the <worker>_defs package. The worker shell is also | -- | defined as a VHDL component in the <worker>_defs package, | -- | as declared in the <worker>_defs.vhd file. | -- | The worker shell "architecture" is also in this file, | -- | as well as some subsidiary modules. | -- +---------------------------------------------------------------+ -- This package defines types needed for the inner worker entity's generics or ports library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library ocpi; use ocpi.all; use ocpi.types.all; package bias_vhdl_worker_defs is -- The following record is for the writable properties of worker "bias_vhdl" type worker_props_write_t is record biasValue : ULong_t; biasValue_written : Bool_t; end record worker_props_write_t; -- The following two records are for the inner/worker interfaces for port "ctl" type worker_ctl_in_t is record clk : std_logic; -- clock for this worker reset : Bool_t; -- reset for this worker, at least 16 clocks long control_op : wci.control_op_t; -- control op in progress, or no_op_e state : wci.state_t; -- wci state: see state_t is_operating : Bool_t; -- shorthand for state = operating_e abort_control_op : Bool_t; -- demand that slow control op finish now is_big_endian : Bool_t; -- for endian-switchable workers end record worker_ctl_in_t; type worker_ctl_out_t is record done : Bool_t; -- is the pending prop access/config op done? attention : Bool_t; -- worker wants attention end record worker_ctl_out_t; -- The following two records are for the inner/worker interfaces for port "in" type worker_in_in_t is record reset : Bool_t; -- this port is being reset from the outside peer ready : Bool_t; -- this port is ready for data to be taken -- one or more of: som, eom, valid are true data : std_logic_vector(31 downto 0); byte_enable : std_logic_vector(3 downto 0); som, eom, valid : Bool_t; -- valid means data and byte_enable are present end record worker_in_in_t; type worker_in_out_t is record take : Bool_t; -- take data now from this port -- can be asserted when ready is true end record worker_in_out_t; -- The following two records are for the inner/worker interfaces for port "out" type worker_out_in_t is record reset : Bool_t; -- this port is being reset from the outside peer ready : Bool_t; -- this port is ready for data to be given end record worker_out_in_t; type worker_out_out_t is record give : Bool_t; -- give data now to this port -- can be asserted when ready is true data : std_logic_vector(31 downto 0); byte_enable : std_logic_vector(3 downto 0); som, eom, valid : Bool_t; -- one or more must be true when 'give' is asserted end record worker_out_out_t; end package bias_vhdl_worker_defs; -- This is the entity to be implemented, depending on the above record types. library ocpi; use ocpi.types.all; library work; use work.bias_vhdl_worker_defs.all; entity bias_vhdl_worker is port( -- Signals for control and configuration. See record types above. ctl_in : in worker_ctl_in_t; ctl_out : out worker_ctl_out_t; -- Input values and strobes for this worker's writable properties props_write : in worker_props_write_t; -- Signals for WSI input port named "in". See record types above. in_in : in worker_in_in_t; in_out : out worker_in_out_t; -- Signals for WSI output port named "out". See record types above. out_in : in worker_out_in_t; out_out : out worker_out_out_t); end entity bias_vhdl_worker; -- The rest of the file below here is the implementation of the worker shell -- which surrounds the entity to be implemented, above. -- Worker-specific definitions that are needed outside entities below package body bias_vhdl_defs is constant worker : ocpi.wci.worker_t := (5, "00000100"); constant properties : ocpi.wci.properties_t := ( 0 => (32, 0, 3, 0, 1, true, true, false, false) ); end bias_vhdl_defs; -- This is the entity declaration that the worker developer will implement -- The achitecture for this entity will be in the implementation file library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library ocpi; use ocpi.all; use ocpi.types.all; library work; use work.all; use work.bias_vhdl_defs.all; entity bias_vhdl is port ( -- The WCI interface named "ctl", with "bias_vhdl" acting as OCP slave: -- WIP attributes for this WCI interface are: -- Clock: this interface has its own clock, named "ctl_Clk" -- SizeOfConfigSpace: 4 (0x4) -- WritableConfigProperties: true -- ReadableConfigProperties: true -- Sub32BitConfigProperties: false -- ControlOperations (in addition to the required "start"): -- ResetWhileSuspended: true ctl_Clk : in std_logic; ctl_MAddr : in std_logic_vector(4 downto 0); ctl_MAddrSpace : in std_logic_vector(0 downto 0); ctl_MCmd : in std_logic_vector(2 downto 0); ctl_MData : in std_logic_vector(31 downto 0); ctl_MFlag : in std_logic_vector(1 downto 0); ctl_MReset_n : in std_logic; ctl_SData : out std_logic_vector(31 downto 0); ctl_SFlag : out std_logic_vector(1 downto 0); ctl_SResp : out std_logic_vector(1 downto 0); ctl_SThreadBusy : out std_logic_vector(0 downto 0); -- The WSI consumer interface named "in", with "bias_vhdl" acting as OCP slave: -- WIP attributes for this WSI interface are: -- Clock: uses the clock from interface named "ctl" -- Protocol: "stream32" -- DataValueWidth: 8 -- DataValueGranularity: 1 -- DiverseDataSizes: false -- MaxMessageValues: 16380 -- NumberOfOpcodes: 256 -- Producer: false -- VariableMessageLength: true -- ZeroLengthMessages: true -- Continuous: false -- DataWidth: 32 -- ByteWidth: 8 -- ImpreciseBurst: true -- Preciseburst: true -- Abortable: false -- EarlyRequest: false -- No Clk signal here. The "in" interface uses "ctl_Clk" as clock in_MBurstLength : in std_logic_vector(11 downto 0); in_MByteEn : in std_logic_vector(3 downto 0); in_MCmd : in std_logic_vector(2 downto 0); in_MData : in std_logic_vector(31 downto 0); in_MBurstPrecise : in std_logic; in_MReqInfo : in std_logic_vector(7 downto 0); in_MReqLast : in std_logic; in_MReset_n : in std_logic; in_SReset_n : out std_logic; in_SThreadBusy : out std_logic_vector(0 downto 0); -- The WSI producer interface named "out", with "bias_vhdl" acting as OCP master: -- WIP attributes for this WSI interface are: -- Clock: uses the clock from interface named "ctl" -- Protocol: "stream32" -- DataValueWidth: 8 -- DataValueGranularity: 1 -- DiverseDataSizes: false -- MaxMessageValues: 16380 -- NumberOfOpcodes: 256 -- Producer: true -- VariableMessageLength: true -- ZeroLengthMessages: true -- Continuous: false -- DataWidth: 32 -- ByteWidth: 8 -- ImpreciseBurst: true -- Preciseburst: true -- Abortable: false -- EarlyRequest: false -- No Clk signal here. The "out" interface uses "ctl_Clk" as clock out_SReset_n : in std_logic; out_SThreadBusy : in std_logic_vector(0 downto 0); out_MBurstLength : out std_logic_vector(11 downto 0); out_MByteEn : out std_logic_vector(3 downto 0); out_MCmd : out std_logic_vector(2 downto 0); out_MData : out std_logic_vector(31 downto 0); out_MBurstPrecise : out std_logic; out_MReqInfo : out std_logic_vector(7 downto 0); out_MReqLast : out std_logic; out_MReset_n : out std_logic ); -- Aliases for WCI interface "ctl" alias ctl_Terminate : std_logic is ctl_MFlag(0); alias ctl_Endian : std_logic is ctl_MFlag(1); alias ctl_Config : std_logic is ctl_MAddrSpace(0); alias ctl_Attention : std_logic is ctl_SFlag(0); -- Constants for bias_vhdl's property addresses subtype Property_t is std_logic_vector(4 downto 0); constant biasValue : Property_t := b"00000"; -- 0x00 -- Aliases for interface "in" subtype in_OpCode_t is std_logic_vector(7 downto 0); alias in_Opcode: in_OpCode_t is in_MReqInfo(7 downto 0); -- Opcode/operation value declarations for protocol "stream32" on interface "in" constant in_data_Op : in_Opcode_t := b"00000000"; -- 0x00 -- Aliases for interface "out" subtype out_OpCode_t is std_logic_vector(7 downto 0); alias out_Opcode: out_OpCode_t is out_MReqInfo(7 downto 0); -- Opcode/operation value declarations for protocol "stream32" on interface "out" constant out_data_Op : out_Opcode_t := b"00000000"; -- 0x00 signal wci_reset : bool_t; -- these signals provide the values of writable properties signal biasValue_value : ULong_t; signal biasValue_written : Bool_t; signal wci_attention, wci_is_operating: Bool_t; signal wci_is_big_endian, wci_abort_control_op, wci_done : Bool_t; signal wci_control_op : wci.control_op_t; signal wci_state : wci.state_t; signal in_take : Bool_t; signal in_ready : Bool_t; signal in_reset : Bool_t; -- this port is being reset from the outside signal in_data : std_logic_vector(31 downto 0); signal in_byte_enable: std_logic_vector(3 downto 0); signal in_som : Bool_t; -- valid eom signal in_eom : Bool_t; -- valid som signal in_valid : Bool_t; -- valid data signal out_give : Bool_t; signal out_ready : Bool_t; signal out_reset : Bool_t; -- this port is being reset from the outside signal out_data : std_logic_vector(31 downto 0); signal out_byte_enable: std_logic_vector(3 downto 0); signal out_som : Bool_t; -- valid eom signal out_eom : Bool_t; -- valid som signal out_valid : Bool_t; -- valid data end entity bias_vhdl; -- Here we define and implement the WCI interface module for this worker, -- which can be used by the worker implementer to avoid all the OCP/WCI issues library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library ocpi; use ocpi.all; use ocpi.types.all; library work; use work.all; use work.bias_vhdl_defs.all; entity bias_vhdl_wci is port( inputs : in ctl_in_t; -- signal bundle from wci interface done : in bool_t := btrue; -- worker uses this to delay completion attention : in bool_t := bfalse; -- worker indicates an attention condition outputs : out wci.out_t; -- signal bundle to wci interface reset : out bool_t; -- wci reset for worker control_op : out wci.control_op_t; -- control op in progress, or no_op_e state : out wci.state_t; -- wci state: see state_t is_operating : out bool_t; -- shorthand for state==operating_e is_big_endian : out bool_t; -- for endian-switchable workers abort_control_op : out bool_t; -- forcible abort a control-op when -- worker uses 'done' to delay it -- Outputs for this worker's writable properties biasValue_value : out ULong_t; biasValue_written : out Bool_t ); end entity; architecture rtl of bias_vhdl_wci is signal my_reset : bool_t; -- internal usage of output -- signals for property reads and writes signal offsets : wci.offset_a_t(0 to 0); -- offsets within each property signal indices : wci.offset_a_t(0 to 0); -- array index for array properties signal hi32 : bool_t; -- high word of 64 bit value signal nbytes_1 : types.byte_offset_t; -- # bytes minus one being read/written -- signals between the decoder and the writable property registers signal write_enables : bool_array_t(0 to 0); signal data : wci.data_a_t (0 to 0); -- data being written, right justified -- signals between the decoder and the readback mux signal read_enables : bool_array_t(0 to 0); signal readback_data : wci.data_a_t(bias_vhdl_defs.properties'range); -- internal signals between property registers and the readback mux -- for those that are writable, readable, and not volatile signal my_biasValue_value : ULong_t; -- temp signal to workaround isim/fuse crash bug signal wciAddr : std_logic_vector(31 downto 0); begin wciAddr(inputs.MAddr'range) <= inputs.MAddr; wciAddr(31 downto inputs.MAddr'length) <= (others => '0'); outputs.SFlag(0) <= '1' when its(attention) else '0'; outputs.SFlag(1) <= '1'; -- worker is present outputs.SThreadBusy(0) <= '0' when its(done) else '1'; my_reset <= to_bool(inputs.MReset_n = '0'); reset <= my_reset; x : component wci.decoder generic map(worker => bias_vhdl_defs.worker, properties => bias_vhdl_defs.properties) port map( ocp_in.Clk => inputs.Clk, ocp_in.Maddr => wciAddr, ocp_in.MAddrSpace(0) => inputs.MAddrSpace(0), ocp_in.MByteEn => "0000", ocp_in.MCmd => inputs.MCmd, ocp_in.MData => inputs.MData, ocp_in.MFlag => inputs.MFlag, ocp_in.MReset_n => inputs.MReset_n, done => done, resp => outputs.SResp, write_enables => write_enables, read_enables => read_enables, offsets => offsets, indices => indices, hi32 => hi32, nbytes_1 => nbytes_1, data_outputs => data, control_op => control_op, state => state, is_operating => is_operating, abort_control_op => abort_control_op, is_big_endian => is_big_endian); readback : component wci.readback generic map(bias_vhdl_defs.properties) port map( read_enables => read_enables, data_inputs => readback_data, data_output => outputs.SData); biasValue : component ocpi.props.ULong_property generic map(worker => bias_vhdl_defs.worker, property => bias_vhdl_defs.properties(0)) port map( clk => inputs.Clk, reset => my_reset, write_enable => write_enables(0), data => data(0)(31 downto 0), value => my_biasValue_value, written => biasValue_written); biasValue_value <= my_biasValue_value; biasValue_readback : component ocpi.props.read_ULong_property generic map(worker => bias_vhdl_defs.worker, property => bias_vhdl_defs.properties(0)) port map( value => my_biasValue_value, data_out => readback_data(0)); end architecture rtl; library IEEE; use IEEE.std_logic_1164.ALL; use IEEE.numeric_std.ALL; library ocpi; use ocpi.types.all; library work; use work.bias_vhdl_defs.all; entity bias_vhdl_in_wsi is port (-- Exterior OCP signals ocp_in : in in_in_t; ocp_out : out in_out_t; -- Signals connected from the worker's WCI to this interface; wci_clk : in std_logic; wci_reset : in Bool_t; -- Interior signals used by worker logic reset : out Bool_t; -- this port is being reset from outside/peer ready : out Bool_t; -- data can be taken take : in Bool_t; data : out std_logic_vector(31 downto 0); byte_enable : out std_logic_vector(3 downto 0); som, eom, valid : out Bool_t); end entity; architecture rtl of bias_vhdl_in_wsi is signal fifo_full_n, fifo_empty_n : std_logic; signal my_take, my_reset_n, my_enq : std_logic; component FIFO2 generic (width : natural := 1; \guarded\ : natural := 1); port( CLK : in std_logic; RST : in std_logic; D_IN : in std_logic_vector(width - 1 downto 0); ENQ : in std_logic; DEQ : in std_logic; CLR : in std_logic; FULL_N : out std_logic; EMPTY_N : out std_logic; D_OUT : out std_logic_vector(width - 1 downto 0)); end component FIFO2; begin my_take <= '1' when its(take) else '0'; my_enq <= '1' when ocp_in.MCmd = ocpi.ocp.MCmd_WRITE else '0'; my_reset_n <= '0' when wci_reset or (ocp_in.MReset_n = '0') else '1'; ready <= btrue when fifo_empty_n = '1' else bfalse; fifo : FIFO2 generic map(width => 32) port map( clk => wci_clk, rst => my_reset_n, d_in => ocp_in.MData, enq => my_enq, full_n => fifo_full_n, d_out => data, deq => my_take, empty_n => fifo_empty_n, clr => '0'); end architecture rtl; library IEEE; use IEEE.std_logic_1164.ALL; use IEEE.numeric_std.ALL; library ocpi; use ocpi.types.all; library work; use work.bias_vhdl_defs.all; entity bias_vhdl_out_wsi is port (-- Exterior OCP signals ocp_in : in out_in_t; ocp_out : out out_out_t; -- Signals connected from the worker's WCI to this interface; wci_clk : in std_logic; wci_reset : in Bool_t; -- Interior signals used by worker logic reset : out Bool_t; -- this port is being reset from outside/peer ready : out Bool_t; -- data can be given give : in Bool_t; data : in std_logic_vector(31 downto 0); byte_enable : in std_logic_vector(3 downto 0); som, eom, valid : in Bool_t); end entity; architecture rtl of bias_vhdl_out_wsi is signal my_reset : Bool_t; begin my_reset <= wci_reset or (ocp_in.SReset_n = '0'); reset <= my_reset; reg: process(wci_clk) is begin if rising_edge(wci_clk) then if its(my_reset) then ready <= bfalse; else ready <= not to_bool(ocp_in.SThreadBusy(0)); end if; end if; end process; ocp_out.MCmd <= ocpi.ocp.MCmd_WRITE when its(give) else ocpi.ocp.MCmd_IDLE; ocp_out.MData <= data; ocp_out.MReqLast <= '1' when its(eom) else '0'; ocp_out.MBurstLength <= std_logic_vector(to_unsigned(1,ocp_out.MBurstLength'length)) when its(eom) else std_logic_vector(to_unsigned(2, ocp_out.MBurstLength'length)); ocp_out.MByteEn <= byte_enable; end architecture rtl; library IEEE; use IEEE.std_logic_1164.all; use ieee.numeric_std.all; library ocpi; use ocpi.types.all; -- remove this to avoid all ocpi name collisions architecture rtl of bias_vhdl is signal unused : std_logic_vector(3 downto 0); begin -- This instantiates the WCI/Control module/entity generated in the *_impl.vhd file -- With no user logic at all, this implements writable properties. wci : entity bias_vhdl_wci port map(-- These first signals are just for use by the wci module, not the worker inputs.Clk => ctl_Clk, inputs.MAddr => ctl_MAddr, inputs.MAddrSpace => ctl_MAddrSpace, inputs.MCmd => ctl_MCmd, inputs.MData => ctl_MData, inputs.MFlag => ctl_MFlag, inputs.MReset_n => ctl_MReset_n, outputs.SData => ctl_SData, outputs.SResp => ctl_SResp, outputs.SFlag => ctl_SFlag, outputs.SThreadBusy => ctl_SThreadBusy, -- These are outputs used by the worker logic reset => wci_reset, -- OCP guarantees 16 clocks of reset control_op => wci_control_op, state => wci_state, is_operating => wci_is_operating, is_big_endian => wci_is_big_endian, done => wci_done, attention => wci_attention, abort_control_op => wci_abort_control_op, -- use this to know when we are running -- These are outputs to the worker for writable property values. biasValue_value => biasValue_value, biasValue_written => biasValue_written ); -- -- The WSI interface helper component instance for port "in" in_port : entity bias_vhdl_in_wsi port map(-- These signals connect this component to the external OCP interface ocp_in.MBurstLength => in_MBurstLength, ocp_in.MBurstPrecise => in_MBurstPrecise, ocp_in.MByteEn => in_MByteEn, ocp_in.MCmd => in_MCmd, ocp_in.MData => in_MData, ocp_in.MReqInfo => in_MReqInfo, ocp_in.MReqLast => in_MReqLast, ocp_in.MReset_n => in_MReset_n, ocp_out.SReset_n => in_SReset_n, ocp_out.SThreadBusy => in_SThreadBusy, -- These signals are just connected to the WCI wci_clk => ctl_Clk, wci_reset => wci_reset, -- This signal is the only input from worker code take => in_take, -- Output signals from this component into the worker reset => in_reset, -- this port is being reset from the outside ready => in_ready, data => in_data, byte_enable => in_byte_enable, som => in_som, -- valid eom eom => in_eom, -- valid som valid => in_valid); -- valid data -- -- The WSI interface helper component instance for port "out" out_port : entity bias_vhdl_out_wsi port map(-- These signals connect this component to the external OCP interface ocp_in.SReset_n => out_SReset_n, ocp_in.SThreadBusy => out_SThreadBusy, ocp_out.MBurstLength => out_MBurstLength, ocp_out.MBurstPrecise => out_MBurstPrecise, ocp_out.MByteEn => out_MByteEn, ocp_out.MCmd => out_MCmd, ocp_out.MData => out_MData, ocp_out.MReqInfo => out_MReqInfo, ocp_out.MReqLast => out_MReqLast, ocp_out.MReset_n => out_MReset_n, -- These signals are just connected to the WCI wci_clk => ctl_Clk, wci_reset => wci_reset, -- This signal is the control input from worker code give => out_give, -- Output signals from this component into the worker reset => out_reset, -- this port is being reset from the outside ready => out_ready, data => out_data, byte_enable => out_byte_enable, som => out_som, -- valid eom eom => out_eom, -- valid som valid => out_valid); -- valid data bias_vhdl : entity bias_vhdl_worker port map( ctl_in.clk => ctl_Clk, ctl_in.reset => wci_reset, ctl_in.control_op => wci_control_op, ctl_in.state => wci_state, ctl_in.is_operating => wci_is_operating, ctl_in.abort_control_op => wci_abort_control_op, ctl_in.is_big_endian => wci_is_big_endian, ctl_out.done => wci_done, ctl_out.attention => wci_attention, in_in.reset => in_reset, in_in.ready => in_ready, in_in.data => in_data, in_in.byte_enable => in_byte_enable, in_in.som => in_som, in_in.eom => in_eom, in_in.valid => in_valid, in_out.take => in_take, out_in.reset => out_reset, out_in.ready => out_ready, out_out.give => out_give, out_out.data => out_data, out_out.byte_enable => out_byte_enable, out_out.som => out_som, out_out.eom => out_eom, out_out.valid => out_valid, props_write.biasValue => biasValue_value, props_write.biasValue_written => biasValue_written); end rtl;
lgpl-3.0
The7thPres/CFTP
CFTP_Sat/CFTP_Sat.srcs/sources_1/ip/Signed_Mult/hdl/xbip_pipe_v3_0_vh_rfs.vhd
3
30077
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block AVKZ9vzov31FFOuiO/udGK0F3BcqDgN3z+7csEIJUtbbT+RKLSCk29EYd1mzpVnjIkhFxa9MhKw2 zKl++XMSVQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block B01MfY0f6h2mNylJp8pQwnBVKbi/7gfKXUs5mZUMljKKfdBSVpdF6CTBFbGLUHH9qWPENALksjXS bJ32NpIDnjth8GmfsSIFrktpIL8ihTMaBQacGW+pyvPg5//fUUb0LURENDM1z9L4QYzYuvpERzqr n0KyGtD+rw2BCGPblf0= `protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block h1n7qzaDqDlL2g1Wj0sQOZ9F+0rND4YCCMEGpz8SQ8SHY4Dp2plfWGUe5LpapLN/WJu2iLocSTqT IfmeBQ7jpKrm/teqk5hGDpbkQn1TUo71qPiEpkNVZa7MP+smCVgq8E3sQ6sdvGFKSWmAF75c+bg4 0rIZHrDB8p2jmUCnlf4= `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block SB7tvr07RIp3U/luRRr2/AuYcqzgvAaPSMSSD7ObNdZ2fC/ilZNPUuF/GIYRCxXBmwXhr03rqr+w MdLyCxdHz3pi5rDfTtjcOEUe0PMjV+CNuqSxyrXNdaaQaEkzmChJ4m4Y7TBiUJ39D5+XPzFW4qKT ByLIFtq1+JGfE0a0c+MHaoJKeDozTjO9aLZNOufs/OzBeAqrQjoKBKm2EP/i1oCRxu9OSzmVfjVH hlYSIoAOQu+C2Vj7rRRXMfC/9AL9/9e3RO5MASAPAivyBkfsjrPH/ZjuTjDu16RE4AktCbPstRfH wert/ChVmFLMc3XyuFPwCGZOa+lWy/i1IG77PQ== `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qAj+qe6Ww+dEZ0ggTx099wZHKABbi1j9nLye6chktxr19Ep+LJlb5MZ20dAuwEqWGDOcIN5RiN3g 4LEtr8bMIvmJJX144q3tAP4/EIBXU1ZJZEVw8Jjhmrd7xPkvuWUbYXQfXWLXxriepAFtuVuqvT2H vySAZbtaZvn42a9a/KtpZKLyUzDj7YUfBBU3mbBhPjc+XrsHiXaHFhhTyzxWTwuqmL2oEuD9hmf4 qO877o+qCT8f/wh8DfwpUUtvbNwXGj5Ma2oZrXypZqsuNBxyiaTcwjatZUXNsU4lXz/ud8Itgw00 CqoNcR9fTwgYkIZ/ZtXYidMUu+IppYvMtWBj3Q== `protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block GZTXYEmzKuvecicfcrbtxx7dCO8w/QNkBmmwBUxSewhvdabZBdYIqMVVaW0N0/soxJnP3SDUGZkD dOvaQDQGnasIUgNNEEhk87+LZzp4H9kHsZAI2ivLguppFbwCsC6i1hH9sqxWVNAz101LyeKRyD2E /toFnEEcP8XPhqQQ0slI1SMaAaSI7kXbrIr1ccTTCJIWZ429uGBbNWeWgpcCJMgLRsGqvJcsBb/V BminWoZfeV8B1TNamzwNBCHA5yzezZXajQP3k6+HVS+rIWiw5kUjIAR2j49M/Td0iOhuHhyVl6g+ 3nA2jHYV5W/bTaqCB4HGGBGgJgV5+FT1piH0wg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20128) `protect data_block JHdOpAKbbpEr/dSO5/E2/exRsq08qco6GOAxm8MlXcsMEdB8oXiarA2+peJjpwi8tMMNGDfOfuYF g7NOokhXNaLusBDH1VyXLqW9PkW8hnrQcdq7luy1azE5E1yb+JT3LGb7+FNzeXNsOnoamKRhFafL 1oetNkhFM/JJuvgInRwI6MTmotMDW2aBLyDUulpO8TuWVOCc231P8Sbf8cFIblYqIFmAR/Lo0DGU PmGC1eDU83JGX+vE+bPBdVP8rx9d1G5XXKP8VsRgTAeitCOEqtHYKYfIRGN2woBC/57E1Z1bFX2I OeF77P/wmd2BSIz1OHIjVVzIvBwTMT50GlS7WQ6SJLlh5WeE4AkgPnyX7GWFxqX8nIrbR3k4IlT/ xgPjCgv1uHgaQn8RHXUYrdyjFenC0t9pkZmX4vVyFNEtgGe5/iSHVIAy3RmND/+1qvoAHsm7mELn spDfkSO3tiBkffEoIVF0GK+wI07/raTX4ZuwCpZfmUXFslqWmnLc0chnwYkD5IJRA0AOyXrrf6Cm dEdWcw5mPaRZ6KaXHRNXGdAV/Xeh7KdtKk0B0spNIGwr8pU8ATUPaxyswwqRUwWpfDV1v95fS0l2 IFJWJDlWNTQKcR6aiSFVrcLcOQAjxEDqN3LoHDQly33+iibNUJqv0p6tGMMWFyOJFrhcyQkxCUBY OnXzlS5uDNOskFkuaVy64Rnrlf1L+tApKHVQCIZeOLwjGOoIL+ErATDyIEy3SXoDGepHgshLTcD4 2dKgRsGfD3rOQQWpCFKWFwi6gA6q5Vvs0bAxafYJfTJ2Kj7r+rpQCeIx6mzqBffToIsJIXbHTlak Lbx5gY2ZpidlpTS6bV4IsZhJOWNLs8MBOImfKtl/Nn66cOhjW7PraJRfcwM7AhizUwWguBQdFqmK WYTIXND+mYImVpcVRaHZNuOCpU5UHaV/R1AAVZEep9GRa5IwWsagO+oc/0cR4dbVAwmJ4CaRuSri IgRY9rXT2CnbFEZ1pLDNcJv20nT6urs8Bmzko/m/26KhNHa4OT1h64BceVwFlwajB/7Ax+EV6iW3 SlBV+AjTV8pPkM3UeautVzSU7vpLxsd7EE4j0nRhnZGhr3u17GFLRWqD1LpEAHuyVMdlF+HbQAzF 0Z4oMdr6qz9L/O8NDapi/iCsNtyntcVOXIf/g59btkb2cpx1oJtAaGFoEwmmHemQPTuMlmXlirew y9JW43EHdJu/gsJV/uOkib5TbbjvIaAttr0ObfyCcllbfl/eDljyyy7IVUOV/GdyGglU0rntzynU C/N52tItJqD/DmejSgtD/YRz+aGkOipJ5kyDKx2cnpttNPkQXMVxcKn+3fp8SrgxwHs5rbjSmO7G 86JQxhJpDQ4Zj4WerNor1z6eFkTu+lSdG31acS8IXZi1/ftKMm+o3KpBBFTfTgWla6Zh3kZn/eQL C2TKyN1QLZUty1qKtPaRLPrYL5I34IyAyDxfBVc4YE6JQMrJU74CUgsou7fXtAJSSptHkDO7ANRd spV9knHmOao6mFwwjgCBj9Kf2GD5ybXgL/FPc/NV5PhA71083zIagWP1OylqwEAnDmyDl+nM8OmR RcmOOrDLvkAghnYLd725whD0wfQP16XCp37u0g+9bW8LRJAdgpjmzwifwqa1PBq3724K/WRSGLZL ORwyb2KIbqgFLVqlwJ4pqjN0SBMMBql7nCcaPg4olom6mNbiJHcczJSRtHJrm0miU3b3WK4svEMt TPpQRWunCx/cLm7A+bMtaueQ1wG3z9+V2KpUs+R24s7Dg7TuqCqQqI7zenHC7VNxkRtfAmAm4z5i Rln/miorWD70qXaY9m9n0mOITto4cfTJVU5vL/5zgitm+ZLAfx6XybS6KqqAfnKr1Id9b1MOdCVw GGtf5Z/faw9+CZlXaWONzT7qcRQavpJckTAvZ1I+vrlIN7AtT8ZttXBQVybsh3zeCOjIJGD/K4y9 msbjPgUHBr8b2TSdK/wdEr+ubAwFbkXPCZtaWGM8bfxbpSnxlj8H88F+zkgTBV5zkCodHNtC3MQR 8+fkrr2IUQ0YPVFwE/ZrwlBfHRTHtuBgTQAt7kZArlmyewIx3lDkYs3D4BOVcVswzpSACuCjZwT2 Zfov4ala+FgxhjDjZ7Ajbo0trk59PRbsu+a6Wk+d9F1avEocVQXqnA+ZzEGnGKnfNJnl6VECVva+ IgKLEmjD93DzMWpAttehwObPX6kjSW6UfYnb0n14HvZTJCJGfrXbgKuNSSA5lMLTu6JJw3NgpiGG EdcJpYIA9+J38gGoDoX5PXmJPR88KVtnmFUTFVZQpRf2g73IGX9aVYx5phIHRAnCySJLm+XIh9A3 K15By2KFtKblk41Jw6EGUgwtHawvr7I1UbAScH0Mq88yrRE6/pPW2OvSoOs/07IvlvGLkGEizhw6 yxf6zWGkfyhJt9Cp7XQ+JpPJUsCYoi9k+scUPLIKfFLaslwQIrcfmxfzMscs82aKs6ss9CCpkXn+ uCG3SA+Xi4uXpxSfuYSkp+i+Dkk2vrEOj8TEWuOLygaP0XbDSHb8EXXL6Ngd7uc3axkHmo76GWxf pmWQGw3IGb5e88fC7kUZd4wpy6LPm2zWnFiEv2sj7wcJ6RO2mExU0VBpbeYuOYW70PDCdmHbYIso Te8Tb8PUSDeUCdDMo3S2ba6hUFdV29R9sucoUcNB2cGwwGozJfwy0kKz3lLLCfIHk8pY0lIEO4en bj2aaMvs6OfMqGbF/YIiRfJxbaXszcfSsbHulkFN6NuVo/MxNc9Z3JaTij9q4/jl5CyO3wAokh7X XFQZN3Nf3LbTlVJRYhXp47v7aCg+HmuqOmh6LGf7TAO0zEQLUgwHk6qTR8oav0vg6EPVw1EmvMf9 VhpG205nsuorpTVqtI+8yz51Mg3iCG1Rly67mDduQ5v0HeiCnsJeO45I4vhXHOqoNqlDI/TrE52f fFu/9MlyZ8TZwvr7Ig/dKPJByn+jOHdPppMl/paKjhQPP8MGlHuYkQfl2aQ/cnaWaQl80IsgHKeS D+ZqigwXwq7uuRZIg97aBgR0xBYTIA9rdLDq1v41c6ATrrY3KDuXdI1A/yt3g/bib6mEFzPA+gvt MOIBRDDqr415MIDE+S2PTW8LDykjlfq1hKTRsy3RHcbgjPAzuQ6CQ4Dd7YWViO2bI3RlUJ6v8THA pXbIkOdsWQgQ3RhRyXzwhytkr0JyGm60L5pyChg+Yizb3XDFbXL9e80IFoZAm64Hlx+95WEC0h79 SORShp+uzicmVvkwQv+0n2f4/b/JyH8JC1dNH8vMGxczVNxC6H6vZrFFLztbPyTdveJB/HyFjTD2 5vJEh7ci8pxET6+FTzdx+Gg1iYDHMd/ywUBwzJ6d+xglOfakt+WMGpUSopXDRq3XCogxYEMIYJwG xeO3PLHRZ1Xo7bRQfIAPrZ3Eui1ajoAKp2p+biNB5Mswanuvi/dtVeoYxT5dMz2UAS6To5d73QUe Y7KSl5tdWxqxOB86WbA6pVm+QjmqwOXAmuZrLII+zWSVrZ3W7wjchrhyy8k7CvZMUDfE7F5XhGh3 G4zVZWIHRUay24i4m+bU36KbdbdB30PLaffYD1i8qF7uuNQwuY+OYqUsvlK62zJ2+sZKFlRCjIaH 6q3jzKVJEqAkDzTwB2we6sMAnNOzx1GEdA4M22WfUvN9yN2wbw5YseXrWOFY3P1KvNnU96V0uKH8 6TleNxvoUaQZXF86GHLh6JZbJnJY7KwBUx4Xzbckvv9tfUImHGA9OsfRtkOeK8P+K7rAMU/RJ8ug 14YaB/kQS6vb/Tk7IhGeHw1DTycqtf3gDCAPj8/T0LdPY0Y4tnW4CXCGsZCwXxsbX/6OjdUWVSjo T2EglhcXFlwQnUgTwnn8wfshG3z4r6OYYk97CAIOVka6uW5ma2GotxxGusUIOKfTUUjDvKu825bb D4jC0yNNI/netK5+Gp6QrEjKEkKcG+xYNP4ALTV+jgZfdfeUwsNmYOVq82Sv8KvQB7zSZCNKPci4 EmqY+VJC75Xsb8P55mPk46mWCV45wajiBvkUfUCMIMXWiyye+tV00QvRf3BzAPCkBkf7psw0OZi0 gIacheglBxlc7rCWJaNetfUYxyyUbSGD/9aaxabNqPKcpxi5Nd877FtXk5ylVGWn+endPM+Rxfpw 9qUF2HhM5DlWtYxSinUTR2xsezwcGt2IXs3s2xpri4dyUJAj3CISLxXF3om7yYQXvF2UD8y5W/a7 WheaCkWufqrLNpsJGNI5qJ1r3jEYykPxdsHpSjC38F/H6Nx1ZsMQiXHb9y/jahRTDzqbfGbKnjw4 WIB10z/+6VjKTIotaD2/CDlUTjGad18dLsz/NY6vBAo6nFLmNp13/dhvc66ox7HTAXukQO+HKH08 /pwALewRL9OviKrytg6j1M2u5HYu9YkHW1o85jS8voNqhkc7zJHoGsSAlegQSWK+OjuEru4wlBYb wI5FIjRGI7+kmqCY/vwCkbuHJyDXEyhP/QCi5S3GH3yW4rVuhKCXJlPiRAbYezTnqYDXvpSUyHaF HcvpyrHpeP30aHNLC3s9Qz+wEbo46mZPNII8cB/JBAs+3affHgrgmSRHQjcWOSAsCgjFbHxNBGnF qmIerrJXyMsfFwnRWcuH9Ih5G1DJhB6qY/NHS1whGKWvGoDj/8Htki35rpnewTcfDJYJsySnGGox 6e5+mQ3fxDZ97DEJa2VDRbH78LS3JuutvHPi4rNC8hpWUfsKGSBIqLw5oHqQ/Wm+G248lNZSE2bz +lj6B5prkQeFJFCAxPaAd3+ZIifOBDSehA3jMbj0lABIKDlpLxsSYXejYcE4EQeouXnNDZ3Bd3Cd SOlVzO9C9bql1uZLQvqmiYrKrPI3mZ0xPHcCuF69NuLnpcZZaU472XuSjZBVp9RsGTw8fWb2lxKg VvZ75oBvvw0MiaD5nVsd1kx8KE73xAbBC4tBooJ35jH+eZ1d1wW773cb5i16TcQXWBDrloSkBc98 GygPlqyOYGLh/M8hNG4yUUsiQDaK596glARbs32erEc6NpXazBQEtFdtomfsKuun+FZf3uBvQWia nz/0Om/LCE+6nIBDptLcMmul7YOAs+ckWTGyarj+K9xuYA5T/zsWS6zyFDQNXJRz4+Uhzn0qJ6pm SoJBZ6i3zyIqq5tMN9RsMVyvmnztftQ1Ih0yAul7uyLzoYJkutS4w1RVIju2u2Mtn90Lj+T0dI8s r3/YxQY6okZmLkKCk5Vcg8xoDA2eMc6n5RROyR6qxRFz6xlMP6W+c1OX4Mqj3lar8O3DWhb6xJIO yGTEx0QN8yT1fE3JWmKo9roEnJ5PPjoi7DErfIF+ib0SMxgQibjAdPJFcMOWDGDKm7L1bZArcjKp Iuo+IuULzK3U35qH9NbP4DvfJ67aHwD8fBHyc+iXnl7AxS/fEINLMecjNH97iL2dEQbqae6Z5HAX omx/uLYxmQhUflw4jCSX/mSFElh3gM+tjWV4ABWQ1KZ502IoT5MKspGsNv+9tNRTDljMKapifBjY PUQco/19wWFW84qaUsr/tdQNAZtHgexyj+cxNPMwWZPbRS+7JH5QYAQFo9jaF7nTezSkOYxECAxC VUWCWMw8129CZcrmYxzpjRMvzUPbDw1NooS8Z2iZ6VjI8QktpmLQgIBbR5xVSU2tG90WEhAccsye IBKWuhJLIrkq7lh58BXj9K2k6wb89lEQQNxXL3SzsQYg4eanBFGjcIl0uINmFxMh6u8FhE5Ic6oN 0bJ0tN7qDBT5I9OS22kE/t90tIp3wuHIUPzZILJKPr1CDGg6crNsPaJvYh/TqrvcZbAQzVjta2fJ ygZp6zImfmdluqiWOMhfWswyCodamk2EMEGVK9p4wSXW8hm1skV0TMYr+URt9TerVotYZyVYQD7K u64Ei29uTwvPiABE7A3NH9Pdgn0XJHCDO6RXgb6bvC3Q13+jsfau1BC/7GhKoxX36j8thdOINNOU Ba99h40Gqho7Wd7OW3p2FmbkVoa5kUqxrqgSpGUSl+RALCfu+rrF4Y3N1wqw9bW1lSRG/TtDSltR FyIg5qK15oxYnwgka8Jh4CA3kXyQsuiAkvReBmZjnliUWqntcJmwMwiyekRaUkqg1CWDWwi51pp/ XxEKphlYVt2IPxrMj2b9nQTirvRbDGcd9W38tnpGhFjUPu7BacqxI3HqK4UcUpBeJ2Tf9QgaHRTN JlybYOGPxTqnRNe2RCfqXDwutjMDqJOn37McaFJFdi3E9RC2FyWoiTfWZLAksrjkl+mWluHk5DEg EVOJjhUsOdb81OCRmWg8S2Wj9S+KcGgS2lBRJODHx3x+Rkhqi6Mm6BNK+AeKtLn35SHi26SWt26G ygLUYvG8z573E89jiq7Q57UWLrUO9uZVjSX82IKOKLS2izsRHw2a4dNlHa4Omt+W0pFN6/nyyL1O ES48c0PAlhfw//r+/eqP9zSXUa8m7cWwN1SSa/fJsXsodPpn6qFe6pT02dtkH/3WQJ08dIkH8yoM NSCCS9Qu46GMC7tOD9c3wpCAYJXNuL1MpOipJIMOi1m4g30nc8ySHS9tnti4rdD7SYG77O9kB9Nh mfbBCDCfpyqtcc0l4tm8X1pgGMrfPTMPbsBIPdNE+dfbuyoTBj0VwiuUTr5JUjJquUjovcr+YOe6 4Iy0m4O9Tbp8O1VwoerpuYZheSaPfHY2MT0hkBzzx1ANbJPj+obLKhFF1uVPVFraXFpgdSCEagcS lqaLV/r6mTu4eu0YwMWVga26gpKCnqbN3HIHBOXR2Mx+VsoCPN7ZzZoKi5s9A6aIU0S/K9C8ZVHj X3QV4kTn55KJ/ZkxKyQUQrAidyY32UKOf1oWpH8z7vAeJpF3LVly5p/QN4H1IxIMZM2oM3cv6yu/ PE1GWjmviA2wikj3zfx0tYMdiRcCGTkVBQiVZh3fQlqDjCDpKUPQXIgJhNFbxKZGGGqUiMXZ1ZIY 5g9J4iG71Gv541Fv9tLNGytmC0ARpkHhePpVq/zhEU1Bbniajim0AJLauNHqoPga1AXkIj5v2Zn2 BClokUqsSv20HCb7C9qsnE7RjAAZOa5ObPq3iyWmy62peQGw9kCGeCq4jjr065P6GYzrytTp4FrF Zzjmj8vHNM3nzZYf/hKAIVo8Vbf4FTI5Ex9DibsdVwmHAcLWdbjiz7+Q+oMt8k9RCVcynRw48Isk rTtQibfTcKb3gmqQWLFpBLlwt2VgwrCHvVf5xhc3DDsVqNMIO1qVKmLzWYQouZSPrWaexXLbA6Uk 3PsIQ4Hj33ZjH3bcM48X/WkmlSliBWUtVfgE17sIOD7/7v9ogfGqOy5IjWxA4DOSHuFTF0R9CQsA HqNKOnhecq7bFusklBttDDRDFxabb0pehuG79Ftrq6p4QsF2nJy7iUHCNIQaXEIM9E+IzVeIz4EG 0ZEEK7NuSawIezTZtkf0S4N5W5S/6yiTRiMnQxrbc0A2OtvNg0gufw1b19W6J9SUoiG4Gi6LsXZ+ xunztxUGYY+Y8xFa2wzAiFlgO4XR8Yxrpflv2grxWbzB5NDh/llJc64glI5/5bNdmKbzgYqDwym6 7BrFbmJ1PgCAaAOdp5gmZ95Tt0QC5yJiFIa3qMuvdyJumh5hGEmhhzgUNbqmPLwSxr+njWmy7TP7 iEymHT7jwGkvrUjDX7tjk8bSNHX0HFsn0jqalxW8o1hPk7joIhXpc0+eq0R5BAoBA0PDL4HiweQ5 Ug5RyYj+c3CFLaNVRiB8UyNPfdjG8mG4rixRx6aJmv1ei5H62QREVu1TvLI2ubcSG3UNroHZ8bT+ mM2kPzpBjy7a3X1ozVwlaQRBAjxs3lSqfOpnU939cbGCs/X+sFmqV1mrrF2Yf4NeZyedmRS5zMLh wzSH65SOC7LUXIaFYAxD+oUPJyVqfXu+ChLAAcXkG9OjkF9WPNzwPjnEpC4TXBmXd18XMMBmnp1J 5ouSErmODGc2o5753DFqiMYYJlkNg6o83oLo8Yrv2YclkfYEi54rzoLAEDxoteQOaei6VzaTvzkU Hea0NlmmchAVNCucJ3nKQjMRS/4iSVZgF25gbItEZXY4/uaAmj/h3A8XSkKLm5jbRRG6aTWXzEDR xp/2iwOE65YYI2JJXptLyS8Nys31WevjIA1KEcgu9Ct/9KQn8UtBFvNSP5RUENFC5mCLvODHpd40 2Buh0oLH8vfm9X/2D6LKuFIINamgbx5l/fymVZnR3CwbeNGZuD47Tz/bP9NZrIi3UxKhpC5jwH3J eI34lG16+Hvtwu8atU4ZllXeeTSkJVfYB0pMmdR7IOy9tsanQlWSoCJJ89lHxXAYX4r6lcdHIY8D Y/zc6u21tO/eaA0uW/Q9P/oRgJKi2GwoqvpRrFdq55Gq4bP0EBe9YEdUFdocI5m8vfJMigY9l/Sw Q8cMxY14rb/4jwv5QZkqD0U5v1ce7hxIdc80oTmXBDoExy122HFRniHr3vWbMVrUQfZE/gfERW6z 19u058EkD9b8dWZ9iFTXwECHZ11uOevg5p9fcMQHBgY1z6Kkem5yKgmNu4FI+S2qBAVF5c85ltdI h0S7uwXEtbLcEgnN5uEdAjYykSkSvX1fXiwcAkFi7cs+YxwARnIBcAHAgPJGE2I4wVjEXtMcAkgg Lr0etD/3SGkjItSCzXO082289E3tHBpopNP+GFKA0znlvHXqZyF4Gk+/3EZqDbcrggC5b1MKb75P SNq5oyKfCZCsI8rKIQK14q3ld8zhbkt/qJwXG4GBqRj/go9/4WhrgLcwRXWeF3XmTV6bc2TdeK16 Em4VKNMoUSyl/IZNODdsDbymzc0yxTxr/EnrR/3Y8Jd2z4KW/lLKnzyKTdKiCXGsHdpfX+t5OHQt mZBu2go7pg3R4i9CdpV3AUbw/VcSC/kBuKnenBNqY9ty1g08OsJklT3QUqp9an/BtERm8UZgZdv4 kSFb3KLA1IxbgxZoshyW60rhwEODZ70SppxWiqr3xQB6mfGH5ukVedmgOFf0+D3+5HyV68EnJPhz LlVKIVPghMqimNgJM7UleoHFUYZIjHaHQCV7+fEzyWJyyljIiKW0tbJGg5yyfXDDtcT5pjbvMzb6 TMW19KnicIsudwemURXbpwRP3C+APdak0xyS3tRtGdUdmzcQmMnB4Je120cB5WFD1pI2EfUMbDkq t3rUN45eZSjLFy586eg/HHl4MlHGzUg8N9lP4GJ7C50LFau8DTY7RYl3Cr8nCtGLvAz5liDJiI3V 3OxB9y5rPsPdhg2qVhbjAaBT9SIDuCKKX2XZnzN3qSLbIiq/YY9NPc8zczUS2Qi3qWZ3R6rkEv0u jw7+si2Nu/KsyWDBi5Tup+erlBc8XkICiEkHEkq2ZcJ+2dc/8XXD6ZKLWVHw0tb0/odVcOB7bXek 3izaI87+3O7jFtPY8GtRaQ98O4CjrspZipykAqggA8yNYza7w14AtCR9JR5gQyEQaTJRBNIwqgVv VObrrhEcN8uvHKatfr6914caK7RvZeAAhS6hSVn1NntBtDqeq5C3dU25Vim/wDrbv2Iy1r4vDfi0 bgEZ/Fugf0gS9ujxPy63cCUOIg+pKawUgGBo80pUxAvDnO/WqGqW7f2ADWBd0+9bUYqETOcvgu+w Lki0+FgbrbkIUnG/BQJuYhah0L0RO5Uf06pWtIcGbbfUGlooMbJQ/CPV41luo6wXCyB/81w0tLPm 23UW8c9H58oNx5Z9oFSVfGfnB/wSR6PHOd2XIA7b0iRJ2esjYhuUVbjVnr3+yNoIB7LJ5/4u+8Zy 5jLsW1xnnUR0b1YAjwosyq5rGt/quwUSmgSyvp4RrT4a5TljhWmrD8B4iDfs4v6zVa0vwrrF0di1 47485jMXH7Qdas04ZdPFHyCMaxiocDiNL5RtHta4JObEHzeV4oPnbt/1KzmHaGGH7iOKIC5PetuG FZiFvjaza9uNoZLneT6ALzbJgqOl7q5GHWhQrCijk+HTfrxxWT49FgRPYaK65CKQbDSsxxo+IrWI HVksesu1fOEf1v1TlrqdSCYyHTzCk+vgmcRD4TooR2KzUPaGEE4NP30VDlg29jqUszxE+O9tfbkp LHYzU+sg1Sa4Zmavk4t2UmETyx6mizYjDsKo7L3fY1HlG4GZvcqD796CpvTEzRxe8VWmUTum9klv VXU0IDVJhFzYaj2el7eqrk8GyBTZ77C2ii+6J639liFhK5t0siBqtiy2GYKRfNad77pFYpUm9C0M IAL+WLrp/TjLGPewj7+AUWrurtxX2CuEYih35rKF+HiK5n6jZKEWBfhI93b+PR/EHQ0fEK2wiXpo qQ7KjyuWnYuRm9Ew9AGVOhGGtrdKvH1lpwdvTPvH7vk+0xzOw0HwL+h0yP1cIKQAwG+RSWb4iJNj fDwDdzwR70Mk3YjkHQFWZDiT65m68RqqDvqZLbFSZZi7jzap576VtRZEnqoppigVztDR9//cX51m +y8jjClJCOFtaKGSF6AGnW/C0/Ku4P0iewG23iBUuqHlq9g3ZpjeMiygT3+wyfkE8IVRKJ50qAZo 0mtf9ItUXpr/7eQVEMTw0RknEsGroNh0VMe8KcKGVvjIrKO5NwVIJVLDvOHjwZsBpZDTNo9xsm+t BRFycgHQRbCJhiXlClXDojh0ksJVeL6ixh6GHVTtilzTljMLQgpmKuGxFXIuRgfHo+f4Smm+ApOp Z2B9KO7MbgWIy7DM6udNC11PapraLk76GL/65fldHR7mMZ7sDC1FYwpn/fLP+rFWyTuXqSJJ5Voe 0lqe95SNZc2h38l6paa/dJPp0/GmpoGGr3u27K65kJ3UC2dc2Cu5EbUKhV+cY9xWj0QJ59TR1/cu mEHdK6NFOSvBwyiuO3Np7RvUXxepGJcWnZ2Mf/u4rxVlG1758Rd+SQoqOcMMcyN+VBWeL8r9PW2H ZPeyUu80C2B+ohhilTqOylVMt6kLu/R7XxpdP8w295zfMEOZlknqnHKgCUfRwU3kdVn/6fU6yHxX awC5sI4M0packMYRv3fTM68nPmWk6d6RKm+ibiM8aUM3fluTWrS+bNBH04AGVff/m1FBM7om6bTX mgsLzq0vHDW5S4P5lvXRpIxGYwfH0h3U27ayBJ172o0MnvzgYTlkyoSmOtZjIyMfFC6fUB3+JZPz N6RhJzDwNWKCFseY2nfPfZKHNHAlCU30onXnpQK9XDRO++sncKA0CBWp11GMznUY/mdzNDDr7miU D9DW4l7d0sXw55mQ6FmCIcRf0or9/nOR20aSZ0kwxAqt+qFIe2h76CR4AsYpJvYI2vY9WZ3gGAlf Bg6gcfskSkvHB2RVXeJa+Ty1FdvL5AFZ/2GCZXKGNFdLMcDvkc+38+l4FFFOqmfWXCWj4fkywTf4 3N2SalO0HF3YPxeNUubHPe1r+Xrk7ecdBPdE5X7GeZ9Wuo5scysvR4Mf2DX9W1QFBxqAd+NH9zRI eXCc7ojX04r044RcU+aQ++48P6jsiOSAV+QvXebqmnOJzlY1bLartSlTMtX5QY2NzsvLZ/rGlRyI 8xAib8UcSuVr5SNYFIaPwmk+hCZKq2Rw72CrMwzOVU4R00q/90IzsJxB5zPvQiMxFAuszf2y9EZs XbsdoS3oUUS9FTPl53ZWdDiUIPAxxKUmlIwIlBsup2HDxNslV9Q1uqUZAjA1MQCBjTbtOo5sIw/H j217Vqtbjug7novFknDpw97/hGol4BcvY8eYeVZV399u2m55FI5lLkywef/1mD/zOAi06et0xlu4 OjRkh0ZYpEAtyDy0YuF9HsOPwbgOcWCBd7ralNvJW9NlfXnFbDhK9ceHMtQYOVNr2uUP+u2cu4dM T7cOswdEporXQ6BA72E8JIs7c9fjan44eqOx/osVYMeil0Lu0ZxzLy3LsYj1DDxc9VVea/L+qPlW zm02VhTjc41oluIT94QoDIM4oyeMfjbigHgIDU6oCMQXI0Ur0aghgI+9eJxK81iPdlBWm4MDXSZc DwsRbyY0k49j9AQohuU6O/YSacXJ8wqHh2vGHWNBSp9fKCV1SRkGbkh+TDqlUeG3+6CJJbdUW5p/ 5HqcD6f6t+kQkhEPZNERXEq35COz0swq+Rk4HIkj5MATc9EioZWEQCbgdT/mPncbvuDpYUseG78r hFKNMaiymS2LNRk20a0dFJe5AoXpDPEUDlbPlNlU5xwf+ameqUHKRJaYWipjbcbKEIy0J72/yb31 eBvXEMdpli26+GjiDUrXS8uRMqSsgMlnub7c0bAYEWWcmTyuPhLAJoXWeAYVlY3cOnKKp5M/bWw5 4vbw5sS+pwG0hteuSCTWT5+C8Rv64jT3kSj6QYPx/NxE/2rn4w/pjoNos7svcwb181YHorGGULg7 2XYyyxAj/VlwgIdR2FWmUVGfXWTyA3bPsOCCY8SO5cQHsKYJryJmXCVZ42eFexs9YV4i9bxksLJ5 ejgN49DwHpA86mXCAkNK9GRhidjGE6sXc05wxYE0YQMlZeo92itwj0ZCDJAshubBruVocax6MOhH I8buGbVIOonsrLNjePPtfjafNmNo5wC7baTMFlR44cfxC9uQZfn9hAaVyuKj2iwPaM30c1ac5W/x l+Y95upYOq3ar3Sl4l/Tjc9eKwMMkSjsdoU8WgfiCdXQg6sNWE0HFKfw+6m4DccknO+T3Xl1U4pQ vZkqSqVrjoft4C0wNhm9hNKiJwihgthFK9JkWotI9GfIdEkky0Y1pl6CKS2XS8MBFOXWI1Gpx95m wGjlBFwd7QhsceyAcrsxVfnRN8Z+bjN2bMuYtQkWCuW00rAWqW7+4/i5W5eAkqgz7gEtNgbMzPCv qWYUDlxUoFCuF4pg1nqrctCecXf0fEQO1aHDn6yQecktBEg98Sec6KueUFD/VM+BEgIg7n0JlYv/ FYL2ziT0il25B/WfRp6bzKSHFlqhp9qxP99KE8dGYj1W+LLfG7Q79m0nujB0sok+uFSbmUo7Rzna ZlAM1S4zXm1V0VNlf/wEpRx2r7Hqoqn2+cOev4y8qbs20020KHU3brT35YoVBTVAC3B5utEtcssH hylP8UwzZQPq4w41gucSC6EbJwKi/1YM13bP4VoGQqcroDyLkqKWCOrBzTj59oyBgQYeAmQOPDVo 8+8f40fnDM2O6nSIi9RtLZ5BPDIOeaI6fTXQaDznRnqwr8nJq0QSm1V5zwPj9ToENACuv6UeMOfV urlb3a9/7uUTLJWDPnYjZR1O8IofPQyvpAi971UUe20+ldpIsEMGBJQi24m/ENMR614Np497KRg6 kiXZiEPUaqrbR8qg0y5eMB8+79TGKAyhrnhcyDNDx+AmIkOwbdTOYStYoyS0okZ5Yjmmm88GbuAf qkwi0g9fu9B/S5oqsfXoTcUvia81F4Yt4afMXnIi+KlEDtRD828/IczWV8XHP+oUmUjDHruWYkko rjan9QMAk3xNtU5vgYu0NyRDOPHMQkSn3Vn9bYUbJbVIHZQ0eIsXSMENx+qfp+mGyQrQFccG7Mvb Gwdk7bPsFF0qckO0WDDmBzJL0b9A82IOfBBbv+iVHjv2PRZ/QTIvm99dOw6Jtz6Dem+jZHB+83x9 35dfomEIMRNVb6zXDTjoPTfRoEBlOPrsBbswPZ8jV+vsV2UXVHdkKe9FW0Dvh5/nNnMTmzeqejqp DBbU+99dXS9etFlNqffaUdTHwODuhKP5IFiz1bFITLa0CdIqjoxpMR3Kvqg6mV8+xydFb9CU+Qwk 0Fown1+vMb4nP6JulYWGr6JfUVQj+XzHnrwFz+KPmoQZM0ZBXd1xYQwhSd8UchE+xIh0sbpaN7Vy +TZczK9nomSi+g+Kfp+F0Ge/jX3bMdswtae4H69z5GhJfldibXs54BEhjaX2ex0nL28LIPFwTvdd U/ujttpKW8IRAidX3yedfhQ8PDyywNQyfdqgieSrR7PrJNmc/dolpb4Jv38ciVFY30hDaYwyBeL7 76hy4sM1nQHFqDTtMSRUL50NJJCtnLVSUaNwWswOg9UUlaUsKt+ixiI41KBWGmjSSiRvP2WfFejm 2gSe7J3RaWbEb2FrDrlkPDZXjVPaG4hPRQ1Hkl8zj2SeV7nJ2QdBenABLhxU/hdeSFakkBTw9JP6 pj7k0Ibg21VtL7XSmSpdm96C/45mii8U3wLumWv9rvVRCcZ8jNb3MyZcwKIZ0d4+i0qUaHNywY3a Y6YeCFUAlZpA9Hjc0xuY/vRPrlMvr1jydNK+bN3lZg5s7kctbczjdPkCuHpgmLfAqzJqc8LHYVuK mVmxjhdrVEL8RPWUT5JqNLsjYn+Qu3hpLbXrZD6dO1VijjaHUFlqTrZwWoyfOO6z/Dck2fzFQ+j/ PYU+U3G8qMM93RxvedRtIG/jeBAl1LfwG+OUxsFsiZiYLLZ18S644SR0oj3Ju3zlpxlEop+uwsQy UtYjhEBS2/FPduNH+aoTPZ+H/R4ni7ywdMppuZfVdBAShGz8joYOnzUTFiySahOnWwlXgzDfjMnX +BKBLEQ7oyDX+VdHvC/7NL4lbQ2DXclQdXF5WCs7YbnO5cz0e4qhmT9Hjan/Hv7vPEY/4pyL8lxA emdbjIoumYSQmzvUKjjkh3iCtyDRl45ahdeFumnr4OE5WVo6B7Yl8DK42bMMJ4YeBlyHbjALuqqx 6qE9jGY6Hqg5BpaVcbD6oU/hJA3kYplm8vCdMsWEbC96jvzXueMasyXd3YmbCUPNGjbnhHyKzEIm G9tRElDVVZI9L6ws0lcx64we2PuSlKCA+r8vYhmrtS/BqnwU1WtJrit8qUQz+74qpoiFRRpwkQj8 l5Ke3xsgGfa60H7QlYcuIxyZcAm6LEQSryI02MH0vdwp1Wkv/8TtqFj/uZGBPkWZ8rPwA+32i1DO KfLlWMcd2caUS/8Iw9tqXm0ZnJFy8D6GKZySu82PPnvjvu1Y2oZRTHLHL2eduo+XPERCwNtg/4Pu r/vTD5ihpdSKt2yASVNDuNE7B8JFMVeoB/PrN94HCnwk56HmT9d15UYEbErXndZ5J40uI0a/NoZN N3N7ygA3rU3eSFhM8qtLAaD03cxyTWLPkWlBfJgjEttrEfrwx58pGPoKBWJu81pldWHV+c8C9KDi AYkQXvjP9aJgQFKr+avGaYpdeuzcBk1eEiVf4mJCQ8t/hHDEplJkxTSnEjddR875OJAr0B8GkKtt 6T3DlKbzC7OkUvp89WV5Hq+hQMPW159z2nARbjAVYePpFZVqppPswK4iAVaQd12O3HJ+CP4uFgrh zXJT1MNvlfm8ogExeYHRonhZBRt4ExFv/n0tlLLgloTXoU5u2dPvwf4SC7AGVxhQLtyNR2oxm5oH MHS9T18Pbwm2qrwtxwmuHjBfZgxrzBX2jBndTWb2Er2qo/8fZVvYKzWclVnsEVqc+416PGHTHvQD q8ObwL9H7pN80SOOMutxUv00cBGX3h7jDOD9iy2z6C/G6jG+rK8GTwx/E7wjA47fP1cX3As/mQv8 w7H45/e8ii/RCu9WojuzbZKXj4B4SjPxNsd66SigHA5FgNR+ovx9WrHVqJlY4Akcl+Ve2FRZ4sId Sx3bymEfSM659W6KZXmoCeSayr0jgltsFbheS66Q1F9q/wRJM63Yr3UbqsDTiF6f8LxPpk2EcASu kvN69d3MOzvJTy0dAikzzw6RpAYTMNvlnf3/kjTgZjeK2xo62z895JS8de4LPaU4kYu/y+Lk8Gqk OE4qsWr5/UTJbmN1SqQi5xVzBfBWqPGT4OHvhj5OL/wEMctZezU/s2qggt+hHDmgYdU1h64HL//h 0RRhGtXRhe6/zIJ0KKj5YW/0nUDflJEoZT13hGhozUwlIVn8MihlrychKM6pSxmdUCZy6fdDwALk p5vKy7LMme0/f1BbNQRKQ/uRbunXqLTqti5Aqek4CxmK3L735AHKJXArF0ri4Kb0S/HFdO+H6n9o AMALbCOwpSoaoaR/Eq0EVDUYlpDUZpGkt3yVkTDeF4k3UvqEMHU6oiknrKF3aEoxC7J2iJQ6BxbC SYGOi5FPucaJdhTBjGVk2YH6es2BqgvaDlvgZpL25dTjwpJzh2hB1iBPE/3ixOjwklxbwl/xSVgK /4B5FBNdYAMU+hRLR2bgT7Ja0JRmOn+EmHyAemk2/+QXqmB3wy16/oUmjovsOQXEs31aIdj90ubf JKZnS0CVW/7M+8rkb3EwC2HY7aApa2MqE7smvp9RTRfd96P/2xr5I0vrOzUJWvWfa6Ut7MYtlyDY AvZ8m3IGSUtrck8RGGrbXUsOIISSt23ayePZmsqhLaTxCiq9P7QyHo89h+AthPenPgzHJDgZgiKm a5Q0u/DWpfyCmfx8jkP5splC1w85/eva7Bf1saMDdDoSMKwmDpdKQBEeGPay8M+6Iy1wDE+L3lXN +eW1ftL25hqRACDCH92dfjeVr7ecJNY1GPUPA3DSqgUrW4h1T8U4IvJ4D8E9VH/tjVAXz+IQVcIv Ed7+K0xn2thdlE7hm1hpL+LzXQ+GPdt8KDILVD+sHgA+KHDFK3UhNtS4XIOwo0j4Sra/cjzrIqep VpizzJ1C5wmTsX23HhzfpjS7pTyWJq4T7/m+Xghk6JxdMF3FrTpFoABgeuST+FK36EIymeCBMX4j t/VAxo4i8+jvN7A2869D4nUKTmzFuoSvV0K1kJ1aM6sEEU3Ms6jjjO3QQNJ8exy+xzKzjerKD8JU fglNqqGhqG0R06C/elaBgA4bou7YEdB5sUzvYQoKq6QGEovn0SpzQm0WhsfGJRPf8jGFWQHieH58 n8mRyNQMSTQ0FMvu66o5jeP6SxeCwhMs6K4kT9HOSXbPhZgSm9OyvkS+jQPnUXOy+hxtsAgZvqXe JrbDsXOAEP12dSIiCMviBm1c+ChIiuxAPNbNtITGhBDq9yiR9IuN5dI7vmFaYLAqSs9cM5+kyWXz fMMqgMsFIR7jgSsdv5CWTZnlXDZca20zBGsL+4gW0nYsbXOposNLEHNaamLb5qCsozW+oOvgMiKE GCUDfVHs3SSDOR8ubm5pOsvKV6FjwoLmqtINfq8uFAZrNWXZxnfLuyFibX7qitP5g2euSiRuZsLg GoAuqB4KK5WOpnxSCEiONavk/VCTbW8NhM9SVgTIQNbt9LYs9h9zp1vm7Nv8UNKEJPRZRSjVsI0q 5xhLXVf3FYmV56fU2AUmUzNO5BJWQxGYRyBcUUyYyzgHp89AhMaXDxliPcHr9NMG/aCN//38VDZx T8SG9w/inHxNm/llltu6PBOGfnlKcfErq1CX1dWL9fw7mZH7RCaf9JZTOU8nPz4tvnR/j/Cs7ult OLWfBc7GqTpI+U4OHyoaz6U6qUVclSQPFq+VMKWo+tNg7jwf5tvSkyE/bmpbp/ZCzX8uWYP8+cAa T0YR7MofqbwVqRK7iRYBGq3ZkkmGNm5GHnw50iMr/G2M2vvgnXbZY6pwj+hV+fLgbOBxRTCLjB97 KsN5H6lkuT8g7OEg5QB+GRFfZ0Rkvib4ehZLx2/kJq9KG7cF8kZhoh/hZgw5RlhmpgfVflkSR6ID yiahNGALLPSMK7tmSScsSzKiBQhh6T0a0L7/8FsM94TcQGc6kmUr8QusTkqBDbTBahu0KWwH11NZ r5tQ06yony3ocaSEUyJYsspNKgIJGsIL9H25+JFHZHWcxvpB89YelVBxXHKp6hOYyAtNPEbP0PL8 SRO1lkKOKn6jFy0QaI0NIE4f9QRsl97UELzrHSSGw60BAgWPQPIm1Xf+zSi2fd4GPiTJJBHMglDI V/xvWh1Qf5YF5fx8jDaiNPd0vQkbPHTDMlLPD/+D3CiA+V5DuaTB8QCPVwqs0sbXSfuRKiMkObqU P27q4TkyJvl7NYKBzHpFcierNXTTbM4LSyipqV+A/t92g25pYurlFm7FhTe5ncpOT7gpAobxHugU ISR96xoc+OeqtL6kPphhXoLeK5j5+tRhZoLxO/LuOqI8UxvxbJyHVUsTt89BhNw0QguiCmrYII2r A5FaYaBie2tu9q8b4GPMDPs1ydmk9bIwSBdQiLIx5nhF4yYwzQpaiNTMxXBUD67boYzJnGwz6uI1 0i01rq3zvGsW1Ew7UMV+9juNe0+BJ1L9YNExPjTjdFioIM1eA3ThuMlDdqieZRHSlHID6QMzccV1 7fT5x7evLwVNmtbs024MkLevbWsQW8kGy1hyiNiOcnVLmqDagEV1d0TWeq/pTH5+vwkmcgWHObVD uKP7V+mtqEdLGLsLu3vSPUwBesZB0mrRwYZwEJDsR9x1EHsrYPu2XgwC0eGMhNpNoUa+9oAuyYLB 9V9THO+1+l/GhLF39XzPl2laShLU13DYMFyE/ji5uU41hiXQM1oCQbeNv4k0sWcMQlbVKkkyVW22 DGA+yfo70xw+kLmdH7MW2uCRzMkF3EkOA8hvymuZp2lVp7cd6BF6MVnkN5sX1s4INkWHnzW4crHX qQQI7blPHyvcAJZH/HEl/edYzmFR2yuXgomx8alWRw+YpgzaqVkZqBX8IJvAvnRKu3twehkd51Ce UaHj12FSvRSd4CJqOIvKMjNjzn8uisyI7Ao8YsBGsFrk2bs0C9VIfWPZaypE3BhNFQMInP6Sx0Yw MaNN0PVHjsQCSsUieAYJQ5ak+f4oULUxrFMN8rnA4I1qiBT3n32OwCPDdp3YhfdBENJjjCOvZas3 bJQFmarAYuKHphHlwVqrfEi7MNtETLFwe2FsxGxB8RlK2VyH7ZjEx7/0Unk7odzYYY5KLSmyaFxE gL8GBPHF+Lw+9FxV/mkJbTqnnb0lL5+XofOr/JumtYH2B/2Mfj6Z/Rk2ZlWZwOosP6rk7ir9Yv0P X2yVtYexKXd9hbHwwgm4Zbo8N5N2Ujz72elN7kayzl06ucG0hMKF1S02FoeklwlrVxwrBST41964 sYwUffMdzs8tVKtb6JC5UYuyBjB+gFzudyR5lCyJAU0Hz5kmNgR/jdIYsk/KaHaKvOeO52WGiktr NFMuGSnrSznz1tfGz98W4fpyWwzMpamb9ih2LLESkbOjFGEMVQEkUZF/v2iD1+DtUhiyYzydNZaM pn6ou2yHSoD3rp7I4Irg6xt6PPNg47FO1QntO7rkL8APtTuLf+jt7fT665vkHigUHsLQ2Yd6064P lefnoirPU5aYcqPwmn6cyXm3fRtolBo6pbeCuO/y9nPmjJShknu60dCpB5AtXeICXqPk+nrLnn6c OLUeFT0olIMSRPf08BudbqZzJbWN9eUueOZIXWAz+ItSttlGSZ3k+MADxmxYTdvc6mqGMnRWvC44 c6bztu/2B57qdmPf04oRusvujdyTHn3WQ1B8zSreK+Asnt22Np1h6qtkF16s9SorFlsK2So7+acW SWu/r/Omcn12hK2jgwlRrLJp3VQQI2GF03Q/iVUbCl/mgUhp6aHzjp5YGBUQGYytDS0Nt6bgbkcr D7qtYuUscbopVW21jqlXzkADgHhM9N08G/fMRgoQxds5od9qpbEU+zwLIvJk0B3NWPbdgEUwISgY oMCKRYoV12jFuq2fMiBHa4JOHLBC62LoxAMjf3Aj0ymvwmLE4CGeVNkBUNTE1bzYZFdOZ2qOmi4r MWoVsIE4NUQTji2DwE0conFO3jFCqdZysHf4aM66IPtz9EO7ScCpZkJaLQv4hC5yOWIXWNwq1f77 Zh9Gs6a8UoU+uA/wImfO2LpG5NKeWDHrVU1BxBlU7kLoIEnSZhKTqXJY3NZpT4vIveYUhdRE9hBF 3GAaOyYpEwmsnF4ge2ORYDDXchg1u0I5m5CYPipEeyhSUFT9pdc/x5pu7TwkJsqPWe/rT38OVBPQ kC+uzChWG8PUBTOJm987E+aewcePaHrkNzCeMiKOdQF8dMhUVVYTixDo9BaWL50VZ1vE38z4Mkhb Hgq/l1IKY5AmRqq/M0+1hADv+ZTDkLFGApU0HTZ7JW7f+JUBqWdl99zdXco2B5lhMfw0RP7AcRPD T/MzLzDYP2QzhqcoWU5VKsqn7u//7ZFpcYQ6dGWbmz5mI8yozk3+eH0bX/nQ4BZW65YKpMwpk09x X9f8LpXu5VCp+ELAF7ipINLqUH28VTQ0m+mS5huSx5RUABiZRveaQAMB+TOw2kdqWCOB6tIZwuEI BMgb3vpRLzmGwQet4iBO7suuoAc7mkXhZlhVkoJURG5Efyb2sChnOet7fbHByuB0SOUmJndJed25 7vjzjzLtOedDaQ7ARS5VbfO40x7h3nyepgqUAnFbaufiLb/N1wWFvOvXJRSUZ2skSNyh79iW4qkT CDI4TKBSEuV2iH8BeSfGziiLAKntlrKV1XoeW1Esls84ZKWiVml3mEAbb/+2S78piBjEeYiYGBc7 Dd+39FnGRtzoYXRdJ5a0XJmXsaWE3Ta2fTlhxcbeg2S+9Du9m+v7ng+pD0R0ONRLlOBAlLzL5PI5 V9lf/AmiIb15ctUihaOfoVa2FYpHHry4b02jcR4u+FnLkkl71gAUhQtf+CUkkNwZUVWQdqZmCwTk F3h1BkbUY+Q39nKlu6pJZzx0MureDTKQuxS6y4BrztetXVNkRlKZurXeCRIahtvnk2xWgbzDbjgx ycYqWFDaGcA9XZGgx1hIWzAjf1jMOcb6pV1cLzh+p0SgG0Z/9JWzqRAo2M24WZz/qOSYe6vka4b7 hY3jckrJdDaXDSIRSHiqz4U9Kc2N9ywitsIF6JtUMmU2ho37L2r7M8rsrwtjNEXC6CpYpAtqrNAH tvd+S73WdkeP86zWzWKV0vJtSWybbDwV13Hi5SxgHpUNMlzHAvlrFlHQ/ZXnVuYxPWHZrIr3m/KL oxrwDwy9j5DN3+2rT+xydKXowEUxu0+cHsGSa33G6znsxM1hAiMdVhO23u2mMU/DvLgvGuyF7dZF FTw/bmxEix3m2BZHRHszOK3zvIoHrYWenLywEkajPHWPLpI8Odyo/T/ECbIBT8LEGC3mK7Bf8c6U mlVOIqNHrW703yUlJWane6+pyenO0UJRZdIDXlTwHeLiH8dqKUZb/fgqvLN8CIUERZigWXqjucdY jQxEe2AwZbEUn9fTSiFOA9gqrzM1AtxfdR0d9FytHpFz1MEcjfOzSqOjPrS+2rE9xiEjMbcr+zby eHnqPnLRU8CVoIjxj8VkiusAQ9Gcru3AtJ4Xiq+Wy55BUTXly/7YXLZyLCuoQsU/8JgO667uAQzV FNnhu/1GPfgeWZGzx7Y4i3Pn2s1HKSi7eIhrXG8tinGqccaZY8UQmDmL9x0//kjmTJatz8jrGTbb 8gL1yj9r86mQ41TXWfXXxz4hhZ16FJ3L0FQo4w8Npp+u9FAO/DKIKmRvWzme9IydPwr3JIaeAKf4 oy2Yig2B9Zi+Os79O1Bbw0QzX7tebyvIdsrOZIATMQLzX+Q3BsBE0+eydHweU6If1+6LSEH4mLEt TWuHm12WDYJoqp9oJlz53CyrVReZ9SGBEPDjFuk+JhKQOpv932tNboLoQQnWfzr+aSyyNsEboOtX 2Mp2wmPDUlONZVxWM8iMDlyWC4NssBbbwq28cQB1x++ie7jUMZduHzbLsYzjRQkWjQ4vAkkZ51bY e3DAWNIREA/2Aukg9VUKpyB550HeO7G0c9xQwkXFbsQNy+49doSP6ixPz2MVkF6AxBBID0M+UUH6 cnQYfE4LALx10iEuR3zyU4IVDuZg7Kg4qQfXSqxBMNf2AE2+jaW85I+C8ogToiKoNCD6iAaSPlim QP1R81oek69aRp/WX/uQ8ENdhIKCpqZYodUD/yE2zDq2qt0FPXwc6HRHTzNj9uU4iTK2xTYr9h8x QL00bXRxWP/PxpJ7S8eaXPl6oESx66KG8j2AzaxKgyiWshJJjkbSd6dYtNuryQ4vEQ0iZeo56+f0 KmnRX3wuNrj0AP8qndSVXfZRpIc9vjP9asWABAlhX2GUyEBBjopGD6NoAVq6bPr2DFdO+gkfm3Ri zwa1HIw4/+NiEz3mXPWGFv4vsI+p+xQ6TvcNsmwCAzO7AgAcpnQvTyTes8st7o0wM7u+9MvcolfQ fWtX1AR42uL4Itb1MJqFtpkjJzgnG/Oz6KViQ3p5glb9guaIEjhsiljzk0pr1WkRb8Ye7RKj/QFe ja/G/T1VPGcXbIWaxKNF6FwLqpiZOI8AL73zHFY5Bie/YNVUWGYOLjz7uTzk/LiCQgJcBExyLD1F NaxerHAcI3MVUkwQDvlQaevkKu+b6dj9MM9Vu5hBtM4PJdMdnrw/VCZIP0dzP0u6FgHE+VoaQDae WeN+ZeWX47gUjkPrsy+rTRhgoRcdXSYZkD/HqKMSvYP0Ag3fQvfn0WWxINz/4Hs9Co1zAyPQ0hby zm1OHz9P/u0NSBzqKPWbs3x7hc+Hev+NPOYgWBlpQWaHHE+NMIPD3AFQG6JGg23sjbRhc6On8o4l /n+AuNffA47s8kC9RDE6lQvFR2ZUJ/C31ZbfGU5avYtswR2a/JW9QmQXQrYUikbIkEe0KY3Ca/w1 Z07JFg8Amuq6riG5VAlU85ZMNIFbph55Y+4bKpqcAynflVfA3aOkO/WuC/jakzAzNDsXJm5MdBxp rqwT0+A68Z1k6bJ4+Npp6dZ5qb4wLj3QBXB7FPs1/cgDuaU0Xq98xso7jXhtPLek/oV2gXljmMd+ hs9VKiB6jdOFXz+a21VUeserK+gnd1WT44gvAdjCny7N2HExd068iVNy/IWVE/KUiTablF2qxknZ MMVG0Yk2SRjh4uHMe/Gf48HxwzY85ImRr6dtGHE5ArODu8JQU4X21Y+/GBlGgMDh5aTqS/Zz8SE7 On+cjT/4InLnxeKHs8wMYzx4ls/ReuFBzYW3WnUGxP6aL36yg496qyV1UNZsf/WiETygJy7kTylC xen/Dxt9WR9HM/8sQa/YiS/w6t1OVD9gbja7Nzugv2XSMlqQY5VADziifZuO3u8s0Yy7ceP8BPZ2 v/8+ReyLHtqnVj0UGtLuKrrHebqJeplBw67U3C/e/gbWwf/s7EjDOZ86H6YytLeqhYgGRjvfqYa+ m5duNlpElcYkSsWyIoRq8X0JXmXpIF/KhFvzbPX06qS19kmVUcuqc8Hj4yCCefK3nVHNnYSZ3hbq C5iFkvDuKkfp226cxCLdSIlZVUefxniW+bqbibAyo2VxvOlKCvpzFRS2s/5aNmLr7cf3r2BheL74 1SZBR2LcZAsoxrAbcvm1Zf9y01pQ0ods3k1auUB7nSQypvxnc6PBOOarh5CrOLwDKAxKa3x2ZIng FFES9Zil7sM+p3eSM1mnkOlRz435xXy1GtUYIoHX9xv+0PvcGopcr+cAFtAJ5Z8clZS7unXqN8jL gAYO1j3ruTUvQO/QxqCkFpWUWPH1GMW+qujYXIhLu0e/dXB71gk1QOV36Bh5F6ZxlOZw0juaAg0K /CRlSPxX1ipfJonFB+ybBvPGHQGJFvkVpB5LEQvBrgi942yDtmSAJrQd/NylJc0RJ0Cl95kKpmST 7fvzzN+sTc/ESL6qkQIat/InXbmS/7Bn7qqT5FmOYrFStG84fDzotPjV2UHZu0b357X2ONvN8jZZ Mkp6Ndi0Fc/TF4fQw/gdmr9QoA6gR20QXU3c5nycqq+bm7d2wkA1tIXIpAu1ZVIH9i3In/s/oS2C T6pZUBVL9/p81fi/CGoEXwscieKGJVl6BzrOrEn5DYJ8siYqF2J1bgyn9+7oDBpH668N0e52Tb6C LVs0Mj2iWqWB1waXGc08exE0qPmJ5UR9OFc1cS42UWqOUWtpgKP8EZHZapD3SqmPZDQyovW7zhyJ PTK3b9IKZW7BuDA2yPCalvzDXIHlY8jxV1F/niUIsJUKWEEWLpLBPs8TbhYcdolWN0l9eggTGKqA fMmYfy1jsr0mAW76qvgUHRVz+F5TtuXzQ6hayTi1dHIvckbSxNWAZdvw3miOInDUUbkNcWwzcWqM Hgj0XxjOX71JX1Mx1dVL3sZeayzRmvP4AsHaj6xBaPffTFfodmTZ+E866IRXfnxOx+HoaDgpn6R5 /eFs4UQ4zQqHnophS81RTNGkDmod8kXpWRn0eeveWmXPTfxdomPvZiCbhoRsLQl0UFBPb4aeJtY8 zv2eDA8P0FzG4rz7PGDtD6wEItbmf0GZf0Ti9dSVPlvAa6Z7Eq5TguWkfBSyuPUbeJsVHdmcuarP m0bMC7ip5389pt+AiLQKh4OQPL3vUOmR74Fv/Z7pq+NDbGitXd1Pww3KhYKNT4JLlko2L9DGk4AV 1RKK1cR6MXwUt/UsKYNThOC9aTeeP6t+ZL+KIN4nAiUxy+5eFxxe+cM7FYJm2i74Ke7uvzFS9e8A GRpNpHTrO7K9t3rcDRuf0+hET239ojljQT7QeHiRyR/n+wZDMMpfdTMV3GYJJEolHw9vlE4AmaCT Qx/5j1yqEeaSEoHYGci9e72W9kBgW30qBMYhbsiOquZgvfFJXKeAR1Vm69QPap5G0lgGA3eiIm0C wzdE2jik/jeVkTUtqQPWnYd6UVTUxZ6GzFQTlCJ4NhiiWZpeAAmbQOaLRIi+70n4VDv6+sAxk2Q/ MHO/Rij5oQVX7R2f1t1u0fPBgWzm4J/x92B1TKGCopV/HkvGxddbdZdQmxt/sOfjub43DsiXsby3 PD2N43VAmce2lrxj3YusLeZIQeI9NRvPCB9Bl4ZqSWnIlXZUqubifeuUmwbrQM/I5v6DGBfSJ9Nq 15kr95sxBONMeJTLvhAuiq9v7f0j8WnwtaJcfqx2y6S2wcEnIx6ioZG6P0fjAk8P2t7bWYT72ZYs 0Z9odt9j1ys/rrspN17NeEQxqxt020L5LWFbe3s2zfZW547hSY5XWFFj2ViW3d9gZmfk/L+gnYmb /crSQh6RLF3rEp3wdaJqSAGqCU62ncLJlceXxTKjE6PT+oLVAj3ty/zBiolJevY8g6ToInk0cyCl +NUUdPKhhaDLfX5Os7oeRxu3oRTFFoEfGrxLRsQE/of3B9ScTr9NF69ClBWAVbMemjLw7/2WNoTO N0qLnnatdNEJ4TEdC7kVCQKixq6VhTozhSRGP/uG6yRh1R3rdWMswbWnYsR3V9vnBX6nFD1hanjr IzTAbJHaGTzqXBpLLas/FjrhOKGhM3cVRi0LdimH/cdNe870lhglypN3sFPASfX8kaFLGJpnaZjw bxYrkN7mid3rOq3CC8K2TfSZX0PbEJ8P4F4elFBOLCUSfJSw3yBoVt8VxTSXeRfu4i2gTu499y/x EjhGpQfAI4hIdsjdlHEADKd9WRHHEg88EzwVEkz28VZShqDuJygvdhEJqKLikoD3dGwgeexblPoM aLPHw3tjVx1TF22FsYG9IdVAvLILbZ5xS41z2L8xtd9MqOBmyXSxgGeeVT8tu9xpUqLyNvh801Kg 1AQ3sh+0H4abZE4WuXjBUFtSr3bYH9qfUIj6nLrkA7eslcZAuRICXAXTNtQF5OVCKjvvcNHnpsf7 dxeMrEQmIc+no1VUR3i34Adtiln1MPcowjyZpIzEbxUYb+sOknIy7HafPbN8If5suvZVnB6MivUM H2oQ+ysX9zWChtG9VaXwDWVQKwudlKcLetla6EjIhzA6Nrkd/fNjzx8She8z2b1D1DtaZGotyaPh WP29vXp+6VS+kipep5LqN2+XNp4W/GoEBlmorF8h9DL1pKNy6ybFCGE1XW0SEwpUbcCTPuvEYNrZ bBsyzwoQsfw3ko0dCRa55QJE5r6YzWg/XZIOUYweyTUCOg9pJDKlkUH473IOi6AKK/7Ut1Ip2Fts 5UfsHd0y0Q2m6OzBdz45Ye2rOlrV+wgKnOMgFTwLJuaVXoknWAv5zTDoyZk9HAKEyy6w47L47OmV 6zHUZIqDx3Turoa5V4CNkQEPLwN5TR0BY91RvcuN1vYlLbjeR7iAOJKI9LIuOA2EQBt7RrQ9rfD8 ILIOljqy12I5O/JPkVVVWEcsTxO4QVy8Kvl5zU1W9bfNH0tyVa0QcVG2iYzWA1xbxKYxHUInn9HD zUsRaVCl6AumUmtXhf7P3QFW424AGXk6nC5FCPy4c0NOU2z2S+wzkQ0GirOaVkKRYR+TC+aclwNX zbLKDjRtPTtnC6jqgP89WNjlGvN8NA/JtxdBgtymNCBDJyqMnwrDd4Uj7qh+XkbPBQlONBJ5j0q+ MuxnoioCh9IGNrjRGHhTZGqiJ+buHIDGsbapFV6hvQYzNsK9vX+7CVJ3dfQuaYhKGcKDSKBZ0bUY oVC+yD+qVKRFP2ocLMsqUTZVUtkRQ/I57z+ai3MKjuunHAVdI4vuSNaS8SwtUW6sBVk4B1yI1WKy AXDdZlJCSFpPboCwU0YVCVsKzlQzRhCz9zv9cl9OigzEhc5cz/G1G9+EAz7XjKB58yfy51er5bzO bERdOAUAxlK8syNELu1iPs42vc7FWgeATPMVTW16+xKcAsmumI+oh+LmUKjWcuWhH+xoScH4u1dq yhywYTXGCAF+lCNu9jxlZe538mk5IalDcIm23RtZx/btYPWge/g3Eg5ELKiXjKLXKgysy9Q6Uv6J C1ReFBnxf4MqJ4aP73uIQgfDrzZkbSeNDZ/WvGjghtT0ZIDb6WK9wGQntXu9+GKcfI6Szb67Hu5T mzYec8OhnpeDyFa6y1HBrDYGG6NeKEolixMYqw/mPwrQ5SnLQAsfFzCwL0VkE/wdRgR7xPc2Q32K o2D2S+CNdDXaq9E5HLzxXx9NDw+zqkzXiBuwe73sGy1V8rO4f67fX/VNF//79Lx8TQL/wNvhpEv9 bphabwcZMvqgGv8KleWUD1ItKrlLl+FCEl/Cl4Kb1DWsXLNf6QVZcHv/aIuUYPGsDhVuAHwblVsG 6/V2k7O4lQ== `protect end_protected
lgpl-3.0
The7thPres/CFTP
CFTP_Sat/CFTP_Sat.ip_user_files/ipstatic/hdl/xbip_bram18k_v3_0_vh_rfs.vhd
3
103154
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block bnkizZhumy5KmSoIDkA0itxG0VwjAOjKmNjBbkhXXe+azZDOzOuhgWsDWTPo61E6cwHt6X21jncD Ks1h4l3XiQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block KTH7JhyLcgIHaEejCc4mO314+ln+fOExgluP13/Hfb+4y4JkcVhE1z+0t33vL/fleGFTk83M/BRW Yjlx6Q3eMJ6a0Qt3iPCkerInphLrHGo7BTH1AaiMzSEJlwTXlpNQ7akZi/HEKhItoH57sUZB6VIM 5u62Jxtoy27kZpdclio= `protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ltSiavjfDmKZsPcdoG3WwBcp/A8hDWaZ41lmUEPydbneqqpZDSqLeDCa/t0l7XrGTm97z53aaHLV qgJmkOez9VCYaN3DS88noziqYgWIPAledeW7bXKqkG9tqCzvwnp1drsPcck3Ip+MUomYtFSM7gOW cE9lpuyggXcyochnxdY= `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block WKXcuCXu8vb6wY6NDvelhKdgjq8QPFYfMvWHZQPo8/s6aBROxig60vDqf9zS5W7aXW4MCCM+QR5a QSXLzG5jHgpEvT3IRs1QUMQQRMrB+mVZHHwz47/44UWanE+wHuBHDunn58JrKJSr5VSNdcVePT0y 8+CJtZH/wnkLSaJe9jLk+y+XXYD8MTnmbOrqm4RkOm6W9Pj6seJRqqvzubSdQCse3/xQvLW5tS0B iUsNmJo2j4MXG7GowUKCLC300EStSAKEjKAKm0JfW1WIfKKYqD2LhgDb5AnWu3blzQdasVNe12Ix FBIhiZT45kEKi54kZGUMzOAOUeE/xd2qv8yITw== `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qHmIZVlKlzz9NKhL1EaUb2zBySJk9ehyreXvPg/vHLtViC9yp6DgbMEgP9QWHbjiwrxKjirJ2USw ZX5lY5kc3c6VOShgl4RH04COqqpZgi4zW6dNOpIUpz9uULtg5dXExQQe5r/odGNCsPi2qwsEQKI+ HxmF+CbMVqkSFQrgJzWUKziyVEmUjrj+JjUqfX1BHDAGrxXcmDX2169zUqOmsrmsRWOAS7JAObVj TWVi5xytcR2A6hJyPzP1u5UNYuyQ6R9LpnSeuBx0de/ynSo1GfrOZbpOnOVAJVzN1e+mMtYC5zNg /ASdyG0Ww8zA1H3AlkpNJUQ832BkgPFJ6YXBHA== `protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block lpEoklymeAmSHhyHEPGP/vRy9m2n8Y5RuJGrQArMWoYk4M/ey604ruYLmmt4kmro2GZKAjTdQ68W KTMnPB5zkyHuYmc/cA91rjUi2tI1+S14FOZIf5MHoQafNXw9l4Zn3JZ5bXyexAeAta5amGuB54Np qYw1TD+ILSINfFnjMyTy4zeOacplcob2VLttad2nLmzQRg4jk2eSg2Xsbz6Hksf483GhRPudwkGe dVnS6tu4+UMuQrLMW+QjOcnUo1t2u46gnBi4C0oEtfeiZTas13vP46xRwmapritMGQdDqPEI769r NxvK36GFS1tg5Urpia/JZDrror0dsQqSD6wHnQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 74224) `protect data_block IVI1IToWjDWfS4iNocKTygB/yaVvyAlnZiXwufqvcQ+F6yE4SUjhjEebbNshlrA6Tj4sawB6FZvl TkmPx5K26UPviFLMSm3zGCmgWqA4znzaYQoiWf7A1Gu75ls2Seta1GgZqee3CIgGuhfLQvz1ayAN oguMEHPokQEfaP0q+P/FCOA6E4Zc9RIV/mM5E9Mvcj4t6tEh9HFzuClU1PkWmkEWRQoGKM0BNtcV hvS1kGbS9h+x+bm6GzUQLIsqeu6iPVKPkm0D8z1o0hqA3ANk7CiRTBM+U5ZR7L1xXl4+DUKkWJ82 WCl7m2iVcHikzw7QPfrXrdOwowNHTkeqo9LdNiI4WTAqo+3qMzDosIQYUOuSKW8qCuQrPh+g0J2W 2kLDCL9wk3A5mqJYUbfcwvU++l04dMLChFBHzLrMpchWdQF21BHFGPSHtcNtvlYXCLNvWgKuWiTg Rj3af+JexFeNk7WvlM8aryjtcIvj+sr1Ith/h255xa2lxQ8ChHqXi3ZFKw/x8jo4GjKPrD9gtmGd RG0btwMmh5Rr0wXmX5wxMyZFPI8nzY7eAWTWWmKxqJ62oxrggvHxmiQ7I2OOzG8i3sdTeq2L0HeW SfT1S+bFkvEhyeeoji9cXA7I+d90LXVr7IjhKP44p5fDP1G+aMKTnXJcjRFMGH0SpSojeLlvKrl8 LflvHikOTQsDrzpP0s9+//89LkVC0R7s9o7j21b2bgGEHH25tVu4I276swzS4aRFDJGt3s/nEe4o tjWY41CpOhWIZ7T7QLRyCN/P5VfATTpwwvffHgEPM0Sg99KGfpKwRBQ28KLqIUKCz/lasa5iDv1S C6tik1u2u+jquqM1Erqv03MaWmkpa401LWrVfEOnudzdRL3EvdNPxGQ5wIfF3Si3eub8PB2FNjOy 68DRcT6HStj1FdWfQmxerWFwmk5dQt4hXR0G1XipIXtra1nnn18T+y4+27AHsrJ0RoZl10aojOJW FvDahNh34iUSwFw8fNdqD9dyUsaQyGaycHifVDxVUr6EalhcwbO6nL2guZ2m92Ud7HD9U/+6OzhT LFSl/TDc/FXcva47mcfylpVbAoCrUkgurB6pwAMZg9RaMWZqeE/QIaKbvltjhFGj/2KxDSWasSaK HErhfRp+wKwk49BfxZw7Rj7cPv8tTLnu0T58NXHr5XY26MQ45rTnFd5phaEhylw+NUtuN86XB8p+ r/vgwE7qOMww9KeJTYcAnmkBfkkpW9CB42enlj3paEZDcrLW5fIE+xfrtx3wQFqc0n+K8QE0fxk1 7O3XSTdXK3PtADWJ26StoxaWGqjGEuAJ+eZLQmYbodVmnfXEEUHBsVnzeV37QyPNTP6dMQBwRkhf hjh85LcEO79pnsQ+1hjIurRHcTqArFzjoHX5mZjyUX3qODJ1Ml92ON0GZ5nhCynHNbty4+GUN7co FpjQ6ccYJw91qx/LaBXs+W1SDSxvhdhJxUazZV3J5UmFUOGmhJFUKzSDJ29URrOavst3qf6BwZ3+ yXx7tPHJIlrwVCrN2gtrnWpTawoJGlrGvU6gMoh3qmgbYbeV8MI6rYQoaVlr4b9iVpRByKrPruH0 wE1733oezV/ZTPbQgZfPkkVfC2wDiC6xnXWdvue4Fmglf76DqVyB+QZL5uM1Fy7G3NWLYFrLvBCY ewGGCLrzYoCryoyJjkLc3uut6UrC0yYzqa3fQ5it3px5aHjk5YWruv+DFAGgEXdOChjA1DvYWWjg jskrLbxdC8zLGJ3wwPcSf/6A6oPHN2rSbij2GHrI+SXvIokMwV7HVVd83nwIKRUzr0kusVaKIV+4 u+R3KFSerMEY3pX1G6JB4UR2WWwHj83xhTAvG1UF2so0aq19Cb1z0ks8kokK1+QkI4yvnYuRILTH 8z/M0NHN3Inzi0ohTox9ByLNGqbOMSFx2N62d2Gi+UmozVCFWqraq7r8S0DhAmmZYzO2RWT3XwIS vgaQy0AUFjFUhTrI3khvZj52pZyvnrX5Gmkdj1ytlgF8kIL58s5lRFaaYoqbStuWQ5LGBEIQESGH fwCZot8TWGAEOZTRjk40IiFd70FnE0VHU76lCdUTizXY+djQnfcjjtLReivBmDMOXoPNWgVdIKD3 kyj+gjdNOxzPQCOS+xCfIPCy4sQF7A8+pRd/lOZ6SLon18nHp+JcO9BP6eCueuzsYSK44ZhlWZ07 0BfRh9ficCx7dhkU3jZRBRNpaCHNA1+itCLbMoEco7AWET2uFVzJoZxJ95M9LQwFKRns7aULHkh2 nsMdEwt4RzeTIoH3YUGqnwe9Skn0N+iOTfQJ2vkQijfTHGxrHK4KA43DbwLTXrmJvCkihvYy+V72 sIVBqKtpLuJuJueiWKdFaAfTRqm/HM+XwXI8AmMczobZ8F6Rydkg5ZnSEE3sD0pXptQcV/ZgO6+u Ew51yU3AO+xLGGqfRwr9Y1qJsk2yr+9sCCUqxI7DbKodcqHZNqMUKotZ923F7+cW1hicURG9WK4v bFIIw4bllB68TeTZc8KFbCXw1S8KjUuAWXrHRBSkp7dspDvE9oTBiKnsSgyWkMr4PAEZYYtLngks 3t7DAx5sP+xsh2+/WqnIq1Un5joYB0M0Nz4rhV747FHf8cwZy680RnLF2cmoojSqLGSS45ODja9+ ccd9mpL/lYi9h+lS7SQnnXLpyFCto024IPnfOqO1mOIQdyaynoOZoRSNGczDeC7ohmlPEdrDueaz fM8tj4hhGWWcIys37sM6ItZpot6qvbuR3U7EEgC4JPI97ffi374vmB5yb5uLPYzfvo/NypGQ0R5I m+9fxQxyhpDHU+PSHhZWTiDzhF3K0tyizR5r13v5RlM2KYcGVOJhwvtZjqnA3H6kEzQWGTBATBVf O7ZE5/heNwne6w6ZHGxnEL9l07fSkAxZaayjfDWJCWxKmG6l2MRi77nHb99kYrOjeyYn1kVqv4XU 07C+bZMVjShje5/3WLkFOmw+iAl8yOxcTQsdNc0Cwpj25wIo51viNEL5eDUT0//qEzxmwvxs7g91 /5I4O1ByOowaEKRkLaxz1lICYrZ2gvYd4MoPUcyf0Ph76FX47LhVAo2ssQyRag/J5qYg5rp+1/SC 5Ur7P3P4Xuk4qgL4y3HrCdSCFFJWLvlBJ4aAk6btewQw4ixXoCtoeLQkfi/gIuMYPOoKYbUm0VJT PR4PWZnHSJIwv+iAPOamuIPvD28IIxpRi0FjiwuzicdguAlFeQcBG2+HdScd5XQ1lQLoaXG6qxCh +btARdUpmowjlsS1ohis2/s9EfVgnI8dSpMKXjjuv1fNp7+i9lg5Pxl2qQ0n7QjG4cv+84DLgSQN ACYvadqeSOmpOGaRJzBZi8fhKpMCWOTO2YGkSin2ZJNbtolf91gxRNH3NRcQ3jQyM7UlKtAM0o3o 1grh3Bts68Nl4j6p/zjd2EVpMFIotd5EultdB/ojLpqRCnzojXaNOaO8tcE7e+U9R0lFffwQghx+ mJVTj4ANeZjjP2WBn4hoPkPfTuNgXOrRR4TGQYRL8iOwB2Pcx8UECGOjstMasoLmLdEvQmHMi/Un uWPapUTE18fMYQRpHywyaUE8FxeWHz96Z9jfCd5QTYMHzhU4Swbnrdpj3/j9G0vEpckFQmxrkjxu C6IXq2HkFmzF7oyTnpZKvi0h54oL+x9KyYKc3UWwHbKCBUwe1x7kvzyX+UvTdxIs0aAbWhWl5jbe JpYmDTY5ySP2pqizpl8EwgxFRyqR6sz+t04rxwc/jQrXu2yp2Mg0WA7BWL1MGtXlxT8wLetAQfFc rfpPXt4lMDg5OdSiYfvS9Qtt+5GwmuGl+KqUAwiveJ/9DAkFfsD3KZOI1I3TFgnQ1AInbMOq0/po 8/R0dL+LgGSoCTnYUg1SNeZ9pewNkDToIrwhY3dj+djtitH9hXW/P/vfKTJa6yfhj0rBEQMfIN2K wR2T1RJAbyjqucUtXlRwOvQZ7CMdB0Y6OPFhwL66cLV20XhO+HuK9hU+k0RRUKcFaGWSsXOtIX8J R+9UPjDHkYTn59FVtZSkC1obo59s8q+bdVMCKRl4/Eo7J86f31e7wzhPx7szJVmdJND3B0a6DoEu p7C+/JTAPj+GBQj2DwXpMh8fxcewjVzNYyQ073/Dn4etpg7ZrbXhF3mSgRN0bXYwhv/6hmHkWPb8 9zBuOgTDYssyA9831x2jMMgbg0WpC47V6v2qcZlXdJskj5U7OuAjfUUzcKqUSDlWIhG37qsG4KFY Ic8ctvUpfQpJPJ4/RqOunHGh94XhJl5XurdXEAQ+PDerCJsniKoJtznJiVNBUYBI60o3w+GV1zBR fKSWFQapp3Q4gEG3bYGpskd0OF5F7fPpYhWyDhS0AnQ05Ina4lW/iFClTXhlOAmEbYExZOg2//yD eobLjM1UArntqEJPB4fDkSj+D1Yszvyw/6HFDgVCvnOzswAwgk0V3BP3lvYsETRvIrKZnmyFGT0s 2ZHGFL2D346GBI7fWptLACQBf6Xb1OCi1VRTju/0AdfyJ6x8cj2kzrLSRjHU0qJUVNNmWxgK7hvb DIy6dr6+EdvFUsHJ0nAlOt45/BJnyJFg8BtC9/hEG5CgyxyMIYjzrqFJoRlrDL/XAaZbI6K30+ZG /CjlJFDACzwyLZoZw67OE8r5lktVqVvkYDyIrW50wrd6AbyemTM4+y2zFJsVcjajNarytFG5/0ur pu/25hlfUrMXJmEUo2HOIZHw0a0CVJ3jiG1N06xcuM9HL8D9TEV631kml/9H2VQZ7n4unWFyog2Y hnMpqJP5QSqDCuoc9caVqvq+szRuYlJK71qqi10P3zhgIsncnuK8zwFE311LRSqY0SLmhT7Ro5+9 BeYa3wUylz9EyOEWjTA5918JQHhhpOe2/0axreJdzPYfGsnwRxkdfAGRXeM6CJEvgFmh5EGyKVmY xwkEezDh1yhPUR2O0kxMqfhjvHdUvSVGkvREBdYFRiYsXyBr2w5oKFo/ZliX6+BNJHzzzxqNj58S Ca6GfdnUo2H+tFoJTQFc6rfRUTPn2IwQHKqJIKA3WCkmLXUZ2cy7x8eiQsw/d3xbXzA+lHFBsmE7 lUPkX+phKHu3J+EiWpDsBvYcSjof1ZXj4d/pZEI2Wmwx2Tz4ZQZ3jgfuvDhRuf92onS4Z2hj7T9o RtuFyMfz25G9eRR7hkDBh1FzA1tHKIwsGEnhRLvEofjm5FMsdsB+RQUpk+xBcT5977orwHcCeJMm QsyVJqIbAuaf/TviKJETbGkjl+d5VHcVvtfVWmAPcv/NNwZkIwkia0BHtxlvNXfPYzVbjwBQDPX0 IMdAcWa1FeoA4TiAXtwJUHEh5fYu4q79YpxOUOkCHILauhr5o7VfzgG+GiYyUG3x5mY6q+zGU8qC 1SYLQRhA/IU0F+sJhrs+wpSLw+HoYMXoC5EkPNlKCfH+V1BzziTbdijkynCBUzSWB12FOa4Zy0fw EF/ooLkdzMurezLTjB2Gr6S/8mVnSM9JCeriVFNHH6lttdRX1dgz3bkbzjZHqcKVyc2By5sPleJb nV3qgfkxcG/BXhXQovuhxWmtdfzjkAb1l8DP5VQ8OMj5tGkcVKIXH/6P42UyGE9IyGyTjgt625aS XnGR55nCkuFo+UIsLz1ceRyofTRBR5ilW6eSYrFhg33sYwPwQWPOjBacNwNhHYrx+iTo2GatETpr a/E6gMHYfMfSFp8ka127cASjDjse6JJlDxI40fMHe7Fa1gxBDWGZLcgKy+FD+PbpQZz3+GeBtj8E j5E2jNb1szgCg+lle1OWyz6aBDLUZJUTeHSye6T7wSW3fEgMhp1mkXsrQqwRE1cPQu3plufiSsjB gnTLSPy6cPR9Ee1EQk36uk+Gz4xHl30UisrS242AjDb4SOVIaEQ0L2eggPxojXRBrnrDBtJMA/at 6zpENhnyS4YAKnDoTxFc5rEUFdbhFmemCZtxO27KKseT2SbVZ6utfXx/WqeaqUkYgyrVZO9YGFil Ou98ol5RvONjP0AkivUhp5fKmqZF+saq7GBOgDBNj0VZYZf5TwNWmSuBGByv5hK7cOLFASk/rt+c EjKYCN7MF7ouIaOOCQdHDWRYkkC2sNYTtcQzkFp3A9q9bGv6u2RtH62BX96TR6o1+bVgcpdAHbxt BXrOaDslan3kYDgnxQ3jjzh6gE7Bg4hwXWVhJ3O33PLPHb9Kof6zkwgyTqEoQLRMiUZVbGU8ZV5i aJyIMsDanYFvcvDIC5h/dFqqZM+CPbTahIhMToi8QR95xl4Sr7brK72EsGmkFbHcCyfW8bmedt0r MmRlFookn4R2cahZjmw5cn0E77ImGX2Oyx3K5F43xyvb6HT6z7MqV48biQmjh3Qe8dDeKzP87rhT N5ZKlQhQWdjVjYGEz78gSr4R17x4OK1mJXq5cwD8B3fPdYsXvAOcB3NnpZd2utyxcjeHjwnZ2Igb kF+Iq6nhtS7Z9xKAQrIIUFvD3fRiCEkwVnSKWe1Q5BFRJwdq5jp/btFC0icE7wVx64RSASbueFRQ m8/jAQ6u9UIOOfbrLl44tY49aANRKFP1/rBER0JAUU7h58JFsGp1KVHtU+oAhAXWBnE7HKf/BZgA CvBiID+sh9BMGUaUkMj4AfrYTgYB30KetIgf0xlCkhM259+gl7XqnkU75zyxvaCIbuM8SQS4ud0s sLJ6DTeFLY1gsPr1WDG31aKL6SMw0MjiXqsg5WhR7HanpqCqlC2ZoCHlLL7LohT5QBaiFqnJDfEQ +IC//Lm8z2Xg/Ytiog8FsxEl3JeeLteI8DqSv1JQ29CWzmcoUOXK3M7acMkj6rK1FFNefx0BTeGM 0+1Y7Xo8bjofUxV+7cIL+IlbAJgXBfnyshtuA8RF2d1NjqZ3ZWKsor7SZnyX8SiuSZvfHNON4hfW 5M0mtAO7Tnh4/fYV+3U1kPNtMyJO8jCA5wgtN8OHGIO0jXuRabrPyigKkLtHjvrswprWuVYtnsxG HJ9MhC61ejUgH+6BnD3c1hV2Ly1V3Vzo8azxQmb91bq7+e8nTzACRhpSHxNxbp16ioVIciqd04GM E2RXFViljTmBrgoG5dbsIkWxbeo8AD51bDru8FhwnL+kZdpNY/yROOSqJrMH3+PoE6yzOlNJE0I8 83CLYM+jIT/eNMXFLz86H8BHLLfQmOIkSEPiFoWt16vWDDNTRovy+pyknXiZEuUi7gf2Z7k538m9 ejrxQCe/lDAl/1eYlAimx9J7GeAHTIFr7MM4ErJdeKMLxFmg5jtweeIz79sObsgj3gq8Xho8MxeX w4EZ7jf8PTHeYiBEZqN8nvLohwBRLcUGxOhPSERiH/IcSw473beo0Cfhswhs9wi+4MCzX2oL7fd0 hRfBfl1DjguF9EFl986WgD5uGtVer+ZhVNXdoj1X12yxsUr04/Ac7FsljB6riLQLTVh8c//BoxY4 xrjknqJWvnjsRDvD01MU6W/1iDh3u8+YePKbyPLs86nUlfMn8b6OczukWfn2zgczEQVvOe1ek3oV G5cUVfEo3ME2w74t1cCyz8Dy4ifmWNgTNCGlo11Q0HHH4USg2we3eK9htRHDyPHYKxBSjwXv10xK NJZecfsU20HMH7Urhhq/iwwJWttxNZCt9NyulYUUCKLTSrIH5Z8wt29D5VZhpAqnTM2/CklmmtsM PHJSVao/ub7Jfp/v5oF1aAH/skTrZDbUsh7Bd1gm8TZ7+KXVAMuVYx+B0XgdzKQcs3fPIgzDpLth ef4IO0P2y19ZFSaKOB2lTv5fVNe0+6ssX5523+7sHdwX/+7cjNqto+zyGjFnn7JEWTvoUfRUIsKb NnMrXDxXkl8SQb6h03E5Z2hi0hibSlOqrX+Gqt2GfVHOcwbzXjMGOJOLUcTIFhvu2YvkwFmHWg6w KkMgg21e76iG73fOAF3GZVgExLQfvPSV9QcmvTCg0dOAE8fx3sGkqycRgLaREpFOd/0Wz9DL3F4b P4yDZEigjkLXXm/eOQAL6y9kAstGnUBYeB1ERIxofsjYuNCk3kDm9MJjESkxt5PY+63s7Ar0LMCE X5d4U6aMma+kQ8SkrOEp4Xh7cIZUwmxL6E0HqS+r0GTvj15pAX/yMYD5gEddtyU9HBb/QC2qwPR6 tosTEzbgAd2zvSqFydGJD/FoeIns0Wko1pGpf+pVGcbcAbxi7G256q+IGglvPRIqGnSvUduW3eDG pS3WZXaH9KaUSZ7hiO0ZytWQys+e8uqvO7/8qxa7FUqJe2HyJkQwq6G+R2tvmw6vtzfEW4z4WPU4 BLz7Jo6IG65MGyN8EQwiVcfsAoiP/PFnz5V4C4UfKkBjpYbRFfx5AgY/qlZCu0I0qOpIgiJR2LQv C2CYn0V8tiapfOZ/Re3bjT2+dMtCl8weXX6uzHvT31j08dzj2E5At1HZsJrKm2pUA01sisnareCN G7PrZ9Isjrgj9AK5KsPFSSpDVE4K88a2H/QAx8QoKOCGIKsIGg9/wf12kbSl+RhCO56QtJzIVG8x U7FjDxcO+wWx9XwyQ+E+vmeJLwMC9kVfwET0AJPDA0PILhBgKyHNheLIi+zNAZYncEyBdmJV7BHa cA1+f5BJJjfkav36nyHNNc1J69LgViTKjGj/x9v9VmvwLq/nn2TfLAb4FS6xMviJLmVEx+Mwl8rF E5IzVfkneFuC77oqICle76B/IxjY28+Ul9OsR+VMi5WOX1zxlNCTijntZm6XyMvbXvItHpVXCl17 TUYr+fTXTiDyRpeHwbx5dvqdKxeFTIrH8foHKzUhTKyZrPar/09E738DsjamiBvkoS0OXUFDdM+7 cKezO5PW18u7+A89MET11J7UIl8VlfU5/CxXX4mPA4tuPWPQakgqCmOhXs4y5rvyXrQZImtjOJrP HSQbudKEPZCEKpA+TYIt60EA95ltkBTaoflpPQlxq5Z2JEEheUlt2PK7b52T8zk/rQ08ebqe0FZR Ps7YfM0ssXkq6AD7KuyaYPFXdcpWLwGXG0o7FifJx1yarDpG5Jly6NwBunXJr6Q9eifmNJ8EwaZI /lVCNaLQJuXvfvIV+qRW2J+XfGk4mYd8COL7rRsGAt/Roee5DYVSX8QRjnGl2YZHlA6sO1I5WtWt 4SB+ALbByitOLhm27EuTatCvQv39yrAOj3Z3a1MWaR9IboF1p7r6p24jC3preC5v3QKA+1uY0GX9 I5G0294JK7NzImFDRG2SDWStRJIESFZf54qGdY8IayKOU0hUwvkc7v0J3xzl/7+Ih3QH9giwiEMj yP2KYToZkKlwG0diBiw7ra6f4DCURB65/IqEi/Kwnon26KQi2kGl9SvrI8mxtp1GHI0BpNS370ud CDETTrC755HPvwakweNaKyyTUYwe8KKpzSmWZnmKp1ha9cLhw2BvJraRr+RMm0++y6LLFmb3VYJm ljUjFbFS/WNKDxcwuyB1fqXXr5HdMOeMtHS0AqpO53zhRshW5tG0i6MnW4re8BgPbFXwoyVWRxgP IGFtCw3yhtQjPPxADqVnSBiz+sTnKrx54Gv7466+johEBs5RE1Tkk1YZvjtmjPahiMAop5XyVh0Y BCo5sTgw0aP1tDImqeR2ssUxCUOnTVeKXceZbcowswNGse1OyywTB1Xqs7XzeqNBMveETtO/h9Wh LDM8RnQkuildiY7He8mjzJP3Ot23zBcrWO8hNPvJqpDL4K0vlOHAqWEKRUF/898+mM8tG7EF3iJE qZesgFEjFLcFMJCiKPtkKJlnG9EeQGQcNEVFNlXwOvIdMUkmIDJsI0+LSQee0M8PFq73NmH99ZTX isKT5n8ChjkOuyTdCTgA9SnOx3nNQ/XXndGPJdsG8qqDw/S/4h6lO5ZYvKOhbiuTopRNFt0s3rAz 6VL3NETLnPWoYs2B3hcWQEFvfcI6H5SUvqmh52nhaTRJ+EtljHph3zlAlVJkspLg0QNJwJGNzl8p 7uGuUREcloYj17NkAcYlnQs+mFgbn5PfhU7o1Ni/N5I62wd8d0/u+muApMWHZHx7LolvFdpGG0II mu4s/3qxiK+vDa4DCNRRG/Dzx5SrPBytutJwFUBVya3F+Sf3tHult8mrWneJvAqgdkMZ1C4jzQLT C6uEKmEDmeYU0wJNN0TB6Hz3KpVxSHNUyoCmLnQPDkKjAZhQLJXyTGqkCg1sFGSKMSsPEKXZ7ovX H4hDta5ZGEigr4I6oyiGQgfxC+1xsq468ottDdVTCpTulqrC3VxQO2ABxF1M48+qZiVqlWIFe9Sb zMymXE/V2ryBcAKiviZVyQuupBfOgXo5pLQecWVH9b7PLWwk19iFtSHIGiwWX6r9CBJ9W44U9tDe 8Du3czsurycutsq6+TKGV8rILedm6Te9liRm8tsQExh4hGokXK4E+KDrTcMmRIsaeLmC543OmiJ+ sID5UdSOZkSHkzf8MUUnT1UAb4GOEkFlI3Eb3Au6Nq0HYO7+KGfqVL0a9ipqxh/a6WCrTd8W51Tt ePysEAex35DbLYGP5Leh0RHNK9o4MaMBs525cLdwPIDJcAqnAXneXmEPAGJPkpqKQleoxQrek0ir ydr2DcwgFrotPejCT2LUvy/NWz43q39QivZRffCJo+kn86a2p1BPCRkcKNPgIq9g/xLkAlwG6nFp c6l/DPy5Gy1rwuN6E6Fq+1G6kFIZk1dTYkaYuHQVrZtwPdAzpyspqcVHohLAjZalaOhDc4a3Xtkt yLDQX5fhIa9FCN9kRRnLvoDmilIcETgvmmIBpDxooS9aKTs4uWD1MqwJW05ktHYZpouqd0sIiVwY cQ9Rt3ONeEt7hAh+6wUpx7oFdkHBfflLBAnwnV+m9re3fcLjZ7L0+3i9QiGXFtmB+j2J4c6fvWI3 r0f1Dty8pCmBnFchB+ynw9fU3+v/tGqDUIO1vJOyfGr9RwC47/s/zzGx1c5AXlGhz4/mJg1glV21 G+LN4C/31sFsLm8qJVghL7FB8PWirXPvr60bqJJpfnSuq3OcCy8JijPSJ9H/xjT2U7yosa3f1CLR BziEQbxALNlagpAkRqvIIgoBXx40RXyOvjfH8RFnANelLCvGD60KhfQ8BMTmgqtF0adrOVSJpY9A qVzxXEkhDAKGUyKFRhJg4Idnk3KgGRzUQOWyI6rHjAaBGi1OFxoIWS9JoWDTVty388tzIh8PDO/e 5TdaFzPhZ7WlZPYuQmweBM75qI/4q05GQnfTE+EMlKmcbXOXs3VFEbTzRkbJBQHoMZqZ8KiJOild TC92807zd5e31bEOJeBLvwn/8hNow6G45LxBojWmdrPjabMXLdOl3Yw2mlDeSixkYDX257jaD/jX VwjRDYLZkla/n383qEM/ZUOfGWgIoyYlFDfe1N5uLHB81o1LqlG1Pnf7ywHre/ZR8SKk57R41iNb mwRfmLuVtld6ibRTrjO48Kq8tu78MltmzjujDsHGR4/2dL2gRA5EuV61t4DHZ+pCPTWAfvfiCb2R Rc33GcBWnVv5x/cTHvFhBYSpVGaOwf6RiTeb/uvkfrV32JQW5dixJH3kkeZs0YB+7RRUrHlwlV3Z NEgG4TMhoKG5+25vnSylXAkZqymln+r/ioLhGx3pCLrluJlbW9etij9b49fVEs+b0gvKG1uz6x3b DchT1qedAz7dQcyX0azuCN1zQ8aPX2dTsWHj2KYK/NmRlA5lpaedQtYB2l066mUPUXUF3Q9qkBJT XYkk0KWhZjtj8SODthnJoRWc/937/JdvjYr6EgtMiMRSiUrY6MglYwnwvF9kAkU8HdxI77pXd8q1 +8ZpgrvIdyaNzgF3VmIlID1dfu0Wy3CgqA4twEUdY1yYYSRQkKlwg111IE6MUZsHIs2kbqUlyOCO zmVB/4yxj54x0yAk0GhPxh5KKnPaSaCzWa3PFXAxf4qJ+kVtaQOOg9Ln044jUF/EfYhVDztaPv+Y zx5Y6gOovao/DyHn+4XLhQ9l53NIPmIniLBSRhIjHZV+VOOglmVNWFn8nqsS2U3/YWVOIO3XxN5t LfSpHL3bRiDvFVc1r4fj0QNjNBAiQ6/xjLTTZY/Qwem4W2g7yPjZSIfDm3xpRrqZFjr2gMVi7BRh jIe+uwn/u9aFUqqOthjOYmNh0mh1j2+RlfCHKhoDoGMJXXKLoyhEZoPIhd0uZXibkqKF7JSCWR4R mOuhVSppRR1PikNFQ8e9LEMo3lQRgBOHiwhguEVQfoC+84IEN1Rn3b5CWrLT4ai7Py3bNkeV8dfN LhogFP91ua8jHI7EE2YbC9g/qqDdUauslfF4aFBAHLg948IGMba5qcvO3NDLNjZbamdjpi5qs6Ub 0rjWJUte+CqF+jt6iWW4d+Ft1UOk24pHDFQPMDeYPjwcnPf5N3pS9p3/cpq1kw4Oz8+G31NNMfcP mA7TfCWMnPTl7L08CQWROv0PpCxLxLC17pbz2FP4Irc8+xj1N7iwXZhn7AnVSunYjXDCf5N2JDXo s0RYbiMYSMFzmkK0VwA6F2zvVgVEE9bkTC/9ZhqoB0Q8IIdei6/Fw1fFM0LlOwlUwzc2PXqWY5U7 kAX5ADRA/S5q/BDJq7F62Y+tS1zrbQ+/66yPI6BLNLSDU0EGOo53VjRlRiesiEtrUQC3GyafIGqp lI3jRrsB34WxvCboWbOUAotzCUtvg9zeSIKUPM8Pzs+ON0DBa4COYe9qbYMW0okhDPkAXFdh69au pWvnpSQPfIIh0e3isV2hfuK8cinmSww8JFKTiYT6i0sv00SmSEOcrRhBheUTCBY7kut5VHRDjsGc Tfwoi5wjtLbN4QcCwXX6H7eph5tcU2elfEM3yXbGFOcc0zCkVLcvcKu0LWEhoUZ+Hz5KfLeTeKeJ aFli98kM4PVfjDcCpk4JFgjsNkUbtSkhtt8WAcKDhuD3Vc/PNoB+PUQJX8YV88ge62rOvE73JG9P uRLC1oBe74prtiMiuy0L0nvd6g5mqx/8aR+vcf6ZYGFbtUKVZMyzbOwasXPvF89et9UYBXHsIWsl kWIZ/+AZI7S+SJ5mM3xap1WB+a6mIM3NSikevyqmk2DLmR8TrnkcnO/7SixBi2xjnrPbjUIZQYWl wFlkKoiGmIzkVV4fNSO5w7IeaGiJO38Y3Q/oFOCZtanGun+CisLVPoGzCJrREBTp/COx+u4hSqqT DZTmKzpatnP2xNUtYLi6EKauYM5t4bVGi/ylq0TFsMP/yXkBrEbyBmHtrCeFPJOljbTz/WyfK8I2 VNeWchekRmBaKQVwHgcTM+bvKG7WuH+WwDVWBEyz4BtEzJ1N8XHZU3JYBQjXa/2jHParHCfBWq2O 3VI9XO6vyDAvLLh9Usl2PXdzXvfJ27Pv1Yye6WSlz7CqQm1hzssGFnqODcmfRS2otRhOI2Ee3RjR TmIwOGBA2VBPD767OiWJ79qAbOIb2X4Z9KFL9tN+u8rqBsMlIQ2+A3mdUiZ3xDRwlF5A7dKi2q36 Tc3OoJXKWCVHzqhAlswLUZ1ubA3il2BbXR7mpQgSeJ9wv/Jyt3oM5Wa6qE4rHIdTPKCzaA8hqJOn CodsIH9VI8p9SAaEADHCcSXiC+OH4hJimT2q7C1UxEnbYHpMfdpoZ4WQJhtpkXykThEjETNSVLYy TB4bM7sLLNdZttFte5pabCqc8noodyYDYHEF7YPjCAurfh7YRHeBkdJtvxfBn9Hdj1Hk0bzmnMKt OL4UNwRb3IAfz4wJMRiY0MsgOGkjO0e6zzgZS3mKRI9+gJXrRy0TevclhbsiYbllhS+/+G+GYk2l PgeQRKkw2pOgtMPlzN6uGMYG2rw9awLvmZYLyvmYlGJd1dxDwiCHCzzKAoR7IxmokovKVD6rRT1J X62od2lj6OtxjheRvkgIukpb19FtD1e+hAweHwgaj7MCgm93nvoJfP6K87LrTAI6WQ72rNUnUe1G YDxw0nqmuJzl6dUar2oPPss9SZDLHBqhagfAEUbtoz8HZ3T/GrSUHzy5ZDs7GjHLoLbj0azGCEe/ tm+9xLT0hbW2gBT72/9Vrzs3O2ZDkZnqJAaT2LlHOxwwygkIILWWVucJnsR3Bo2QPTBmWnpqxMGz 9H+FFPUHL0O7MqyaNn3CMp6FrTJXGZwHZeHPRfNlw+73KSd9OR/ZEvwZswk2tvS2dcc2IeU3v+Sy 2pF7lxsdD9109dac03ugpB8oazKcxppAWw9X9OmGuH8ycFY8Q75S8SXqz/ElDcehzQqXF1KZYZ5j XWmDEJLtxM/ny4bdyvbM8zgLdUAYDWiExPGgnk7PukpR55zAUFAUvHRXXaIaSLgVjEGqBjmty4SL QRM/MUzdG3j/C1L4miRBTrn7TTRC83o678Q1J7ELZ4EtnTJDpncAeQbcSDBBSgg97Dqo8IWRNlyP dr5vRX6ryZh3Y7W+CV4B0lLHyz/jVXj6u2esJj4Ov1G9UZLRR+fmgTT1oKAs4fJEvVSMW7lFCrBT PxJLB6xXo75fOF7fc2LgfRUQkf0wnd8yWqKUo+4aAaX+h9ldB725XroftM90VLYqtEGwDq3C4jiK YKrW5H/bYPSQcvfG+Oy0snop8iziIxglHo16sTw+u8O25CmksdMiaty8rw1RjTxS6oBe7gp+wMXP wlzG7TIH3f3Q5gKXT4blAI/np0LlV8pAn8uzqDWIrBwaARhFB73UKFZW6+jfX6zrMl37Ul8ZK0IC NIzMoshXwZTndW3IWXaEKSyMPGAiOYy8sxcLlmI+PE5V71XdDqWHn/3KXy3CjDDRBYEPLeQ/uzCv Io6iHwtd/0/9DrWsvCR3uOwybejqS+cUO/fDHOdABw8QREzWT8Lht+w+Py73q7mkWPf+/moRtxNe z9tLE/GcRprWwO4QKJsAXURfA97HhCqkGVDmzNwaH8X/3o9opq5wwOWQUPCXY/pSx7K3ncB8mFJd hYRMMj6mPmy3w3YHmVdHuWcg0TlOg5UPhMGpSKhyOJ64QMqbgX4c6IPXbx/tG4vE6OIT7Zq8kaHY ACyCP7Hd1u3HK3JYuJLL4E6dV0zUnQemd+yd9NGosFnVDBSPVR72epdRab1GUVjduwyR0+3BXAmQ 466z0PKE9HuVCfLEn68VEv1XJJ1KK5ARP1YLle8h3i9kbAwx7CkEDJRUxujRMjW2MqezDz0OueQg Kq8kQcydE4RJKCqSxFORc/HXLSrGTmBEW5QNED5S1NAcvUclh28zyzeR83rjusmAOjGVWvkfNwQM aMBwYHz2zzpdDDe2AaE1iUvu9PFxojBLUhWKcOOtPmxHX/h9TMDHDXjx4IfOsQrA0vUuJuTwsn4N 2+x8rdTFWTfu7K0GHuVdLryyhhKx54jiePC0MTL0HF4IZ+wkBJu51yUXuBOF2Ik7vLMvnjoNnG6Y 1mKKI07htTNMfcy4tpvR5I1eEPoDjwjeWuMpRJqP2tnwnX0rzVQYomtVkKwKmlN/eRy3h76+zFpf B5BwYSknCz4ZKKx4uX+E9UdZbqG+8KKlpMvBvtI4/ZkWPo/7dTh212uQAJanZVaWfcuZyG3tvt1i FQQovdHLKwJs4vivOrxwrOoFNtglPDRWRotDF2vJdgnb6oYArP/CXIXpoPI6ytujCemILfe5y3Vc qp84QtLKdGmZj5jKvnUa9j4DALyVlW1hnCiXLscuEtiJYvLG1qe3PsHaf3erZZV3cOeNuFf02FYo u2EBGo6vw4AAZqywj3MqeRLt15TRUyVwBuYRtFo+qIqiUOxMW8f4ehDwbBV6Lkz1yyLCeLk2dCBO 5olH5Y63OJaWb2qlxaqArqK0GK2/3QShNlzjLaZ6QccyGNc4RNTF6TS2E3E2FxE5KniDxBGt1reF SOSUQ5t5iXUKBhVn2fhpjISAWNmzDlxd/yDFPYZe0I6wfw6oS8qjNksRi7ZmurZX3cgjrIxFOQu9 QYOPkOmTcwFTMXpmLpCQ/McqM3MwYYPEUBcCHU6d1ZT6YAtwG/3mV0DeAXE30+quzKpjrcyW68wy 1XsC6Bz/rtmpm+YWz4sgtFQ+fcTJz1+lrhOYV7VqQ2TKiVL5BMc3iNIePBicGUkhq83SrVdfctWY tBKQIIgp3NldbZS8/Lgk5oYnGDn1Qs/0GbkRZvZA/Y1FCzDYu9h3h0Nq5N2Pw0sKiIudrLnuv4kS FadrBLwjsys2WygWuehym6iGMpDRPHHBW3aLym64jyN6fY6GSxHezeNPYhVd7Md/4AH4F4kyaUTF 0fZesNG/yKpKHi6IxOv85sF1wtA2EwUqLTjZ2lRlwurHRdiu2Ij5FtRDf5DD3kw0SYytW7t5RZpg h/oYwgIhuBYI0KlMjDTE3F4ibKKK/pDHk7JAIjclUTf+Awa/MGFbdk7NFtpk0FsJi+GGmuRfDByq f1L7rmjSXVo/ctAtxEBihqlrVFceuOoeolDc6MHeS4kJRtS2k0NQmJyiyxou4J5CDQgRrrfsNfSF y6l0D6FAqz9pZBLEfvRGtBMyI2E+2QCBNoJZs7pwsKRX3g/np+7U0Ht3JOnLs86CpgQ53ZOX3LVE /5Bj8NbM81w270lkPOlb9GgCQPmGAkoScPbyMjPRCTB6jYq6IfAQuyJlGYkkPKhCwxtYLsxngav3 MqhiPNBHPermEWvNk7GGYZU50jSQ6n/yRKfHpS4gXqtpU9xtAn/onDsEFWGVmulhz65jFUa2CPM5 3wwrlEwWxXlhMwvma0CjEOCqYqYGAgZF5As6DCCRt8boCX+urD0Xb3Zuuoe5rOQJUzxPhjHaj11/ 7wxXBcmN/frdFmg+AGonHXLkNPM2JZIhmEzdfh5H4lvjYLXH7zBlnYyACmx+YLoJCSGSx/Ak5CxO pThruviSe/gckEPZg4NLI1F2OiFM+Tnyo3zomzHn9fWtbp888eFw9r/rTlJftVv8oZD1LQP4ovay MVpMbjgOokWB2vT3uDZZolNa8LpZzg6YwrFbFeODfm+aivkU1TW3Q4Dy3+dHVR3b5q+nh+zfWHu1 0PGhuBkKGl/DauGnVS0yZBl9diw1Ae9o5ht/8Y425iVqPEpmZ3jOXB3rgiLjiDZWVb4plAs23i22 2UW2NPSHfcyPWcftnbSzZXtyMxBDsOZAFLfkINBtXS3MX9hnMA/Jf96Xc/6COQd7CUaQyuKzEafv YEsxYDfM90B6aBoUgPfgSdQ5BD5ROOyrNw0TiRy9vA/ZguKVRTRhd/h8vaXgzKvUS1OEuRyTxptA g8ZAzn/OvLm2SGYRz42bz6+qmgz3N0K0fRFlGTDMF8ks1ROP+i64o2EJBTdSMMY6ieoaUC/VI+7B dTiREjgVDK0lWT7xibvq/i33OYF63ueuUSLXg0wH9fvWoBZv2EqlbbM+FxdQQbdi9RXmYDuEz6rN 81p0itc8p+iTjMPVn5efHAKyS3BYP6wvUZe2ldkCHlVl9hf8ijo5FLJUx2b4BLglRXyDmSDIntGd Bpv9d2NVaySX3N2JRbWhzq85Jyh9oDbZwlL7yFASl+HmvzeMUyKvlhrA49evDKVRzwzvDunLnffF dsMGEN8dSaYmWZfcVDZzG0zpFGYnEPuaiFEXSXrGf98iclJtUldhRKFkQGrbRw0mm/byPm2wZP8D tZpuy7ulSwGxfR3ybEruICWI/wTIiE7CxapLJKYCdbd2NGWtGzVFydI9U3SL5IDLGpbQeB3QrybK 4xQz4StjraX+VyrcMeAihuE/BZpsB7X/w9WxNxYr5BQGS81a2mPr+ELN+LjsWVCC8+XN/A/wXcYg N/jUQE3VlnBeYgzeIXqfaT5iufjp2xQIze60dN0o1zfu7UO2a86FT25ibj1kTWRW42R0kxZTefQU vFPuxb5MPRG8sMjs03vUHtpNBUlq5j+lVQsRzRUJ5pFxJCvCaRk3LR13JA4s6uPHUDsdE2DKfVtK NPur1sqiDLcQtXvJYg2+OPCl4VY/SKRyVKxSOIASer1crx+X22tBxNjqu5ezPll+HkWAxnzMf58h IKyULseOT3HCzUOl3bMEtSvBVXqtFZH2J6kQQw2jjGMiINKzaTGdPjUmlQzVGLoIPhH8W9Ehn0WX hJDGU5OxPsM5Uva8SxiC+Cpa9hR/qUi8D3lnKZ2GxU7r3MywQttRkFUnfChkmxNdwdTSKJGvPfEu Tsi5CqaA98zAVK11U8qd8LMlAEPHUZNFzb1xd31nKFqu1NjbEKaWH4GvoVccEMki6g5LRMLaK44c /VgIh8xcAxMyy9QDGZ2lDGnUNDMG+KGPORSzHuzhJdlwQ+jSQo6ZsxKwner0MuaI+6VhF+qaxQrq fKbIdc+Z2i0YC9cHWQ5jS9RwFljULwZslbBssJ267vEu0B9JAIpGv0tP/5O5ZnE5H8D103MzoID0 q2kq/cSc240CSY2GVtp0dFh8F4Ilo7YBH8sCxD1LdFTsYCQhbL6acXyMHGRilYzyVH8bAfayYhrq Kvf5SiCEMLkvaJ2zUQa6so/WAm0qtozhUuD5tuhKiW3Wk88Tpx8G/KFtEnnJNKEWhXgwUWRkIMYo EAMNA2Wuv4hzOnR8A0eNjgQxGsgTIcney5aYdM9y37ruPA1B2qo1sashS8pXvIB/6ScweMyMx8r4 OD6UGkHbiz1IfsS8xpsigvj5xnUqOl0WiRS+ujaJH+2sBk+bX8QpXf2gYpgKPUidKIjhdN8YUhVX 2ytAgFYFPELdAxInBcRyR6kyMGWAZwn4FLShPh2KnvoNKhGnyn8UyukQz6ALDY8mSObt7T4cmhgD UU5kIKMuZ84/9qvjSxaUnGR7D46Z8oVcCnrI5bhFLG9DsVZ23K2Maab6y4OnehKAfhCWgFDUTuNC o1oJlcM5UC8dEDbs/WRDjRfi4iLkw9tolK/x4LU7r3GeNSeU+zeqXEELPI2e4JrmptjuuXwpX8TD y7qPF/Hbv+sQTXnyC0QNtm476UxmVDYoXC5RzVO9z3K0z2vgJb5D3IONhhytiDghcoec9VZrW0l7 FVLcQ1ZlwAadb45m8EpYLfBmGTf0Ys3eqS6kqFZbzEFQW+YusoZseMO0tenOUDKN6S/NHkR7oZv0 XolDnLVZccRuCP8Wy43Yj1jg0b7mikvTqzdMt+EKUgVKXZ9pnPJYdIOVBZcOjuOgsfxGZmX2oPtZ GvMSmiTFw7EtNlt0vsbe1rtJawl1De43y19DCsGBdf9ORv9M/ebj/wg9nGe+0uPQD8fzMyrWVoiR bX1Bb7y3QZffP/5tCP2WW55DLjzbZN5AWdtLfUIfVPAbE56GwozgL1OeTHbcbEE7y8q5DQ/rUB7G BxQCIKLxEms0I/FToHmjZYIKz66hYsmfRlmVI3Bc6BITu23EbUeO+APd8I0M1AjBsHXHZ3l9regL eZdtyk8z0gn1KZx0xdZX77ZyRbBGsV/2GASakaxUu0svk8vBZadO1IIN/8V6y0GqTrLUTbxzbTit vnYiqo0pmLtfKTPtUHFzEpbwRULguXxS4zQGabsU9JSJPyyiuDjY6/YRLZy9jNNOGlq7Nj0Yjzn9 ZDx7FIXK9PIGVP/E262VoZVJb3U5oflYIH63zUurEFhN789A7utBtKeot1kfkSzk1zqoZ+7mpWFy 6UfVL3pa2obO58e94Ox5EW5keM4D13hCN8NlqXl2AJFCEuc5T9n2s2DZ1stGohqAgvhzTac+Uzu6 wSfWGE6KBMN9CZCzw/ms+3kSvred3AoDeRDLb+XgIsnTZLS9/+tXLT2YAwkMGSbEYiQFCAAykmZe GAYlvZDoUFP1so3Mswjgb7v2vmV4KZPvnRnhZRYXT6siu0T6vfKTsTVlhj/dr0sSMzlPFpOEIWtM kGaLkKTDoZMmmXMZzKaqdb1EzAtH7PUtoR3rIZjIXERLVwKby8GLV77DwaaItEkwLKbBYm7NFmHN L6wYfYpYhJnNi0jyefVCGsebu0zLa9zCDBzlq8ee5S76WRq8vMMvq1U58sM9uBVbHT937jv3gLWI 5sOt3tzJMFIFfrZNJW4/lw3LRZqjosahNeL5hCWCl78lLRjdZD90QzXNGdGqOBYcORtMWIAw9+Is eWxl8okWWaGyUxvTux70QsOyFcTx7Rnkw5wXTpXdZzwHXiKBSIbJYl97FxfRMK+ZdROBqNwaxsyM S8IvqFObbSdwBFYuQzozyzn6yfuYEyH114ORQC19emCY4AhM0uzHcPE1m5P0Lps0UaNM6/Nn/zd5 /n6bQZxWeNzJmMgPMdYYAhNcfxl74y0UC3He29QKafjdYQMRchqYwihNkptmYRifvo/bnN/Ll0KK CF3/ji/xL+lbNold5L86ud1jWtUYecP0AwXciBUsp/kU4TZzJnocG6VczuGchVAQjFOuCD6sWrqc BY7n/G9Yf3Jl8w8WgAnq+a+R77qEfb9slP9kNphV4aDyh15Uy76ykdmxwz8rG3BBAPwXsz4ugD6H VfMQkQ8lpcHbMpK+mpQ9mVIHK+1kJHrZGbbZsluTOWKl+jjto3G7S7jXCQq76c+XFS/OZAOlhExO 2pqY8j2Jlo2jk5wbi1misAo6EPoPCgRS9XMeU0el3Aq4fdw1032GyOCQ58PpUd95H+6L4RNFOE+F WFDyYVfO/TQ1E+3mrH8cY2JsDp1mXoiWDwrh2B1zPkGfmM0U1cr2WAAN/nGKRZjt1dqZwPFOVgZ7 fyMSZilc5qlrJ9gMi/eBpLS24UcNyAjwpGI/3l5gpfJO+GFlh/my9zZ8K21gupG1BYRscGrJ5HNz PZ9ckjZ+UfAne3s4R36+Z09r46N8CbazuKeIM6PawofIg1vU++EdDxtgO6O3A0QM9TJfT1Zb0tu+ lAjQIfXMpXboM3WY4gUx6K0dqVu8PszR3ROzj0FI0R2/MlH6ffRNS4e/YTsn7/19o6xxJV8UXDGA PDX8fjSdRgcLIyf63m6WQxLXXMOHLyeHQIcvnrZmBRUGc2oRXpfxZQzSWrtyLh2X6XvA0ZZvFVyk IHxcvuBtfg1YbSgA6r45XPT0vLYkHCpOIibgUXL2PR1dhEDtZCjAnq1iMKuu1HEIcl3mMtoY7Ir+ /AoEDuUncnUYiBEQh+iPh0Ma/NniJFJhgJqP2fP1hsoOOs3u3q1vHKnnid60tx7w+u8G/OQ/KY+Q wAGosSjY51z1KNxFs4xjvZeRh4zwMddbh/IzjCshJJG6etUcPWk1SpAqwxFMU2LOY+tNZa+FbLd/ gaFV6x3lxM0riKcm2jJ1IB0Q6B3lmg+QLL+caEPlYCSh+mTwPBcbbqX7HVNGzMzliXthJWnBlOPs nuN17qxJq7iAc0uQzYG+GzykdkYfqy36q4BLjS6Bl4u9RiSf3DPDfpFcZNm22uTyixAR1/b1F2Ft bm5yISwNfTtmyQ+mYTPl6EIH2mCGPPCYd1pzsxOaBPgJ0fw/A66FNQ1JtuWva4Ntr2gwSlLEM+UE sm7X/gZsG9okSYK+/x4tsBIX0/KMahrnRvEMYXfRYAA4nGPKDVeyfB6m/R2PrIhU8JfsQf+vh/kE nBotzYcGTVFVSnZcJj7zpnfOwHRjkQSi9zGBd9g2zWPyncLxm4F1vb6vFFFyTt8sSgGAsmeNYtM8 VSUcDUfFjqQlaiNDr4io9yK4LjdU6K92Z/OduLbuWChCk2p5XjqpKQZbIjnoT7SOsvQJICYPMPeN uD9SoT0XRH7diYvQItZI8nuxZEiQu9raKkHchKXfT3KGL3Q+PvcEAp4L762YcQORM0yyNTnMjTUR 8kAttjy67tsz/dk83et1aBicnvCxEs01KN0mL4Ev1Jh+VLqYhCSGv2AQz2Ga+exVHGqDQB1gM//V AbSw/aR7OMHLiWDgJ4H4uV9Lhjv8cqmnXdgYzpjx7ul+giAWZ2g6ReoJOveYo16tLAexuCzcVScM nhtxBMhT8ya0yMPgw4f1/coSd7NycyhrKa+XejsqER0rhDAxuLDRBEwdbogzb51/JMrzE98Xf4ey KC2zno3jE00gPQuuajK+iec8asNGHtP6UG5nETZypwLTd0ARsk0oXn5SuEIIVe/QwUpNB/qzIYSx 1fzvonX8ShLPFvCh+rKj1Lex4C0pTvO1uPr4xdyPXbpeb2St/Q9jxDYeJGw5zci8rK7oi6pEOMMg JWkhwBXuK1aHyeHDDoTZaTkFSjWLhBzGSkLbB+NlTuHhCTY3JOm/vsdrrODwpTPby3Y8Qzu+fFCC rYMc6kTosXjscOLA29C9HhJ8IbA6/eHpedY3meyob3uQWQLlkMqzbvx/FydMpcmWwIJjxzdnvP3D gMM4nTBeNGg6kQAlB0evZaiFa2oAeM7APDVwLQZlCDlAoi3XwzLAD3FL1p78JRnII9wuhJPQTGql YhUay6hmQENQeDbqQmIAwF98Jbw5UByWrmBRNtgCLVLCz7hENp/nYJlAEqNK2CnJjpByrCVAmAXL OQ5To7+HUZDNPTtALI1LUslxJDVFdoOVjMp4O6QIHzjvzFx3zT9yFQwFZ7Z4t2kS36Rh7OUkjNVl S4skdrKH4w6Wu9FV9caXtlEzlZ1lt/G4UNTalS4tR9BPCmrOzuXnFbrAjpe7PJgVt8uUADREMDrB yhyHpCoyUJkKho95R8RVPqngMHlP7F0prI1eulIYLD0TQHZT+raUWindEkwuWx9EUxgqweSiXpnv biCRwm2OHp7DYApkZw3DoPpTrVFNFAeHibYjtJLp8tw8HYI1jyyOeEGM3SlNBt7YVgw7UY4dBuq5 KloHNPNmB8SemydDPdu9Sfpb7Ok8Fr5EU0pp1oT9szW4Vr6/PnlMubbUoVmu8KhHRkZunjuDQErQ qwsVOy8cQ9jE8w9uklnZBCfv/4TIKujwAl23XY7Oe7Yx/SapNxgbXFsyq1d3jL1cJX4ASpqKGjIX zlxsmGInKq+XxkX5QSbFGRswmw6b+nQm3r2TfacOvxri0Cv6J15sgKh4oq47FENBz+S9XijChCzV zNN1lh03z3jwky5sGdc1O/R0UKjFQNp91dccAyztvR+X+r0ELMQB0QzZWAu9yPCJEaynCCSH9N4E 7tNEx+1+OHrMIe0kvqS6eGeqXia8BKHE8oQt/cHfoCiQfHbf++cCHXP84hAvndu1n2YmX5aTz514 lJIX3NUIXmAzuTrq87daCmJafDHpG5dkIp5hn8xYYv0c2so3pfnvr85NZOJVML2RYRe/qnJoZAAA /4csZWAxpyp6mCIh0wlTVq47kGzSTx+RsVDE0Z6U8w4Ev3S2KDLwPSziVuiFPe+T/JhJg1rSNUmc +SyuEEBmXqXf6KX7jcLMmliWr9ZGb4MOa6AoKt8Mk/hY26O5ytscVYTcLD3TeITyeDzVMeTCoP4w E8WiZTnob10W7tqDq3NkL/3tTne4F5eaWrze+CpKNCNbQg0iNlww8ScocrZxkhbEJfNMpvy/QfU9 0VOT4t2GgUbxFb+PkysKiFiglQolaqdO0Ee3NmYDMsFfSUtlyw0TsH6YVU+J2gZHbcM+d0hpl8AF POFYaMA/93hw9IYavtuK7hSUw+8rK5eOMYHlUNTK/0dPrbRXcfxAmaTmt7aOZgzwOtF4ubVqdr0r evZEV4jNjIm6rtqSO+2qTxAmsbb/2W70ue9BoVfvcki4GRX86N5soYBUlQBaXCE1LVNWCIcKN3ex W1CWJBqLXjwmLvFSEGevX+DIrVgVeBm83kwt8rQ8Lza3YzALvvAWfnSMYG+7kTtBuFPAFfYw2X+M vRvro7UHWIYnJuP69k0Zxg8GEbjvtFd7f++Z7WM/PCTad1CoWQtn6cdtfZ+C8IbxhCgJsCVfF/a7 Kh87usdCvGmVKw+yXqU3acv0DX4/WHGOQqxzHpO6YxSw+OeugobwckDk6Sa+/SsgENRCpVMEbZuz RJcOxHVMUCVJwVoroCqDP/J4mL7Ls5/nXHkjhpQmLFIxF/weidC+GYr2XbjNv0xd+KWsCfROTEqZ iFfYIVTaZE4ZPH14f03FGYIZO/gN2nVO2hWIsVVGwgzIxAW3+5Xh1N9mI9Tn2hOt1EKX+WQySmat BojjcCf9AdLx4a2VqIta3/dR/jfImU7bw/R/jcxDwHVibdI+H+FXZwVaZeP0kJfz6zEZdyT2COXy dODs0XReD3wek77z6IHVAIy3lV1gh0rEW/c6NwM2JYjThnGbsAGDZpzGTh8Q8245u+UCafaNZOM5 gAlNEiUV9BqjWRJ2vpxb6HPg6nAlhVXfGkvcjbFvlgedDbOy9R+Azj3DvFoDahaliok886Ecc/fx rj6uqYEh9SSHvDbZ0FXoyR5JrbYwW/XH9GWCYX8rUWbiHhYkzjW47V6WM4jpq50x8MMLhHsxQuCo D7WoJ16TSkjNkmvrQ9ckhKK91TUqXWFsPO7S7/aBnDZC+uDFB3X+fqzVAChVwQglQExguQyHp2jk g3aWMXCP0TvQDQrxNJGAFb81BI9PB7x4v9IWR3jl1VCGA6MfbFIeoab8doPhjWrE5OoSavPufjMO nqmbNU7qgtkuQsH+TQYgSA6rDVFrMpAT6wc08UFikMMWJFdohbpehR8fb1oR/k7TPYj/EAA1Es8c 0fpF42QHDwECSsOIOoEzJi+ekIjOWIpUvJ/SbcaHPUmkpFbW0bLepdA/C+eC7U4yI6AOnQ+Paqum l1uMfWPv/qpBzzSyae+iUm0xVwXb8MW9sfLAU/xSm28E8x8RY4f9q4k6YUoujPMgqm51NDlMvO4U 1/BVRbZDbR8dVjwtk5SF8u0u6jAYGnjZsaLUCptctikViWFA2yyTTZD0ZeTqrdFtKtzG5s+CGIJg 3iJ78WqsqXteMVr0f1Xzh9PEA+aUs24UpO7LSwk9eeXktcQ4GCOFQibHKmWZNodPfjRjF1l9s9XM P4YV78pUXt2+OVpXVgHcZxutjZ0qjFHbceWfGZ62Cf6U2qvL/iL45ARrgeCWdb7IKVCSbxeKUika UYau2IsjdPXMaXPlLLQdjJV3J2n4KuBb7fMHBs9wLNmjJ5bEJmcsthWSCx0F29JX46WgMqZG1HSt Q/sVpBs5gQlv+76/nhxopOtZ7gSdMxrmMlPYJU6r5BEIxkLjmkDAxWbUzq0TdJVaA99PJmf2dRJe MCNl4hA68YKhUYbBWD+01dTE0wQebmU8teNwAxY/8Ast3UaMhR2ye+eoAMqv5gM0GZfdn6UvmqbL EfB4EB7SlYcMZuolo7kwSEpVRI4w+S8aLsDH57iHL6tJNd36AmUMp9GuSrF9CspusRptofRlTqYq AehREanswYlITMaTOb+fT1FrWVpCUuT4q1e3Q/wqpWEGNuVYEbkzMfME3A/EsP6iEA0vuZhN+KSP nzEP9Pq5rPZEqTQPb1B+2ef5N2lCOGPMx3ebnWHBxySwj0J9Oo9YfwfnT7lr3O4hQZEzTlnNMHMi Tm6qlfyTMdv++wh1JPZjwOPAyQ7odEdyzoqhXcyAVegsf9hypoXDKax2cgDG12T19zekWmXeT9Jd xoNYIy4s+NgxDceSH18xC4wK4ORl0h9RDWUzwx3C1k0+e6Q15Uf5Rqejas96FB2/dMro1C09nD9D ZjeUwnBLwCIWKuvcr7wp+lX4zsq9TkNs+mOkNwTnGPSKKqThgQ+zBO/78q0ak2z36YZAdRthlZmJ RURK1qHFGVSdEwrMWdOZQQY9VDUPP3xORPvTg/P32O3oUV2wRtl5WJw/4926gzi3alm2cuFWNk4y HFu4yjVITB1J79vGhMj6hi3OSJhw0nB5AdaW2fPbj+/SeahyDWR5huSwZ2zijFXf59ykcerXkezg SWX7SvdCKfYqRr9yPZul6c4FMo8LHx/A5tDks469NLf78Ttxl6y82D3fG/qbAVA+TXjIBMn4qko8 FpPGE7UyASOEtSTVk2LldyQjD2G25KwVVdOoqmcXN0Pj9nOFHXmI7Z0Ai0MrVov6vhr8PdPcxEDv Xipm1cKmodpqEQDPDO4XbZVpAN9ABFf4kFyzbfmumjP6S7zzAU6UQTg5DgZiRyHwNczXtwzEH/6U P42KhHrGEmGre2o5bwGhOnYj4khL22zAYX/b5CxlQ05p5Y6Z05jWotCtGbJkSPkksKw7KWmylawv LjSuk1Nta84HcF6cjyHHQjq/l9j7KKRZEXXpUgZohA+bW/wkBF8Thyc9FK9snr6QOFLN3Vxd69b7 SjFhtoIm/sMyWHzouL5ff74P10T6bWegt9qbEQ5WO9IFrtQp2iohpp66S9YzXsT7yHMHV5M9abKl /UOUNmkAyBkmBsKMvIAe5PXk9vb09WpgXXJnxcU2M9t4T9grHNt0bNGym9kl8hUhU5JzARmOUMkH VIJpygbEoEa7t8i26Bi0MMpy7mp23l/rcXkgqGEEoanwq3AQdSqHAuwKpjLbrDeYWRBH6chhyjrE 8yX1jJ7PNJ8Ahm3VgYyLt0L3WIGtP9GDYBV/6Nj74/MjB7YnkNh4CJVxeRqwAyB/OnNAK7DcEqwR pTE6+S/tb0BR2Du/GouZUM4XVwB/Pywb1VHlMnMdqU1wXl6YmabuCQwwNl+PR6rE6xOCIaGJmJLF Ew/3GoktXKDtE6OvXc5saZPtVRo2lAy8nnc0+/wFFRg1OUvLdBy4wINAnHbngikvbbvFi5VLnwcG 8qt32H/xWT5rig9hZ+in0mzj4yE8NiiFT8J/5pwtS4EICzG5ObXITQmd1TM51pOtiOWfFw3kQnlA Ua/nVwsemR7QAZss5cVwJDTAKU+2lamey6BX5ffT3o0+kC0E5nJajN+MEX3NtgHrPu2SIIGnnR/+ /HhwDUhvoba5JiOu+ju/xZK+sxWJ5+Feh6B2mmrLG4vkI97/OfBp3UcRJDc8ScEvtwRSebNmGu5e OAJtEM6zWbGJwZC0/Unkrj12c52R+OXHZoc6tDJLCeqbTNNaD/qEbJIQMASDur+TAEmxMcwzvBJ6 vGp+eMVTIzogmys8x+5SuRkVa7Nn6APLhzrx/+nRxuSEohAOTrEP5Q/hbd0fu/t/BpRjhb6Coer+ Zk5oAKGmqrtL3NJ6Fb/hMOY9CDTKog8zl0FpxEcN9tj4fdenoCUj8ybMKWuOH2m4cyjnDUdQBlGS p/rhJ+U3Ck9rIxEQyGSrxX+u3f5PQXWt+fy5cnsN73a4Sj2JQFb0JFbz/59RJBjdaonswtOV7eKc Yk7u61WGITZtvypgvI+Qd+Ehsl1cYk83jwaeidI1o/4pxn0pK2XaoHD8KspElQsdmv9BIJ/IiYBS hpp2/QfYglSNQiuczYr7Q1uzk77kXT/ZYii/+ljli1I7h4f4hso2mhTelNsV6qt50IpYjGQ94r0B z3zYS1WzNjitlpg8/5rlqZIlsEi/vzTVf9bNd7iROnewR4gajiIKUJHoGGe0CMBaXsjJ4YdTyxsf XMTSnJJrKZ+KgCKgihsS23E9tyJslQRWxO/xQ9hJbiwQbtPWz4G6NVVyZKA0OMPo95LMbuoPcY3D tcYG1di7dfZxTr7OSrSTU+iVd2KiDjhK/hg19dTsgzGR2EA4bzLIfb0oVfkJ3Nl8tbVnjZCeBQqh toSP6M0e0Xvu7ksWfiHMrpPcQ0IzN5eMI8OmYpBLi9nAyP3a4+pAZuN8tJcrnKSslWZ4ifIjPwkL Q60rqAAFY5VpBXQv7f93aWLSZyTw/1YxwR1Eniuri81gEo4WGT7NpdGzqVoMvL6vy6A9CBcRQGhJ YoTJRGZzEs2LqcQXGVoaWXZGoPV0jrfpClFVDq/uXfTl1eAwZyNYvJEZrniL1G4Ahb4gt3OHkZgx GDiyb8xGmoilSAwsxJTnA8E1jXsM+oip+Pk0J/lb8yw7ZPC9sICulckt2yt8zMydJXwKRum1r6Rn M0uAA+cAtregiLCOHtS/IQpEpst1aOvD6fQMGrnD6TXwse72q6P+8If+3IO36btFBCdLL9kTgb6R Gk98klxPSV7ZylEs8kST1fg4Wftsh1C/SHq9YpdbDS6LtcnAuGYTqLhkO/r0J27Ov4uIvljiWmyS obR/Q9YD/NRG8HKPbsHtst3zY6q5HYcQKaQDZoGTQdz8/sEvjuFK54/h6kAmProV8gjSz+wexThX wGQpE4A7EOAtfQW1n+8qDOo5yQmICWXEy28lvmNpb63PpzyMycOhNsq8Y109zPHJe0vOJsWSuEdi 1b69208ro+6ONDp1KCq8sEkB7hZv5QVHb5wObFaYRoACkblSwyMCc4uWLOEE2IYW3PGwOFnXAujO jrBNEoLEIyu7ie49HbEJ7KymGyPM1cutqy1YF2ctl8tIiaifxs1MUL4wHij+9RpKZqwVh3J5GG8j sn/79o6oIA+Ydd25wDQqk7PYj5vP6ACkGaplzOEDcK6pOV/16KniG2vAh0j09yllvLjbjr05DWJh 5OyLOvCBIZV2SrcK7ftVHeWGdKyVHxgZL84pJI4m4PCmFWy/tn7q1jOkdlZqW5ni0VOzCkKdPHq2 4X+OfKkDVGWizy83FFmrDsrnHT437/bx7LzhSSqqBc2yP5rFz3gv2knac3xiT+1+aVws5cdRgcdW Bvdmw0MSg/BKLuHORisuYpH+lrn1PHL8v161DZclUGPwplnSZe7Ug2l6L6z2M/erBbX7xxXsTgXp ixHl5++mRdy90cyOMwcHr/EBLcAbfSxU4V51qU9LwC7BN+OB2Y2E7Tsfo4DEr9jgZPvPLzq7X8cY J9NEKKCDZMaisFn96N8seeADXYGQJ1whh9MGKzeMiF8SuPzftWE/o8qUTiDWmn2xyg0g2UclhX5T njrU/4uP6RSHH9rI1eWbglLS8VL7SbzC2J16UvjKKCfJihIpT+HU5FylnWyUDSPMpq+9fzlQSF+y cw2s6/Lp/0X8nWKZqYGzrECCZpuwNvMzIj2BXi93deTFAvjhcwQZZO6hyRd8KEOIHFeGVX6FakFT uf8DLBtY05EAa/0cGgnzZ92Zm1DcYV9lwbS/BQQKRgl4SzsXW53eLRJQWglXj7SI4eUcfZgAS5X3 GLzl2KB0OGYPH6sk++7L+nxg/1EJ5fDaMdF93ECurBDf9TvW8c/wnsBbA1F+add95Ng7dR9Ypfnu HuyoGi5Zap1yjdQwWrs5Hg+GlyLyZhAHbQjT0HyCOJ4VTpkRoM5drRutMsCrCJjHbz0YaHzAuZn3 02iIR5ODSoHX8LOdI8pPqAhnQ8rwKNoRF8t7MJ+oiuHJ6FSAZogMjW13PCg/BWrMd7S7Cval3hJD ej/j8SNMEjYQMBmPZs34pCN2BHmOVeJIApiklBBMoeXTOiRZuYShCJCVYn5api1VJUHJUum2x/vh AfsZwREiDfR7wuNHXboT+ODgyRda3BCcppkWBWTg4uLe/viE7NJjH1O7PHD5hRBHJgY497qnugoQ 48270WKaPnGrDEp7RBpU3iCChOWbxFxWRaVXfIzghkxIhw1KruIVQwlOmzSFWgYOz8SOyjh6KDY2 Q6jA3C7oKTaaJI1q8Ag98Yy26U6Rc1r7YgTroynHL1jniXrz+4KRZnBynAo0r4ism7+ebM4y4jwu VmDZ3GNtkrjsILahwjBudf02iYtcA++KZWlyvC8T8+vbdtC2dOSsgG0KW9b5/t8AAFhZCL9BXnVv 7axcy3Me43FRps7deZ6ZitqyexBBdygvQmfTYy/XPPt9ZlMCSJfR0djy2azM4OR2M5YFNLyzGmwI /B6Mx1HZgVBGUgdWwTZPEVrm6Oz4wJQGrmbUVrWA4jsBQVDiblrlQ30P3L0Cqm7KRfkZwmnaMhm0 Vwfgguz3cZfBPAJlil4hKwFyoSh7eFK5uh+tAj0F9MwAwIOOGH6VbCu63MN0KAqlJ6M/jnS3b0Sy a/E34PdSRzJdA3+KBLAQd97shIriDnIErYOZFxxN05fIegTi172qBAzMeBve3FbXoxKvx54A6zaI CQVGzontjCP0fKcD97AcEUxywgHh+2jiWnhGr1Xrb1Epz3dlcCW04Q0/I3VUYU5tKW4Kvhzbik2k 4O74/kvJ/uLInc7aXnUMXSylVK3ykESIFMgUaa4k3MzwAFqBUBXu6hqA6PbtOG5PLpo6CdwcbPQ4 2dybWuFwBVreUcp7q1T65R7mLJ/zTN9C+bSNxQ1QyVFIRpml3y42JmPu0kpwGrw+nF4Ksul1ch8I EIYj0eKnolVC0LBAVpJqWkS9Xxjrfs//oinq83+wO/RYV6QpZz2cUrqgEppEktkwiW87+vebuZDY lFUAeFHRee01NoZMypqRHTndJMdRVz+TQN7ErXEo365Nk5mRPHch2GJ5ipju3IQtGmozf7XxOb4g nwXolP4RBX9fDfC+y5BFT0LQOrS7zyJP1nYPP8516endK+zMPjMZhds4sM4xobxs0TbldleXpIv0 8lkZcnNOE+HCnOuUCZgcXqqsw/XbYUUKCPlJjO0Wxp7mc4zVGC4pQA4kMoqztuV6KKx1ji12Bpy+ 9MHKON5o9+zhO4lKsZ1IYRflVw5PPX8BY+y0YXjiTdu7tVdzBiOSXM8F3kiJrNqp3nyDywy3X/XO ZVO/C9Y4CMrsUJ4NCdAVRvDvoy7KbT/NAK3y0c/yg60QXF2UvluGbarjlyap2z0936nQr5cXZOkg DRe2Ii95oTcRaGY7WqHdJR0AsWznn9rsW2rgAk3EAl6lRpmDYw4M7Jrjt4wL5fz0XoWNHVC7952e oizVaS0nKjUHNDDZqMFaTh1LfK6bESrsO6NLH3LyvR0dBayQfz9me716iXYNqRQQEy9LWbQzpZQS 897tErLJ2cQ/Cq3z9+8RLOyQWqozDMPUTp6TaBaoFXETMoZAEEO2OEqA4k7tLtV0lbmG5tNIwuSB dhrs0KliUYpjpseQ0XerLE2Of8ozbCuet2g7Mf+RlRH5Yt1Hhivvr+K+70VcXLqP8WaGlnpZC/+h LRBDEEYzquCHwRpZ7EOfLTUnB98XIm2ZAifC/aVfLqIspqiS+2jpvJVb1xywIID1Z8LWxHZrX4Xl DVObcxCgExmDmj2ymKPZTv4HwuGQunIkwkE7C5awpoJPox1jq2A7Cl8pbXeRVfDkPKgdmvu0LKlE ekQfdWbMChtEIIz3WgLAwboX5rToOYowLavIJn3OMPH327mzTksnVT+koX2NSCbYaNn/k5B3yR0H bVFba5m92A+TeSYIKd4Ya/6WFERXDlxcPd1hjnfmk1DLOTndJFWUpKNBE0bVpH0w6/OLiA1Cle5r mQddJMStGPXMaMr1hOBpdm3AFjbYtaM6qqsYHgMwfzvQJkSSFJ5E42xRZfInpP95CkbydLbsz1BB PDRdyFeWYkUGjSfi9bxklP4RqwgVBCiOKsu2dozRLgG+GqmRJZr31+k8c55u5cWPAczKBrgZi0YL DDxku79E/5hMv9KF2MxisQgEUdCSXEHeMd7EAfvfZ0mNSQy/yqhwMnqo6Ef7x9fwVWVexcoj9vc4 ZHmqXYHAx4530gvCaWRLbhwgsTpqMxahrPyxkHkuvCIjjQp+LsEdY5wovAdEfhN32lKBISoHt7Qy +PNhj9t7/dR6RzlwbT8Gg/vpgxJ4cvOSFRkHqOd58+pUqAsXvcBUAKgnfOdW4mW3N1hHGeuTCq92 TNiHOkIuMUUFV0dPtk1pqdV5qbWmQLkKBbcwhdBuWdwC2Bwi9JKu0iOjgZVqWVPDKAsFYVLHlCs7 o3Yj9UcLNLzmlHIB5EN5AorzC4E3Yy1KZQ8U1z9MvShnmtIOwaDVq971wiI0Y2C677CiI/V6+MhD WI0KGTmUzosVOX9yzpYqVxwXl+6vi6hVBJ8tm71mEqOk/gHxw3AZVcxyhkw/QuwRbLW1oGR4foZE ELBCyJPLF93Lw0cpbCvr1nDF2ivW178g3+gONaMGB57daPZV+LPRIpa+4f3uCVfVl+pNkoOTY2rU aWxzj8tXOihcS1egqP3569TE85qfbEiT+i4RBnW8T9XUWNeTUkfXqfZrMLSFMNU3Vc0ZzYNZVlu4 MVlHEUvp1dz9lXL+/XjRpHMNeJmpW2v+9IEGxnaUTdehrQThTR5XnQfv4Vt2bfHK4qPKqc6sNF3N IHsKvtpBdRGHoHyWKE/ii+2Xph6QqRSjLo5bWwCsojbaw9fxdjg8XbatgQCZwEetBSVj+XTdoTYs k7yzg+kjgfNOyeLXUQAMax7j5CAkaGnM6Z77v944AedK4O0/zsXK2nywzEA+Vq6OsA/P3Gops0AX W8Nd58mbYdTaaOMBT9VK+WFilW5YrCxIuXrAnIJi+u1Hw9OQnJxW5bqLg5lEAJvDCjzHmAV84Wdd EnSspKmpbmfraCO86jzUU+khCSHRN+s/y9yFOSfvNohVCS4Cs3DAZ9/6ns0FnELLXP/YsssKczrp 1TWocRnG+rEudXfnxvCxxFzR0wbvfBHZw6ukyqJypDI3U0Syf4Dn8Vq6Mw6j+ivkjfALtnyozwPE v7FTb9vi0wq+EiRgKNcHdzox9Kw4MMLcbIyFOLzd4zsRBMoB66BM0AYJ8CToKzslP14efPkSmXsp 3rFv8AS6xteNkGWrHAjt2+daHQUjXFKlqXjFnQ6bX/lE4dVSWKymZV5kyW/g6pmTT4SAJMLL9XVZ zBG61Jf0lx6fWgvFQwZ/TaPoJEoAyVR/DsmtkOZYt4MrkhRRay8aples76Kwzp9igiVMscyPjM8+ U+b1ws61tI+CyWu6WFQ5KTaolEvEFGEdah728DtGf5YTlGjkHrfCfDEz0eGoVw7wV9hN5D+6+cKY HVBsh9ZwiYeFdtL11r6DcFiEwLfkIdczTTNq8yftXOAjiwYwOQPoRYHYhdXAMqJh0K8nw5xtMF+k 0p2uub9mIKtRkYgxQinSU5k48/ZqXJtJVbwYFVFmQ2fZKpIJMQ04w2yXo1u72TqMOBCMRlqV2teU q2ctK8V2LLrPtVZUHVojWcJyRajhP87Fwt22BmUDLmeIVjhoofce1Dqq6JRcCfq8inrRQ5kW1LPn AWPo8LBldcfLwx6dIANt2skh0A9qkMbqm7BDlC7eSsQ5XuaIVANR389Oz/quvgEDqnbsZyMLzwLb 9c2db2ELKjWgUuB7gQJGDWuhj1D770vLroO2eJHdZGZe+Iox/eWJo7x2RsITJZ2nuTLqBibBzVIX qaCcBIWTfC/kr3LWxC3Owa4XhxuJii7aNOlKIZDJn31CtEbwBDBxjUj1clLyT7OaLNueY+Ou9Dw+ kk0GpIfOmocDL7rqDBbXRE4v8yivY2gAvgEPTWtQUvm1gq87tMkNg/lexRH8io0faokz0YfZvB7a 03UoB3RgYlZaOZIr4SypHyVgSm/6ORvCxZ0zlXlw2Zi6j54ftqYCCTYOyutzDeFhDhjb5olI2SYR 4K6W6f9t1c8PLWZ4wGveCkA6OmV4SkI1bMcEQbZ8U14qsSCCwinLHBGCyYD8On2Av5acKlkrRFgW Lq/oumHegAVzlkImwv/MLf7qzC4qFsQXfV+wCN2qj3Z5wxBx2Ki1gHAihzcaosG0zcj8wDYL9azO HzLL8P9eBToZVeqkFMpqtywKSm8n2NVRtjVRqq3PamVz294QMXsk6GF5FYV87fCdGkjXFWkVLDHV stRtDk//6ZM1BGvHz651PZsurMHMo4Jyv8gkvAh36TcD4qULBwn00puL2Qdu7g1iVro55Be+AGbm 7GxdhLssVpfhKp4v8AKQ5zxOceuffPyX2iF1Orq+vMUCRG/+gyCZeUX/YLtINwiVUnydBveH5Ogw la0GFGDpFh4ufd9dxOtnzBO8MMgZokdeEAor2pLaJ9xO9wgZGUFslRX1HaooTmgSWHklHmg0EeB1 SozRLSoYwgquz0iK+Hw45doAb3SEtKFuF2XYPvMyTidKDFUf9mdOhSMcIKPlCB2/4XUUCzGYnnEK kI8GS+0ef9G90kWvwQVXlSJG/lHUgieVdd/LEq7go0eEkORtsJKlKeKf1POVFgybhaaY4Wqv/fqA soVLprDSJrfVT6ojWEsi8PvbC8rFxr49y1pI3m2BX/Mth5MtIyGE2Sn5uiRJJvyWTeSZAfiPGSj5 bYLj5EVfZev4pdrvx2Zz8VsSy2QWYrYJHcXMt9o0Pl1DKlRHLitbD6vYoIAC7NtRm5nKakUhPizU tQcERym/jLbOSsPFZ95sZNRYAq7BA/gQUtk5JeXt207V/x7ME52d2OHXXb8xuLwzCkyBwcrNl42g amg2g9Bi/NSAZIMn6WJ26ZaPKhbNf80cwNFg7Dg6EKpY08BnsPDYrDIeRT7kS4zFT4cXoBc8km+w w22T6WjQrt9MA3LIT7Rtnhvugdlg2GG96ya8ILZDuJ9YMTcZpriyZxqJIMVLy/qvZ4V/o5zrZz1Q VGVehq2Y1OI8DhrKS6L9rby25yQw9+ziC+PzYQtYVkp+ivMesvvLv73AK34pcilHq8GiQcik7E3Z 8qF/W4Z+9VELx7w8l0Tp+PhEnT2dPTPJr5KNEpPjf5rCg4iJewnkF7YuarofzNAZXe56V/ZfDKQx to8Ec1n7RPhRKNQ7ymDXOXrfA8Urd4PUKCOr48vmCkQsgTnHzlE+MNpzrrECGo+2nuEWuhE0eot1 DhuYsj055bDz2EhoBsiiLSZ0VyTWOhIs92sal13PybKFsezJepqDOqqN9XLvTBO/quYLvUcMU5ec e+b3WnVAklxyYcoUAlzdChVFESkIuJ7WezJRn+c+ehYLP66OdXt5DcRF6IHRW8puUxP9bWRj/XJC zbb5366BPeNj8SQj3ZwysF4dIVKDjLr224g1r6/9JK4IpAXkJWyySuH5rs0TgwrqAFqpJp7eI4NZ tzmby3rD18ys0B0eHKo0hegaDmW8kbzRVdqccT89+UpID4G0n/D4VixQcW/nsEYFtRsPE98/6pnF DLJ6QORuf7Wy+VxpB5c7tTaNzEnwigtBo3BIKQIH2XMP5l8EczrzKed8c5usj+PyWNs/AxccIfpY uN4IFHzFh58HuFwhoJ2XpCKMw3CIVCUZf+vtiQCzIx9OwJZos0KykGkUQNSkvubUXLnvhCRDOYwH gugJ2vkoPCw1Oyzi03cjlcM32OEf6C9DshNmNg+sU9yvGEe+OnUM0b/nb9y8KsbHNkR+HlKuI/RV iSJRShG85njYtMJ95ROyfawbaxThd0mKRrHQTm+BiqLCGbIJK9z7xPqxN74qUTceRZB0aARYdvD1 raTI335z043bjtpYcMzohiFM81dAk2eXuTFTWgGusBPM+I5eHtaD6pMCIjjtZl1gTEiPX2L0VxSI 94EuzTAX/FTaWhClEajc5ewfuBAYwZj/6kEG3+IohUZEWpAHVDnR0OdKTiBjLhyLBGBHDMDRHC+/ zFPiTL6fnKYCJyV5nlqssNg7vcnBZfArFSWh9HcE9LQ3Zxzh4lINHAbeiyvvlB9XMEHa0IDN4FCK tv4GHp2QuvOdKhebsxsfsjY8xy8S9Dw8lShMY4SdnPeMzrCh0R6yERL+XCoe1VysNacVa00IuqxV OO8Y9jVwWLTFj7e/SKSkDQ3ojhXksqFvStAABjfEnuD/mbISkRiYLDyODRjNxTBWwcILBzASSLRE qg12T1FYyKVMwxd2Fn1foFnDTpMOp1QQzO+cczqcZfJHodsiS2rCytPkCXG6bzCXNhxrETr1+mVv UAmySLPbZleVWiJlcEO4XOr0Irl7QYWLI9RJYT1Lmyjl6FaZVoKGqIoYYN33vqrD0RE14vJGQtL8 H7h03nqCxizy3SX94YpXFkTY5JGu9X9BZUh8N9Tj+Kev60w8EPj60vma2Ky9YhfghDIXleIAB4pF SaWLy/YnWzKKi2bO3l+ZlNmb/e251IhXtIumtJZWoXecARxDgrsHobl4GGboUz6FlIZvReNZFrSL 79kjo1DxEKa6x23fI0ecOceRyawbNHZI23kKkNg0jDc7XhWGpyEvEFE4o73qlc5xugTEuXEdfYuA 77hyoprUHokV1a4IcG71MMpOypIB0IlfD5/txO5LC2Eeck/NDikloJZ8aUAzXBOzSsXZrMxvRZo5 bXKF3lXix62it2bKacn8m55ACbNwC4sIyLSwHWICa6In5A3Jftk25A6qNfTprGT/8qDXN6hr1xr/ lqDeKRflk7RJzNAvmAgqo1myHpMHBwHcLiN5Io80FE7JpDHpt9NNF8RZ4lj2kLYi+S+OBl0gVz1o y5rFIEGkjAqpXn8UfZbc/EJMirOgdjVgU1v+CMUwL5g0KNTl2VV+2/qe/HGwK4HmofYRt4/F/USw KPy2V9iAawPw2dQQOAiemTmFPAhFNCElGFBLDP4tJXHC1pJG2sf2pfWWa76ChHO1V4/ImYrKecdt vkDdTszcwBW0X2ZGmIrGR8WrbPaw33UujrK4KykNdpW7bOw4lqqdSpSYBgFKBmmKQa/D3gjilk1g wvCqD+kbJD0FY7KAI5Y9ELMoyuMsWv0PHk/jQ1xb0Awhw7uor+7bK0+w/MV8pjJxfUUNB8uirGIn G7ycabHR1n8Tleq2CGzFknXQCdcUGT7vCvkzhXY+tpjurl1RDrhfjBKqZWNUswtHy/gbcuXEJMgo uV+vNylq3JPU7XFE4hJ3kVqeGtjlay1vTFNrQ7LsAGHt5YEvjP5dKBQXTwk9qt6aR/D7y1+LZmZa 8RIbpqOcLPfWMvAGCk2D1u2wcYtDYnlJoWZTj8XQCaGSM8cjHP3aiMTqNvYo1LFaeYP6v2SICT8C 7EjXk+2r5fuHSTdJlNbKqULwUGTqgT7CQoTJ1VHIufcTepylWB/OtXbXiS+/RSMfN0dw97csHnIr v6McPDFB6BLSSHBhQQV91qui1Y9eTWnVb4owFfaoH6fhgntzncMHEDAtv+KFripRBEB52oR9dcSp PEYC/J880/9Y5EGQsWYBgeg2hpB5rYheiu/AQFGYw83GuUHSBzlY3l4XPrt57Bl++leHyJmtqGXD mVm7F7DjLVO3l//rxCo0SP/BfLOKIJmyQIKKyNmfbxkL3gEjJ3F64wnIFDbTmT0XPoU0Q/h0Vsot t2/56lzGWAawzg6IgNEcXMG7DuXLZ00PwjZJRRYIuJ+YuSngjb69iTOnH9XtQz3wiobEoJR0D2Cf i8K/TW4F+Xinzuqzf1n6+DkmaiOOoMlzoH/Uh63sKHiRBSxCO2kDSAqw1umi+n9Lez03MrzVMMQ9 HR7nPsbKe2/lucT9tZImB/AryATUOU/pnavby3426QvLoKVooCX9KPR9Z1d5yHaycgNlxPudPuXA mUAMitI99yYdEdILyG25GW7vjsT2+Bu1qUOTKg04S+nPS+C+GMs/tiQGvAbBYJHjezwjn7bz78ww xHEqP5igvZy+fw3Nou0Mr6a9KX6ZRdcv2IKdm2mc9R/+7irYmyWXq+nLMexjuQpwRatHcIqQ2Jk6 sdxK+ZfTL2jmP5kFPCDgJJaZO6uDu3ILhdY3IgT0VWQVSLyXmB/x/oi1yyzKjhNNpcMoyf2ibtZ0 E2vko/5mE9r/LNkVGPv2yNGyzlGN/j90CQcimdexhek7n0SgIiFd89gx5ff9UAHbCaMYEZoKkrap hoAcVII9IliKTHsMflR4MnZlsPB+8JmZgoiBGUG+mn7p/ciUfEwPNNCRT+VAcFB8eZbLsDps9upf XpQ83jfKkW0LLIhjnejcBxF+a9LeJKI6wtvlWv9XFCGrhRIseVerbGFuff4omaeGfhq/DHxMvkiR fH44+Ug5QD14kVpddodd2xuxNul5/hWxuxUpCaAA9gEURINeG32FeQS1m5D9zDTLP9FdzKhBcRt4 fjDwZzXsaYX5l2Gw0iUUBiYeW1HW7ZnVkR+YvsxDcfUzUlkNFXSI2Rk2g1saWMrJ3Md2ZWe9kC+O OOxhTEiWdrKabZxzdRsLMXD//Sq9jvY1bF1Kv9+MZisM6pA65HmPtfceToGFIPE01uxQe5RITWx0 F5T/FDfiKAQTPH28uV4o9pVnUWMVpEPLtdo3nZ+PIr8hygoMJHj6c5vSXd7/qQ95TxKN9EZtiCo9 0DsvQLbuZIK2b43I7hYpAWOGmzYHVZqPtIW5xI81bI1DaieMMlBWxWeye2IukdoVZXmHAijk/tww wCUtJNwbIp0lWRBcxUmOjUGfQnFbklLFjqrZfcaQ94/vhhZ6sqZz3+PfE/8TrToa9wld4xSbsfui hGiQr311M7CelerCJAkc6fUVYJ+46yf3kQDdjC8VqwDilzqeXiESeCp7Kvic++agV/ngw0Yonr/h Dcdf5bT5O08nL3KOyVdWEGpMaF/g3BVyyUlEnWQasyQgFZ29RriuR/taBTh9CLchbWpC07V8MTjr ggjDHm6Iw2BOZeS3aiFkVQQj6roJdvtLx7U+2MXPXdiYILi8jCPjdCPIcxmx4lpvih5xX7CzAYuq mr2cpLIulp6oKNGkH/iKQrXl3CzUwjYqMBXVrklpKCFqUXQJfAbM7/LSqUICQYzaS/fbNbCJ+F9z fFTsOewc/Dm0mnMwmoJLReeBTfRVQi9BnpmRNZ4xDSay5gXgbRFxU/9vcI73YcHBQBew9u0gzmAE YwDNM1olmh1yMSZg4OHnyZ3z7c7EI69IPLdVVDKW+mIoK0Lt2w9ifn3jtm+LCnP/MTl0nNJTZ0Ud ju7/DIWOq4T8yEJ37LxkpqUeZn8HgCNeP34T+WwG3eEdPvWtWBCMgJmDPwmXentya+fQX8QBOEtc hhaU7rESU/iEOX43Yj/r1h3Ikn7Ha5DyOrJCmjLZ8+6dJa9DrjGAE/cPPcsj4FTPBvk4Gh/IdITz Pja49TgosCu8K5NR3MUiH1VTkvEn5PXjYowvJlFxxk1En8HVZwG93b1zl2+cdagdLkVeztRjMJEm Tk1qg9qscAZZyKw1HhZZDiD5ETMiHo+Bn5mKvzL96rpu8y4vAC2VHqIYpreywXkBb/sOwB+YvMkC Au69jR+FbRw2o3FNkAPhQy3y7h+vBr8eiLWZKfMFD3qekvdKZA9JauKY4IlqSo0gvlYuZmV5+iNt eejYoRxjjRRWtvqI3HcCw4TlCxZnLLqNnm5Gh8B+PibojCxyobIv7EQrIgk2izv+/hGK02ndMWyr XmY43iFPZYkAPjx7gBpkjU1PWuuIJgBQ2X5khLBEa2Jo2HVf5YtGa2hRLdfnMjAsk4+qwdZxdHdi eTL3+4xPrwII2GMuXkImdvu0Btr6IrJ7XR6TUJ/Zn8hiu1aIoD+FrLeBD6tAs8KcK6PoFt+vZWaX Dsp1toXkDXpXBLc+V+u47E4U1jYUfw/o8lul/rbW8xWhTPii2ZGHWi2YlPb7Eu1EwBdoHd5bdPKR bMo11MiXLI1QY+pwbDntcE5sE5QmwxXMqHwcgZtqbCuVY/Oeim1A38Y+jVAwvQD9kPnTSyy7Bsj8 vxoQ16DYgKPbkT8/tc6vCz2lpC8uwTxVCRwsXltQYjuA9YE885IP01G6RUq9FS3K3LxUJtW02xO4 OsSzTWKaOtBtz3EuAtxSOZ2sreEGKqjDjTJ9D0tJUZdVFvjlnvom7jb/s6hqhnl0nJvu4nsM1x8x cs0B4dXidPcbTzSYrwHLD+DE8cSDEsCRkv/8wJUJ+JGPqA/q3YwnOlXWJReX/ahBX53JapBgo+uq gYtiXRUrXkBIVbnNwxhyF7rANXfNP0m+vXpE/zJRsL7WrDtx3kFnp8wSGCGEFSwpVRWKZzK9pAuf bmQj4/ClEU70C5/W1rB7xUzSncNIi0/0hCOGHGl8HokBJffUlMHiCosxHAiN59LfJ1Hj5tBpLQBa ssQ94PDjgKdV9X/Sq74eySY6pRhbun2iOpW01l+5Vys8jNz7wV2kkjOKIRNgsKCVkUWXAl25mGFy RNawLQ1QWKIqqULcVz5pT3FVrcRFr0aI8XBqLRyrcugVCDXFtnzC4nZD9wpUH+TL6HEMJZjgSFxY 06CUXJv8cgyzR7ZzH/xQ9R1FZohU9o+13MK82iTs3tlt1JJVqVyATrSkvC4Oj4Ko/BFp0TEBjqE2 MNtrBO0CNLvyaneebsdFa8PcN28RdjcSSekWd4mDkGxvBx/gR5CpFAJKTmn42HNhPg8qcRJPAjh/ n0NpgW5x4FWSn0XYwe5nsbe7PR03XkQyMsYnrU1U3uzmTUne3l7BfLSv91O3IzMgqm5fg2aJiJkP Q0uesQDk/xKy6gwdBKDuqZPuc0stdYlzfTYqf1PX1bGq7i6cVtXufQsKFgNGUqii7HuJQzC6n8ZW ZMKzK+WWLDZml8j2l8xzoQkxBToNecscyzqM5TKoGBL9QGri9NK+RRhGHITB8q2D4qZGiV7J89Sb blMsoZMA6UI05ngp8EK6uBU5ZAuQ3894WHtXvJZW9gwkagjg2IKdyfutVBmG2f8KadF8y3IstanZ CEAgm9L250N/XkjGHr2yzNrk7oAfercysBAnoIvgUqB7sKgyDlmqKoJ6S8PZob/T3I2C3HqPatwc TvoVzZPejE3r9VUH5SwOkUBYgynYCeuVlNDZsy61bHe7vYNvE5MTxK3HYPbOTLJqc1q/7hnhnbx1 RAcfLKKvUfW8xSyGO7Fa18K+eiGlhEgaABWmZmYTAuTL1UnYoq29BtYpD0S/XzSgcnBHAbU5C7mB lkfKPPw5HIdPYXwdETmlngSF9+7CkpdJEj/G0x2qRSP6vyiCbMzC/Ja8FFYrWPhDOd0VnJOfnpj/ FxuDiA11wX+H6WhSLGMuYcnrSinmzrgY9gwbp7ZVF8cVnisHouwv+hpJhnBYkerT0zBdATrBe9OL yY3NGeHb0d09Zi06otEuNrxONX8prBu9cupb4YyjflJSqpJvzMY/Ccs5ouWoBvJ6kJiBzYjUYOrM hI5aMd4GkiEu1TDBe0BXI/6v0kKoiwgVNOiPEeq2vd1C2ccl8Nvm67tbBG0pVmkDNRgcMNTniPXV 19r22PVC1qvIcclu0FBp+xUP8966bgA7zwxVl9hrfy+dKMSDMaBPETPTBgsWi1/dwqkmX9rGm76c F31SGX4melMsH3tiP+V4kYzlwAon3QqmRjhvqHuKy/Md1cys3y+FSJGnwFzDZg488Q+aeQbNUb+d AeF68oucmybCJieuabFvGldnYcB7PGUfE/yxR7QygCGKjZ/qPDTK2nkgfkDVAI01QN2I1U4UgQ99 qYQSF41z9CSIW/k2FJTOwZjU+HgSHCbMaOSfqhdc/WGW2Ujrnlie+/hQVDz8Zwe17nCGuR9Wza0X P7XEPHBe1JQAhw//RuT/FwvCESrnkQMDwfRPH5TFkUkRmN/Lmev7hbhavjCOOFj6bDByXY/OjjIE ZmGCHY2NfdzC8KS5dJroPCjZ+4X1IpftjqtCYqGq9LyAOl5guentg/NUCk9wuDADY8W8e/YoUz79 MSjxVwOiPV/dlNfJtwbVZG0bhsnVBaxEU1HnoGAOgSdpDXxF8wLaFnA5p1h5s8h0i0QGZ6OORwRl 6lh2j69f1HiSGIrk2Q/27RzZhjyo9mS7pkWBbiT4kC7qzOyzM/VhxyIlqtHj7ATK37e5wr994ban RIawFOhHAq9afKi8/dS6yQDtr+bDPxqSTjITe/9lnrDuAcqq7It7FD/kZ1yD0TGumql9g8NV2dMn xF4WebEGU0viWaeUMacjc7zpIecJlFVwi4qZ60gtV/W0M02jX3P1dH/7ZHtIzcjDsIAIBRBNzMop y2E0dAI+El3D6qmFy1LrSWMw6Q11CKfRN+7vvNaHlsdNxdKHOVXNA1MDmB/dPhtD5+0NJc1Q/Xdq /AK/lyi6sRXdv8yLZHL76bQir6reC8gDl7Yu/rhOGzm9OP8fkGPkLLjga7ZajN8si2TezlhHjUEJ 6qn/vPH7jkkhQjeO4yCKfSFPxZ43a0QL/fP/AJ7hR4OT776OnEErAxsAgCc6H+h75jDg5rAhl9te 9/YSE5HnS3rkNumGxmrKY6qduMI7msJaO8CU2maaMD3jN68c3atsPKrc/lhbcHIbqBZve3eHvhpS 7M3G4Bz4oLgyDOqc2NpUVe0ahGws9C2SKvYZatC0EkGt+oaPa1NihYr2Atnk9s+9JVqtasDN+Yfz o2ngV525VtWSY2ax/sNMX/paUo+YAwboOo/wnmUedAjdVwEAVaoo8OkOQ0uagmDif2r6Ggy6dh3I AeocbmfHdQ8Z0ws6O+L65kK7+KYBShK5myoekzmS6zyTY++zR/2sdl4CNuVw8Xl8X1gLE742Mpsl aTfGRTLyZvQq6QMXu+E2EVrGKlFusn5VZJJu+iNb4bAfxF6B2liCGuHVqGhOpN0t1AFX2Qlcz9To 1dhkwKmJdcBtSAV75qOoOapUBFo9rJOAnIGuR4bN5WXz5Aqf9g6MqXohG1q3WNeqe0U9yiZu9v4v VEqQQz7tNkYjivhqheHy1Vk0uo2Ptp3nFc0570Tbs7S0NZBov0vbEo4C6sxR/v4wiPLFVfCbXUBw 1MvYQ5LyO5gIOFXSv+oMqDgT9vSZ10tWjK3tV53hOKCTu8eGqsATH0n5TllUnAEGlLMaHIj33vkL LpIK80P5I+DaQMsMjcYgfggiqlgmi3oGmXbMM5wDKnpEeimlrOevWHL6FKcnivFRNacmq+Sol+sH oZ/dpStKhLNlDu6UBtcmjUsW4CDUsCUGpNECw56MCtehGSckZN2ZeEhDK9VlkCn5mlMW0lbldnEc giyInKjlr7Ai1nIWaSzISUD2XACtCxQ6l9zFFzkib9yvBMAP3eUQhV83ISJeD+YNgymfmL0coZM8 D1L2+FiqE5R7VWrSqYRdIH7V0AucQhLO7rsnFo7ELcfNAr94YwuVQzheNgBqTfb097GrPjSv6e4i fNokobguPB2rADBRFKklqBr23jfbk+6yasyU4Hb2NldzSiV/PHw4cv7+WNolKp0tz4iJqcVtGYfe 5oLdMDIR2vMXZRwf5EeY5XhOIGqdmvJYd5n8q03mTzF7pEAbjvaskkHNChPnGk6bZv5goJjVeqHJ M5ebKKBjSaxhMoMZ4FhO2/N96O/g9gzAFEOHbIEgfdLSe8bVx6t1F7GdpN7URV/56tS0Xsr3Xx2T k6QdSs8v9S3KtdNp5LV3QAGFiWykv7syOXxhW8j+c9efQOCdRYcjYqTS6/Al7tJQI5Kw3LAXA3V1 D/XlHFjDoXhBWwHCalhjhG3VpRcxHZY09mJYkRm2Uufa9VWnCCQv3oFJOv0dzcISZtGFnPp5qXSQ dNrceNpnsdtPBf2w/s8RmaPGN/jdUkmrdRUM1KTzmIUQQsf5CVXuJ847x5YI6NKO4OEFzcOWEJJL jhCgAmB5z+YQ/8YwQ62ennZWycs9otQNEiMsD787ba/FRAYTEtNJfDbCHRYM7gMAB8OtN39a0dS4 FdnrtjpA8zR+JYAEYa7B6hfBK1XdbIoYQKGloUl1gPb3y+MPYdI7IevQcsKswCfor+LQptq+XwxU FK4O6GzF7uEeXF4Ai1/M87BA4KaYDh3FBZx4jk6LYxNSfILOcBUL7TaboEqMzwqV1XDZKefNXu4y ZX7VDLCJZI6GF5lSRrIWrwFypsV6Eh9RQ6PRZz1QAB1fAHUhynKSxik1AWK+NXkwlkcGudzWPADY I5PZq5E0yD7tCaRx/xwOqvEApmY+voxbILiu/BrvdP/yQ+CnQOwj/vNRDNtdUCH9RBPNNiXAhorv w03ElE/cOBZdfoi4pVTAFPUdFtcYRsYGV2GZQPq9uGX22ojSxHwyUoxwIDrsZ8La8GpCsb33/lvZ MAVReyAnDrMWKhsj6lvjlKMptUh8awnG5o1M2qvRTguAyQi91tt+XLRSiY88U8UoLPZI6GGpfeoM Ns7Hrsy6Z7aJXtmkFjoUVZ6CiD9hxGfk949VDAM2uFiEJVNmeiFW3ARhJU2TrgOtAC6N6SboWVcp xV1aGBMTfzKi+cZItvyv1EIteZ9dPMyFAjQoMmnSpAVioVGaTXpjRDQtoGfZrgYUsqtn1kmr0K6D 2Nw1pMzdMg+KMtyJjyTRyrwUniZFXSb6mw/cCwhEfQwIQqmhgadUhOIJUxg6tcTztM1xh0zeg+mI Z36Hr9YApO75qYiej0fHO7URVImrMt96LOc0knQa9w7NZRpl6/WU9F8no5ngjLnvJYz4KjFa69/T aVMXiPsK6zun1E5X1AeSxQR3vYQ9QSM8SpFOTIwq39gV7BS9H4GDVkNQh0Yf426QH3G4Zc7oRVAc LkH8BIn5CMsoyKG6mUaU6g7euvM9bxcBd4NWRCOLHESFhMJ/x6OWNz1FzNN1MwYBsQKrbZkkzhbt PfBirFhOQOv4FFoj5a6Z02Q3rZlmx0eQrskPwU2ELo5A8iZ3MIFMHV114BfE1oxdYpVljTB3hXCd nJrPpCNNxt4XV0eWwzreWTTOFAqC0ozvyuFQlirChMD6AjJLV5cKlLfjdSxg0uYcJ0FZnD1td7UW uh7Sb2VzfrveJD9MVP+GvLHMBwgo+kIsIPcX3Ot65nKuuVOEONs++sdyQfgqaiLaMduxtnRsyHNo ftOqpA7olhnUP9W8bfT00GA+anZ6WiWmgwwSGF74mZtXfb7AMYu6b52bepOIE7SImNgUG8xzJVxX C2y/SPxtCpwljkViXQUjsMJpnUBWEW8x3042PkaKICzaDo79zZ8DcrVQvz3JCXp2jxowzUth3Lh4 SsYTvwUkHUJLmsAYv7NdMNeE8mbY1KT0D7d0CJyHMslPtY+5ap09lLuJcFtnND+tKMkmx5MuYPXQ suK9xCM61qrnqqjiX1ZIWrBHNLI/aIPZE9xxgPfGABmWgqYJiZY6jiUNNnE2uQFgpfxaEj4uwPIe MSrUpo0jKL8RSiBI8W5/lwZjcSUlHmQN0fd+j73nAZiZ9kVRVgYSHvKWC9sMTOzhnpxxIeqDD1mZ xAXQVsCRSGIoG0Qg1GeRM4d2swVDeqi8s2gGSGPzljxKTh5E+D8uvXAoBBW0yc5rnN2HCGcKscYt iC+ox/nhO6YgQWI42b6nIN121IYkM9Cz4Vw92BCr/T/8tU7KMzW2IiplqDy7pMRNM+xrT9CD+x6I qch5WDOoePNxrRdDHE5p2vOAZa3LBqYYF3+UtGtI2U2IEmidzIl7rtdmcOsevLHVOS5OYc3xPUh2 Pz5zlxeqplbgOdxNAQRwNXyVrXbU5lrZL0S2ZuozCIsTdqB/YKZoCl6+08YPB//OjBLb6hBdv7Z7 4HGQK53T1M6KYxav7v3jZHDUHZQSUwNAoq3fflhs8vVvkCyqobgMOc+rrOrAXbIS1o4FdNH+TPyF dLmCUJD8FDXw2OiNgHklpaHs9qPSwmYBdAyy+I7dYzpC2oLQE2Yl1fMhtcbE8elyZnpn3f8hzuB1 YE8ahPh001v/rb7RJawymN2S7xI9bTO4yqYmAZVs62O/rn8O8voudMzgpAN+bdu9eDhO9ck3thXb +Cz+i1WkDXc8wFX1ast1/tULiaHkHHJFNFnWz2L0PgmF2VsSIGVAVIEqRuNgYlH9bJSGjoF1CEKi g0ge4sd2AoX6BTAaWxz1QoSiMdjbbf1cKekw599fDAd6sL5ZEzGICg7stjr2ZAwG2RY4irdBQRay Zw5JpsEj7Gx8yaqQ6kI8iLkMIGpI3Ave23FF9nVc/c+qZJl9nOkzpQlos2zMGkywAUKOl7kzGKhr pBWq1dWx2dcXIGChi7GJNHxDZsVZf7+7tqUvPi/eQgdNQoLmcuFXztekuCoHGRf8tq/9rVTOn1xc EVl125uom/gaPYxe093DotUBRkhAwx06QK0WWbb+zxh8XC8KCS2Et2z0sEZJ+YnZC8CGYjihpVI+ pnlBPUyNVz2cxZkfhI53KvoEV58+AG887HtbPM+IcLXt0+qXoT+TmgiN/TnfuRanY1FOoy1/Ii7f Y8phpK6crHxt5AG+w1itFwaC44hRgbIMquWPqwSjfcDBgnYbJbl6O5iDijRUBNtZCjdDU3ZbJ8EF IB3W0rTaZz3xheTMdiOj6VCjlvqiUu/VvWOL4EIv45pTC2dt2qt/uQ35YSJPZREpXdbYeOOv/UX9 jpg256H90O67Bx4rE4svWxJ2mV3txHw1rllgxt69USYK1BdQBtwKcScgyKxc2C3IFLBXGbjus1G8 xdTLkP/ZFkhv+KiI/8agBL1KxykYH5wuwOS3SUel/kWVS9MEooHJS0H/5U1ssOTODRvLJEuh6b9U +OII33C/+xQZLLES3hcBgmbPw7R344lqrVt2Q2EkJR59rcIGxIx5Xs0Mr83RNQUFN+ApdxkphUXM Q6yvd85slQ+5ofkjAGnVdWMqa4uj3VDvxLYwg0z3E0iYjtm9giw1E8jY8/m6MyxffIPtETO1f3z2 oFOLsjqbWeLY/VZ8J1VVwHN861xusDBJsPUm2XCcQtiz4zi4aWqjGYw24/hz582FhSrksf69napV MqjhVetNDOeWa1Z4p8aE4bjSjcpWXjHfqnSp4YWtY5YjNVuHPvl67lSKRsbWa6ii4EWL6q2JtiIg qpJxOoFW+x6D7zPaJD5M0htkNVin44Ag03FHm5wrgy1d2NkyQbpG2OsEha7AtqKaJFhZINMC5g8z gYv0OCbSFgH+PX6mKfobmFpbiKNBz2YUIxUw0+oOBm6u5Eww4YXTa9Qji+IpjeSTHn0UKjMSdtfF VUVuSuvmaMVSuQZYHQXIF0bWjDnCxodXSHB/UANfzRbeLEzN+jP97F9HTic5Or/YZOagkRBSiRoT GE1Rsm642fcVAPgGus/RhdRgqxuUVyH1DcGqltgbpiX8vghvwyKNHzvBLgl1JujHD9GCnm47X5EZ Dp4GMJ3QxTKNLFJqSFxWzyfaHRZxy1Rb5o3gc57UnI7XOqdPBoON15NhqpSM5/H7wbA99wKg/sfV XnjOwz+IP/pEVIuS48sgIWiFqYGtKaA/hYUn4dNLS4+1dBCeWDDCZi4rAk+oBqmwHb4PwVpPo0rp 3bKsYU5jYwvo+qO8nlTgZbq20pL3BpwiUQHJzOAze4rtO+g6YAn2J1E5xe5lnCn9XjaIHrsjF7ne 9TACGJYLYXfCnDCRuV96x7rrdKII8Eq8nng/VO2vRE8KMPFJswkP76KbYsWWBHdYoWgQonIp9sWQ C+mSQ7jY7jtkR5cBivDG2j06esQKsY3t1GxVsu4PMF/tOU5spp4j4SeFy+TUsBW15lGhhonvxpCW U3YtvO25+DFlpo7BJ+JNkyEoYBQ9r2ZwO6sCDmZGv8mZYa2QN8zdpFgHxb8tFfb4Ms532zb/Kx6C KLSbEpRHmb/VpQsCFd3K0g60JtB1/flUBGMGXCv4TvP/3qZleDpg4YZVNnAMX8MF2d6omo6bMvS0 HrSBdlrLaHckSlMYtfykkygVxESeOpO0MWnzd8qGjTFyLHK78WuZjkhH5O9WJ3VhUmCaPqNexWFf UZbajDB8SiwUtgo6ZEFtSDeKdLGg9O5BeZkPhvD9yNxIz1+QY00fu4ZKbklTuBuGRMuNYhopoxEE alFSrs6MTHUKMgYxOqx54j3qFDXBOvkIetgSHtPK9g/kimBPagp5ec8QhUvVOJydTDYZeLJrXxcg ageEjnKlhL9kWcfIQNKlbnijhszYR25b/o81ZumqqX8A//Gv8LaNYI2EtaU/63CzMSLlx0G9mTCm A8edcvIlppciptTt1Tc7rvrOgmggFUCdAo9DyPcnZttB0gaDTQQS/YtyQPwVmmOBkNpq/08fR+PD vuKA26+4cgZi8h5upiqu7JVjOLKyjE/N1osG+FTe4DXb/WBi2CJVIFmEzNp+W+wHMCuP2ZbLs+2O J+ONf8FKopB/H8An8fSKpN05Tos0Aw0cT9FeM1JVG7tINzonUPZY2EyRZlX0/lWE84h63J9LLEHd F5f2k+JaSzG2scY5gBUdY7vxmvhSVn4Us8b8QqKR+bbx4MrdlJakbxDAGJh5t6919Taxhv195UPI ruopKF820xSyS9qfHgfE5Jiv9l5bVk/sOZjg6mZH7ZYDb2+34+0jSbAWB5X9p6C1+s9ZaWn+shUn AlTKZkvtHqAOlQsfpty2lLXsvNnewMOOaTXG8nvuPXlTejQu9Beji3+4NVkhFgE3DUrU08hxSGCH 1s2VepQPggeL5hfVQ5XENT7sG6GO8KTnc/V+NOhyYbMLB2VCl7OjjrgYhDWVoFh5LCq+0Db8U2pE K9KfrQZJqkHWQgrpgWXEhOS8nXDTQZzMquNrt3mJ/U/ovr01T40YDCMsf0dIYHWMqYCDdPvRQUvW DV+gj076VydTQ249a/Z3znuK8uvMNHmw4AsyZ/vGlb1lYBw5tVtV6G/eDmLpstk244t/ojQBpeuV GoNCxGrpyh3uRfcJtgldNyCrLgB+VhVpwlqdZZYMswxFFpONj18FC0VcIg90xzBUHXwRGw6IQo3q NwsKCHRhJau6iZL7cBpVqB2eOY8J/DDx6R+q0J4Val+o2GwGP2+uYWjzAtw9BtMuZeMs7QAZhxAX YuKM5T7rbZ7ymNPsMUUKSc9okTrV4jj8Pt1tAbPLcODkUkQdPTuXw1mfgbN/EEZkO0QhR1TDfeoq +19xI1d2M0Lo9v8/Z6d6LCWAzuPUz3h8V68tQjNIJQO21VnxL0cwKzco4DcON0ZXcrqnkIXnGhlM mcv0gz+JYFMLQYHju2WvqLQ7/2TdHXtezyTd7XWXF9/YX0TDgvy+/yhM9eUcFzai/dNhp1obtl9X B5CsAyqCo5MkxUYBP1FFF+qYWQj7sN4gupPHIN7wde6iMbb7+wvDhkTAAK2ZLipkARIg9TY80uD/ 07sCmwduqNoHDpi+i/naLhyZKQEwOL5ZZFbkPcRsFlyC99DKd6mA6I7SOhgx289J6vF2GRRHbvJ/ k9b2C57fmyjO+zPLmNHD/MWsxv6+iH4eTIcOQyrfOFERj5eX9tXNeFY+h9frAj1tBKTodV9ww3sE A/1GrHLnfB03vEu4MoSkVWldXB0mBalzcf9qx1ZCy4/02yhrBkHcuJRRTRlSd+XKOmdoe/6q+8j6 6bUPq9xujfSqNiWiPF6ICAZp7TFrnZVAdbv/fuSICQ81b0MMdShck5L1gJsh9QA09VaPgVjAzIzq jMQXkqTN9z+/fpHHDSwuYVA4wLYo51/4Hlh83iusx9ZMKVjmkv3Du+IGa/6xwlV/12wAi5wY+Vyd lDn/Srg4LwfFOEBbV2RYSgRtsTvMBjbZCqQq7JCUgkaxEuQmYsYY+JyIxIPBgtAJko0M/5PD3He/ zZV3Zjdz+QvmV2TR8AVoRoJABCO/ELFPR1uyYnGHlAiCVVurMnE0WQiPwmJmCUleUsyOtCby1k1w hkE8Umxwj+hayYa/cKyN1R4q1DFUTOHCxuPvCf9Qp1V1lfzo2T4BEI3G9Fe7f7e4Dwf7a99+wxSO Ts3Hi7gEkTnYoekuqr9KKHT8cd7cj/rZKKP2P2cxKaNrPAYy7edi1bmEbBBIh+nuFe0FIuHFbnSy Jx0zOb9xY/MhsuaZTGe2EPMlI1yzGO5PfyQ7uyNAAIsdRUcoSY/PuenIsDmfFhrncEw9ECYwS8QM lfLRd9Yr/7NKFFO9DOVxvHAwN/s0/ltHoocI0IEZf//wuQ3uOsQHeibdnQGO72W0CQpNJB2Xy01x nYMALBAoFnvINyPHmaSMm969RlMauH30WA8Hmr9o2mgALokGxJcpnhV7fD+H/OLpItsRi209AFEc X8Xv4arB6LjXaFyauKGVV9U7z0kDV2khCHew5jfQ935VgN/LJPK4Iavdq6IPO8bZSCWektcL6ZCS CRTwJ1SxVy9BxSOMnTlE4EXqvOkn4j+M0OfloLyCAzIitFftQrg5NzZKh6V2Fj41K634BBRe8n0g Q8+2j026ASqzrZVFkvn7HE5uO5qT0FXO1E6rNJ55UAMzbZ4e9q8nszYKxZTSvCvGXVEMomnzw7F2 Kit8la6JqHGHGfvZZvM7d7RxhZLFUaUeWXzEd2hi/ufphQH7fwGU2mc5+ZAas6hBFSEqtPxoCzeL bfesgBy+N51S1UwrxFQpu99fxkFUozcyvru/Ugo1gyBmdJVq8LnXJKqBwNMb3730GAOuNO/Dba20 2lgSwfREABYyvoU1Ua1NeRWZtN1zA47efHtkHvgzM6XGBqMR/kSs4UPd09bdDTTXQL4l+EyEC2PH etfldYm11IouTGFn5zIK28LXoiggxaSbDiGb4Uk2k2dnehVGJZqah8uAQPVU/c5wPUZxrcEFoQAG RmgVHo66DyrarEj1qmzFAYgcNnhGqPpPkogbXL8eUcHJ2ApT0E+vHSD355fe4c0R8K1GVYykl303 ec7VE2Fsk0yp8XwgimY740GKSfzKnniIY30DknjchyQF7WmtpHZTuTkuuMM7w6mluX/DEDYKgXWi lhYVQVt95qen4psGyc/5p++OqMbLbROCgV0GvZmcIxvzfTS+0Cx39lzXJqNEDyB8gJKQCiRV1+L0 V2+jFfHixUn1WzMTmzx1ysOF1gz1lT5AoR9px2zaL4HWy+EMZlBhnLlFLuoCT3XwuKZp6IIhP2pL pCvZlnpzqAg/ynTcUCFD6eji0wjRqq51Nveox6sjVa5xjGURKJBWG2HNdSchXqsGVZy77wTIptFj rC3D7yElpoMG/V4ly0FQU7Q4ATd4cqoin1FKJQSWRL4IhjJAYAyIO1837hmToyLchCzbOQHLdoMR t+yfF6KpHOu76okl694tzJ1N/paAbo3FYWt+de5vdEv+TrSg5tMn8cLchn0S44zILa3BEC+EFNUp a5Vwlj3ank4w1dfrXy2L78l6rIs4IorTmyF2paKYn4qKiZLnPh8UKbS/O7O020J/7e6NEli3lL6n 6VjgsJknhIlFaDzUQCf4aZ/b3aM+SGmWy/TErhtP3oYQZ3zmFRiwZJe7JGwysejLr3S002YB6Bj/ mmv5iCsARwnLuoZdKlcYvZRkQg0h7ocaVxO0tZbmW5KGDQf11y+Jzlnu3/EQtvClJ7s251QNTyMy ELx4Pr7DtLMxiVwjOUriUIhym3G2ori0vORBkgdGmmIn2dDGz9Q0u2sZCABGiadClYFl4dZuR5Id A6z2hrTFOoQ+UcQ06IIA2wgz7/buk/poCAeQvY/YrCU8Jn2uZe3nzaTzSo27czwcM3mzZVvHeKie jsllaVfA6s5H4c7fLApCwOPlTbmFfdXVGa7Zz0BpqzlqlB1CtivAJXMX0GNf0HjI8AprVk23VEZm +tsk98XgLZdYy5GnEtINbiOKTKC6Tu1LWrIayoa00KfleQStKRL4VXgMiqZU4R+KBnnWBL2nzl0O 2bmeu38CKsnWsUpRQ0tyebiOLkICuQs3Tkc9grdxbpf0A9Quqcu+gdKqiUfWUlP1Ebhcjm8nMSsK fQgvHDEDS+TJ5T/jwBmoPBDxskLH+g2/u24zpYdKjXd0sGPbxwbHIdUUGFnDcZiKPrm4N0xPbJWM c5RLYBsdTGHROyl95QlURU728UMuZ3ZAzTcwOyIRKp7VfYVCK96h5FY4SecaVt6liHTKJR3MJt5d laZ2bwU0uGkuO7ktw/5bK+JrU/aBC3PacIxbb+p97gpSCnWQ/2jQ8u4Zh4mJ4hpDi42UWzq4CtL7 Wfhu0kkdt8fWF8H3d/xV+UKy4txz+w2lz3t6uiyk2UKtlkMsdX1/nw1Pm3t5am/S3jUlm3JSae62 2YRa9L2niP3ryiWxFQZSvRjLmb68UE2xtJSxcqyW7Mzf7fy5klZbWpM4KLsyolHfFQmElZjGqBSA JkL2gyHnvq6d0ucjEaZdHjeggoyjPBGP50+5EnDArhXAXVuWQL3VzSA4ru+pU/paUU/FGwWN1P/N BAKAHt6kIEvA5Od38tkVVDzm7NXVjCVFn2bJRd4H+zIVelZT/ToB/2Vskt6wjoxldojP9jlp/ofP DhNuJfoQdOndgmJ3Jv7rXt5PzAm3ulTkxSEsJ7H9QvdznOj3+ZgU8jLCvFyKZOInyl0Lc1w3v/Bw g3h2QgcgU029Li+WHn6fxjuqMxu19KQJuqY/XYHflTmU8Xh21jGuOW/3+NBOI31AZcelkBJrx5OQ +amZFpfj/MDkPq8/ruROSlOOo+QaJkzspdshzHkfGCuxvhhRR3qo3gtT73iod/Y/mo2LsSV3cDFJ r5D/gJUJ5itSbO7hVCx5lsKe5us6w8Q4rnNz7a20+c4YWs+RvoH2QsREqOioSdVbttC1XeePKZFN FRnoqz/5qTtWYTLDzbCxCBtwFx5I16FSraRplTvoPrOrGaBqAPpu3c6HIlDx/HOx3Gw2E/ZQeYgb xZQciGNY978AZ1JjfFfoXGcnnsbQzTniENQOyY5ymCQ2sCxIt9UwgK2tlNZ0ruMoMU7xYb5xZSLF 9VLiT+2KYO+sCeNYB/urMnpZa+TWFXaUtm+p4fLJmx7LQKTbRZd0aF0RqauJfDpP8tc0y73leSsR H7Pyqh+Y6Ho5dq8ySLiVDkVXhdwVLZFM/IP+B7e7vno8K6bpFyoGQgh4ce9twJpJAJywDt2ut/G/ 3I/FQnxsz6EIfn92rINNuG4Z2RfYgarxbNQNqvUa7y1ifpU7O/HvFMY4ANI33l6FzN5m539sMf82 VWxjALymXF+3BNo+GnctP/fu0uD/feg3kIgPXlpWwHdOm90yGR7VvcFHDOjvQsqnNWH1GJ0dapDH zuPcCSTyrht7TuEEjFhu7bKGrheFvQEc5YR7rd9HDUXNI4H1jZW9WfZGAv2g17b/roQh/4/NZAYg HqBnEL8qTe1zSZHbORs7aShJJ5A23HvaH5JImk+c6QLwjWip8ElD9REwuJm70ZbWg0t25iYBfog6 ao4bHF/4YJ4N/cnZXVxzWKEeW1fI4DxRYjAKTGbfRcB7qxHOnkIei6tLABeJsA5fw4fwtRiR1v+T zUYFXJkWn2lugF7y7f/9J9zKMC/3GaJKWaNd+Y6xrm2UNtjG9wQra816HiP/2tfqkaGBoJxcIv8s ybVx3QoW+Z9ogjCJQnNOIKTr79zapCPiKwcdahjZ77N3/TxUqM0CEzxg7hlGW2AViM+oHD9IEyYw y1yGgJItsqlYzV+3nWphX8XU1NUNTqqCnuPSFr+kKONF6qhaUiaW96jKv67kFjYWlgql+ioYgg8y EyUGSEe7p4HZbgpY20lPJ4WoKVHxUo2l+yAReor7MyVSaJbJZ93WH/aZIZc6PVj99/trZN2QiRs4 R8uBGlKkisc8Hhc0AbvWnI+2N5qqP2QSy+luMmnUBLdzFnnvhXaxHOVq862kO7BgHhJ6aCBgfDJI 720gODhooD8vXurpqmxtuGCyu+FGdwLA6bLy9Gohub0o5azu7AFiGKSXRGjkEeVrbUNxZUVYqeSn zRqZ0JWtHdrpsR36J/eATkR3hdFvUcMI6S0SkOknH02Ph43DAWrDOejWB5NGfA/5b2ocmWLKoum8 6kks24HWZezvSsrR0CIfE576EFkTTbrUnBTKrkZqQjTmpm5uJLicRuiuRhcMF6mWaH5CaQRr0OoF OE3KwsgVipLZ2x4gNCQpbCBRB6ynX+S+9juHBbB7Qumxkt5YA1M1AJ0HOv5LRuLPNLIVAha2U6rM mU+3xJhlSYswfhj7k54Y5pU7Ufc7so5uL+yeV8Y38Ap2VNh7MT222Mp/up/DidFfIsvSl6OsUC9Z BNdTjOf85hGU/hK0L3FGUEC0Nulh8HiEYIv2Cr/5cdGf+YDjCjk35zaLMMdQsUZ7xy8zybyNdIwg IsoqxRYn9XXduJ0LlFRDt9zYhaH0VY4mHMAkV6Kp1dA06Dq0+OXzyDKGWH9wF3Wnj3zG0SeFDmnd jsohmlyZ2XAjMEfKgaKeFaTwbG5E7GTIqHlNfd5V/El4CpMw8sBVyIOlkzPNswUUmiVt7JZ0A6Mr sgNjMxSe/mD7MX9IRKErzccDiTgbGUndpfm1vQUSlLMgpu2e5VbvdQ+5PMdd+mT97V4E3qj+QitJ 9CIE5zq+egH4Ok0ZJHTOloLlb7OVWHu3Hw3D+8dFofjkPrZ5NVFhocsMQYtlRlLwi85KYdWRgG3O XUTwRVz/1LDZSGlsaZr1+N5v0KXOM2qER8YX3NnmxEaNgMMh/ah74fQ5DN7fl11kyv9q2OdOqFns Y4HzW+0quk3QClcJ/fEBSM+suszsncJJRrIX2renQgTiF3D/YNL5HVH6GH7T5CfMOx+FSp4fmsUV IXhQeLTbD9QpMvtdcvBgDq3U7P/Pk+f2gmV2Wi71RB2LOdl4Q6u4dnprExb9NFSK61mjMM0WnO5q qkNoXQ9yYQm5xqSfqzggFrRCjhJYPJytutmEZ2VW+Ru1j1A3BUZvlCrIcSfGU7bAPoHYGfK+Q7uT FsrlDF+mbf7njym5cubOP4+gA0E++yl3yxBzfT4qmHv6zlmVqmU/lrxvhJfNfU9OFGtXSnPwkqJY D+u+HLhSTHnZ9o0vXSXJo6w45fzYkXsexQz+mJJCG8p6zkzf4rMS7LD2/qr1FPygFJsVNwKuzDsy rNKkox5fNTn114SNvRQzIRIUXxURZVCSAGt1f7KJ0RTr2axFSiJzdTVkYScK8FlV2Rvi/kR8+RRP KGIcO5xpcb1n7afVHRLV0FGL2IgbzxCrTQsunBb7UabGSLzy78TO7QmneNjqjn7rX4kZn8g+N3D0 xbk8Qev7UO6j5BETv2DXtbealHWUZ6lRWLUpXBGHwdmliyNcqTn4d1t1jAW2Uz1nLanJ6s555wYl 4vweqbci4vVKHi97M1+gvUiE2UPbcbrH3o477P6zN0oYRO9YnGcCaQEzn+t7SwHHRNwqX5aKexFF muGg+G6/tQGWXLluMlY9ZzHpNb62Fewy8nmJ3NLzMERdtk/urMQ55bmSQ1srLta5ugyAjn1cPeKA 6U7mrCuttoH62MdUMFfnqzmDCNYNe7yfxyJd4G87SQpjsJV4SX4OZJmntZRHfPQvR8NpGZ5+dWoV pUpGtgHCnsQdiAt6QHFOUVpxC5+fiBTwc3dr3F8RNmIER2TozzIEan5/CaG+k7lf498W8U5cZ1bD kiwcv3VkINtVHCoanpAlg5nwP0PYPpPlCbYPR5qxbro/F70K/6lI6dOd0YVd/G9iST1hGaaAcYA7 VpEQYRmzB9sZyCpp7Vmn//CbReWJSaLJQVjiH7sAE7YGz5Qvl+uPGRUwG6hB0nh/vOjwor/STa3/ pfJ4IWW7JUIwcuiUlKDaetEcBbKYKcATS6pYWFYUneEHJ0eJP+Y8PvB9QbJMK3X2n2OSjTKOB5sM 7xUMydNmJ+3kWl3E+RIa77NCQ3gQ1JGbzTUsZEUDtHIa1bbn5p2N4q7q+d6u6EymqQ9XiMZqzPjt 2yeGf18oX+/EHSKmGHK63g0gOewQwuqSbY87Ds7+kSjHMHvbKbAU3m0xRTPdns26TxNEcLBc50LG 2LSZkgWJDlg7cwIKQOUfwt6dldlunveMDry+rWMIMxeEZbWv3UZdJAG4+emFpKKD1rUqEs29NPKe l72PE7P2B86XMa/7rSjBKLfe8UBOp2Uhz3vk28/8u21gQhYul1sHFdrrdgDr6BYrJnCWLL8F6usE u+IQgLcqk8YEaHru+ASxQZ3K8l6mW7wUi+aGB1+6wjnRvLvs4GbDODxuyq3qw+g4z8p3ID5tCk3V 5GLlt6MwZD6PIkURv5rehMt/KgLmi3/M6O039EMN9++LgakMIWk26TTgAlHkPHwwP50UbRbSWU+w sFMFpcuhzzLfD3wgynPBzTBviZAa3wm9AQV1bbL5U25KgXF1JKPhUpnTzHjTwtK9LlfvqE3GFmmp Xz4OgXjOO2I1LRC5kgd6m+KcnKh9y8uCUnbZa6SjhpP96RQ4TG+0K7L8kJpd3pNBHdrmogmU24ww yQCGtJr3tnqkyd6jm8WkM4HCHGphYjuKG2qGHsRZuEf9OqtNQLAkXTJyxWq/FtT2RzoEmZPO+Pa4 0PggmEQ+4jttCDA64igxgPf9Di9puWRFAFhxHxpRkxWvtILIfIag1zij0zTAOZwGcWgP5aDKQHGU TCCkb907K8RgTbbWUahODAC7MxoKzIomA5Joy6QKQ+T3Q3WifiimC5chd5Kwy8VQwAIHmkoFksQG +Zu3Us3eEpUgk2hP8vlCj7KXx4yhnzYMnkNAY+qMaAxl+9SoRQXRqbkL0nZfihmg2YHVNQFTvIy9 U1B2EveB4La0Yqn1tbgLa5fZ+7ECgYm+bc9IYaQSCz5VZyVfCzizsS+/PoOmrpJny3mSZcKj0Sc4 AlziRqK/naY9t9iFwRHqn25hcJgVzns+pMrkv8AaGOFxNlxPWxMTxJdGh8pCWWCBzWTbNN/wFUhq SAZLkVDeosj06gAd3k3LFGp93fv2QgDN3tCbHEBglk/fhOIafK7meFCS4FSBmba7UYdgdAiMeE6W 02Enhv0S8FA+FRBJNgredtU8TzY8QawYVUSJs6K1/T3y9ePZB4vnmytttfLBhA5FuuQwt23Yp8Nf 9ae1Vnhqm6u2Q8LZDYcphP3SDi1Xo6oPS0KsPPl/nuOG/Wg3GhuIczivKCr+1/fGXSqGxftSmrEu 9qL9WYKUfkQsZN5N/pzXmaxUF/EMw9+h4qWvUNCI+Vn7GfYU4eKVNdpojbUyJC1JQStb6hUmzRF3 g4Ypm6/6r91civman84lHe+caYskWFx0VVX4waV27SWQNMqqdsWtuo6sCeLhRHBRyDR2LhJ6uGX2 xcWF8Q6Bz8nanaxohoXG+/OMquLbuhgmDgGLsHVZiIRLLLU+U49xwR0car/l62DM0E4p2Xo9FAB+ m2r7Xx9CgBhXIWVnEX9MIt5lSe+E3RmmSDS0o7/8BqjyP7Ts33el315VvQfhsWmud8b1tmIBO4Il tuAY2dhWw6mu+b5hN2PzCDeFik9DHpYtsvSsC8JHbVhG/G8SWY3onAc6mDdbxnveDM0tamyCzkXt aQ00GuB3ChaIgEnvcgB1it1jsnLVWQ34k5bfNibZltxpe77qN0QpyLceTxsxRvbeC6Zg7eeSUZFE Aq95hcOQDO0qEOGd4jT3+bkw9hNgb3221IiJLGNpbjhrjkxkFTDxwYA2gKzwNbgVZGSrqWaAn3fe mNgHZIKDvaMRCcETuh+wO8azwnn8SrPXKqUF+lWIe6NTy7+Pykra/XwfXUa9SGjiJWT5oxztpKj2 OLNcQnnJZqS6PPN0NJvNw+8z7QnDKSAp5hAvfPS9bpChTzSILM4rL+5pthUmJiC4KTgZIvit0cJr /zdkRa5grF42NhLTbly9p0vJKd+hsFfOtmQstHDE2/Df/qVASPGfk/Px7dYN6Pw+UsaJorxL1sj7 RZwOzOZk/kt4uvIIszKQ6OtYa7B+ws3qK6tIHCejZh1gujE6AAbFevCDu6Qgc3SvTlWWIXyoVuCj C79CXeLgQfTgm9HjyHuqH9pdG3/jaCOp3zJHmLkBdhtIUjujJUcHsB4JZ/BRR8xdUEQWs7fSfOw/ A5d1qS9KlEm8LM7CMFqiwzGFl/yGx+kqsfdiGv3yTjY4OQ2T9l3R4sG5ycZWzhAxCrYXZuRpseIq CIzJVBAPhZ3vLEXgTCTtmRoD5dQlkCrVhsZpY6KHUlKdq0huJ6fEPdtepvn0srtJLFYDoKLWitxY V4tI/KewQY0rvJ1vnMwi3AhZLJbmjfwqZMrFj/EVMlXQ3vstL1wlEnpgmkirS8kjwbsDbmiBZsmj PlbT56fiNH56fL8+Bqb0w67uOD+QNGUPzt8eBajv+ArZVfDYLwXqU8qYmVbv3yV8Gm2fyvw9xG2K unpSpEONArmrOQ+tzv8riINzOEQPXJMrosWVImcWdB2/hPb7EOGJ8QBHMGWQJMFKm0cJzCOtakOw FArhCOfdkbMTFXz4NZTO1iXFdtsgll2d703NkVWpnzANtcfrIHIGBePeGKSgP04EsiBeqWZh8YyY +XEaOIh5yeyx4br63ZhqNiVZUJjaAhFu4ZOgfGVA70XUN9zoTfGtQVNl4oFOdUNKGqJ5aIGloa5B HBdi/+yRT3iIIrsRFDtKT+XZwmy0chtF8MKqrpYBM4pwCNi6/Ne2H5jaAS4mBnKO+5rNXfs2WNB0 VWzlI4ogDjYit+XJctbXowiFgH2WoS1f7m0URsz3pzPgKtbuP8yHsqj0qi3KKyd1QOIdvV2dA+BP Nuj6xVKHZaA1PN/lDRrTGSD7IuBzCTebP0Ty6FoEBpsHiVWt1HxhntkeB6Xd3z6ecWPy+MnfX+eY uXRpvp0CkSLwS9P127NlHwPauJAXAZQeAscuGByUqzoVn1shao0U5qWwg/VFn5DXBA+EszVgObxb XxY1cd/PJ9UVvt9c6YGrD2bQctMyzx1OZT8U/is02bnsBiTQdmt5K2tm2nef5WC+Zr8H4qK17iT4 WDUI2BjIYDIVHqSsC4u2RfNqsWk+T9np+yqAyLYvZH3CVuHnrGQQi5PIdtXaIyuXWCsKsl65j59w rNktt18Hsc8ntgDML7t9Uz5ZZLfYZ69Uh2Vxh5h2S0WTWaByICCHgMnthAy77uB79auebXwqETfw yZ+8B5ugRumC8DE5wgnyzmdIEH5JpFOaOcwTsnIFNFgBNuXbhuzogqHMrI/uSiYQgP80dphvrvpx OmydCJuqGqDhLM6jbVjfRpe8edwG8/tm+ElpoLyXfUsG9fDKPFKn+QAfMGMt+2jj5Z2Ai+AZPxZe PJ6esQFC7WAL78Dw0ZfErVqUSBa2RIjEBI1wP8svB1WafujP+8Fu2QoW/s89ZaZ5tkS85qu6LLDP 8mNl8IjklE1QfHbV18Z2LLH1tCj6QDBd/JsZyLVTFgs5EpSLkp6f+SOS74i0SsCY/XxMQq4zwafK fuNavnH1e0mc/ng5p+DB48c/3pQ/Tx+94XeFqHhAJzenwHoBYyzxRgK0zbJk2MHR20W8czKo2V1s KOPQPjCld3jjuGhcRFrOmVAaYBVmWEUkbAhn9zEXptfqF+EdJ2Bdd21d+BhXYZjMVSNm0YdwpBuv AIDh110mnuussySsmSNXfA6lvYGiHDydD227IHhAZ16mDlPhSYjQ4nEivRtE8REDxpni97H47/Kc EP71MVkTgE9YKJABK7HAVZsGXnV/ENQxFb4VPsbTkrv9gBtusTN/eFwYV6OG6/S9ODsqRB8ezyUU VvEPSY1vQ/sV00i1PkcP0a1E0hq1fcPwgk/unffXgsyfWtSh56HbQfLvl+yOyYebliwJ/cxXLHzL kaCOC5pwLF/WnNtjjiOPZdT4NhFo4NPEBuzJk353NHqOsEqTMHO0MifE7K23wy5+qSXf+puStKqK //gji/kHTVwYS1wng2fRjl3bR7cVifWHAbsfgN1GZnunUM1JZiqlZhd2Ts2f1ZCGnEKqa48Fxe24 uAjXwkckcfEe6qs2MsTgVaX2bY6heJ2dXOYRFIr/WNprE9x5inp3m7ixWSFEXM4byPTWQzd/mCex 9VZWyzfl3TdrfVhP8jPF35R31AeT94Bf4i/MfFJs8Vstu/rYQDHVzhvdMHdZBhoGuHGZ3Iv3dHUP udoo89/7AQryAYwzTS69+NpkvaNLa1LlWhdO8Mf+2PPEtB7yGop4pWoFDHiTRQ93bL1JuhwS7GnM V+Zvx1rf3vHzL6lnVTL0EYawhhEWAgDciH0W+QVp6fXdJvXJmZq/86gILClSevZBaQNseHWsRewW Pjsn3huFWyIQNfu+AJmtUggW8BW4Yc6j0L3b+tHSBmNnRamIJXqpAqQxLymoDaGMErJfgPB2ZvMV 8QuaKFgGbPxDqOmWkxWjRI6YIi/NYZS8YpKKRREwf6dgE7UrMzwqjv0MHpCeRZkKoT9IeKpfpOXP 2ESWWFv454ePujJeymqrZyS+rTBowIVOkPS07VviRJAcsG3ualJftUOpAxJ0O/6Lm8wl3sdIYlZG 9YuRIZ6w1HPf7pXZuUy+rI6HEMFHomrut+lp0DfIj6qX4mFcF+Wyh4utL8vFrcYiCXOXR51F+vmd O3cU6MIZvGAlGXsQ/it1l4zzu+Nz2c0YeDp4TeXa2Tv5GVKsYm5sT2r8Q05LHuwTiq7zJvi9pc58 RDSZfnx2q8Xhj7LKnj21zharivwoUU0GEkw2FpY+vqsS7QI8MpQ6LfYm/mJdQvbat4NN2DcoKOdx XDtkRt1wFE/aHm0mOETwEgQBz/1NXdoYUD8ko1YUq4ueoa3cQvavYBOzXyTUD404fITHVAoTK/6c 1lt7dQV6RnQsIhKukl4eVT07gMqwzZC3slx+cDf5Ia7u5B82j1IF0gFSbILqP2va3qM+bPuFPZDw lkmO/Rp+L4Jzk85PLOn+b56wfCWe5mQDWpDMQTBN2Y7FHCmZPVQASRpWl+1GpTfvaW1Ly5vbT81y 418H3aZXeCGE5bdnlbKJwAsFU1E1cNZ2ZCQxsRAEgtSrJkZXXnacNbRAAP51vJlTXuqUPTVxiQpn BOsvlzVqTDWNMOdmvDA1Ou27OwSFN/zMMl2zuZjBDLW8kAATd0U919A/uwtAvXDIVJ3uKEa16G4q PnVy7KhIvfs7eL7b/qiSiS6XiPjg+5x3LAXbTKDxYUt6W+nN/d0OXE/cX8wCJt6A4gQTtzluXaoE 9LnvMql0Z6JY208Yr8m9eOztaQ5WFXnLUpA4KipCDRTmwtwQ8Aj/2b014Fu/1aURTm/sacqH63oc NQXUy83/i0MdQYY/dDQhno5/BYsatORzdREjYhel1XSZeUT0j+NQVldS9nlkAlzmevkShyi2kxXP lHMDO6kw74q7SdmjpXmQ6zbCCJ7MQBVOh34DHaXPlaypIRWI3O/3pL4DYO0ctPwqAHG1/PWlq3mJ A1RFZZhm01GfptZEkSs2qpEA3dizO/ctjiCvU2fN/9j//vQKWLmUVf9jEeIpvgs8lxwMNiXcv4P7 K/sL6qp4X19/wGdxXvr4YcB6/Jrf4pLeNbiM3nrVLlNOiK+kxKZ55xkQMO8zTzk/Dhmdn1ZZmanP DZgN7H+Cc5LXViLXJzVT1/paPkzqt7Dg13NbqBYGIRqn7y/MWPtw6nbGaxW2TealLNS4I0Kx7/tY KqT1ZIUhvqOL8UMVqJT0KrVwfTgaMfmGUwX1kymoHw5GddTe/09a/GIdY7OGRCH7ISdsY0Ez/2z3 B/Q7zeOAxNSjvOZ93lakUQRQvOaZYy4gPA8GkVPPqvBGxcZnpicFd8QOnzolyNh8U8XiutmTAa4n CSiqBvO3AFC/O0muAJqvpf+sHM6+OC/erolskn0N3+BVFmFR6t38nlvc0x484BYaqScnjHjRFToq 5SS7u4ZHLan/KPh1yly5MZMhMM2O53hcKj67tUuVbxorjOPqSnNo69TLRiiSicGXfiuRDUCdZyGZ vroPf2Po6yhRiZ3VzvOkiKKYQMNdtGMxBr5IsTxfeh2GwVDg9IW01QRJSyT4Sass8AC4VVcP2Z3C PiYvl2rYlpgHd4QSooYPpqKGjXcFJ3aaS5Y37/pimFYDgpt7zU8hSHoI90Sh/p2NejOWvWWqCFZH K7o0Jh1vcGRQZWY9FE6YC33ssESMJen6d+GZPXLG/3eSIGP/ydSN2fWExju8sKLY6MQFhCk12hSW ygfTG8N6CDCECmaqFTTuC/0MhN1O6XHqUBHKxYV8ZrS1J1XvUvM8d9NnIg/M6b84wb4lVo3SwWWP jYvFoyJ02kgY0zO3Xf1joVIOIFiT0wprtZluVwYPXfC0LOUTAdi0wVajtpXPF3OEKKkl0IqFBlC1 2rQDFuLMf3C2ieNknZ4tCKa+1nqVWv/sGNFlRNe0Qi023e92NteEdlT1HLI54pTdj91DiNLhrBN1 SqAKH7VaktQK/9zXlJn0JxKrBp46CtF1nE262nwhdEKUX7s5+6MEE5A5vmQ4rWd+BAHlfRX8ceEK x/zveQJwvGayMm2ke4Y139/ASEvcizw3HEmppUIarD5Z4qwxJDs6T92NI8dx3sRpa+V6lxiUlWHc OUm1xjKpXNHXyDSXPlChxJFRVLpc2vq/C989nq/tdaM3+Uv6Ei8qmMjDBhI/tjSb0U0DxsktcU7Y Yx0pka4UBo6zEncSp3tXZdvHa3z+vcJd9AECNv6fmoOK9XEJ56mnNOaBPKQC4rI+1g9L9Hxfj569 uwf+BM7eQiTCA7KFcpMMwofWyUEEu6M8mjWNKEpKlYq5hP8060K/sKjSQlN3b5zh++5ZpmtSGAE8 8WkwTAu4MIMxoiFQ900pXj/+M1rQsfme7p9ixNk3dp3j7OcXJe7zClzxjLGOvB4QSSTOHnr/CDjY 8QLE1TfnD13ppmra+iRdu9pdgBovUwRgCZZX4YqVH0y1dNFBICPnWXE+z6n/cfZgQk/zel/NS3aD HJPdtX2N5VW06Zuvyby1gPyI+XLGmqZ9SFGbalnYictKxFseWcl5K6AaUw5Lqo0qwKZh/MMzcOW4 2GDJlmg9rdxt/iwh4/u4bcguYZX3x2qfxq3w7ty/12EqJW9LZt61rqU1NP+20mqOyxSFl35jBY5S nIncH5DMxozpAOTzq4PcgrIoSclBWEliNIfkikSnhw1M8W3XpQ7fO5oImS8G1u9g3yONvozHXgD7 ybzBCdFVFESd2jxpckf7ScezwipwY/HgAsVLkMZUIdyhMwYjTGsadVGvsDHqJV+9S5dNr5JDKEJc gG8GO1IFKYzsBtuvBvkUc6JTlHpgiRSWGdvqH+HXjwYopHRSFvbE3lSp34jumhw1aTvfLov4JFRx 5aEr6rawjIe8MhkdMJG/w+R7aJL6KxLGIklhYjh3XrrHHN1sn970PP6Gnv9dDeCCb6hgFR6T0XSZ 9r4a4eotY8IRrRp/UwE8uatufnXn+BlKdYlcxgDy51EyRuR+bKhL6fpx+3CAlbk3RiIIwRxOouXd vv0KaiI8e6DY/rbZDJd01nWOrk/aPvZ/a4LfwtwXa03g1Ds94ndHC9NIUczMh11V3oo/S+Ef6vNi QoavM3vLeUb+yhFNaft1QPofqKKEsYayMexaU9Kx+YdymxFU4sOLEbosA3DJNSTLc20cS7heWGo+ 2tFDElsgNJUfDd43RsIViMf0A0bRyCoL5JDU+sf2Y4WxbIuhwexaMtpXYoyfaU1bqfmYFI/yQsFz PYkLxTo7rq+oBsyf6Dwjfyzz3x5166Obuu4wQBCVkhiYxL/NpVeF/wWtI129dQwO7ea7z+WSAAri Bvaf+VSgYPax7YEQ5KckZ3dyl1pF7SR1vEt7e/nKbbOtAJK7B+KMbwzMGzSPdduCaXLbxsspaB61 dRVKxagoJml+0kPjMyzIdc+9fm8u1HarI5QFzbrHi+0iwOViyD4Y3a1UDkoiDdws4snqC2orcCGA 5TOos2nIxoiUf6Rvx/7lHYzXYO4A9qnCwjbVlpJ6Rugu/VrP2xtuxS8VAI7y2RFkcADSK9twqhJJ LEotcL4JQZLNWpf7unfeiUzE9/X7sjJm461w6Cjq3Fd5HR7cHV41GUXxFaLNrzWnYxvWx2HvQRKW 0hYs6cz1KngKr7Pdo8Y2ikwBR+8kGsMBQpLu1kMvGDI80shCBdtmZVVPrL/bU4NkufNwAaaPsjKL 7xGZMRELKEjp+0Ae5KrodsSOWLBkShdyCb0x1PgOSB3/5+DpFyxVKsYm3NDZcIkoadoYFcmBI5vD rCHbZwIpmeDNi8Dd7nwoX7RbgcvtFfDlUD99wSDbXdk/Y6w7Ey5hUmN5CHPx2p5zwTMladEuW8VQ 1hym3k/STQ0b/QKPl7CZrMFR/A21bHqTWXVTkm42yj8iCd33HQldj5DTywf4+pFFJOKGRTdAKyG5 ovwIeMlKJBiKbo0FJ5PKggbzM4XA5WeUdkbIXmEf5CJd5RsmRGI7O0TQG8fRWDhdjR8DYbDJXEla MEyMuif13FBrkNS4FXETZkFj7P/kPe6wtictBsO57hOGHfRJLp3/YFOsWEJec5zeg1pm6cQcASvL 5M3UqXdwV9e7pqHm89P5WMWdP5+f+yaFahi4uY8AoC5KJn2/QiV7kRgc+ICFEF+saYLaf6N/fsio yRVnIbiPyIHvlE1JQv7qUknrW0j1pZVbbTefUG6zVtrPOipAGeKlp9DuRzxp3UB4JcZIvbqKF8pz 8TG3KCt+l9VNQwwLyspiA4LV8SKiCoprSm1r8gdYUifYOUYWTDsy6eiOTyW919K8XExNpE5/wzPt QfVEEQ783Qo/e9sQgOe7VvIjKgH2N9mQjhIHdnUUdGThYtC1wxCo4DaMYt2twYnjwQE7YrZp2pmX GrLdhAfkxWL3e6iPaiKkSwPxEpAzHoP5wS3Ymei3EXjFVEXPCmQYQRhtyeLyBlPkE7oS3beKgY7A gGutl8I5hSWxPHn/1Ojhfc7a4hx+KID9XUZqXcda4S2z9pAa1/A4jlih/eqnjphVfAvx+voiaKcm n96iVxhc+iqkBpyBx+alb5gTUnFC5KPKg0252QICtAfUQ66IvicvyXhtNwb6hlWT/8XVhOQzj+f7 DTcAknxDfDlqQ5gYlrrN0DDK3D8vrnKT1Jnf4YCdxRAguuAIT//s1/Z4pYIK9SSmNmNMDpc3owFq /16vmVUJIpyWtXBiyc50/IdvgqrGggx4WoQLfLze2mq+KSp0AsBsBP6qBw5v7gXDbUQPi+QqRhtB GiJWtW6bXnfLg6arAxRuBVjbL5kPC+3XRVc5Y0soXVP5bzLG1FRFdrE01pNcYG5/3yhLX201G0fs W2LjxtVy1qLOsYWty6Bb2XKQ44K++r3q+xHVrNhudDYUJwXQLGuKxWRqbKsEU1ID50THE3nCa3mw RQcOFz+23VrbcXrqoNPeVRaNdPjUMqI86sP+WZW5wGkf2YCVMjV2CKbF+2/fdqrfZRVmAAhb/xBP mYKQVXkMoTEPBT3OZxfKQK4K41Mg74kbcofjBuvJTW4a3K50R9F8yW8lgoYrDFP0Iepdr6iMdIj7 gHet1HEz3bVQ/ibNvxZWn2L9lKfzRjaEiWf6JGOeIfK7TY+Yp5Vydmhxqn31dDZyn2PaDC8r8kf5 8G/kwZi8yL72mQvZm1JQf6sO83cSCqb3MTCZcbSZjm4bhKn/lGsXLJ7M1f+qHEYJZ8pG7FzSZF1Z VoukxaHY0SeaIpXT96qijwp6MQ0PUlrvjfegPb8YswdHFbH+a6zVSB3dA7BMBeF/7kAlZe6/fUnO RC9dFsUB19mUe7JfEhoX1WjYpelMUwgKsLZm0ajWSoHoyA9dM/enhO1XL8mnhAT9IrscZeHeoHal vgMp3GF01GKG3N8HHGVOo3AIU1/JaiYVNXA0yeWU6LEkUDawgh8Nx5KKC3Urey1oYSQlAoniF+Gd 0/P+IXWmVYC+Vg9Y79y6/aiMU/l63PZMncw70feaSmpDUGVb7eLZ1JvGrXxHzAUwYy+RFHtyO2jh qT1lYiqVSAk8x+FfRce1bx9Yuebglw3ZSahS3KG9u4jwJviZWYrSVqsVJL/YWmCPPQm5rGJS0B0F /GtF07HPQIdCarag/D/45fG/CFET75DGy4hM8rnN2x4ZR4MiZogKwYEfma4cEoqNiFi0154+wbVR 7r8BRJDLO5jErvAUDD+yvDJH7l9bqC9Fmaqm3HvIizEILDx4HSyUbaQBXaBrUZ5LZVpcXB7g71ZU cAeoKvi9fMTcANu7Ig5xZ9sk0yUHQRXvrH2gypmLr9Svcw7zYKUKyakyPQC1UXQ9KIy2Mi4yAhPF CFInGrf3mmvxJ/93bpeeUsp/FRrtjBMZjvzW9DTqB8nnhssdMRgUhIe12hBt5fvttqNpSBhUrgpd k09HO3f1F8SoU4U72m+nsJXYC44YrxpzPFfy/flW9V279hjN5N+KSufzkHVTC/CtW7mQ2oSg8Z9C 3DFbl/Imep/pgxC5Sktn1Z4uVrBVQolN3g/qOMTOljNQ4uTfO3NA6HRpIxr7q4BnA9DkJtbrQXQK sIIaLQjMIu3rmrPggd8WW5r9M3VX6Nh8ZGQY5/fhLM0Fcn8LyeeaZBF3JBFHuvvnJM+NCJ1zMz94 LOb5A+Sv/IzWLu60DnfDw9LhvpCrX6AWkJ+lpmODNOC3C8eKtzTxtvY3XTAG13lf3dthdsFJ9/m2 O0LtSSTGLoJEvQXifZmExLI3+H3yA2RYkTF5fWYAzU9ScBzwEH51KN2nJN0WnAGmCLBOtAq5bmGA 4Kjt2PKLv3wl2L7kVJF7gqrO/B5aDmXicTiU+SX1hTHTkY+hItDK03aObmCja5nGVUgdhZnOGynr OlD/EG7MygB5GUfNPdOugPCqxWdXMdQrYvr9SlFYyglzbpcy4KJeGZg6Kkjb+rcT2MwTimoWX9KD UVSMgiF79Qrh1gj4mwYmrtuFOUVIomqkB97hw7JPKkL3VMtiH6HLUjiIOuUrXb4lfaSSglxV6Euv B5HHXVN5i/CDfLkZQW95VN5g31vP0r8a3KmyAVHaXrVDsZ9A9Ik7jKp44d++lkYnQr6HH68ilR6Q WoQAHCbuEOkELX11GrvJUasovr62cwfVx+oiUgXaEmIFhgGWYeV6dVOxbNzxHM9zzo6ZFLDgQMo+ Jp8UgbUDnbo4njiyMgi4F9s90F9huu/WHGc1lmbsOI4niCabiuE/8CHM+28vMQ/niJZsU4bCe3Oa hgGrcfkFlqjbd3hGO+NfRf8R9GvsZNKkwNdVczbvvXlbMO4N2h5YoavYO4taG+Z1gmnWsPmyHhS0 Ow7XWFwuBXdnj9RAb0sogMMG9AOHgeWa9w2QtLQvBzoZpefitGJkWCQCHGIIna517jt5etpGJa9r STHy4tUAJa8RYd0ixz+LDp/uuDDfo+YiVZq2KZM3+2JYCQ/R0T6Z03Va+w5JWOx6mAOcfTx2gjDg eymXp2zILy0OU+K4AMVcPu1hS+80BiRR7XYvDoOMoMotz3bgFkX7pmiY8VBum0dLw1W59nL3iQ6p H/tVapCe0a7MtIg7kSZ0N5pJ6UJrSW645YU4ABl6WEuAtIxMopdQb8LGQPAgBdJWVFojWYA1H0eh uzvGtG4Njyx/SdouIsJbD8j1KtUk7UVPFLrJo1Y4ZFBpMoQDbAlCVcxpS1FofIco7+oJtowu5TSy apsQp5YE8HJSJV+eVThU96mMWVVByLASnkDK3yU2jNcJG514vD5BZTCzGFOFFAa6yNWhZTCB099B w3IVP4WL/I8cggCnmCENgfG0Z9TSWoF/pZVDU2gdmRh42l8pTFBrurVcH50z3k1MxcelkBT15wZq sn4JvDeIf1V15MEw8g/BqN0aXV9c6YjFxuzAP5IH+WCuNPmYrU7JyauwsjLtvVvJTrMFW2W3P/hh EsNetgHw75OknhHsstKbHTfcR5zJQZ3YI06ivtMUFyYqTlmNOeA99tD7AOs/dxCzfH0qLS+G1HO3 IN44uLRzhlIHYu00M7nV46zqDLLI+yI7v2+GmyK5oDk6hYzS7pFmlQMD6Mc5NTp3MYAxRSnVKoto n8hke1KKzuMwW5XHewbiCZEYTNzaJ2JjHLIt2CSo4MR6QGinn8Qj0x52Arnk0AIoURtT78VSgpuJ hx0hRxYo5iWJmj3UZ4+Jeq+1821OGCw8ihNQ6hQbVLL4GNKiquSJIyKxYGL8FFy4gdPSnS1I5Q89 241Y9h1aNdIgswvB94UfQ4My3Q79F67AJwSZ6T4mPNXSp5G5m1Txz+pYAnRlKfH4qqpIl5qVhCNJ QjjVcCMH7zs/StsjoFPWHNF6Crm3264GEtriD+W711JzJM+SpL5CiABXCewfawVz3nBL28ph1A81 ZPLqRvyUVR6Rif3zNmg5hoVAFq0rpipb2MaeLgQ16mHdXKRh5Z99WHQFqRfrjF2HXj104NbjCiPR 06DAlfjUo33WdiNmSC5+EHLhPkkpHk++3DnBABGAS0sxGxDRb/C4hI6ssfJpWwyADEzU+QV5pDrv awVBb3r+x7tKYl2lTIicBD0UAvX85gRvM7sfbayW7RSy8Qdx3s5lVfgvChMAGYtdNarNbZRcGAWQ Kk9QrVQRqSvJPFHglM8iLSXwuMjl7FfYfj1VB1TXTH0gWhsECG/MGWW68mjJab6+uDe3NpKHCEWo hWQGupZluQktkXkeGDN5LItxywfzxuEKVtY66SNdaLIV6hpptErsYcCPSrQyuirLTiaqORaOWgaL ylY1z5nt5mSWKS2W05CXymZ2CidKxKa/9Jvm1etwa2VyjnVytSHSt8MszZOsHqL+ZT91Dj3s6NzT k5tWV+wWuo5cZ98I+1RNDiJeOfIa/LPA53hCPDoTUSVUhtEauANmLdy/p3155lPm5pU7wZTSHg0b lXbkwgPuRjiMLx92ZHjQXx7uYsewnQKf8iV2zfvAz/QPwzpInpxSIZiBz07EiZCR1LfEHnYoc9rS HnVuKkvBSDCn/HKW2bHfBiZnB3X6OB5EYgEr/AdtphMeEYH0eBenxyN55TumYikvhhpN8j38MX9g WqbKKPYLUx4XXqLAu8LBenj55tFrGBgFhXWELZzfEFWZGW9PtEn4ShzvsqfLs77HK3ryPGUMmEpm EfgfsXsXhKRqRxI4ihPmCza4HDwf+NHzPc8RpUXNsTtNemnjI2rQWvIziHKR746kG9xwC/M2m0XD wvDcfrvBGBTiK6bkvIXOsWJJhC7PDgGK7xhFrQueWNuKFU3jLSRWM+GwkHuLyYVOmt7S7Rv5G5nB 09+aMcFxT3BijaBx7hFy8BgHakKs9mU34JsFaR+JGP3ZOfuEjv203cUes93NYE/HqVC5eafdjS92 j+RufK0TO8ecgRK29NyRxsV1yVzbdq5n32zHc3TMX6LaaRoY4vPATdePF2I0rKbEE3Hf07SK53hP iOg0dxGQIht5vEn4dJtnAc5+HmZXIGZUwaOXbzlxp4U/Z+dOZIxrsnOMBhpa9Enf0ExalsRj6bZk kZITMPmmuV1kY/Uz9Ka+octq7jFmjc3YvDyndbpWlQ/a61IO1gthh8bMufycw5bLqnfx18VOpYyV 5BsmUQvlD5P4sTJN2aLEFBASIiIl1ely+5hJnWFicl8TKgxEOhiH+LeEr/T1ipkWu5/2W+NnU5Hs KQxtxb3YfbpOfZtCjtrcLut7QF76q29G6vzXbZ7OJxFzRHnmQ60PaJC6DTMasjsnKC1LM0Egj2jR nMmz+ip35pNodheyKfGVsZKDscbvFBju4Sx0hyKqJBtPMn809VEFv95rGk4FalRNQ6xVhlIkgPLF uGzftzDvwVrZR8MA6CZInxHA62sDn/fD/KGXDQ55DUOszXvkp1RdBk/laiNI3+YvN27EAbDqY1gn 77X8Jg3AsMys2yQ1WLTvLWVI7UB3rrezPCwe8b5I/SOLxva3WPwAE72wuTx/rXVbsMlW8miotd4p Qm91FEIsQzJsc6fOXozF9ZBEz1FDGNZtt5cyY7wO/GhcbOEtWX/y6m/CmLaea/sKUZ4MgA73FQL5 HewsC+IKFR+uGnDw+PFhhpAv5t+ZsAjNjzDdy6MA82DRjnfg+X7p+0UI7AxeqqWa6U+1ydoq4vLD X3YSQjlep656vWxgyO+msWlbqehPAVKW91cUzRAysl6twwVW8sqEzmghVCpO4d2JgcrVCYEMmrO0 8wv4zrMACFewzxuKPmgVulS4FtfDlM8N5V9DbvqwvI/jiXPRLarSMGV7/Y8yxsvjTECZL5BK3k0l zINWsbyOd+BSZaB1QSAv6axYm3lBqHNT3mLeM09jSvPl7qjN99NTJLnTNLiaS7wJsNOxR/++ll8Q 60aDiWs/QltmR2YC04pZEzUk14++U0W1KWl9MvVUb1Ggm9WlbY8IY0/rY8QHpYA3++0blZzza4+G MePCsyVnaM/Awr/0MkIKYiy2gcQ4JmyEz9FYCgZbUC14WyP8i43brZ9Ly0VRcZIY/ri97iRp5JwO ikEArjZNkf0E4s8syx+bb3Y2cMz2LFw4uyaq9qF3KjbMJg9yrcj7qIK0gNd1bN8vGZVWfOzv0xwM HYW3x2EwcWfoGg5OYvrq8jqd/mXfPjFx4n7E40YFj5ipOTpSgBXkxjNYdI9czY3IxYsRbkuRIEHD KiYxozTiwqlhgm9ro3e57YjNM0ggYUzK/bO6lBNz2YJ3mE0z9ED1kJzBzYdRhohBmzfFhnfpznSx ZLreKM9Zi9u7JIl93awYL2LSj/JbHkXSniUM4bl1J1qiDXOtU6h/vCn+nrfxYBBvDiKeIp/RBUfb EvmsIq4+OshRofAYxvs20qSQRHBHnbRzDXydP4EiIHDDAE9JgbluGJPcMxGhUhLAJPWvS7vndTTi zBWDjtLKhKLX5+8DG8c7XwOq9gsVGLAnIX5lMTS4hrrTy/ha8GKoYr9sxTV+rHcPSdZQNGYiM+4h x0dJmNMLfZbQS6TYhZfUOHTvRcgiVCJGPwWvAC7HJiZrcx2iTp7mYkhw63fYuvmgNXw1pFOGNkxn G+oJhEKk3Eqv051K5cjOFbN08BSW6mxx+QAJJF/fYYV+ZbEvCvQUGG58hJKshont9cKHw66TWa7V imrtwPzSriNjNqRqLMpPQgJTyZlW/Sj6J7OQI0RM1+qyJluD2fHoS6YYZwgzQTTYAXJzBdZOHev5 BeBW8PH/iS9ijHVMLK3CpQyGhd6tZEQkYcU6EaKTsONmPmZwDkwAqhIri2CkAjRFJ+mNd7G9e6z1 yBtyzbQgUpOBrwsbay9bWTFtGj7v5a4t3xcD/h3BenlnZxYfvn6MYDycEKhh39ZRszd0lb/+TArC iDcZbXF9hqgSrDspun02pM30PyLcvCxR3Ynkr0ZcwfVxXwQMv8r8DJfQQa5M9n11ZZbhPI40HsR6 6Mi4YT7pXynwOBPDc4ZHXxpSXBJ9cwy10zV4N/sEL/Dzy41vKTOIJ1R5W9Nh1J32IPVoXHvsuwi6 ITvJo8fCpFZ+Bmf3TyrwREGbBy4MhLw/4D8HWXovno6hLjolNo375jyg8wFR8vy+XnmFr81EeMso VAoQG9NIY1ffWLl/rF8dmT80nPlBtTYPriqJwkx/xpXJflu1qbFvjK0SBxu9FnXPIY4pRxEOQ0ks 2vHIbIopL/kWCWdHssns2/hqBG5mBY33XS/J6WthqVyOdaFY0G2fX5OWb74hEmYm3JoYsEjV6mo+ GN/tkMaHVuzR3brwu+p0IwRn/qaF/g6rKAAN+CRtEHNzsJHLXFfShoLIW7GmfsnQlxs3ZHW1He4f b4xP4zADeZi/0Zv8mUNY0Krw3+Ff0NYp/U7dRaNgg1vUPW3W5x3Ur/mPRf7GeKs8Cr5diMZL9j+2 E14cTEmNDkoUo0Kdec70xDAnU7KAwxN5ZxItspN9bAQUgyUixf3pdfBYfUm5A1lsxEi2beurYvUD llqheEoYYE11CdTCZnNzcihclZHEVQ+0d4ZqynvF2GZ+OEZi1DbfQq5ZI1P9PB1m1JVQvin6emg0 +zHn5KEerGgP35brSMAvnBKymuXo9tNEZhN+Fda3GgmdPRcpsu640EETTOeJIv8oVkf2Hiffcndx YFGiK6a6y6k+b0Muhob1iOCCug5V87bK+NIKi8kizTodOZE/FqGC4oyKvdfnHg/ZwfwuxS8SKH/h qC9GihBqyOVPuY9YLi044pJGrgE6auRoHpH2eh9ZgkOnLVS1xctrqh8pEA6BMVVLBjn7eixsvCiS BXJI2YBO4q4g/S9UfVaCB+0citT/tllo/40LKYkeLc83Fcbh+uR2P08CxGsNjJsE8JDjiySKlgb4 DtMGbO5HIVKAxgDbOyKJ4YpxaYbZa91FeFuVrWV/IaIDDYUT3QqMhmuHUA31A03NZyLT8QqJ1XVZ Ez6QLKz8s97kyv2ume9FVH5Oyf2hhkKGzayHprZ08/p8TdJbq9YzX8lAwAp5XVvMJ9Db8q4TCkzu ux9uk1qyebcqrbPjlu5ChrlUuVtldLjLq1iZ3F6STi1TCcQUylZv8HeapJnRWaSifdwgVNDwFgG6 lw7/WsriL0uTRnYjhJsyOmjQWuuH76731mDd33+W1K6M+C7ajgYRJjM/Z2pg0kXU6xzEbdKgkNoz i8vBovCp1RwrZu1eI3+ulIcRw/hFeKoY33bM4OK9iQNyBHbKeejamQImyfo5f6k5EhmT+8EkF7O1 sNZlw5cVQlGG/XXZEgiKB+xtSTXVHR//3GXtaIYPXZpTMk68nOv6WDGSkRKa8+XQs0pVjfJVOR5l SWdaFB8lj9Ola7kaHT4Q2TUTJR+3W/V7PEaavSSMfk31TXOZiwOSbpsfFI+JQyAVV8rNZ8qOsYKo vD2+dPf2CkXinLFGlginFWxfqq/VB1pY64falW4e6hQTaLERkqdoe08xD8XNJq2o/ZGwC4khtgZb y09AoUcxxLJJ9IZtd0zhj+/GCsr59jItFB//bqx4seBtQTGv+XLG2T/vXOs+YnNf4nHl3WL9hQJ3 Up41c8Sv7CmJ1qh/JkCzfwFhnyyFK8P0abfEG9vlTokzd1niNIsTcGmJzHrqUu0qDDA6FhSn+9CC ngbgBnRZ+30LB1BNlK8E2wHLjF60CjBjbHv2bsPpJjqV0syMMHw32a8YeqvnikCHOd200v6Ma4x7 8qzV8asWxgy7m+eFFM/rYUHvrPslw93Vb8CQxMP3XRCLIuBrSTuE8IWSp8yf9Q4uw6Ccur96imxH MnZbkK9xJg75Ofyn2KWX6V7K0EgX+pHtD+t2ZUkOlryl59blTpBdT6+vHiW5uduP6u7CBry0nJ6J b0bZrT5xhWQnraabFJxONgz5dCzHyzyHbV57ZnZBGpC2l1r5XvqYJNgnkEnTJnGTVZnsyB2Xiou8 8ZKZfN9J27GNme5Km81+NGp72uOG2Kg8bRv6vdltuDrNrYjbA0RqGUkwgFEKIpWePc6uWRj32hY6 1tus1Rwl98Cb6UMf1e2KjFAG1BbbA/cFDQokNs3UJMG40yJAGcitQe5GWhnf+5DbNLYlfUuSk9ER YHs7NtUx8quEGLPCKCxXAiH1ReISa63Mt7GYU3HUmQzBkwmMsK+444rmu80A4dAyz8j0CTrFd9Lu WxYbcawHbdzZ5k7KaHQQ8Q0fuOEEnRb49hxDVI73p7CKTauFasaui9PtAb+qIENvHd7YiEi0Sh0/ L0KcEMkX3Xl1+6hFIvEBSqH0ZxCwjWvAFu7XZQFA/1PT0lXgrxK3LpsoFnAUEDRsLld7GP0NiHmT hDV2hI2IrTBzZp1muCdrthlVwEESNF/V2hpCkKNusoz+3H61Aue/dJVU45ovmqwmBhQnuGF7a874 YwRCKaRmVoNCVNCiLSYjv8om7gh+Pg8z3Qw3jHRSqq6xSsOD+9XqmkOZfQyyv+n8SdPeLtSHfh20 RXPBE3FzO63lF9ehqCjxkuQE5B9/tIYXYasutkOGXcLKWGrnMDVrhuK170xCejngG/e3zCtemDgv iKzmg2W63rigECouk9kaqdXF26n3FY1cb+blSPlOF0JDDaHyrG4lPRVn0yKIvjb6htRcMc8Gr23w o5qOqvGqk7gTsOyMuxbMEUuFIiSBnBj+k01utQLWjYBWUo9AH/Kx0jb/fGN4D8cO8T3wQgqt0Wfb nanmOBWq80omIny4fPEr/RuL5HGFtBPYsRGN/7ceOSkIYUaWyX698SiQPjEUrI7/+h/Kn2Ib0si8 VwxbwYSMYx8Iznf7rcOvg1T/nEmGAMXLuUvsSckIDYa9e9oMQODJVPk3zxF9sFzVP3JB4KgP8Gz7 ET8tqL/6oeKxLCdEdXZj2batR2ZZF0UgJ+/7n4KYwqIO0sr9GJ86PbRirBMlJqMUdfx+hNl2txWv BmPuKjNsGNMvMPx3Cw/9CWlQUEP1OHO24wbnvo3Xs4c9wpELtbCPLz7APalx8y9c1jQEg+PD6M2L CfmdMgfelJ9a2wbHBuAJLcG7S+Y/b5No8uFEawgWahtsx+mB7YmtWTwf8Ni5vsYGTUTrCmVUpIOp wZVtoHpQnS5Tew/vWJJbs26lPO/CvrQTbem7ww/dWADuXcEGyKqPLCh6OR5s8bLJpp7WoQtmI88J gA2RRPEPB0yARMek/9pXu2+t5LfC9V6KQRw+G+y+se0nJMCS4skUT5cFB8FBhyeChNcdiW4J2GbI x8QOqQVmdOpD0yo8naYHbNKfSP1sQJWRZJvnJex6bikihu4rwiDWBVtnvhFoQGE3c8kYQ3li23X7 VA1znOa0A9J/tBWACE7kEwxXfJFaVM9SAJK6QUaWzJ/4hOfpmwrY/BUtEAaNsz22s+1XJewzbnY6 apICwBosO8KEATkkGRSPb8sN/tUh2s5jpeusqQEk6jQnj2xS/8t0kfHU5wiP9GsiAeo/Dlz4Y8K+ Srm34L1Vb1YVxMADrtnvwfoNSU/U/xpqknF8hhSOTx60+8aHKurhv4njJlVGoHtf0qotummsysaX 0PNwmPeF8+YdINu40BMT+y3GpcpUJNCJxxMcOmLUclNvBn0g9ZUW9Z+FOGrRbM6LDJ8gNS8wDBhI PJ/46L2fxZolcHw7+Cze6P2kPKB8i5ej1O/6LRCJt2MtC1+U8ArpAGaEfsIkUgLFdr78K5pJTgER kyxGml1oLPtFK+SHDBkpt0u1X/PsKp3sUKxr8r9E+Kq8Qmqcv6Y4eQq7EblENPTivYYnjiavsYiq /vdq6v8jams8hlAEoXSVJE50+IV5lLabyY3WmDSpDzy68n/35OrfyQOIR4sJl8XOohKxeGD+lkY3 L1tmOizoa8KkQlSWc/Lg2loeCOWCSWKmsVbshfR12ftFoOj2EHawZDedGTZcevDR1uzlVtEsUZbJ 0md6s0yTziUGjTeA89yUIQlN9YWDCcsmLa3mJSeTSuuUqBy2yVAMI7lcr7FomoCIWeEz2orBFQWt W97w2s/z4McUK9/C9Jj4qV2CjUX812nBnvMtGPwuQxruE0CsZWq06EW/C25K7a0vtDsRaEekHByP JQ1yQU5GnKzj35w9nuVULOuAVLz3iLwAzvN1BX4GLsH8URNURWBZB5Fy0p5bKXsW6o+S0DV/yuX9 iQntrxPYaRp5R+IxhZXlYxwob2ClqFTAFwQzNtvOE0o71sOntXYktyqDj3EpsIFTn/WQHUkY1ywb OIQ4aTLozmeOLQtFVavJo4szuXYYeT0o7X2KztnWtF7PBD10TO3aUGsCS+wNSi7Z0vqF4WQarV3O DRJcohYPFs8kQlqj5ENjugAfaX2UXXvwAevXRwVXHUMzczUqew42zIT28zvsTy+X4HChc7BRiHs2 gs5mXutx1cWBb+MPRS0XJ1gj6Rfb0VVPeabYES0+CwDpNvgE/qyT746VdZyM76or1Zg6XSth+x7I gO+9IfkqfkhUUaK2telXD1o03vfEZpqwigSfP6seIjG8UJzgG23vfUhJiWcolqC5G0KKlsl04EQs 40xIsK2ZbA61+sES6Miq8tr2ose4dqz5eomOvLky6gRNsfzZj/hgt7io2rhGfqaLwcOxAmVYc7jt fxOWyaSCWmZTXFiSNv4TNbX+4pHfi0IEy++DranC+VAgGRA4ZL8fdFPjrIg2rKuUhZLBYilha5VI h6UOUF3B8YqOIBgLmJATy7qqAWODD9SiK4M0gUvgFkjbCxDWYrb7whdnUAwZ75rG6qKz5gJdM8kv iSRaLdD4W2J0aNwXh7qjFBrX9zQQdMoV77pnNDRfbculXYC/yiWCChuT8IevqnHV6PhBWms0sgdX 2pVsqsnf27/mTBbEhbkW8LLNlA4qWB+CbbHK5l5dvMyddvnI9p8wlxINd5BY+PFA4+R3de50/6NS 8cRYWC54kgfbxfdPob7OjdoglWlyh6ZrHqEHoGFTfForseGrG6Sat36xPI8qWnWG3B+xsPXJhtcd ebkV/EdwoN8JudVlO9q4XCppdOnF3/hP/MRSRF5wt2mjR3WvzY5KgYfg7Zv/F8mIzkrsKXmZ2wiW B5juw6nMcyJjP80vjM5KcweDFECmvTSttSac3Mukp83DFkgFgymzMz45eA4YvhhqbT/dN6raX8h+ k5PVs3j9YOswk2csMBZmsHEhME8aZLrvUxYE8+UGz2Q8e4gidEGHSjf8x2GAXuJz1DFmT5Yv+tKD 8vuKggRmIxsVDro+UpGg7ZI/DF1rQJ/ayD52SaT7c64cTEeuoXJGqH+9kit9jZjw70NQgKBXDTiQ IpEjopB3YcpPPDxM0qRjZrDxDUJvPNy4A5urBy60Uc6bm0MVUTHqXTR0WMsjWDdVKperjh+DiqEm gBRsUiYEuwlai1TDjOK8nJfj/xoXHe5cIbaNf2eDROjCprafD04af6RD0CRRxMoQX+3QOKw3WeBH zDpJJCS8eJ2dhlyMVTIO08T9JiKkF7JdJFO0k93oozPMSXZGs9nerVr3/R57fFARO4+V2L3d+pBg kS95LUAcURna8MHAS2qOsBmcwzEPdmXGE2TVlvxHckxvyeh8F/SMkIeKx8rY7Qcy/JXcUYErUIeU EUYD5UmrdPtQoFwBYyMe247Ap5HTBXCwJ4txHC5CBnSOkZw0SpuzqDS18NBPIUG+LxMgt8y1FiFQ TTqO14pbq82f1B/1OJkzDl1Y7TaVJajNpn+PVz0EqdGTOoVAOQJlZsqC5BwURkUnc3/lq6yV9Tez TqHuJcuJFJxqFmp3SZ8YGR0dDguNj8Kplj2XiZAc6HzPEiwN1ncY93HXXxFqliWyVaml8Jgw2qSf moUfqkJZCPjpUvvQNDWLPUQAQVODcc/dPgRk+MWfLj+9nKXosrSbCMBpvGfeNs+FP8BFy/3l0Z4f y4FyB7ayPbew2CvUiBQ7+26duSa88UO/zsYoWB8tOvmGXiucVi71xGuyZ/Fs4ZCGDaGJJ+rVmpZZ bdM0geC1Hd3JNWbA8W1OtWjmFtsPQDQ9sX4CeqcaRhWAXeqT7e051g//+zoyaA8AxqrQ5WnOC9nl r3yqsPqujNbXnVir5Fp8Z7Rid9rqRNXPUqVm3zJFnvLdFrse7/MQNB3MEinLVhFc1g2aSlETuCnd 80FbxBEDlbq+KtQGrrPDxv6tMttdeMm+JkO9VHWJv3+fNQZwOk2Sta6ypS92YBvbPZQIIhTrJNWs Mi4yDwD9QhjHN1lj7GlaKKl0piWRadAV+y/0v+UsqWeb/boiJBG6CbyCHaBSBRlN3PU4K31KJWl0 ySF9mvC8K9+5w4ibT7txa7KPqwrqitaCZYgGRP2Ik1+9qpLcT6O8GEBZILUUsuHSq4Cnf2jYTlcZ oVnHbSWwnWp87pgITKP+Y4cmut3szjuqqLkEGkbKOPKPA6CuUrlVK/JE2L3FprbUei8SA1TlQSrL ziI3dtUNzldr0K47mmymZWLDmZRO9BTfwwau/FtYg7Ur2lEuZemDYM/kHwYc+oMrC2xKJZibBz4x RsGHtKqpd4KNdaPv8pCAZKTWCsBDoo+trD665s3E17BBQtu/poy3x16Y3AtAykI9y+l+lj9xmopM C+Dg5lVxqBqfstJbMo0pRtDtnuZpq5ADdUdjQAmVN5xIeawPeYopNeCYIfeTy343eZPnra8xelWX Ki+SoPimRglcBG+2pmnk5h12hMxgz2IEflgog+nrr4Nql3wZY90Moq0vAoXL3pWLMt4DNEb2f+rg hXaJNZG0NCBdrKVbD6neqlv6mur17F96NksxPp5VfVpDQmQXU4e1fK2NpwB03qsP8gzuUhS+qC/n t7j92AUNT1n+3f81nJHn+yrMv7Lf1R04G2wPuZfAs1l+GGVd0J2XDERLfX7DOy8P+5cHP2kfqs+i 8wvSelq6ANmHlr/YvF6XQQqDYfs4Q1/loY7ZcL6DHwKHaVTqRVZmsLQE0FehjbHUGp5/3xr/loCc oZlYHc5iqdQxTnU25C4BjGjmzV+DDopdAdvzczm5eaA08AQQNbBY1OR/l0yOMN2fXpDeBBUnGo0i ej+g3fX4NW32is1mC9oNuR3rHD/DYvMLWHp7na0BfNBTeh0vAD3J8KCmMG9XAHpShxN4t1PuG7W7 ja+c4/FGUEQ0MIgg645bWc/Rnq2p2mIAsxc3+QGvYdgbMN6gG6n+qHzFPd9ClnjQTRaPkFTw8q1C KhQ4eUunjAxcdkTOzoT4W1GJ5ZpuQb3dBXZOM9l5vcCOYCQ8OfxoisuCWsZK7dkQSB2reCQ0pGx8 U6E10wf3p9OiR+ejT+9Th1HufkhJOAPJAwdWWtNpEWn9jNQL959Z0mIIUOLWhdMUFkoLJ8QjwCID bkCT5IV1CU0Y+UwJcHTAHAHW6u0Flr7eM16hvwwLeflIzQsjRU4F9puRD7Ak0raWHGjOqUaKEyI+ lQYiNZiClQUkNxkFIea6hmoFJ+IUMALrQzzMUBrP9QnN8BBaFF12JpXprt9Q/aZQcbGEuPlyj4jr guUJcTmxob7XoMPmvhtm5fMTNGQGsBOhaYfR5dSLQAJR3gIQ+hHkzYv/haJIoZVOGci+JS0BbJKl 2OMI/AxHt/XnwFwSR+46FUBDAaPobZncaNQi0b9VNcR3lHRf2pHKSmXHU924WrD0fpkiFmOYBUHW b7XlMgPHs9aRVQwCuhfolfzVxyAixa5h7VfUKV/zFn2/8gDPbgOkkIYKXg6vmrRqQtfTE/MoElMU ZGgiGxqvAyGgG227utjZJndqIfC5P5aE8eABjlwvWsNZseWS6YtTeYLUkRmfMHr5RW/JFg9bpX+T /+ScRFeHvMqoEiPLcp8rsH8iMo0PLeOxK4pnjKQnYqG8dqlzKYzHt98NKsW0pK4JUkQ4FIhiFFWE EUXGgr94p5g1WRPYlMZASIhknMu6XRbKRmaJ4hwKX69dORyHlZsgw5WpD/Ga5AT2VZlVIsywebzY VchPIeKfalSQV3jLUgwV/qSPmr2zi657YU8HmGMlUs2GADXXSd6gyVfCdvrVSHyLc50V0GL99rEP PqZYrezqC5hvkN1aTCLsZjb+e/LD8+Zd54tglLIosDdzztIWwHl/CKlRL9uPWKjl/KRfkrWecC2v j2BEtrWA7qVi1759k9YN5lFvOYkUl4hU9xohyGno/gQE5Zp4b9Pn895Zvs4Ii68KHDGFlr4pZqz8 qS/kPV2/6UGQ7O0J8L79+gTxiLcGDyhXzgKhhvmZLfrlSRR6WMWTh3rxvAhDk7qpVsv2SVkprm2K idbrhlyZ6eK/B2eH1bhc8UCAAKotWUJL07wZCwcf0xgkG4AZrVrXRxWEVWy7WYDytLsdiysUSiAn bjwAAwb/MPBWY56O6F1+sEBByZSS3GIcFCDjsg1MQBcQ5zgeHoUf1+E9KkS23DL9apCs3tr9x5Mf zAm4jgHJ7mf/6qV+iv1+KKCnzEWp+C/C0mXvJua8IuaRLcNSnfYSxPqZpLndlpd86FixpAervoZY HwGEbCtQVXqKdGR/FPnFKfpqhLFBlsZlk4VMg9vczOKqy9CFsCPqko4wJ+WWrU+GjLWZO10ZfzhR hZHJYAcP3G4A0J1UpqMtbTYR5FzoAufjNSyuMjZ4kw6xwxOvhxLwa10CXRD/RGYzo7PkDjJAaz7Y z9Ilg7QB/km4RRHp6JTpVrYaY66zrD63EnvNAES/1g+JlQatlR0aezExe+BsRUSBpYAWRkkahW4Y cZTe2pdpYvJcyBS54hlU19ybSb2EBWpZAc6PZjDHm0xGLp1g93X/mi1dxZZnzM1HaKyMugsW5nh/ q7GfogpgZPemK2PFRH/Cou8zpQRcY5ljilD5pbVDcRzZ8IK8PdYoA38OLpAB+0fL3RN6Jf9QzKc2 ioaw5NNzTwVt6ISxl/VTDBjogryadhpIrl6JbYDsfoPTkQn9ZyeTai5chUxvLXerDjajMtFPlJaM izxYVJHXKyfHfIEwXzwWYrS+lAJAxNUwLISGkyGpOczwE4jzFgQ0PqyKhylWYHW14kxxNfm4TQFH bpfP63Ywm567pdOh/kPu5tRGKIu5V4t+4WbBGfgrYa1s6sQ022ZChM5rxvMq2KMHhZ+7WqRvIO0o S3A43RIMJw2pC9pd45R3d/SIiWM1SB/QltJ5ZONOrrUOIfPEGLEjSoVhE8YlEQEbQX1zKSlMfbnA ItKzXqCOADrl0zZm+c+InxrASsG8awCUJbjfJlzogXEQ6Wmj2KtxLIQbTeMBOtZtuYzKqbNbAd/a ussmmc3S1fAMz4JoeQ3fs/OlZ+Hago1YddlRpA15GFJ+vgXhUvQcf/3G3EZzLzq2JNVa41wABrNB 4XdAqaFm6Bjfl/cY+HHVD8x86vw6+JuynFzp7m+rfBsWoYn3cma4SQUB0RtjDhlTIu5ldt/jXkI8 r1XEk4m8oCq/UrJmzvdoIGN3xrGS7FKT4HzkfBJ71sij+84D546E6zM2IJmPD+yctOcYgew77BRd q/vZpPeh4UOAABAzl3S1h/5DZ/COaXh5793TgK6lfkY+D3nzI2zLmmbfy77rUqba8XSuLbb3hlRB JPD+ad0oZVxuANFYwOGjB9UdY50iLDhPPr/w/UYmp/DP5YGehqq22jqT91Qefi3Ju44G7gVC+y6v wMU/tsgSPEy2CIgJMDqyKaDMz+Ho9fGHzAZVb5PdhUV1FEkw5KRmzmHKLHk7HLDCmhoc67QRD7wV FsCHvvSRPgnFF28PvR9Jri+wru6IgMPf/WFVS08MzRqLq8+23CCUMcV6qginniba9TCcw/Z8dHSn zoqCl6P8tZgoyErfiC0y6aZV87HilFR0+fRF79KiYPTL3t60vzTdG5xy8N01aWbSUpDB/MVGl0eH va6NC58dtdCkuGpk+XMAV1VvzOazvupRbvjnnd4L6rZLqucjO1dwAeAEMjZKC0lMng8xwAVW3sT4 VjUmxLbEk4tAqA8+qM0bZreprQbGPDJSjaGwFeN6XNQLyFbZO8uWFdUQWNj22+5P5RmIP1DPb0Mm jTZYv7CAku/oHwmNCRStIA5iClrLD+Jx4aUv2TdhTdBwR2pW4nTIRiA+tgvTmOv7FoSxvblexDk9 gmTRH5EJNr1Vd/Zi/NO3At2VPZ50xBRH29pc/y4GiHQNUVTjmRd/Vnp+Rldt7ZJWQsJ0CgJrZUwD C3YqlGJDqcdqOgieG5ZWRy+2Avd1cucKNg9Ly5MWDL99XRJpLL+1Q1OPZr9bs6w5IP19lgPRBlIj HRURL2FcaRNuG89IODISHs7pd7bC3hbsf758g/ja5QJhiM6ZODE3U5DXfe3uyZKgehRmIVzQndlM OVrwVM84hfkW1r1J0sSkuUT0Cvm4oZ0NOXOcGO3BDYCOz6wNFvSeYaes1S9GePGTEyjBcXH7fPer F6VldeQNvA0IocALBmj2bHAIkMUADH1RcoBWiEv9RfY5S5JY6JbH75KsUyOC+T8k+6pecQHZkXze k6SZLUOKUhpJG2rGJK13K8gnipJkEGfn2dwd7bg56bPyxHC3EK6CFxhC0yYWPX1+u3U5jlttbj6Y LiZwqshF2gBA0XoljN07hjinU5FBC6RaHRtP7lXNvUMpAiTXgbfpVNGlxDrvcp8MdHx55hXI5pT1 6+Q4R0DZUNv2r7oZC9wGyQ/LtbtG4eZedByj9JPeoaGVWI+6bdqhuvUTKBcHbTCBBXJLlbqCfXAv agI8W9bDqkz3/EozTUWfi3CxdwC2RBs4SSz5R+ZfD8SNsGzeSn84BKX9rgDOdOji2cuPn6CcLkbi Kc0Y8vOl8kZhmjtHP2gT1R22FkHdfmDoLfX7VymjPp8/n2WffZVabkoeDJXc5kZxA/p8V6HGeT+b ylowUQE09MoH5f+FbuvA+LAsMHW4Yy+asluFV3HhMQQOx6+f91oagKJ/kyunxeBLC1TCnorzn5+K wjzZFRUd1cYUck7BvUQPmOlAk49mmSQFswW5efDCRRl+23eZXiMeS9EXetRXDWoIPErTEOr2CJ/E IV6bMLEd15ekh+K6peLMUTD9XNA9qVbNhkFAmbL+1Y02QMIAYo9mWTjvrbTUgjy5/N/mbmIvToG2 AjsAGO0gIKAgjJCS/lKGXExrgdrlJuFTtXdH0a99fKRKlXRplrUTTEkmg0ljwkar8hv9e7qcALPT rE2sRkzJXgy7sU7p1tccWzMZgF90G/RqeY+UEHFhS6VRg7SeDiig1XfJoP6qFYJQ27crAbLzZC61 HTEVDDYpxCFdOcAOWCTK7Jx5D6YcqrltTECDWzWpPlkCiA7Fwe1uGzdzJuYk1KlHoaNeBHI0BgNR LMT9+bd0KYAbg1FhVrLPjRZuHLjcDms9qgGK1/uKj3mQGT90y4WxRl7toBD8tPNF7fuNAYMJh3tH gyUD76C0y5CX57GRgvtkV6gmmOBYEzyX7fskZHPEHMujFcofw3kIMERBlNqhpfDmzDN2MAiqpecS p2+zWGQ4VfuNkAIyPT9xQHZXAjADTN2PRnPWQd1z9q30JBwJlb0wglLnWmgF598oAA5syKN9eUvb WollJ0PoAqQ0ehJi1MAOBJuEz1n6FDwMUzabGXn+4Q4K5h6NmqFaoWHl4nRtIngKWQU/+baEC5kZ JsR5CIkEd09OYVM1qBtcqzJCqz3GiJomM7azswB2nxRIrD18Wt1mQ8xtl62sxk/I14ojFXjqzKpw mYVkNag0cGDA64lXiEIcq7R8/J9h8Ml3dm+wlmxRSr6mJt5By61srpO0i3otXVuSwx9XEJjJYVi4 nnUI2F7NzTYVeBfH0wpR8v0K2b78YlZ72UPCTBYiPnyWjzuXv5kq9J4e3qsYny4OcYpmzq3aBw8Q YS5WowZiZh5ajIqvxiBPnNZrsNs5rJ1AddWw6q1q/yX0El+RnRfrBXG8amEVnr3BtI/fOPWFOFkX ECyi+lt+r2RhfDTRPK0J6xaRarEbjVwc0tCtBnU8oeJrYkeuoqnViamOFwbZYfsX48KrSTcg4KSX /PhWF2sQ5DsVmz9Tav/C3u49+zLcKZWG98WVGjzdtylhkjPzpwEplMRunTgf/7ojcYuuqdVqfcH0 V8DArXiNsdse3A/7g9n+XCx2hRSqHJAY5/DPzrJzyFZmFISXRBDr9qhXizP8QkFZm6RyHHtNaluy OsJEeHLCSmaSvbrbJ3wTLb2SnVbm6S2CsYiMdHmZUkXdYN2GiMNnAiesijbaTk6ghXqVEB9e1eFU lW9TC+BFhoHBFeJvaHjqbnqRurr5rIxhJFodSVQYLmnozFb9CI5UaOVINjHPPgD9bnLamLz6UD2A 4Gbd1bNZji1aPjBZiCvoOh5ZMkv1IOr2iftPazRhmsZJZv3zwQimuEuuvK0l1qjgS9BWJizNjZWK Q86ylIBqqWgQi0EZiTBkYTYN4Vg9GyoX4OYbtIcOEVSoTJrI+Xhq97qN4M7wUnoY2T2rlUoxJcFI MEiSBYOn/T4Dt/O3mxtD255pBfxRv+GwL9lOLJxY/VjvilThvfzkjmpfKZphT1Ru+oeVj2qXIRha vcL39sb9xyxrjRN6RfB6269MbYFVlX9AUf3ZzzQjkxXOMJohBrB7Swe41Fu0OVLUg40GQlpHQkMd d4/KGtLi+4+t8X66URS2nOnUudnAt5rqMVOGI+JZxNH7mZ9RdAYMQLHw4X/LozEtu95ibhMcR8k+ CFvC/KX2H2J4KBqXRsvbi+gWka6FySPCaSglu9OpR8iri5CIgoVXwW0uRdC1Z4sy+hIAaeu8yxf5 LzJFpBRU3wrNtLdAsi6u0fV7zlhfsWVF0mCjimyXMQED+oGc5e/fg80ieCIvPzL9+aKzesvieGHy BagoL6WV8+dNab0VnTcUtRuA7zbw/w5WeDf6orpb8tolXLnISYlU9slazIG5MDkyX7NzTTApo1Qs Ew78MVeoGoP/AatQo5o/lCZVf4yEhp6BdrWg5tFyDqi3X5nLVR6wJNBLLfK4IIM7Iyw90xS3zE2Z /AdHIMAjvY+0jC15BqOjtR9KaKoTGXzRHzttLqen2PH+CDQDOb99kangxJ0/pZvZMAaR1yxI/10i 3uvt2pNp2D4dBDuuBgiRDugMfwDY0M7ZmBaU4NSqWm7piFAQrH+sPL6k0h21l/h99z1WqKik/Pbp OD31yuuVLetHGk/DaEiM+ZweR/PoiBqI2L6swsBUwfnX1a9GFWG3xYZpZsOtn4IT40I1JIbzI1fS TmrQvBwjHhuaEDtWu4qtDpgm/HM373meFRHcirVD4WVlXQC7ijwmW1cCEfdg9GaXjKlyFZ5X1gtL 4hNl86CytsQaOv+KNprKD1kGHcQUi9jDYnTVknFYISmmtiEcjiOIcLyKto3eGkHravzMFuhMTDCD xgoCVGifTaqQX735KzCOZ8lV4pX91PYj9zKUrIGcmmZQD37UwquGh/WEYeqr35W/+2VkT5cNEAAd 0ztcQM5Ioboj6Y4zqvlia8A4OAAJjLwioBmFMaaJ7Hm551/Q1qZtUNvob902SlZxG304XsCanDnt 1usux9FP3USDPXlOrpq4bSe5T0ljZntP9DyV2PB1x5Wx+hg8KXOrQx1xwRSVm/Qqb07W5Hw44AsL XhYDAZ//0trW/sE6wRl5OkIoaVEwbAD2R5AMFI0QKTIH6nmiRJs5ZF6YkyG73E9qsw8Z/ew0/LDu HuUsB1iqPQE9CfMiJ2HF9A3c3z6b42gnbhOz5Ng9K0x2/VedVaKyBVpnILYIGJHhgx85FESNiExy 9AroNdapPQPa/tOywu9gwFxZ68KBovkbFJrfPkyAp/lKcqjUDP90syMdIozAx+pboCbXOytkuBUk e4qCO6UKOajzRyby21htwmvq5d0OrVk5rHDDZgHijb9KHrcS9gq8UPHgJLffwoya8SsSwGDjZQn9 ygja8WymgvvmYWUvDB6D70qjeGUd18JsC6gy90JoRHhExL4ws7NY5BI3gVIy33oEr5/73A09BE+w NiB4JJe3GMkKfJfMZ2e39h4fkiX7cWn2A1qpQyzsf+GQUf1hdSYrwH5nTyynmPVi82iOIkP893Zg UgSHTNunFD7f3ilzF5A+wMrghAJRGtoYVJVELyg2+TtG5PZXTBo7LLlZwDI86xa+N94Au2UOhhr7 HC5ngjvj+QeEbgpP9amqkYhjN27vi72OuPjesCUzFliT6rwtmQTkw8e6obRZFWjA8dbN8GEl054h ViW7zM1dA0mU1n780XPX2txgwYyvztNHfaLMLoXCh+wwPYTYWRcEtuEAp0Ti89VQTDjh8j2RRDEn Eo9wBjOb5UfpGZWSpQvs5ozkC11og9sllZn7zXZWx3y/Og4y267vCXLGNSowz0IffcrRImICD9Pl sxUtbWXKRT8JEKTUJYllw+0+HwX8biFLHAM1QsO4vZFttlVfk/1DvUYnBTpmZXRZIKZ6yjk0yUkX lf1FcRtVZ1VBHDTMM6VL6gKXy96g6QiTetsxruC4QHLwyYOp5BoFbErvgngZSm66yVCZ4L8gz/it cek6SCSUvVolQNDfApxFKA9GFL0keoHj22WR841LINKQo8eFpg83YWFx5D0XkBzvlZtFm3mPAfgy Jfk3n2A1aVbSNuAtFObx5AoQgEygwYNmhAilSuHXIjpCBw4KLDHSC/cJisABDGiZdI7lm3HRbG/G XPMXWx5k8ENy5+WW4D0CAAsvSBMCcD9EDsSg56KWLjxjOeepm6kYkhrxe1d7tKKeL8eAlnAZ5M+/ +1fFB+qQdF2uyqgmjuk4ovv1z37gPSPsZvZxdkudlTC3Lb0lAp7D9GJP3PdJsdyS5Lw2kWCqvlbS Jh7tVsPfMyMrBAkAA5cGHdOtA0yBuyeU04kf9KbrAICewXgXEew1KV95oTaGfxAhTQ/VSR9QKyVY 1VszXXThIxkVXG5R02MPi2u4/GJDx7Rylz87peTV7ZZeVviBEvDWlOwYmGHj20tmJH+focFGAzME wohdpVFKSwGevtxVSim951qBsWtNonaNZuM2MnY2u0FTnQm9WmNhwtdwX7s7QaCKAAyO9b5u8xHI GyIQA1gAE0uKv/rJ+Gjyh4dWNEZHeMF5BE79OukiK/IaVHp1rfFwaDMQFRRrA+TNlmSormfrPqVF H8/BXtGD3WM47wDZSqJT/M4nI1aXRkBUsVyV3KiU4HB88gRzz5Zbdjgn1asH64oNuaA0ukAaQx+S iIJY0Hf3BS+l3PdCevfyjRNg0hoeb7g8XdTShhtvm1eGMsP1v0ZzF19FC4djjyUldD25YfYp4ZN4 ELd/48zzM1m/siaa8rIeCKWc21lO/3O+IevoK8mTVBcEMogFoMx0nKW8apFoZKuhhM8Zgu7DmAYK ghWwVOX2L32JNZag15FPDXrRGMROLpUB3i5CLSKhqlDXbk9Fg6w94kgVsCrHUjUfYzYuz9CVySak K0LVLrkvTbKpCn+7g1gEcEuSmWBEz5wLYR578dJd/MuG0lW5YGEc0aAk9dadd8qFZa3DcfE0MYbu GAtVIq8UW2fcfKh5OraASSX1FGn4Evx6d/AdmAboNVpuXk2T3HBv8DVePsY2AuSAvTMJLoqXo2d3 XdmWCdOVg52JgEcamrX1QNsReOVe50IFQRK8Rvg1DBa5zECwkPfxvHZnKLwRisWZGsfh86Gb5Ba5 0khz63TxhXcKW9EDwST34eIrYUcjZVshF4WGaPu9k+X9TtvjQ1Di8VFC9Aj1giWkeBWmVvtHVIuN vebwtDDcoTc/K5HrkasZEza8skJF12frpWTW08YwbYVy8VcBM+GYhmxezB/GO2SUQzrzBZcKJ4Tz VHPBGQWDtXHe7TIMLaw2a93zRrKbcJ/McgnG7Omrihxqp7P2Z5lYcTSYAaqWlk5ArduzGPkrPfrs UMUJnAVzxvZCbrMJBkQOHeMf7O19PSQe9RVLAXJGzYdL2jQHo2wdzo6AdNa/o/tpzVAoLPJ1Sz6G slm+GS8DUQ41f9yWyWGCN/GTvpVlxKDMeSa7itPGCE+TZUQsYLgjG2NBHolDYNM7JBaIv4+qXdsh 8HqnPEt+Lj0FLKMIBX5jkQSDkKL3xFwzzdZVau4T2CUInkbWCdf/pJ4w03vRTlvfEOgK+w17+Mxd zKLihXwS0X1mAHh3t45stLZlfwjdszKJqxRmWLgibhM3pVPZ5NwT0Jn+Y8zoiJDoNPs8fvbTdF6Z 21Ihd76jd8wd48eIliM+s7REwpth+K561E8vmwEIOU69XIdzYfZJ28UlVI3gJu7UsSHHJnPCG/tj UKrhXk/mM9I6xLdT6ehh+jjnWOEGH2Vb1CRAXpJtCeTEjuHhp7+bTYizXvzOTF/4eMYBScJ1jZUD yHxdCqy80j5t0kN4VDCUaBHCiBE6qzSipvVuXQebMYjtxQ3yy1C9jj49XNXrEyr7oo0/t3K1FVbr fIw+AoC8D7a3ThwTpdkgzccgOAZrgBHe3pxLzTrWmFCyFRG7RjMghQIKGW2aj8gfShL7TNSm2aBJ cAInwkigSnFIJftctcnXpcABVudvQCbe00khttm51LAtNHKXJU1Kf2VOJzCqiMyiOTss5xz6LzzQ pfrTX08y1yqxfryUtd1nq15dRRe/FA03g56xn1+xL/SECcr1nt3KcXS7ZallWSQlhTEZ1Ts4+gvn rLlfRfDfab8sMlt2JkikHzyok/OTCY2YVZ31nJv68YduhZJvtXNP+lxlW1GrfKt1kCWvGibR5tKN iw10xGNKgkRBqf0B9lKtnOGQ8JvkmTJknpGXdylDBlrtRyGcT1XuXwiVIC9VGTB91mw4/GAIVLKh 1BGQiKwU9IRGWiZXVehDKCffb2LiyeQbFUceTFJ2hS2CaTz+ST8KAXF9XQ5LTZsnGOwhtmUcf3YF vTuUSAs5yQ/LOeJAnIuk+zO7byBcTc7hFJSRTbZYyumyvqkJd1pMhiuHpZ467IAVV9Oun1yyeJwy 1vEP4eOJMIbq25yBEebUPkYnzxqQSaYsKRyaEPeOGTcKUTGfUlnUF2WUHnV2yiPkaGKRTRioZ6Kf 57n+VrTUi4gRD5MnOcVWjn6QuB5vWF3uFLrAQEqTlNHrJTezDtNC0xhtjFSzt0t8G/+HmrAO6gyJ hNrfVoJspyHra/z5w0SCIndOxIL3ZMNOaubWs5/5ApFKTYiZyawV0VwlrqJcatLphUoigcXHNOvi jVuVpF9L0IpeZemwh9Lklsz4TMYiM9UjStzvfkz2mlhYkkqwMs5B7yspvf/Dn2lrLmy4EcQlmIyl S5qMLAIeF8Tk1V1iUgrIEHHTk+q6VWBLRcwH+qKRTtJjScPYzvF/6dXK9BAhGU+plC0ufL0XQKJt vaBHrUyeIALNQPlxRug0D3g/YZm7B6jIOUDa4pTcgAHl6VpvG+OlPkMRRe/96+26lH6uDOf4ZT40 JDeRm7EgBb0t1UpiU/lQJanjaWiSWDv5YHipboKHY9xYlM5WeJXhObUnYeHAUT7NYNOAKWWZtpsz kG/3ATuqYV/oo/tDIDgNswWKb3kpvgBmcD6qy4ttO86C9U+ZIHLs6SvdUDjzA97ZK/3jZ7sjlnKL +hXb6j5qiU//1eVIXUIqE8TeVi8Dm2Ma3UhL32T8Imr6mLUxf444o74DfWPm3OP0E+X/7n277Ry7 XOT1qID3UKQViHR0rWPtbLAjTXwJZv3O0LBVmhj+e0VRWmzc2Y1q3/ZDYmgRNNMWtX3SLcv6r8QB 61mv9LXwfBr3EOE7cUx0kcJidRAZO02ABwQkYvqIjQmb7brRsJ0IccRxX4ZVx/MxsdrcZYjoqRel 0lBjkVmFWm/LymciBBlj+VaJIygbib+tZLRaJJFzWyoXL9Han9KpTWPjac8SPW3NEvZiL7+eRRCZ 3/ihXEpiVmTEmbk67whUKeKrWsKlndj+7PY9LwmRzqV2UAdyEBZ6jHCCBvbRduNlvaW/TagWdMtP EtafzwyZ04F2pSjPt8QieeBJwFvJtrDs14cN+snzK0zcAdRBbAXxj7Ilmwu2gsOpOwCRyV12H7QY 5kCcTSwUzMV6OvWqPA5zliSupSobDkJBElxsQglwZ4LO268miKLpyzQ9yjpqkzqT/9IWWE+iaQxk AHndb1VcfET5I3WZ0NTs2MKD+beDK81iR3YbmpC0itIZIewhZ/r8HbgyAG10f5arCJ1Pri/Yk42r mPBl3gjqMCsYZL4nTnMceaPgsjBPe4Cgod8k8TTw1u5KDIJItLiL+ofuL+r/4f/A7o5WErb7UuqH THN47q3uxqFo0Ps+1W7bHLSQZnl4YK98N6qLcyvxKFCzkzXD+N2ET/Up0LYvOj6zKIkQyw5b84NU Ra1JlDIhEFuch91JRDXeHfb/yNhKAPL71NnGVsHkb+znA/hmDtIDS4UtxIO9QQ03W6P+OegoOim6 iBLYV9AI4cnEl5eVYZtzociIabV7kPCJKHdylvOz+2tBkHSVJPHeuYW3YnOxwkG90TzY7sUI4buU dWHnlaAzYPAkaIPP97SBpZAcxuaEXOZSRhPTWKGnRWEOd9ngkAelK+1Rk0dZkJ6YqoCwcgp+hP8c zxFECRQIiYQclkzdHfADqg7s0gEcYixqg8Yrv8xZYyXScZ0apjDNYLyPo8l6kcrSTaK/yW2SXvfl 2hY7zHzgt5VnMZdrGOZvCPDYHD7P3VPW68f1zRWyDIt+Z6ny71ZxUGqE5zRy9f6XTgJQqlg/yYFG CoLmAX0IYOYDrQHhp+H4dh+21L6qxQY5DEhdIfHd8d4YCHRKqAJg3f71cqG3URwmYPdBeFJyKZ9N 1TVouEibrdJAc5FKYj/+GB+oOhqnwgzM04556w3PJYqzSB83QzHI8U2EPPYpzMKc0m0AmHGcLXxd LEJqmgSjnM+UZt63no/5aO3OYTwh+NscsKOsNc75veCZ0KlUn1jk0tdeXdbJkS1LKrvI0fnjX3T0 mGEgbI6XwJ149YkhMxTUMRbuCvZO6eTgYuxwKwL7b5eWKwcFtsz5eOol2By7dYuNftdnYOP7vE3m NI8LrRVAVbupRr09mA777TmtpChiYxMiES5N/IzIc7fMhTAqpWb489YjAtnNWIApmDxPeDCVxoY2 T+qdG5YE75rmOBHDUeSBPfWWbMxw4R8YfmPxQu921403WRjrfc0cBHZiSGUNKd4UFnfpxX6K1pyO LPHpdS8XjEeVTsNJslT7IvzLciNRx6Q4MyodlLdWSwcQoZO+iw5/b7feTSE81dpySMV1ogx3rZOl e5TGxW0vxhrQB+yqghG3+x4pqEvK03Ytfi8f09Zk/WnpMQFufqePtUW/oKm2sb7E4cZDimUR1XcB +NvRGdD50JuU60YrSrqNWX1VPQlKCHouCD+S/8biTCBDNFrcKdcPN4ED2c3lpNjzsuphfNkb1EJi 5cVDotJLLyTcCQFhN1CybQHB63hzqYC0kgtbL3TDMc8tuHHYxNnvO6Rjm7AP8UmhrZEB4YyBL8Tq 4Mod+mInglUSRFOejtNEiITCQinfobJAp7KEKe36dnf/gFxUDit+YaicBuzbO2+2wITddTH592JL uXg0TeiXzmSdpFtwVbx0TmJNUUtyKUfGEY8FKFxE8g8hl/VgR1K7UAIEiRleIzgbv6Bsw3BHm1mT Zl/UL8sCcblPYN8b2inMvgZ23ib1g830rLWam/oWQ92x6CM8EcdMLmdrL/c+rkm7006lwa67x9jG +lovPw9xbGGEvSPZnCpU4lUEKCQygO+uA6lFYysVN6Dc9QgyqXPOW3pfXWg1BuUeVF5JvwW5z367 GN3Fh/OMxWNXAnObXr+fe25p4xLWNuFeWK6CI/huVBwuw3DuomSV6i8ffVgtUCNIN3HposeRDl3h E5oztEeLWkLJ2TisZF59THfsQBz6AZamg3Gf1JbvRpLDGkwXuZWmyPv9iP3hoLNb30qop8tA+JqE buYiwkJd13U0HJP5S2FK7b26XrphGkxtWbHI/6Og0eRh/4jLb3JmPkLVd3kpxWzEt2XITylXpiTj MZ+1WQWyOzNrpcojJ0ng6bEFOPe0rUhDs86q6a4kW4BB4iX8Y9kNlYRGa5PLfiPB3ZypwJ8RSNv8 X17HaTBF/PC4GJRR0UElJcS5ch3NtikA0+Zbf0dW2kNt4gXO6Rxj/+1NDBvH7RaFwyxuRL/BGws9 ULQhHMDFWqHvnPpkZvRS+HJZ+gRAcO16fy5ljzpeVJebUyLNt9jje1Y/rckJpx5LPH9Z9LXWCaaI Lj4VW7AMsjzniNyhMa6O9st3a8TXd+0XB1hnyYytBbDNZWajf4QsyND4nyY2r1WSBqMi6m+nfXKz iTkHkxhu9VmsUJeUQOhHGXS5ArH5zt9gbv341ftwUnWPL0rzkmZldkmVBkHUsJySMZKWos87AssI EtZeAX4Yd8DBfh7uxrnhXo70K6/UPIizjpPOPjDHhXQHTCY3LsRYTvfeZgsiGcW4EZlXVpN3sqDW GwPG3K/lpGyxTQHx8oaxM471Wper+Iwiv2aJ03cMGT2rUKtJmGD1cGp4ml2KaL17MtnnEobu69QH 83BQty72/wFgF1SuVMRz3rez2DgGi4JRCY83ZZYavxOK1yhBJEqdF1+Bbx+lW73I4vaUf2wV5bm0 lATkEIQ6IGDgrH3iLOfWr+26RtRzHBoq0OV+KFiCNn1B5ZbqIaNjB9er/hVGf1jmpd2xyV7KPr6n pe+HJbZ43mC1RSFOjJp5G84A6Kr+IrbV1Agxu2pXGl6eJfLEw2SinDB3mGeMIfsn3hwtblriixBj ozhknp2E0MUqzJXS4XmFHSAdfieme1VIBP0z8j86v87dl72kpagvjCQMZQSOUtaPGBtfNBZZzDvc nwrUdYhBju/JLWc+YD5/osUtJb2s6387X4284ufPuIyNXHCI18dfZG6fgs0yJctLmf9z2bYkNKi/ VLJES+PVzn1aZ9q4WD1MuhcB4qTqWem4fXhjUD9wBpadyWkL8AFeJlXmrxK8fPU2tgkGXmKWwKGy zBzdinhRNo/RydxznjBhD48nkr9JUj1qGSdQrTyshPuNkJnkDDbX5VAfUJYsRG5B+jeedWiJNrhJ RJ2zfstkDFozycQi3YxtiRevS7Fp/r6VJDK7fvYOOxfjJivZATEod9BKQ2oo9+28hUQl0efwXMIj tImLK9bijRIGrgPeiIZfVUM8kKyZJbv/DG+H6385XMB10KfQTQary+TzBklyx2LJBRrQiI4bTHhB KPXJQDrHmtjvVm2wEdyJaSDNa3Fo4BCta/EcObechkLgxypwdgNSu4yuKsSa94apCv/95ocCzRGN dOqe5lEI4JfC29s/DgzykvccdiEFSC2NgV1nr/bHen4R/1iKyrG/fjEvgj9v0FiBJZ6EcS18UpYK sQ0iQ9nhD4l25RWdUeLz+jAG2uC9BP6/TLANqPoLlkKgRLx0nqXEu+sW9mG14hGkyvHkLd24DtQo 3wPJQAXEB7F3Q5pVJsNwT4H7v6mv5kzRW3bB4kkSHALjVQeCvtocUrr9DWWhp0cbR5DOs2Ghewea ZpsEKAFIq0QXS9raG3SDzNIK2jyPH5lXbiVFbynAfSzY3uAIqv9BLPwAbr+gaXyNd4BWnvQ4ZiGZ iboP7XkHJzrNCDrlqAuioVwCeugxJ0uRyZtep6S/M3ERLxtgcKjS0jEsn7RUssBPLD7g7DFg5QnC BVXFu9AultM0cmHT3KiOYk8NXV9mmgYqVXXvqtX9gQnV6nSBvu0junZeubkc6ZjSFLUddb+VWf8s F78R/p+uNDPmL/yLKbs0vy9s1azEkfXiFWYmJYAjHDGMFrKBp0yCWQKbj5XFxQ1O3Sm7GEt/1S40 CSkKQ9jSBgVoDa0fHlsyukY6ASUE5dGLDErTM18dsTvYodXLPH8lYNbTVR2MgMoTz/zP/IxDsZMz 1GGraYpQLyS5UMyAN/IzVKa5vput8ePDJ9ZnZcdn7fnAQevEqpFfAcpCAbqQpvDb7e0IECEF2HHp x8NK797s5GZ6ZlH4O4s9KCy7A62pJwotrTo2QWztoT1qCgsgH5ToRczTfbuoPR3OLt12WdufNtwm MI0vnx2LiCiDaOiAZG4KmXGN2tuo9e835wZddrkmuOJrIJhO5wUbbNtftme90uZ3qYstaySs2ayv SWbjzAL8QVsO2A4jqEh1CunNP5xBz0Zv5ENJwKcy4c8oTKZ95RjIAk0rn/py2DwUB1hNWzkssGoh G9MStrENFfIuSdW82i3948popVp5DLGXjnIjbxUI0UVOmH6VlaPPdMvcpVCzeRU4vrLSETimiJky 5jxG6aRoabPXq+JEm74JB6k1PdOTSrjXUNVVMwXxm5JdPmXbe4OMUfnW6bPyw2sc5YViBO9a1D3h bUWPM6ULAvhOaddmZjdL8/djTwe20bBQrRzXosq9yzd2/Li66QZHpLGWAFAPhHdO727n6eJxNdcU NKbJz0U0cHjYLdClok8Ir6VyfL6eFLGSb9Fe4EfT4xv6idhJ+pagZcWhgsf+LB21BudpIEduUVo6 jRUHNg6IEnha7gCZ+2uz/pd9AFPYRhQOYHCE08zjLHdy7qgO02ejL++Dv4HH08zqvG+Jdw+y/yDb VG7CmcWMu/wes8ni3gY5X/K+k2qAXxOxAAmnxv7T6107bOssQPEvhqOoRgeNgxOWa3xnRKnw60SX n6aGA0W/1+Pwc2+dC1XQC9zaAXQfh/ll3a15DXVFLEnCTQ612o7NEvO1CnNXLjYc23xdeZlJ1pNp nv509NcblFH2WNLMsODT7R73MKmLXK+FdaWEik4C3Us5+wYzkR3RXTRUa9GimOfMK88Sju8VBWwV cD2vP/s0EN5akARnYyVbs240G90ObJmKxWkLf5JhaiDNjmJbfOnlf7emBqv+rmtuH4zUNSJ3wYKY Ub44mbCvoeLhG3zRWb2vkFnkzw1eguWoXqKgHGs0chsjJGvJCTKhkF1n22cvQj3Elqm6weUtcdQ8 JQZyVt9/zY/UcBeJPd7UyM4QjefPNQKfLqJWYyKe/qvyosFuUbS4Pg1/PvYOHnzJrKn1wHrn/baE QvwDXLSJtQZbj37P4ZqU81ghqtlzNV2NdN1WH6fX6gHhRqQU2JwtbDc5pmtwmmdY4U4rn/M6weWF kAKDxHCIu36IfbwHhyi9BQETStqg8PWxyGCkHAxY1CB4p2BK2xY+si9gHLIxBMz/sPB5Tp6FEhVo VDL2SFatjweGplRmIYCRqlUovT73zet/cShMYRj7GYm7J7hZbJ9njVjj1t06C88/ttJVF0kwRYvg ntNMf4fbUq55EEaHrGqzrsbbRfrdP3x6txWwk+uHYm7j2GTIh57yBik+FsjnRUq867T/PFaR0jc/ ZymlfsxtX1Ac7OC24EZHtaNy3K/y7IHF0IsvxXocNzGduqK0a56f95u9Z/EBDAB33vaFeyTS0zb2 YOSW5UW5p/I+luWwpdAN8iCFMLWPJ3UE/MSkz1o2WidE8pTjyheik/nQvzZ+sAsZl50WG0RvNmBE 1wciC2v9b4LXXJWuzM5XC/DOpztKPa+crXCoLS7zcn2UohkwvLJOMBjPs/aQbLODpjqyH6nVVjEQ SGFv/9bjTAn/LtpfLKpFyjTH9iKEMShqPcjmp4J9fPDNMfeYVkZt/tkxVYmfSMwOYjAQF9OiIPo9 1n3FceukaLUS17BPom8uyUKBpdlD+3bRQaiVFTkhtFhmAsm9euC6oK26vr0TTejPkVe7KjUpMOa4 X/E3a+85Xmgvt7dQ0s/7+Fyguxao2Fdtpa3yozpN9oDDx7HsivVvQkLjV8VKy7UyECnKLit/A2cA 2L9R107NxwnjPL36q4AkwrqQpikr0wij19lLj48te8si9gsMXeC/hUOhbF6EftcuCHbGON/dpIcD P/t5T4yF1JDTOQtP2BAiYgpHJfmkj5kXkuaqGKM6F36zhxwYDA9BmSN3Ahx2ERtq2TQIuBvB0qfL J8O2gZjhDO63YO4p/kAwXpNcD6aEOUdWoaf5ru4zKJsMweRtJCeLKNlR/A12CGQbYOOd3CWWZdZ5 8fsMLcRHIrwjP9XPj1fHiIV9+xGTnXFfAK3aW2o5o7qjl2u7b/KaXHAqHuJSD1nfcNz1pFNEzOL0 nOT4ZFcyuXcKcoBgHFDzKqFccldxzariBYGdWHPirdVXv4IH05rHW4cF4x7tvAXMpUo4upitiYuT Awy1CfHrCgR+aZNfbiRhhxfTkSLgo4XSzS6o3w6vsGzDJQ3Zr8iYBMA/6eLalpqkKtwp61/FIRsj xffeLnz0BuVFP/+MlmbF9DIq4/JD8CwGkP4y5UOyrrfpCS4Bv0EMEEYxdQgRTnCG32OIUpXwuvmy 1uBPkcbjYwy3H+lvbCa6DWHcSTKZ9js2w6ckmL+Puy8ZfOmbzCsmz3e/1MoD0cqbo4S35sfKklG0 RhfulRG1/pbw+nNymG8zGw/SKuDJMwGoQnawCRxJngT9N0NUDHO1prCGjo4UEH55ciADYTgL4eR/ IESmIlBeeKyurgWujaSzR9g1mklgMd6gFWcc9c3f7B8e5S9BR1uKPvcdTB+0OJ2fGNJiiGxujoMQ UXW+5rnl9DSel7oLFqmIQoiUbJjM4e4fguyANJ6wTVTr+bIXhX3WWtwA4K9URD5Wg6FslYDu5zIC 5HQfhb4HPDrCHzSg+yHQzumOUp+BDiqfnRWW+pxPptn0RmG/t3TEz81y5eQsUR6V5pB4x3v0Nz7A e2A5kkEzNo8c+raVTrm17LJgJwIalayfNsH/IUjXHL8eHL04GZG5rhFbB/iMXsFtv2QLT8COv7+R uHDT4VpqSb9Kk7oikXpkfPIFjiEYQ7flQcsp6oKAMv2ltSb3zx5u6iduMhUbUSze8A4RlUFXwJEh z2o6aeYjeRiCKLfF7Ochswj1kywE3cRX7ttdtbFU3Z6s4QujDEyiRmIEXqDx/MV6OqF213keplXf ljYbXhlMdBKlMJQVVSGyKGLGsuRpvf3FR99EBdFh4Re7Y/r6HAs7KVpPP906GacUSrczTaOUR04c 2Nwzq2vEFfZDjFPkeW6DYVUgIflpvnHj07lf8MgGyoKeJwx/bjvKL48gN2XfIZdyR8LxVHHkPLii gyPXsd9kvLsv8tVao+HvkvjFPREQJV1Ehjhn3ST6i3fOAGFJaXMwNcpKD/cMwzL4yfC10p9Y5ApB RlgdA9rxtuZ9H666Q+uaN5O+Wx5YHcN2wUets1iOqnh+0KMSB0jntgKIsQwIdC0gRn628+TmNq5Q k208B0jTkuiO55Q/7h4xhEMujzwkiBZvvgIlhsW8ql13kNuyR5KtiSWSqZOI12FGVs/VgL49U/69 RskKp1eWWAOFK28BJ9j9bR64rC30HwvGLDV5BJbPpo4ViaIrHy/HbVJkxMYky2HRE6gvj2LoreWb a2M0H+0v/Lra6AQHVFKhbKK7+8Toy+BNqcN+TxWloVmoVAswRiQisFnmbAzSLE1t7aputCrswSqv O3bmTwqAZU6WRiFUMxUGiJJaeAJWJi11Lu3LOYZL9ZIsrJZzLmy2TLRWOrI38swItq+fUz+JFTqY IQAe6mEeLretR+B2co2oSKyqrf1fr5FEJNGQddWv9MpKUysUlGPG2lX5K6QrrNLS4fzeWWqYlob9 xxNRxARoMBux6Dl+aqfzZjKjVhWO2MuZLGpTLS5rQPsjCfJ0uH+v5RSFkjbXPnrcTbW4sUi4Ce0T QqWGgoZCzpEDt+MY7NGbox5EwQdY4sY/C4J1USUuLie6svlxT3QC6a3gNMoUVe5hi8YfAeflsgs0 jHMOeLl6bWoUFcqJd7WkP60wGxx5JMkPSjr7xyNqdOKIZQRuHvDjyMcLWhPYb7aLmhAMdynTZLTp 4bSE1YUk3YtJ78i7xUkp59KLmlFg4o4E1CnMuyDOl3eCamI/v4SohXltETVwEhnlRyPMnQUEeCin YOcP8YeusPoXA2Bwam3nrMrktqlYLFoEhLMTcOppJSn0/QK8yLudHYOQAayYzVanjKRK3HTNCbuF M7qYnlGgpaoiRfRtv9uQYc6WrlIHKqFunbmfW6+zVGkFZ0uqoMwqLiMy7bBKW9DuUjGARrqCtGUL eWI9cmgZ6HQvTWK1s+iQF4MpFqwuadPV/UUPXDPoYaPhkRmWGob/fPkMehGCFdkwYy5wL7c9tXrj M+TlBUEr4nv225toQ+VyrBCD1qN+Kd96rKjU2zRElmFTHRNgj9TmztE89A5+LAm1OxOsTNcbXU8I o3FXtTEhz3iESXc4ABAqToK5Eip9QxMDL/2FpAfKC0JOKttXS4wBCYyaDb91VfmHaNEOK3zLdBHE x0QUoZ2GhI3ai1gSvDy8pK47+qS8/iTfY+yfGx6I/Y99rBS/syprKaf/ilyQxRlop25muP5mZ/V9 YHbtCtnr7vBi0H072J3L39b+HziObBRkeD/lEs2vzFLjotpCybwZv6ChuCRcLsda1ksG+mcVhw1h is06xPNKHpvr2YFir9XFvOupPeTrczRbWtxyPvGWdJu4gK+6fScNC4cB95hL6mxWl9OGy6JY8n87 LjXCS8VStrD3iYU9zE6i5eZ1kh/nzH2f8oiqAezVB6hD/mwUL6JtL8vPcQtZqTciWD6amX9X6FGO jHxDBA2RaHzgOEoKXum4/Ekt+79dxn0DHgSo04jXqs8+c55b5KbtZK4Q5rf9Bf0+2BURWrErhJKk LkUzITkgduZlWe8Z3N2Xx1RHGfi8UksooL4LBOGMwjOZESwdY9nUr+B2ZlrXOPeYsxBqfs7HC6/6 cewPNUMhTk4V8AQvfDWFpGs1B5q/U4Z8Qxyy7ykExBJv59s6xd14vjHkYqmLZxyPqR1gtkINfJnw x5WO4dDCOaoOTlEw8gwSVRE+6+jGLWxJG8AIHje832j8S0BApzKIXg+vic+UlOdNEDTnX7Qz/vor tUuqZPhUP/aMu80m6/U/wUv1IMZwVBhf+Mdi0ew/WoEYqCx2j0BtXEH9E3eUl0Jz/XN1+6HVwa4I 84AzM9R3aMsBvuPeJpcZTUv3vrGoCmKrXyJ1GM4ly2VMt+8KShIpu82HJjwB85/n/PlNo0uzmMf7 fP/bX9VxPaE9vYhcbMqNOFXGfFUS2t77ud8nya0Rfe+76unAS6DJueNbdbJeTIGAkW09t7lqsKZa oNR30NdK7bmCKo/eCz+JGB2a6QO+Uwn0eL+zZSryykxjYlSEnZUIk3PY7hlhRA56nRJqPZECMNXT WsZVUjAzsTaPRJYwIGaU6a1hmce1fG1HgUKOssHZcaa/ajcqepDzPzdbKrsaZPItEOKemXeBqnZI eOJpk7GtNE9WG3ljCxXpjXgHGRVt/W0yy7eJRrk96OBbYsFbsY3uJmQl5JqN7pXA5VFsmURkd3++ efHNLw8afXaxL2NYaZVjts5ToaxG0kxJQHJbvRqnLY/J5y4z7NBBTbsrkRy3Yy9pQuoT+RbTGB4O 64gQdVMDnUnBt8bpIsGKtk1m0s/TRVwUQLs6Bnhz5GgzJMSGbXy+T1CkjjnyYccCRhTqxp8Boatb 9ZHcnZtZ9hLkde2ZP/DKkvb5ZEJyhmHiY9Bw0+RlsddIw/0xbhgUrvB2XRD8Y22QHxwwNZfqbbbd vNvPuBJ5OnxBS1sCbv7lSYXQ5RZz++fq41s51TUI1gCcLZ51QIARpVEkQrIBHT5NnyclY2gBk2wl N9PBPT0k88HxmIhQJNrC2jmSDP4BbbJhYuhEiLhF7+wu1jNcx2nw/bqbnLPbKEiqRRcA2zD5ypTs Xyb+mq3fcGQg5BQMv4XqaDS9XWkaIRBj8KjKdPUXP/J+Gg5xcMUDOzKgznlLOffCX2j4fwQTw3bN ekxyowZEBAdI3WpFf1CHABeJXV24LesWvlvVVBhsuFcPHXmsaa7E7QZFQKdHs4IrgYD/KoXLE0Bx e6vFu5Rj1Lp4Td0Y3zVh0DaL4fUKHBxw1usKQzBJ8bey3KwvvKGmzbH+5lUrdBVDKjsGYI4tqMV3 L38usmtQ4gBthJNbRIFygaWMkNx8eL2QUzLZHmbj8mdBHSEaI8uKn2G9GEP1UsXlHyNRrKLOKtnE OLRadyOVNwtR5L5tGAzib29z7I9fNgj7kjHmQTwnFlAQ7DF2if2vDV3fHHwfNEeHrdHx8ohviJfy phvYAkJfh3k7ooVwuFwp37rgclH+ndVGdreIOCDsiq+kpSxP6PjpOzgouqNL+1hp1ZzhdKQpI89Y 5zaAp03d4CjqLkD5Ej59gtkxF2sx+Ot7N0emaT7vMyv2ZTSNRX10iQL5hb9IyJu8vmd0qINEKhd7 cNNhZmYkdP+QHdkx2yxVKNFoFE4CD5AvHXz2LZex/gTDM6jY5iIkpdjsSOG7GuuJPvPlYkJJ3JNt c7QoXQEGvNTlkfdpPMkrzqmVkRldG3jKylwhcK1Xy087kOiXaRrYWpol9D3XJciQUG6ktXalz5P9 MUWV477scBCxEw== `protect end_protected
lgpl-3.0
The7thPres/CFTP
CFTP_Sat/CFTP_Sat.srcs/sources_1/ip/Unsigned_Mult/hdl/xbip_utils_v3_0_vh_rfs.vhd
3
163693
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block RhrbwOyJB1EkxOJx3ABqRk3Va+7K3EJHZVPGIcCoGsSMnOOGWH7q6VzPOfjcK/djKPO6aFBoil75 jQwswaRRUQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block LCTlbuF/Pe5PDxJKJwDmFdDkdDk19GHdt378mO/YQltflOygDhr11gCVrBzfYS02NHqaPd5/bySu 7JQ7BQOeRxRaz6kOAXIywiBhmVX21ozJpSD9YWX++cpoX2Hzx21vie7VHdBuVCd3dcSrAK02PIh3 KQYQ85S2o8AzlKpsFk8= `protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block o83p4yh0oTgLDG7Xfc1wgs4jILGH1Afuo6ZEi3g5oOtKQrlkia8l0l+AzK0CZN6geQVbN9v3By8i WzYycokm0wzcIz9ice0LtKeT+ax0xhsgQnz9Qm7joJjyaXidfkKiDSXWs9qUO/5yg0ocMCtuV6Vy X+oPc9kihxC2JoIOAIk= `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qFbja/sHwn/4C9HJKO6mwiiXixbKvz/pY0uQwgBSvdDvsJnVpURPIZcd/6cPrjI7jCb2L2ZYUxjo OD7e66fAIQ4Fg//zuIIx29BHdcBxENfBwvxV9WMdbgO5JbeM8TDH7sUVg1FYVW1Cj6XD20DFLK2d vwOuv58tfuLH1qA2IJy1LreXjKAfnSYwXNNgkWsLHf0HNlF1BLaq0ZYOS35wQ0+LX50oyZIXCr+N JkR13JyuCDomGP7fERuhdzE04K1CdRn3rjEcsxYOwLOnB0SPSbBj4lBx4ONU0cfvWBzoVcsVMIbP m/ybAvJlXM/GrDa69R8Y8Ovx05heeJoR5H+zQw== `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block fvKvImhKuX4Y0Y6AUieBoEW5oZj1O6zYwY/+HHrKkKgaM1CnSrcODNJTpm8quvRi1mZX7OA786d3 2FySSCAI7NmYiW1tWydoja+l00gQTFMgW/UrwN5E4V0JowxqUF1iu1epVZ+6x/e25PvEtYJ6tlHd B50G3sCwsdy49pORs80wHiIjPV81HJpnQ5cU2wNr9Sym935FvXHSrzPAmhKxjh0sRZWkS8X8pJFE 3vES6QHURg+O3x6fyl1zEzCdtoS5kk4U4rMYiK4DGLZiLIBWYSb7RUzVsPxP0Ad6390hx+wSZ+hX AIvESkLWW8PZxdjlqiH5uMh6bSBOcXXXu8FeRw== `protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block rx21WDVFigb5iRNmq/GF+n+tT8sAt0anxbVCjDKiva2zVerqHXLBxWwmIo8ZDwgQwl6kPSNATurf wsLl3xuYZbupRQiXSV62L2j7uhoTg5gdexW3J3ZgJbqydyznH8end92RJqs7XFidLZ11oY+sBEX2 WZZFU8tlC1UZmwKKu4UKUHOIm6wu0Nuh+yeD4yP6OiKmRBn9y40bNX6p56LkCggypHithHpqzIuX hkij3oeZERvwFCR1LaKtiFF2zdqXORGlBWM0qYixWDF+Q8LzHHgalXIl9W7A8JdruB/VjFtsacGQ bADNP9K30JY7Cl4D9OCU6Jq1EhIksCcjUkhB3g== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 119040) `protect data_block aI7bWNlUNY7c3ZGc2pBm9hopUS7X9dogWjraYv5tIlivzz9gczwsyTYslt2YnJ+/LMUD0nXdKdYR pIOJo/aWGlROGQNyxtDvDPMgCW7a4DPe4d2FZKyxCYU+CQOcwKyYKPMu//M4Nk07v5nerSVaQ7Le OdKRx8fLib7Mqz+v6M2QfuG4WiAH5mEZMrMYGvNc6cM6lzrDDv3Vo7a4QH+HgtmYndaMRsWTsgUV LCdDYAfRyVZLLHoT5OUk/Z+g6Wev9BpvlH1harOy8yu2V1ObxlXarhHEAUtInUsg+xmZa3/gDaEd h0y4u1D1dYYk5K3bwsKZ7TqH9qG0MuGogByVHGubD9Wbf6bbWI+tfdCRfFRkuAlRANSEycVNfo3P dvDLoCKNv+fqlqATA/DirJlE4aeX1FGHg/Sy0vJnD4eqTf8y4RO34KE6Pvb7M0PRmAy5XsRDV4Zz ZUHzpVCDy0rQl5XPvf30t9DFPzgQ6FM+B8uy3eZDgxX+LPa3HTzWL9755yXRXxMtu8bA+7kuAIQe w2vRvE9w3z8vs0PKdYqKQprhC+fJMG09cL4BrJdykfHiJNBtr/bBq+WSSVPZfZb3NZri+LVtlQ5W jHJMYlSNitgjITDwAPMvlQQPz629GFt5O2ia7KgOuPF+6iQuXxZY1RCnj3kp6HEugTHcoaH4w7Vj JXDcb1BaaqKm+Yai4kOYJmC+zhD59QujL5xgv9Rck1BDQgnz/s0hnxDtXCAVBiVUOinMSofPVpG3 Oh2elmXIbFm6Q73lpwzNFJEtQl5cQRg1up1wHrTJ5aZHJSP05vyv3UJQxKd6uQd/o3uRyBgiHWt0 65f89jvOJbql9IsL/CjV+STNpy9RICtYTtbzPVXcxodX8MKdhg2RA8UC+WYoOGc2lMAi1lH75FC4 LP1jaW8BNDH7US+A58TU+vyCzp9xaemgiaHwAYTUj7Rvh/K0cljdPIW+FZmDXdq/YiiR7yHG4S9b UwpePm30esrpmCzC4N+NWeuhdgHFuYCfP/IfyDP2jtD4vyiEB2evaMbpsSMPK2jbwI/thbF5ZesW FOFifXwxCksovXO8BfY8ss7Wtmwg/ZGOr78cnYtbJvTKoGIFklrHDTzADmyqQVnBclXwVcWdG/oL BWoUPqGuQ6ICIW638WeVvTLsShCmHFhE19G8gETeeBqmaiN9OwIuBx5Wbh4W1V0HF3iGSe3rGRxm h01hav/EkgtONJYaIq73OYEH/5d+BvW94TomD7n4YQvzARdLreD+xrHNKOlnPBP7mWiNiRpR+MUG 0utyazgOV/G8ymwzMEVXEavYeXP0sVR9PAvJOvm/56pM+q3i4iYZ0qJbHgA0hoKf8L83AghefJwu 4bYMPpHTLsAAnMLQsKtuwcLTmYKsiCZBl0lAPGoh2R76nFVB53R9rx1L4sKlAbUV2pxWQRGDpPNy IquA42Tm5Hc6cLxV22yKiugnVBmKxq3bphkP2K5Bf7iHhSM+MjJJ5PMtMS6C6bQqdoMeV6Gt1c5x gl7fcm97rx1LiFjx1m8K48Mznqs9zgH0t4NUU2QjTy0gNYiTx70fny4lBuAHVAknqUvzZqUKfXrz D18b/rSoCRLFHNo6zLqi9d+6PsJSvctXBcm990cHlx9gNKrz8hKpbLQLAeLxtIq9lfFvSesEVTPI HAj6jqi818uv+cD3r0HmWj4Mj6WzLQqgFkEUtfB0vUl7HKzYdKaTcDq5aSmsRj8DFP5Ry8K/c0VF 4LTTdrG8vPku40J4p6oH6LDVs8n6J0+FPbs+B8cPSJJoF+ebe9Fg1xWIwNzRVYS3Ren8vMxtjka1 nX6eZOa99Ll/+gYuxI7vXo8L1LhsBhBUyOjBvGjZOZcV1VqwqYvuLlUxEwsYWGxl0rj1cbHiaJz2 lLq5LNQKn0FESAOs620rUNwEtn08Z3Cg7VtBd+SdFQomlmpzyDGFU54j39yIKP7EFPhB5FfSzIUU lsaAF7qCCucRTipNYbD5g+vmeTiD2X5HDgmgoc3aBw5RG4T0/CiZzPBNIcz7NFNyTedmE+1HJI3q x2gIoEqfmbTKzw9h7pokjXsPZB5CplrStcimcWaiASptWEISNoonrCKFffatvIbK/QVumWT2yOrp J0AcwsaExOxt9CgrNtco2RZrszF1Vq3bTB/KLwQATNoNYR4N+S8WAYfUyM/CK6dObGw6Nzj0Y0ML 6eAztsoaDfnsVo3N+gVIOFNA4Itc0tQA/dWMeNt9phrAX6LJqWZi48I0rjpIw1dYq/LGsr0M0D0c ZIPqb5fWAf1fnVCIgr5MP7QIncykiPJ7Zs/J2Lo+gLrGCs2FvhACYdl7ub1a/Pe74Pn3HdytiBsm pMPA5WhXo9j4nTnt1/CsELRA6JoduqGWStAQdcyr8GUWo0Bg5NrGU8X+9R3AXd7L5F0RDpqOxblq GldFv6ZaB2uBsfPqNYtiwonIPClfUYbvDFUSzj3e3LK6by4aKV910o+qsaBtfkOyz4/i8s9ETCJG RhYTq3EeGvg6Ql/5zjFyslGTZm0C2vFxM6V20BttR1vV6Yw1JA1pLBr8vod6tDA5/2qgRWN03r/a W/tswr7+KaqiOW19hmvweCAGiHkH+eesnYCTZuzfwI9HMAwhfIdikIuvxv6k58vS42oFcO8td5eB EMFTLMcmiJoXMbm0nS0zbrZA7GogD5oSRH02bW8KXeT+T/GOrPP+MgGxpSr2fWe+3tUXIXpy2qG1 5zkweA/aY2Dz+dPz7rFEUnR/oIQZV9ngVa58o0xQ6EcbmhfiqCPaa19WaMFnon/dwIs1E7VtpVO3 bHgLCwXMoizr3J/ZZZSy9cdUr3hdjNFqnOO1zgIrBbcU8b+rTr2Fbwkr3yF0+iruXhYMTmv6je1H OHoFYAfzrcvr2K0ha8TOXU35kQ4iHSzKXOScp7FSWizIjdrwoNDg5STCdV8YRLVF+QClpOeNuIOg yx66l7Ok5OATJdfxQymZNxhDrLM0PnouDA6jJd9yrDcrNEja404uFQEB37OFNhNSJ+5/OVnXB7VQ +v3kBBU/iWLwSYs4+JSQur2H/cHVixyHYBKWXgiH12k4wNOPhGRx8sIxk+xZfuHhwg6r1LBq+2L1 5Nh6mEx58l4tJdy65zh/GexHxdBFB5eZh5mBHss0MrHZqu0EL1JgiqbSzcs3QX1xZXerdyNUnbHx diLMmSGhKR+ZLiPnx2cItejFRM17SYDc5t/i5iCM46Ei0I8U+v/Cpe3Yw+OTdNhvjt9QQKuhLubN 8+pxnX7eRCFN5Zdp1p5S1YDucsGnYI0hudU67Ot9mDH9N8Lh1Pqlj4OnUoY9ak2ZMC6zESPdL8Ey UyFwljmHNbCd2iH5tutiOLc5qkJtgjbboZj0bWEo/8yWvQys7mmp5Ts9gDFcRPkpMkizITlxkbBC I7VGbgagUttzL6TJgA5+T3YcbEKDbYjLMFkQL3LWljL2pvGZzF+HecWv0dLltcGqjlQPmUPwJwoP bq7lF17szD+91/FS4YDEAZhRJqORL3uz+o+d/pFFetxQiAImbX7IZ4eHMCYV3j8XIHjyJJcKqTRB 0v7pAPV1bX9yb0dOZ2oDwf8GPRGRvo1RRkCzhwa5G6Kfn+ScZywr4IGXHkQ0Pl2yRTYEdC9yz4qU 3JK6lKgqmqOcaLfp2YSg190BzeQeJsEGRf2A9cHdZkTakngKj1xHQO9+E3uMkJjJv3jXho/aZN4w EFaT0XYgkCEfU7oCkUn0Obv5oQ0oCuxQ1PkVL7aqeiUL8QhoD0RkGOCt7faSpw/tUuAwvBA1icKR Ia9muGExd88VN3TjbcvaTruYnCZ7XE3HBNcJw3UB5En6gDFDGBJ7ktJpAHTBQ9/uFawBi79Q38VK LQYoLCn4WODfNyy9Ang5RxojMcZcBXSziKyZL6HlQ+9C9kitYesx9juQHr4G57CoAFBteKlMFrxr Ki19ujOxu2lwnESO6Aev4kvlg/8tB85vt4cmG9l1SPPNlvi2J2eGZVBFRyTZGETF+YrdMAmV9s5k 95hjAWGG65R1rA9XQEImyazL5An9vwweUzsbj1WSqfH9KSHE7cx+y/ONtcJ+VeC7MD8qQCaEUJ3F rnjSXu4D48d8a52/deybiqEp8eQaZ3wd2TeTs96gE78mlWFy9bx0x0jUhfUrzOlsW45frs3YARbj p3tKehq3Y2bg2nF8YDaRYpiRTFYmVWSrI79zvQ2BI5j/RONzH8EwiDVNmjrNeC66AXCx7MKcp7ET tsV27AL0GYF7w6bTTv4yLi3flNr+6MkIJUCVBbvhUMQvGYG70rZ4uK7uxtBpYCQO8KrJjju/569U Cl239/ROV0qTn5ieoy9xqus+YKR7QzooxY4jlxgU/Pf4pJeLsrfKtqepWJ6KgNk50/9NtNmBjK78 FVBZbN7INXVlcgCWmiTh7fPp56wo24PEd3XbrEbtfPprjr3HsC/dYuhfaTrpFSy3PrtzeiCkanBX JO9TCiEWsSvnu0oxEUhW1g26Uphm2zTw8s1+3rI7oRd06bkcivoIqJhXtv2F/fnGGxfT/1jCJlm0 BkscXgBjN47TLc2Qk6fVYhUMr4I5F99Poy0eG4IfUqi1lXuSg2bQNI5HZLQAhdl4jIWh6z/REovV 1/z0OCSbudd3tqClDYwpsefk6SYLSoWDH1Yb2NPlAyi8jkdsXoG65kn7vunvc5xzeEU/FXc1q8Wm vEUS/F6faHae3qnD4Hb+jo8hzZX6BIhTyVfootR6yJccvZRdR3tUzNMUYTAe25WrB1lCIGh//7gR n23/fOPm7fNLayIqxpwOLwJeghnqZSljtSsi3EE7aY+we2wS6pRn0g1g7ZzhRJD2r6KzBKLUIihR B04YZgM8wcX2ddArD5ZrwNvRbwxuYj7vu1cWVmp6+sBD3bktfkCqhIx3b0ZF8a6I7h9x5V+cGjKK VzkICzY8350nkEutXEIp/jwByf9Y9hXJWbaGOxba8lF14FvXU8ZqV9H4ZWXR2HwpBafxkQegr5cd U4XIiIpwwvMwFDhqgRe0S0AEvDMfhQQ9EHyUDH1qffSqaUR0nc6F+Po2QJaK1QHzsPxmx5oHUzFt a8YN9YdPwh6pg5NqNCoxdogyx2uhZx1j0uiKuAcmkGWn1WvucC96WzfMxLSbNhJnopWQg3eSoVJr bDJilycM0+Wom/CwM1GC5IQwEim+6Q+NTtsw7m1tHCvmBCWsF0Q8oSxw1tjMsapk2RY9l8j2kP7w 6uPP7IQnHq+ha+/7N2eMjYhzouEtL29A9/4np1XhWDgYZ5pBJq8DDRSGOdNJqcy2HbQE/fKTDfds FAc4yACFHGG22yxD5OoD/ibLGR+zad4WSIKT4sb4b6si7wXptvekygVLb8i0/honnC40zopWpEQb /xVhFEh34169FdeG9mW2s88L3lQQGS/NkuRraV4ZZkdZTZ//nN0XanGxoePB8TXNjK1Z6HSlcX2a f8yrVJs47WXgj/pWPrDawMdEHeUGBecMfUmgWJIDQ3eGcihaz3XvbDz3GT/I3WFcElGFWf2bmbV7 esBQVWUzLrQk92AqueeRH0fPz8yK9l6Q1oISDVMnP57DxhaXlBUINa1tzzzY5CcG9DHKYBUcT96T idRrxNJ3lAlpz7g5/KIP6yHgvhJYxpdrPWQNxVEOqjxJM0jrLEYcnPBteFE5j5Js3DO1vp9JGm7M GrH32j5berycy4I7THaGj702gmwsv+gomgl28sZYBbD+MnwUnJZmWjcdltvosp077NXZH+62AHe/ RGDqXM0woIcAHEQf10BZEr03vecsHCFKE1PbSyWKVTw55qF7zfViwvHbvsQ+cnzbGqGaiU4yuZGO tqVtc7d74CcKkcuWWqyJ12AtceV94Qzox0vj6kdDx8FNTyG4x8hFluzRdPPOJ5JTWsI0U2SdOWwg hzUTytZ8MBMlogWSArWswaPX5TwVEgcLVtTv3STzy3b9UQaT3W3gZg00LUPXQJ1dyMyHf9T4BB1E YzsLJkfWykMFXRUy9Kzt3fBD5qhFdL0d+ow4Qj4SaU2p9+BNGwIWNnjmvbzimamM+FPk7CYsmg8i PXcPDIicie7+xEkq5LaY1EdKcayDBjkFHxKcQyQ+1Ul+I04IdMRXvH/s8bqaMxtOxxxfrIl5aaDl 6x6U7kaLTkJEwzi/X19JfIlhfZJLQa+fy24n70Eb8fSBIbmSBedmRtj192zN+Pc5UCaL/Eh1MkAP k17rsPlMxQXGFk72T0Y+4mMK9c0c786/bVPbHAsc+jlu58vSatBET3NLH/jPNRys55a6f/vihN0F tI/3DYEjdKl3Pvx6JUcLAcHWVkSf+MV68XgmCnv2G+tPEf6jJvORsExBvM84QhEoRgqKCFsV2TfM wuSVa0OlFShzTy2iZNd46IEu8thpoQ83I1x4TceCr481lKbmiO16bgXt9u9hApDkix35vY08jz+Z tLZ9zwr4hpjvGHh8/WDtkQBlJw5Bu/uks7BbDqIZAmLq9UcMtT5BsfkleyJTYGKRmuSLLRn5qpOG 3B4R8YT2AsNtakYRh97PQcIwQAkWCa7PvfKHGyAlp9G4IlKH66aYAHWVDlxMtqVcDBXetY7BWclc 8tlFzOVOKwPJ3Vy97TS3AdR4nH7Ga0PcocRdGMk7YE1z5M+bEfWPG5Yt4Ou8oaEUkuPC8bPDRdzZ VMIJDc2KG856QKUJnFAEgJTLV3zHwukr4Xf1ip2PrHIA3jtyBXXzKOvd58kD0xdvKJlmQmZ7LSdC CNzImHzgpH+n6OniwVQvDY71qwJST85PsYRzHzUkpUNyF8UNrNbzdrXfrmCDCkPtJOYOQjc2hHc9 RC6OJd3Q4eXz9vfuIC0Tuq8sBlQ0VC+QTfKZ3Qd41sWceMemw7GlUjiZ6O8+YMOwL48/zi8ScvTw KRVzz3qWtVoK/By4o4K/QWD1BHZzSpNrvHUXuc/7TvYj9oeluYYnn4H9tZ38PYPjE6bEg5z082MJ usy0WdhZPuhNxI7U4wbUkOf+gxajXOQ2DNpyzDh8XGSXIb8FRNClrYWQJMSQ9pirNiA7vAlxFvqm BAkEYzh+JlhZeL0YJzfomofEX1DOatO8s7ysexTTovEnVzm26AjipWiPHT4vP2mRmYHcfDZmAYwR wV1xPvsh2BPcbVa0sM2SErEXgOQ2ESk8vRxw4I3+q7n2rQ1NrkHBeJrveRcnFfLUpPbrUi44Jb+A LH2XeJoTeZHHFkTpL3ejttjiKuCE/sJTQ6jq9FKZJcmMJVqftLkHCaC4hNi4ciB4vk6xuYzzU9Nz 8YlkHDfz13/5zZnmr3p7sjD1ZqiXnyH5H0nkPkbtYGbHwKDYUa6dKN/8vr3UgLZda/JPnKDacNxg OuEmdRcZzyJWix0ct1OhgMMDIBBX/FEEYzw504SgSzbrZynHMmm9O90jPNH6yriqJLwHxZCsJXeq wSU0+q4R27w+C6hvYzMgZ40/l73kMujW26bqvuuSdO3p8lspsG4oZOTK58PiGW49V2uhWRdZrpDc d/PnPzVLlDghuUc7QRz+0gOxpNkdtJVx3Xznr4Gu011/hqiJrtnIfGh7qaAJbzR1Jjc0mgy3KhnE 7FRXIVD9vBDM5sm6tmLUicj/nfCIXRGb8b3BTy1j7Q0P4TmAEQvLLCQDeCN8Sscr1JMsAuCHE343 ECJkCufLaeiS6Jbl3Fvb5fr5+pzvIXEcvxxV3jqx+Xsr1RuYg4Hi5ucChWCijJQB6SbXjRtBdX4T sqbnsQ7gX3TbNSYqJ4mLyMDIuOyALj+K1fUmGygqNbLgZOXunUCmoObi7j9z6/BIKJYGBAmMiN86 4mF/acgqnaLS19mg0jz0dX42CqGbjcjW6fIMTt7YTpPJLC1cXLOlDgeOcFku1xX/OQovP3DBrJXL ZIC/2H4YPA2zFExyZNYZw68oqYXjTU6T+quxWjxNfZIhqpv5ksj80mOj6Y/npijNX4ioAFQQThb0 hNkER46/JitttNytSCS3htueteG9WLcMTuF73CM9pRPeOpImc5gBpNJzy2JJLNBBO8lPjh79zF2m X/tBAFx8rAOzE25NBEW+8w5txt5/eHPgCk1diRQEkIF9ehIPp1if6JnTv6xJ0lSl4k4LpZ08KBbF ts4FaCGOR/w96auZ2OmPTTJAl6lIGxKDVWiq4s5SXkiXhJx24xj/uZxm2XD6zFCI/K0VLFrZnQLI 9r3qEOQ6ppmQ4HUn+CCIMGOxBmInw2ggdrhsJpU1pHe97sNqDY283o1NcVGLLgkPsqAtOA91tRDT 0Kcb10TSCyGwxC0mj6b1UV1G0FA7X9vvvMh5/DbEjKySYeJ0mmWV19iz65ae4hymVvMQ6pwirLS8 BuVwbWnePtnYkPgbVSf2/7i5XGqqGuHIG5xtSq1lklHvr6UCMwTFHpGy9O4KdPXu0nfF95rLg18f 5+N/V20jLN2wFpp8ghVnLEshKl8ZXROqfKUrVyAg8cE69bKo5WS+DEF1geTKD9EOYhQ1ak7YQ7Ur /p4/DJV+UTqZkCIdbN36u37AkHjVHhavXZ18Ow23pfmN5AAt1oMqkf6JPhl2YYBGW+BamrpTwIgK m+8Ggg7p3RuefqqzoCeLftKykmtiIRo2OFvwBGyWsA9NAWfJ71vkaJmap4ztcL8+9ZcTk3gR/SyW T+8ArRz5dBAt4cmaAkYlOEGHwk7opoaMFcd+1/Z5lWzMXtijCIH3LcH5LWv3XHGoRCA0BV4pdgBY rQru7DXl0jF1+qb78c/thAIjH6vxOAZdEGXqd+yd39ZREBYxPfOUxL+5vo5eOJ4EoZ/q5+OBxYpq ImtQkuphewVyWA+HIwGIYyM2tTZ9GUNtdwp5bXJBf8Wnvqeyjo0RVxnc9VOBU1mD+q6gTjEMy8q1 HpvJGXhhJutjSqdBA/gc9jgkov46n2OPp6zH7tW58yPEZRxJ+6hK9GRXlWWt1GilIjBs2yAgLtr+ r1Nk1QJzQQgTtskI6227lNWvfODYHK475Bu2OOizg9ebPVhRWZ8IQpjEGJRR62BigqfOkZYG1Sey 3Ud0IPanDWDPvVISnQFOOnyxM6hU8JkWjAbkcfI70fK0E22tpZCFQY+ZY+e4WYaOTmh8DpLRYt2r tnjl3ohePF5KhpOEjA/CVuAxpAzWb+L+qr4XJdoaKEmzxgcMJAzLEW/E7MWkS+fUlSjEh1BS5OkR Mn9/BP5LEbhrdqOU+E13NApyFySq5a6aiR8UotejM4ZrnM3olh9F+YVjKNIDOv2PQUCgWlM2GW5c yKPvbcgrFokxCtR7BFqysbJQ8BUCflmBC0dbfNkX/+YSn3Db+NkGch1pfxYrKs+lrCBdUV6GCFwQ eyYooW9rYF2N83AKa8wWMrLTzovRIK3vswuPwpJoLsaDe0zEaN4rekfQJdjzma50GLgaS0sBkxpQ Pf7Fh0uDc7PCIDbw0fktpOzd1daBkeBW1eq5gcU5veGgwQcRM+qf1g7crpLQ6E75Gk/pWGGZOn5v JKY6ft32IWOcp150g2YnlLHEX30JhniVl+yF+/LKqNc2M7QM8NSuxoQ/ika3/IV7ScbJD4yPFNNp g8cEBx/Kgwg3Kcg6UObQJ80hxKr5xoGhf/OKguVXxoMrwZBYr7kCQf7fnOw8v500bl+R5/5vxnSZ WvGVC62kvORHraYeuK0lOXKHum4T+gY+ksO6+dauKl5YTNIO0DRwybHi0bey5Ti8KZia3uEvcuCv JP6hRmVXbNYpkw8GNybKz398d4Llh7wb+whmWafnfN2C8uGmGQFF6HtuB97Ec4MTzHx4QslQIB6I H6i1vybIdSHRv1U+Cmq+8J71jsisoa+1GnrT8Yb+lMpeAmv3KqZuRay5PfiSbqMRxzGp6aejjlPc VHSLLD+VpkHZLuZozXhWFdiAeTzL+XQgjegTvspZlYvoE2kdpROwZRFr3FVms/2IlQ6zY3r6x2Xp lCBd/e7jRCQq6sO21oGq0s+tZv/wzrFnpVMBhyvsSYZYgyUvXHoq6En7nXTbYlbHZbPZ2GoZ5KBg 13kg76ZkJQxd3qAZ288rdvufzqnvMNUAoIBhJkTT+tDC6fOnWqlV+8/cvHdkptiFYPfUWljkX1Yw xYe7Gq7cET/eNFKC5LXOa9xQ6DML/oU//q+AYHAJ1244c6cHZ+kjQcJkfMrf8A+7KllC4tcjcMyX 5sLCQo6mDIQM4LduAoLfVt/GxHbQKqm7iHCjcd8T7bPXmDc4E3VHZKKk8DjyPKHEEuxYStY84ph2 MAx4Y6LOM6osOKnfiuvh8mI55vHVKbEFQudXSEnTGpYJULr21WbB152XyDGua8SuKFRalcPdmAfm vCcrWUuiYjA7JbkChp97JjsVzJo1Tq1N7Rj+thiL4tZN0bnbtv5XSIAxukca4GDPYK7emYgl2KvQ MSlBOOnzK8qtwLnQb6hKbWjYVkyXY0dlHmGcEfzLhzYWcXg5PgUqmM8EIpSf02ZusYIOe+IsOgXR 1PDrPDqFzAr65J/Mo3ISLGO73KRSG46HgAIIUNLSwqRWJVjGtZuTvZzVg/E2WTBO3tSRKHu0E6oo NyO344V5/ejUNyl7vrSYzWUSkAybPgq2i0NC93VMuHOsxA3XyJTo/GmPD1RLp31SFIRNi3w+KQ6g a93acJYyBJ1N95Kzvaj5iVwaqOkikvXC3uUKfE1cQjpbDnRapxwG4zGif5IRqiT1csymObzFoP14 qaEdfY3BwU8d7kHvruwYJ9xgoeFjmPLZChZX3IoInleWb8lj3O1zMNsfMOWtbwFuf+dm7lubXF1I /POsqnPxlm5g+o3bQ51SOD8pueWg/70xlL4ZyxLUAJIBduScAPb1afDTxwKlcHLYC+49+3jb0BcX GKgoEOLagXe6FCOqfO0qddWr7E07rReYN8+6sJOtohqZvmh9l4jSvcIghrD8yB429/jbpC68b/0W sBYNiNhrGBF4NyBMgF3qS+gp0tmTfsqOgmxWKxgHEgGmn4IA0ybepnhvlRTPhIa1UnIzdzjoNbNU 5pl3vKc8+P/Pe9qcNzfzQ+A9/LCUV8S+u9ewSTX6HiO7mV1UpvdwIV48Hst+a3+K19yxnJN2//6j MpZ6atbrnToS7BLsEHmq4GRFwzmCCX4jM+OcTMwyqK9onsfKSIAKqfpGz26YuUNd2ArpTkTrsleU 4Y6JbH7csJDzPvgqx5QDMkdwPoqHNOQnPshcXV6R8jxVv4nx7liDXKRXhwO2yoMhD41gY1bbIc0o g8m3JAHjlZRGuYeWklmHAQ40Rx+RZlndUW94AhrDearvcF1VYVldQlGOeZ5LIMi0g/e8kU3rTj6d neGMKXZztNbPvcvRNF3QdADZOcCI0PqJuGRdlJkeF4XG8ZjhoNLnAkGg2TBvvzVAFXCH0wyZOy5s uEBNKQ4bUyQlDVae44xMas6iEVl9C8hNHg9xM+gXFXWCUI5nRVwcaXLgPoH5IkAB5u/y/Xx0c90o tYfFiH2bnF9WgMrBnBzcTYzgT6yFSy/DlO8RHGbyFtOeD5KMhWvxqcPvWguH/BPTJcn2Aro4+p75 NFn45dD5gsCv+dkcM54G6DRQO0pkqdQwWGiW/Z6Rr20Hv7vJm/WQLBeJIkpMvVhMFk3s2Z7ItdXw wPRe4GWYjb9T/5+NBfPAZH7PTepqTnpJUg6yNUNcIOAZ2DVPlcV+B3qUZrWHO2h8ZCgnMG/JAAJ0 EqOm5tmV0ludQzJQRPRJ6BoXTIE3PiMEmJagICyCKXrSSeAVfJDVWxq2V7eB3S1XPmn2CCWnV5tl 4Hw1sYEIduj7fsGkIabPXKunZLOsXjQvLayd2HxBu8Px9SyqTLb3HezSaaBdZei4FZISwIPoHsqz 6rpD3Dt1X4qFCIKDH9qKihsvLWmElviK5AobitJe8Zmu1yroq4WAcC9ncKBztzQAf5Nvcaqjdm7B O8FScs9YHmB7qN+5hQCkdVzIKJ5z+GoAfEaqYZTEH9fd8DYeSBdV3wH4OfLs0LaoG8J0zRBsD+LC Mdax+fj2KSaMIKEcPTsdqMpQ4ijZ2EUiVx+W2MLLEtiPuN3CPutYFKvSIvN5+gPgZXTVfK3PajH/ 9kU7PjMDnODotj0jmKliecPRPwL7cLBBTiqK1KtnFJrl9ArXhp42+RirkyDqTpJxx2cpgCnSlRiY iPN3Krm7LyP4zKOrhqO+oHDZ+lDlSc+qKOrYb+B76HoPF09g5vOpgMO0eGAyH+6jEBpQDw2y+0Xh mbg5vewuqeARrSlhi4pkE6GBspomE+6mVUJl2TsE25SWQeT4w9BWB3m8thVnhPBKeyCCLs5djBpK XqerV0EwpBcxgmSQxLNtuFYKRVvabszoXIJOWVn0YtYbsoEBZIYKbkzo4WbpOmGLxyEHmqTKt2A2 yrabyHC7J3dEzebue41WntW2B/54VFDdP531m9twllyQz52BkEVPzftq+6NpgZXAgr7tE3Iuhr+K +F74LlVaSFZUvUdyKdzTJes5zoVcQF7Jv4/mcN7xwd7xnpgFigZq2SRBZqMIUvjgSb6mrudPMMGo Pt8jIA+jYifF7Oreg2yeO440E50roN6w4VU6ys3amuXvqVxvu1pZc8DQKBATG2awItQO4g4znL4E Bg3DkYY19KLbEon8GvP+vPeevf0c4CFESU8HDgNT81bv3TZbvbps6VoSm+w9bpYTsZ8N2FXszHN7 ryoYhIY9QdlPqjSK37LS/d4NBETPkmVByzBNhQGLe01x6RRhALyhi5dFD7Xn+1lLINaGxI1JDhXg orJQy47Zm8RL3l3aVCk+696JP3y6F/WFYncmuKfQc3ptBibxjLV75tvELnEAujDv5lzRmSLiSkZA +fjFnS0i6/J4CN496INwzIS0MSigqKf0Q1y+6N8q0b7xz8HpIRU3GqLivbzktdc6jY+6GX72HbQD JRLM7eKA8AIoc5tI9lEIVslhjaZbQht8w0e4Fzor0bX6ZMTkUOP+KFmR/0DZYS7nvRqdnOfMkI1r cFeDy7Kl9dcJKTh6MmpkumIebOCa6t4WTQ8RfngB6xsHKE0AxXcFQKjtUg32JnR4eIJiOeksKOwc 2Jrd1aXuEbVeSlpKGB2yAkjWJcrh46YHmbUDucz5TN6Y3r4A7cMv4xmpay7wawrC7RbxpT9VMF58 Wll1n7+U8sBNd6hUD31O8UN+Mg7pADq6lFgJ0qXeGedU/8dQ2Elxb/NkLjBMABT27LnBwyKiegrf upmp2x5fXkOaYTiRF1/1619/xQN95aPeEGMgdRInFBEp2HoUjZ6IxnFGst7gOoNqquFV8yD4Mqr4 XeWcgcUpkTEb7DNN3XnA9I3HTs6t8SrR9TLjAHVK+ksgAyWNsmeF6urpRZEDcK82sHKrg3drm4ya ownR5oUTGkuEDUM6UrcGcPxV7l3+bFAVMLhJaQK+yLC2OV7VANV+EhalYQW1cyBgly3GospDc0nn IG2/nXr0rhCmd093zDZXK0I/jyoSiXeFGGDCCJU4CybHEcuHZIQEeaK0DiB97xogvTkvS7RtzHUt fvYFGMh+LeztMXe2iUcUYgLXxRj15Kow8UQlaLNGkggwoS0JWNiDRp8TpZ7BqnWKOEGirpWXdp1n 6J5z9aawIEQYRE0OlLfapwNsnE7dP9s6O5qbHO3fvWqaoOxKeGEkFZ8+XnumhTlDzzKN3BqHZ1kH lrI96GPZKyE7YUy2wahz+Oh/BnMpy4vQkNb0+fTo3Zni2IZgkfbGb6FgARIAhZEQ7orVhGLdqQ7j dertFwq6UH8nNPs5jnB0Q3JXKztkqXhXQJFetHAeZHdhVdr2BEXBjH48BLhVPKcVjTzZ4dLcSlXF Tyl/29EpZsXA7X2a77+HyMSkSdhCYZH42r72VEa6fLmEY4ifHbLjUg5750/3KANQMyXxYGwMPP5L wfpZfYuEqhUnOOsbaqR9wDZyMmsVSWdYX0yD7SQwevFEkK23G7zn+e6MD4p49QjODnIrMvYgWwAf a7hk3+D3qZYh/jLLrkHS2mrJGwUte2XbTroYwH7rO3vGpnkfMivr7szesbrGOEhq5sKXrORSPqfR YLMWZhlyk7HUyF6HGCE7IKBdqmWvqQ/XdqLO3ZXqKNMp1dyAR+Wci1/P9X7PenpjdJOPQ5NYVQIE QhC+PKjXypRFN8pD9JYaGkDyREEtaAQobqQgrci87ioC0v67ybJwjXe5gLt2pN5pUEldmKA4D5at Elq1ILcCs3o0kApJIUU4tIeORxsvaBYmRErHpfeG4GWzzoWIurfLNB6Ssi32WRkf9ILP4QUTEeRR 6tBF9C7DkVs4RGZy5ngBaFgn5OIKxh6Bl0WVNemxnLHincvMy2ckXS095FQRwdriwo2vNWG4Jp0a eAyz8cNR94f75qOihSl1EaFr8hIWZYTQf87B9DlPsKA7CGmNiH8mgApIKzAsVw8UwHm2I522d3Go 7jZsaGoUJneR0g1Vf87xBJ6l4svzadAWeoFM4sCZ9YQZSDX8zHGPHXnzbggQefzakfdnylkruTYQ 5ZJLfq/iRsB5gBg7CmHjya1CdNeYce1l5YFy9LolNB8LbZ91rLG649GPp9M9lHgn61IxTDq2iWFG 1oGrBu/URfAIqnvAfNDpNUWPn49sBl9OSsn+D5L9NdxDu4KIpnmwOob4t0OlKjnNuNCy1f5XklQ3 E3YY3/D1kV5y/XqTdpTmRoAuT2eSIu6KGa3+vT3ODwzQsR7YLKPJjFFeV4q+mPlsSFFgVyMDJwbF FSIquAUECZge4nwHopb38sxawgTV5C5oa3c0+rjaYR+zwPthIvKapIot7nc1loMDcQh/E0NonoRg bFRIH8uwB1TK39EqadsjV3RFU1Aa7U1OiRCot+EutRvZgsRT7SXFIKYNXtqjlHrvaA5NOa9LTvcs JgUQiC8R8wtA/xXvm3jtyFuABIkUGHofHdg4qRA3JIWYXvjxEJDQvfsP8SaLg5Igof0RqJdKJBOj VSNIT6aOubtY52vUltsIw7UYmQGzifM07XUXiEBbM8WopwCfrGfdnw8LgH5tTUBB6CBqOwh/ipT4 l7G9SFGMf5F7kehnqU6etNH1vcaQSxR1SeYCNhfNdf03dPXGGJ5GyYUYe/v3GbYWYCzFK1CvGKMG G7nMX5GKli0RY11r0LfqQQII/YbPuCNH6Y+qRc2Q94kxz+PYMcPEq6KTABNZVu04lZUS15Ntd8UG 7bqTq76H7SRq/UlN4w4Y+XwaWoQUNKzb9mvUk7b4V3bR1VropOLxCOyELHSVLnCawSgANVNadVeZ KKPEVC+MkKCEsA3Sk+7yypDK3/br+1Xz4xkcs+5fmFkm+8OQ78JHVOAzpgmzkNkqAqi9AAWP/96b aP/SwJAt+hmO+y7lBaT1cXw/2bAxbHWrTVrbAVzPcvCMRPQ/KtEbIeWpMl102LDoCKcIOS3PEHBd k5EHeqQbKHA/k0FBeiEUR/FKS181T/goJ3Wlwxqgfsink88bvwjx3zdRVhxO5KUIDiGG1JDZ6AKo sFdEIHkeBlLKfWeiS4z1ycs2tXFx+WiDQ0w7axwHLlucFHbhQo/NUCjYRbOog0dxkwZOesqgszeD MXOpbxYgaCGc61CFK+YlAYY87I/btkyOjtMUuAp19jBuB4nakyjyCU+LjvlbFKQD4LXU1XHKzyxv riZWsblSnqvPw2Tb/COuUGIbhBYHXzbrCmyj58+t6AovyojTjqS0YhpT885XMJWVEV5Z1FkicoFU I789bBSVhTNDTdTn3Asd6g5nzYGHVCz4J3L/bk6itb2Ce5vaYV7+Ni1Inr+j2Zmj5a7I2r/PXY4+ hVgDt4q91TEYQog9bIkKePf4Fu0zLuW7kt9pGN+fOY8ZSftCOH/+udV/BuzbOWCnIfHuFtOgFmgZ iUqCm5sC0EKj+LOy9tA0kmrJRcK3boaSlSUwY/WPfPrXj0ErEI48OpVX+S7Cj+wllU3sdG5jBFJO 9G2gBJEeEo/ao2ZLjUV9KK0ymQiiG4bgIIVf+3b4jfSaCpfhWv3SddzWrFBYlyIZJ7rjQaihk+or nQptKvKoZBfEk65ckHXx7JO6GQDy1817vcvbhvWucpcV14sNSZohFrMMCvAUfKi6n+7beqbkB1MG unY4uT7u8AVasJzaRfEyfLc/WAHeHkh+gjIiIXua9dybRsJY7m42QFs4/gE2QeP/EnW2KLo2vQIo O3J99nLfM3p8DxNBjul7ncWX4XuJT54WUjEySCFP3nnTf2vc5Fq41bor+8zfg9x9KaBglJLSP1iH MIBM8LIMwf99nhFQuZdIlU6OFTYc0KRK5khYqHTr5G5sZNloqIFOxawp8cjvoy+0dYEcZr5a2QJn 05x4A5NI7jpoypIMJe2mfszfzoVjgMPyX3uuIgTsWxMLmAt9ASokqzdF1B0INHKsEzFldbxzFgms jntihvMtL5VKUG/mUU6rE+whKwd0gs+4gsEL4fWrROwvICaN6x6xDezhX6/AANdUAa3xOyA9Izta hYd1M0RKxV8/TngUvGEeW7jjoZ5g7ZEyySu5aCD5M/YKEk+qPR3Eb9yOthHzPXUkFW9xDncCa9q1 6h5VAOsKBCzxBsGZQSAn5VKH6MEvAE8ju3bq/2lv58QjuFqIFNW1oLrSuIX6FQ4tqaib4CCNAp+n kcBIjzS0xdsGsitFEQqyNKYBr1iAIm1B4Rmugi2Uhn5gjhldr7yWPrauC/2lFe6JM6b8ac7/F2Md vw9JmAj3BVFHnhBjifSWGN71HeLadKf5FZKkkh7ET0dYysdfduBL8q/Sg/uZSURb9RMyu89ftAR1 j7QxUDioaEX9yR4ubKze5C5I0kAQT0MgSsgrMjEJcLRtfJ3Hb8szEC6CrPB8B4qOAyKeM0eMwAs4 KHw3cdRCegpqeA0RJBjSUsHKn4sV1uk/7kBQ6zNcSOux/V3XZ0cy8kJ/q1pK87lmoG3P56zcIOnf 7sgb9gb/1TATBPShYxvZlIG3la9tiP6BFWcsQeHVjwDpJIQR7hEtaetTjMlODFg2HlvWJK2p32Ur 7iutF5fBQdpEhpnsHPsdt84KxeYRQoUZsBBkWjeYvZ5Ntoaqy8Wst/LnMC1duIoxScvGMEZndzaa FS5xZ1IM6LbMEPkSeJbgzcryU1eDS9HoBGfPWjc0anQV0kKCMilIL0/G8PyP8kBdlRddILbEoTpm dQVK9uPiNnSjeo6whW2KAAw1BivTOgX3RKjyYl0WC/h54IF2Gj9QsuocujJYZk3+pHYGpzWqtAqS vfndfpXGWmnHM5k8jQF2/GZNUbHG74hNBqjJIhU75k0shLDDvCO/bkcNS21ij82H8MdOe+PrBy+k fuLEQWGsktvCVowzhYVoajy9q4NmIyVIa4t9KFJrdI4VxybauiKMSN0Ct3JHqF3MMBwxJp+IQR4B I0IO1xNrjasU2ohIK0aysomYpJ9oZmMfyPuBPvONbRhE0TloANwD/IC46oy+JLf+xaZYM3PPf/gd uGYSJ3BPx4yo66hT5Um6yIsUcmIP1lr5ZHwaGSe6/sjg+6gwa37nIsX/HlRYDKTc36gFSsdUO0/X WSya2j+jSGE8gdE9LqlfLJo+X12h5JMsjAXyfIrN9JUMSajp+0/DW31KNqVnDGe7D4Xv/u9JgNlR A6cDu9I3gQQYQ3xWXEjyfHnRxRE3poxtoN7sMG9q7WyK6BddPYLqXjakbhPEuZ/1ejXrInCSCXNd vwkEv1L+jSjVNwP+0wpicQOg+FVO7XBnXOipPt6O9IrcOzTM9Crav3CKjOrIx2o28W9L/trc1PKz izKD9Hha1MHSOUyPl+4sh+AepL4ki750yMMIMh2ciERKetouc6cfDDoQl9hPSJlgxYCbPULDAy/W 7dlCPOzr0um66myTOmRHuP6R6d6mCC1Kii6GLgAtzoG+VSPw5J2bNLZV7F0sb9qDCGWtQbHdMfeq Kjw1DJ8heO4Suhfwb1A0jn2C2qQzpa6auIl1rBWF5I/xofqlqnkYgZGOWQne/E1qrUjai4+axhmQ L/szcP3kbf9KMZXaPeNjvqZa4LSP0KK7mcz2Qg/FVUsgXayvEjLle/qHoNq4JlYn3a5trQKSRYgj pQmmWpsUE+RA5jT32nFzwlITm3sgbodGL2VR0+n21VE6YQv6BXyUh9pZZA4mrULwNaTHyvOobzzI c9IAM3tmTaZsqWSnOxzvGZmR1/nNN+Hht7jHAFqZqLdatYbu0AtARjQ+zit5ZEzUraZBiVhyl2Ol v1lAWFcLU8rmJ5/yDAgjGx2p93IQSG5p1+n3xNsE2ZpZKFioCltnD8uCmKnFnv/tz4nfADwILSgd NvUXmDDnt36Kkds7VQpER/qXHlqrKTRspZJNhMsA5DUJwxEjgGydYRmtSTPPSKdFMCGfLCq+p/yG MVJyTFlDcoPtE2AjY7B8mL6uO26hhLn3avifpKp8nwGuWn7Eqh3uCASDXTPKOtv/xY1ip0yyp49i b87A5p76+AnqJTXFNFPxkulbr8gnkVt4SvaxaQZBfdhnN0hUrmCkJchL2P/939h4kGj6pgo1wfuC ZWICTpnWeSh0fAUt65cx9OE08Vf6O5uryplYsq9X91NF93THaxqhuL64zKXnfAK53IQ8D3ONINor Y71WSGBF3gipsSsrt9vdUEDJpeDTKcuJz4TE+KH2DVDpRS6cbQ1/u0nqd0Cv7mrUqFvS4p9F7400 izIr5Bllv1fSTpi+ObPTfzWZcx0FHirN5uBMqoRSc0JpUolCQHAEXyxAbwwGqwjct9kRwpt5ogrG WZG/VrX/S03Een9TuW0lMKnfthMFZrZOA5YTEzJhYBwTirDlFCsDGdEBKa6xWwMpX34X68CWxC2E fJEJ7icG7h22Xz6tCBJaPp7E+CQqaC5lvmUwuEk9XtJ2MzcBCbS9AIfw/MxRLtZWy0zpzGaRciV0 Vd1lMILV7ZF17r1NIL7fmTnepuTfUJAf/nOLdFeoe4BA4LcjSC/ec/u2IEzmEXIZTnRR/d4iB9Io oh+r50O9Zy3qnuQGQeCMDdQTd8gf7wDhJ+rbon///DhBNHHD/fdGvDE0GxZzPBhZbCv8bNPULoG1 +cBDQfd1KdNbmBH3+X7vESzdC4Ype8eBUsAZ+mzyQ4dvj0uGt45OjLVAhQuEtDa0oM7x1XHWaSkj 4G+B27vHvOPRFg5WORbvDKiRahnyywAFtW1UzZ7c4fuv12yRQsc/eSkDorinZ2MIqksCZh8aNjx5 5/xygRgV0liaB31Y8+75tMy2frcwfasstYnvdaJn3Rz1DTiD9Xj+S0bwQraDginay+Ct1n189zC1 pDs2WIaJZgVR/+z2upxcALwT87Ck1Pj3Jc7N0HdxKBd941m59+QCKjpbBoe2N5cEDSnBrW8LE8sd c3V7DTCDIdanKDjfV7PPYHHu5qbOwkua8udYjqupyx433coOZD1xfPaL+biG9UgjW4Fhblb1/mUk lNERwPnWKL+1+s8DbVc6puWISYzANnPRwscrhxSzw9E5cb8ZjpqcW8SzP3FDkewGn8yxUrMSrC3p m9b9KzYa7x+StIEqn/jCWQmqLClDo6sqAHfk7iRiNo9GDvFDr+z5kMQ2a16+V+sMMXhKNsy/JUpe UkuFi7KXKYifTOf0jGdyyn/Kv3UbBLImqziv3drDXSe2Feh+uMpt/9XZ5VJOgn1/RrHb+mg8WUS1 XO0atp8ze/BF70CAwTyGcN/Pto8xsJFTHy2ZKmmz2hzPd24KT+Ok/yX2Y04vJSL6BMmTwrRkefr/ RkEqirtlz5A5Fmmvcn6T9JvDA+H44VdARyDeGADtK5aMWUb245fCCgK2GePb9L6zzsdgQKiYTr15 SzR/cbW6Iaj3AXPks5iKhRQdbTv40zYksQNdbTJwv7JOt0+e8yytFuCeH0zeQgGIhcf0Nyr8EO5S 3iR32LCqv6lW3BWfuzMQsVN7Sphc7q4EslLS08qkpQGolrVRRtqqZKyfYoH1zMv83zWRmok2urIY LhiSzfgMm5xtzMLL95N4uCJFdYKoeykEHXWeZgkUKD959KnewdR0FLoOwSCSMO8fnZp57GbxUvdd jRPbK4fdPTj1ozgztIva5sQdO2arWAb/cwsQrI0TlZUMp8xG6A81X+8nSlmUWxJLjaQa0+WP73si wqvNYINzMxclFVPTKA2MWQySwxn/CKNSZ16kI2PlWP6keuol42U9uA/1eFvnfFFGdlUi7z1NwF3l ZbJbLrU5SA7G25WyyD407gvRXRo9A/g39A3XzPMTk067tCczTpA/rBQsU+S3SJIHx+wQGd/2xGEk +H2Rr1KIxeJZqQWllT1elJsRgIs3DH8RyqcEuHvvYgOAwtVyHiZ9yOTOHyNN8P2Tx0+HxZVq5Oix PYH6WvhAUyvxbJZ4jI6nhjuHhLUR8+E5zh//WmS07zPYzZYFAmHAGiv7a93Kxp2ffNNgnOodSdMF 1HWaASSqvHd1E9S/ljVwdL8q82FdXLVbQRVd0ZiTJPoXi+TXoSeFn1dDkRKQz0JDDsjFoBKbyeFm kNeNN4gdxep/XkPmFR54JZYLUNLv4eqso35ernhnvlhBJm4F2aRuTbtRyqCHCDjiVfkODYIYoXbG +eHbr4wFnxgjTpY64qNOj+0zk54QNrcnzQ4b8sW3UUY6RIEGqU7EnX+e6ovbWqQSicEItELN8L6V mfyepdmTcBHao04GXQSrW0LldttNHJ811ExvdU/oOeq26RY7+YzcwzMSLergr3/zjg8OWeAW3szm f6aYoYPMkj8JBQzrQ2Lp2Sw/ADcOvJ655OsNBgaf35AB5cyHr7+5OerpYxP2MiJP+2jFJ7EyT7F6 xdhIqv4sr/3heKxTcZMDNUI+uj6Z/VhcABOsXAwwtEBKHmqcqRnhA7DmDM7m4Vrm8kAvm1w0XWfw IPMlisi8fp15h0PlkQCBLWTOPIg/QEHf2NqYdVNWwMuWiw07K75nzjDSWUWxnQ84KMLbE/pn6HqG h5PgzEHdWu1OF8wC1g1r6wsVThtNExUnANqBcRQLNscxVzgFG7Y6OuZ1kgmz8hCn2+IALaY/3e+Y HhCncvnjbC5RJekdjkesbaGSzXEhILIcK6cuX27WMkTkJ7RGgpHCfxFMi10XrnHA3L5rbZtcV0qV WmL8yCqSLW6iABAhD0eLF22fHYCJdzWdR31XJ7UOH3OodhvPK57cZtudhCkkF3XdGFvpK1DaYqF7 rLJNB80TOo4Pn5e4w/d9kmlpnZ9WwdYlD4+GuR/JJQvXAxkuWqR6CTz4wHaFdpL7HS1OVXWaua5c HOF/cq6QElyhs9Xktj9MvED0hq54W4GwzdtXM+PTJKZFrNMDHAuUbbtuXYNzaDxvcNwNSMGIMZUP fIaNXM+nt6Ib5AUZH5tnZISnKnwtj5JZHCSzE9W9diC+iu3lpWYGLJwcKCtGPmitm6S1Q/jquOC8 4uDvFn1+btsiVgdQWFcF4bPDyWUmvsB3F0QoPyPxuG0ow3IBrVZdN3DYYUw3fcTxvtRSTR/Df4+B CtAr/5+o5CCO1kR9/pyPQJQyQl6/M/k+fnb8WZPkyEpORLeT0nkG10omQNHGzFMy5n+OqbCtVEWo 145LoE+kypxQf6BF51GaXdoqBF2FUdNVJ0KjXscOj6KQz7WZFv5PCzL4DXt7/lgQaNosEUX7gTuu JLPR5YS53Nql9CUulmrjjR9tS7XQyta71Vy0Nohzl7HrPKD0G7IcVdgTLL+DR5lfwPj7KzmO4Tx+ YSGTXtYUyYLyVW/ymDwcgzzmYWI/iYEVqzpl5L+C9PTj6lhirSBqDa5vJey718JgF6XPX39M1tsj 5B2XrvOXf8IGcwbn8kPg2UxeQsuWYV9MOIMuW4+/91q2V4/Riggh0sHVyD1xFLnAHQ11euBmfBBC HTDXnBo9oMqsQL2Z8twvjgRd0zbnGnS0G19Wy/sXTAxFhBZk9gQa0TIqb9Xvj/LBeKTDOsSo2Ris Pb4AeZ3Yr8eTiiUgJaMdI17PA2AMvUelOdJ1ynzwcHriVcBIQR7699mF0qOSpdT6m7/S+aooAB1m oI5dWtDZqGTlGQSjUOy28XOGulEOEf7G+Tg1/eGs12+rjtrU0aEU16BSFCyQSI4JUzDv3RInj7BK FAkQyv0TCPkxZjJtyI3gZ552OSWZQpO1oBhQ8WTboJrTrCgLjbOZZHfI99Tt6u1+nVwz5RLXOFrk SLVrsAAJTBITQRCqVqD0C+dCu6OruTzDKAPv+Ga5vGLv+snffZFN4vRbFC0fzwxNE5yGdHLYfbqt GGEUh9NT8J1pn+uZNy8YEl2ZHOseTDhrLVdECsy1hOJPzQusW7Om4W2ZcmYNT7LcABVMfQKHH0Q0 too7xAhcVpQKtVhRWcXcNg+vB29XWtT4oe14UvWOjC0WO/3x8csRDHejDml7+fKgKtzjeEGcgTLP zOlyEjscJjNzgYwvrW3ftBSth/Pr0mSSLwyDjIxGM5ANnDkbA7fS+qSmjl3Ab55jntpNPEDh1l4R OLaWDNw17np1aMMZCNINBeGfs8VNbbz6GiGd/HW+TrXA+vSDxs1PQPmYWJjogpCK5J2PzbPWdlhF WkpoES0n8A0HA+7AvAQ1EK0ipHldPkwRXEcErnPqYMkXEYJyCX6HHuBy04aEeswRh19c217fDEYb ZmU5H/2tUdT5GuB4NAgwAj9PxwJe2Z0/7EQd5yaYd/t5rMR8WsKuANYHSmm2NAHtDSt1eEe+iLhV JhR1n63T9kkHE5t1lsRwxT7KqCnQu8jGjozkKTW1LdyB2Rqh7CI2rj/6HM5t9jHDylVF/QTItW0X OTwDzPYcdDsl8Y4MqufpP24q0qDL+g+F2WRahvF8yY+D8XNE+9POWlRGe3dMnCrBGg+hoQa9t6Hu Lob68cyx97uund3uekYkDVrEpGue8Nu0aEGoRzk4q6zwRLxTCNCM9ih3VZn2ANspXGOEC81BWrql B0aAzourpZiNgj6dLeqQ5q9+Njq8/Oyhbu9IgfraknwUnsWAuW4hRKKQf+N0jTKN/nlTw5vNCXMD ba/dowkPHpi58ZZ+5DLAla3j2sPmg2cRH89esy5Y9o3EwJNm6MjeUiDln5Gmn5eFDguVwr97UYO3 RBYvltMTwvowMsd8+DiE41qPiqiXYiK1eZEHD9kpIyOl98yRu+34CvOgmz8TRZdBsuKmDnSvNo2r VKscKnDRBuZ4ep04oyySVXoMl0nXvcEqXNRrRBhV4G2uSQ9o5fiaBthDXfPnUNW25sjQkmJa5mzK oV+XrzO4aMIevx5i9HsPxOS2Zab85DxdAQBo1uE9ZG7P9O1JImCQYAtkWznqcGtAPQEbS3cfraAb vGH30oSei7s35mvXZDtm23HJUMOGKgwCWu9Dcw5M8u5rzvCNM/iPXReDXUWIZIo0jc1+bFFMfhgR W5rNSmsc1UUBnMATI1+qY5QBAArmR0QMF6zcgCul1UHZiGHBU4uZCFpkPFVkU7zwqBx63D0QaPBv XWuvTZye27F/z+VikxuSAbk6Cveisxo9K1odfyH8NhYY0kQtzo2lGJyDNcQD7gvXfTAqyKudgoAY 94cwpZW0a87MubPpyLoYIRe7MhOvP8dBe9pqgBRTIrU2ryNP5PuHtKBJ7zTdFEYG4PPdsTHmC9ol mwN6PJqDqWvr4zHJ7PuAM6YxacDp1Lkm+wXY3XKKR70AADhlIpMj2W4tivlxUUXR6YidBtU4O6tf 29mlb70Uro0aybxbR/088pxf43oI8vEnUQfT7LlgIEOPbbkIeNO2PdkIaUAqbS4Mjvd7+Zvd2l26 PJMhETATKZZH6k9+e+ZUlekpCqnm7HY0h6q5BY0umKdt/5aZjsKb+iisBZJZgJ7/8OKJQ88GOAMc uQvom5OKZbnVXNPpG5HkyQ2KfGt6iTi2SMNAxv4aefkcSj3Nd8cWLDzUz27caEyCktzbCxW+sjNN EJRvS2YlY0ec5uWZhkkx7JgUmmol9yDjwL8766l+OY4/s9xxIoQZYGMm6PI255W7Wk2XOpitMceM A48VwPoYh5fyuj7GzFPF4X2aEgvzfkMGNltuilsAza8Hk4Z6FESLtSItnwSxPVxYzlzSwL0R2LPy 8zQYcHXkuTpzPMyNg0lNZbcHledqPhfMqVWfY3poC15dYWWbGC7bIkYkf5oxBaHC20lTWtsLTZgB pJdGtBKPLAfZhLBWFSstqp7zhp+NmyXTtxOnrLqvOqAlWCYi4dcRvjkEkzt0/TSwcjCflxSA3IWL lpf+enKMfrY4aKPSz1O07+Ey/qr7k9d0UNrqbWVb+BV3iiU+XO+3fkeF7Yfn+6wsdlAQx8pnk9U1 e4EJu9DndKl1157PCVB+FT1UuxhSu0fCZKC48bmjVLSBihf0joDpFVg+Gg64Exn9OW0t50OaXAyr p8ym7kD3/n5Uupt6WxwmkeTuwFnWQCgYthchEgXxZPdSXOvm5TFUnYjf13SeRUjTvhKErY8/a2VR YEQxHOEDElu4r8vGkZEt2KPU+wpS1dcKaCFWitHEnLNALbndyNS51IeYsad2cp6bVM6P4oPPS2Ix ulAG64TtQdmyBogMnl6qXErGyM78EPv0oF7OyaL47Z8pMpdaglNWde0jKH2TozOtCAfSRT2dSs7x vbRpzhFbvJESNm2kokmd85c2ONCe9zYKh327RNg99/e2YUHyQecaAsWvGCJm0rwpO8bXq8xq5rsU vEH009N6d4gLA3ZXXxepBINOkwKpQKf3zKia7w/88QAlwP5dHtYmW4YuScmSjYjr8NNwM1vNgWeY GXvXH32pleM3zDcYw2nRqb2TfUJ6mV0Fv9G1UZ32EMMEzpahL7cfIICgY5SebnCmOk/WTzU1l/cg FngdXa1M1QgQS3S4aLwwYMi57LoiWdMxIT1gijhV0vDMuQNu/ZamqJTgDEUy7CTGDV2R5fWDLzd3 sqYjCsvV3MN4NVlnJVvUJE3CBLfRonUtqTN0xna+JR+5a3UMMeUyBeuRkZcziKmFB7dZDdBVP66L +WtjsfJTatUd0HmVOLR7d998dhXrPWVsjtunKEha+kta59x0gEI6fGUZQ6rQ4VzW+S7ne8DkpVeH X56/ryR71TGDD5Lzour/9g4NTwMO6SzO0IUJNjd7GNF3NfMYbMwbHnF16iCY0JA+G8AKMbBYincT sYyMNIWhw2lZA4dFFMCFjcLKTpKTvz8PX8WzHgl+2xUGPvX/MTGnX0WX/z3AYH8JDSASTu84kZXy PE75FvftklbFK4kQBfYIPm3wRbz+ofaGA+Aebvah0FfhPj8XV0UlggO9qkBYlFthmDCPC/FDVHr4 Vkdya0uy4i1BxOerRHIlSh5rq6w5ML973JVMQ1Tk2W/7O+4opiu7QYS00pb+3ivl1ugnvCFn5IFy Ge5McqmgtMybMlV0xw0wOnN/cPRXpwHEpFNT08wGl8JJYmBL4gjAq8JWCqnNOmnvBLBOl0t9YLCc 06QkXSdoG8M/9CsOchOr7nSI8E/Y3pDUabm6rg88edLID3dYcwDxoLoCYMBhaFmLCzbvwVctmE2z Ysv2PaSYNLhxeOJvxlQDXOVV6jB/plXjcrwSuXv0KdcRJ33G83pPCTeCyBRje/wgjHDtdygIWwpf pIjN4m6po8tzvtZQgJ2mvT8+A03RzT5Tq2IFtOApgS0lpP6OuIv0rNIjvk0zC/tk8hd/O6hf4qFR lbzBaPKRcP+3ZuAegUkk0yGgKfpG5u73TR+1Lv7f1MZh4REWbYDzrzvzWg79NG8FI7UDP+w0jxpT VqNslMaCyh1otXqlqfzK3nLdmKqgJYRWydayRGg9cG58+mpUS654YyFpzSq7k+IrtEn9uEcmOzl4 /4sgy0Rhe+4053svrdQ4FPksqW5dGeA9CgsZSD5Z/PdZnO9bABTxxTsfCWK1nqfnHwJz+v3djJEI Ums9sBYPkCha4L2E/T+6p41mZMKFZ+CxyIeULsv5NNX522+UHjRUmLS5lhHMjFAs0U0N4mu6Fmh1 epQE/ePTj8FQ/8l2u4zs8KUcUZDkvxRjCZurS1nJc0mgg//bWPk6wyRGD5jpFzrG9cGHzcQmXP+k CsYQpyhei1tu+6IeRHuR+P9H8aqZKmn+MvFN9Nmd7Y3lnGdMDbo8tw7koe3/tjnOo0DBrIY1LY8i 2RcQbifb5/BrNBZ2QV05UMNLV7ZpFoTEcNYhRtZbX3U1A5iFmSTTBqxFgI5zyO5cCvUeIQoGOPMr 3eYQIFRCAQhHWVIU8YD5k/8m5XNNkQvorHAcuTCQMBKEYNQagtjvMP3mpp3a3RyDh05lws2XycAj 6nKMuVeWEWAEJTXB1oAo9AgdbseoTjevLceRLgQ30BfrwT+BpfymA7FGJAI5KGV+FRz7/v1UdeLB BM0GQV+NTgZ4bBJHnRSGCrfKdkt6Ht3fL9KY9+/bxiXOW6NT/+wXj8scmuCZjMeQqATDOulVkdBB 13CoAYfSFHGU9F7xbM9o3vQKVDRb9/2zYxAhjQlc8NRy/+ceJb8JKv+sAQPMeD8m2SXKzTBRuAzK WbNZjt3XyWFYjeldEiyda5g459jeZWImooz1oBWUN+GL88cIXX2f1hOH43uNFyXdDs7l+SYw1BgR zoeLvvJJMeial6TKSlmBThlClbEgXeJeavkpVqlnx7rPDYpIxEDhLbr0kB9gAF/nycZjgs/z7zOr ia0VTLiuMsaYNONSKHl7fGCRl24dSRfSeFjF1dJBXdC8N+Uusy7Oiai8wb2vP9F1HeIwAm0zYArO jupbeOirac0xWvn0AP1I/K1dWKaKNX8u5YeqftKw+reOUfKGf0mKRhkaZktdHtlJQMjg9vCxwdl2 zJNfDVFujPaxqO3fw59op23S9KxEu8iJQHH1rFpocTYqUdVhT4KLaBsPCfGs2rEt01MWfFlZyPVN Jwx24zuKHt2KHRX6vIUxUdzEumUuThkxUBv6CxD67X2pDy6S6yUs2+JpTQbDDMWdpzjwminDnLpz 0a6IUh5ose8kAdGh9+KmwpQWSZ1l31FtY9ipPsbPCsGY0/r0T8IjryRA9Mrnu2reeWlUN9BcX6Sn 00n/hanpTkQ+FOT/NCVrFZOMKqKbZ9do66lLPqrqY2XNJNseyC9nJostH0mS5vUozZXNpNSTV3KN noYWx2VWew0X6DnMY8W0NG+38IRV6PYtFcJGvbdTLGv4inQJsDdLglh/yGgXtQdWzdDzC/5SZMwP r1nqAkS/Pu6ZrX6dq1amdgBDl9G59xHc+OKWLzHDCF6yNKSxTc0ScU9tL0hH081hftyXznj86XSf IhQ8wEl2n3Mg+zlneERtGJ7jGYWp+TeITmSdccjKbiS0fMKTEco8BJvd+TP0xhseG1giBsqpTyjx g4u9k3cZPrKEUr4gCfa9cleQByJ1no5jwwHiaYG8OL7/pAiX39IVt47JvgZx6iZJxbfZpxQ+sZuW WW9gatN9i46djhlRutej2Tkus685Ozht3mFlCLP/gajlaParrN6RRlADPoOzwChtptFm1gou9vX1 WDPXJWX5SfqlScpIm5VlPbdFcZupmNAB/mofHlD5lu7C5iJb3drHB1+gKO0kuuYmSQtEVvc5SVBj FeqoxY8PceCd0vqswSrEQAAQr7QAMGM/j5CGNnPsZMkOGoYI4MooKj83Gel/FdFo3+Uxr+m8htGf 2YFrkEjmySusJN2sUFwoOwN4q1kP7bX+4idzng5DA2ujMJ6lE9pqIa8PuEsVv/N8HqjyJQN0GzIc GOmBO6gfgudsqqkIifvw3HD2LIGRfZTOSl3MBxIxkrUzZCKwcYvkO8dBvmByHPGcawN3U5qQBQLi 1E3zbrEaAatA1mnhXmkKtSnj/HddrcWiBlR6Od63S0ZF+NdQIjcVVKd4l8+I9yJl27ro7mRARvtB +XtMru+yfg6eX6hlO+Dn/WzwmO4z8IO2+c+jZqzfn1yFj5r2++X4rya5tAznkVR5ulUHPOeb1/d+ bcqKeCaolCmR6RsbOKJhTx/qI7VztW3iZEUV2+8mT8BhrZaRWS2y5mNINGB+y7pAOxKhdNacW3ef pH3wWXZ0oXDR5GDU6eZe/MEUz5FE8AMbGvocrX4n3LJ9TJLzYmhhGIgN8A3teD7K7Npc2F5lhs2n n2xq96k3Gd1JhF/Y4xlfgsQGMDe/FrZhgsVsFt+Axwq6BVOW47uwShfUQNmIGVTEyrfTCtDqgiv9 jV5skvxKchePOUMkr4VNAwVDaoiZtn6Eim2XdtzU/oR3pqTicBm0wCYZ+rCPGvzpPSWLr+kwJwdO UM6WCZSioGSUEW27IIo6tKq+GpJCxxUFNYxwaAuupcWbK/4PsymUV0Q9jT0okittrbbTy16tPaSN 1s055WX4BEE0Hh4OcKYmmxQCouF3UbljPynvult+UQF7EkKiGQqM7qD19wkeu1m1WC/P66NP1Q1C n9nA2NpCAi9cTzVVQtKEPPOfj+XqnjWa8Cg3ZLecLJe4piy/Z0OPzUieuKGwKKBDBW8L0YQXBXEk b9xUxNCK6QX3xab+IzYXFEfhW1JpP2I0A4gcBJDBKeXli8/IHQ7s/9C5NilBT+2K4cOF+7S6anPX viyrnBaCEXwrmwvcFfh+oiKN2GwpCi2vBCXNPZGLKm1DBOo6YM4DBJtUdMdNiwn4hdLz4UT0FzFz 4CcRE5ux2QPZfsXFF7TTng/+J0dehG0CdcqkWbSCEdeRnf1xGtwOJ46a0Sriw5Rm+8b3FQX9YoTd +dkqHbIEGxhFN2LzsRzuJ9Hf4Xk/TniBDK6xdthY7wIOkHxR/v4Wi4Dcekon86Mxox4nRsjEx3mn 4EOilAMS8nKkA8pdK+j5gWdQnHhKZ8hRn3mN/YDrlgzUe849vcz5jcW8mHWoBQJ6nZUFdI87aG4U kfsmHzm7Sz+1oV8yUhRqtM1Jq1MM7iyyixWNNpcoyvpsJCzwUlmS5Ht2kAbyZHmhq1r2BaQ2J0Oh X6lu7R7j0y1U9QSOMuMRczf5XQQGAU8v+5dufyaa3ACnAHh2JBxaq1c6nRNI56hxP3AeZFCZEIME o1JFwS11F9y2srpY/UDDyHFIw7dCXYO78uq5QJX9rR0LuZ2PR3U9+9z5uz6noMxpoQWHBP0MZFvC jldqnRUgu0aNk/VzepnMtQxFL3+sq4gbBnSX3/AF9pNLKD+beBg6pmYPbPzaaySQ3BBndvYnSDiC kz7/WZWYL6KMfHnneJaxG6uyQyS84l8eDmFdJAf0YC9CETm8iLHzVqmHgFx1dNWcFd8viwz8iTwW zwWhlg5FAXGTbJ9MSytQzWlN9SNLDtWEQHK6YXv1kF/x6BVdR1nFoHOm+wcrM1uufZAFT9lZSNFs NSSe9JfTm8RnM9M4UAkp0kbD88sfcJDVIA5EkcSDsECWMMjkKiX/u6SXCSzmB9m/vr1DjepBM5AG a1pfV11aSTTSQ/g1WjfzYTgdscj/1DvQZaCZYoirrAbLRAZW3jtsdsJhlDoCoq3VknFjC71JAkoW ipjUvZJ+VhaaDq79Uyu1nVqImbQl/EoIL92zBSEO8Yn3x33ejYksOz9KskUDON5of8SKECKK4eR1 jK7Ea+6WqwWfZ1m7HJCeJ/tfs/rnRZuG01MLOOznJH7BbdgP9DG5q1u8c4+AItrLkvgMIAdmD+6h VCUDxM24njYv2TZkb1RYpApu+x+YRZPmk7e/iYXbTlR5+IS8+Oan3Ehlk21R9/c2UN3bLqegsfDV p/1ySsSDAqKf1V+BasEnfb6j7o7E06FZKASOAczq14Zlh+ibA0h5rHFZyeJhOIPc11t3RiYJDUXs cgOTjUJ61+yBAgATzungHdgZgqLHHocbE8dJN3lGx4GYOGcjf7d6Vri7dnSv6sXZAVpO0xelOYOu rJ2xjJqCGOANvTGWen46K5D8MYnxxIADWJS2i+6giOf+DBZwmZbhZ3k9alCOo4LIHybwnGMQiaPf u1yautR/OnEVHgI95ySEH7SvLJuENQbhgAQ61ZFPAz1d2nzKmnxEexK+5Jj/Tq0OPD8dIjzXSgrt JxocNuOpVjK6KPwfF8FC8cPbjkgfSq9fQpdUkzeVLPWY4wW2AngJAQxMswDqgAo7lIDVnwco6vUU PwrtFR6d+vzKERbmde0vQU8DqGPAf2h/hdqmmD9OGvxaHJ8b7k4AiaOONI5bIMZW3uX87EokpbGE O1SonR5JjweeXKRWlV2i3NhvtmQToVEexR1XBI4zmVCWhb6JkusKch4gmQ/5Qa6rosef9UoIO3o/ pjUNbidB9zDUJ73jfYDUWATj4ULLGDKG4ViG16OegHFMrZCtsc4yE3pDBiI7w0XyXfH1tyPK8/GC OKa80Hj5qaTSHB1m1nbPkjf9EZrHXTXRFPciamkZxRsrFyERUjPoFgDa1UKuvP8RQaS5gzGWemHq aktJaWeXo1NAK+ujiOCpqp6rJP9HSrQltxeSRH9tHPMmV5JZhydTuTiUBykCPnv4vsdZPg1SD40O eyU+S5zEUmD4bHm95WKK9Xcst+i1fZM/SSHrxXIqUKxyq5hqrd4Axk+SV1DBfcRFimdQgX8VTITY 1kYqhzr1pAnNbZvz/MXi7cCPPZCCaKiFDN5FTFBqk7hY5jtz6CRut63dIOKs6Y88hASd+6/yrZni q8hfNAO+dOvbgobw0t7s3DiTY7qZVZPG6TNww8Kdn1p/mPTF5OmSiGv3ao6SI5AXB8UvhaTmFVtO GupobYs0gtbkOPVZs+6a5+UdcRbG7r9JHaXjdpE1M91TpNqO7IqZuiNEaUikOMx2Gs0j4WJEmFw3 mlSeGChJlMDhNR5avP5/hOa/ytUx39oNW8C61vbvrZ1MXkVB5HE42y/1+zjHOduGm2RsyDI/p/EU 8Zqr4F7WD3284d8NnAhMDT9yL7NGLc5DwOAcLp5KdzZW9JSjekCzEwXdqqHG6V1EU05Cq/I0JjBe /APC6bX8Y5CLjpHk5x+i5ihFhVKQ0u8RWhUWdWtjPYX5wTa8ekqdrE8x/SOfgvrXYPbdhIvJmL7b 6QP41Wva7pYSgBxTt3VTE4fzfzPae1qxcGmEGE5qdM5qoaf+Dq9v7UO7pVUYBvm9MLV2ZRaPQ03d Zs+L4yXwMSLPki8ie3pkCWLYvRVbnPSfMyuBvGpUf1AympDy1F11+XlupMxjgNx9xWL1xZRi3Mm4 1KfSL/opNw6DhDq/5ZknjrlsY3k+D8MzYnVQSt9gnFGhnkLnrecfqL91li/p0P0Q9AS1pGS8CLXy uOo7wbAndhVtJ1BbfsTnsSoLGKxvAjvaydn7HS9Fg9LYduF7EUNkLUSNxbhuPr5Ex2Ni5y3HwVX6 Sr1hGV3JM9+SqlyqRNKXQaqVGezivfqmhjOcJ0j1qcTWe5rO9flefnmlZFnuPIWxEzpnvoYmxm4e TQSCGsVjrMC16frfNUKB+m9Nn5BVPhLPVJAwU7nC1mHS+MB7vzuIxUZQNy/0+83DL0ryjtGMNC9j Q3j+qfxJNTxLmNPFGHRZ4s47bZ08WwFXPMca+ON5BQ33ZqPG0hsKYQ0RSVlqeTyDQG1EgHmhoM1V pKsgUw6BSV76JpWnGu4EHyofnHpYa1/JzVdBQXhy+yrnUAcj3+UxWQLuu0+1UD0gpF1shya0VJ3H zQv3UFzekGyK1XHGjTthS1HB7oPTzKAz6L7bWtOBHEn2JTX2eVkXYCwn7/bsH6xMjO7fFP/kQ83s 8dNL1Y6fGshtdvSyDsXg2xJyvHbnuN++Jqapeoni/qAIB8hLtx5j1B/aiHBrISjW0FnRTTgYGDnu OI1qsuQY0TEv65v9czPh4jQIhSaYP9XyFF+BZ5OTzLCeu12OgodLpQZBW3aeNRvFmZcya4CGtARV Tl4oMwhm4P/Zgot/mBy5yoEwIsaJny/uI9aSUg3cOMs4mXBtmE7MmkOCJ08479Yr+BsFUaWEq0j+ 9kN8bBGvAZnM32WYaSkc7LijZiTX4hLy2G4If3y+UdpbkSty7I0gdTzIAtOYfxPH4a1TnsDcwx9U QKei85QGKSDiDNgO471Sistp35CVi+zeDgQyiDq+7vssB1a7TMKKV+XvuPJgTg2jB92HaVdv4DtH i6yiMDsRi9SytO/kvQIISZ862mPEa1FSxCMcGnCooV7EWEqCYDlFFo0WwWEgkoaJ4NqPV8VBRE7S qG9eAKHYqCJufSlrst7d1e3MSl6ZDInmDwZvOQWpaMCxDO5ZVcRu49E/b3xUvOaMHjONOMC5TVyl Se/4COJ04akKJ92J9zss49Ib/6R57iTiy7d1mXsu6+z3F0tAys6tOKrBvkVPIaJtFvL9sojXTKBK 15lnwaYe4nhunHZ13k5blfAYLqhT8UdOEZMQ7yBII8mH6Hcw+aXtuXnqC5CftXDevlS6V6qTolZc 9k8JnU1S4n00XZkwCKnDT/JC/2PWMnA8v15botMohluW9rcY33elKhuoNJ/BWpb89tusIMNSPMRY iTXKXD+PQ6FIYQYEacoPtxSaumZTUzozjOiFcnpFro67U7LLNGjtgHZbAlf2P7oimC2QvhVxMQnX c6/SvlBmjx/Bd6hLHE0oP5h3anHP99NRR76WnAc6LwGRZ7Zeq1liLbpl8yQ0sE8NX5NZQiHhpHj3 8v2RB6dqoONgbRxu0EoUQjmeVMq8upe6fwbl62ZwdpZVywshl9jw4T3RZwN1gjZS5aupiSK4KUrN 9ok6gp9l3N31DjUSk2LPGNL6KxojASCPm+zBndCvJobyzVjctQykFr00tBh/f4LaSGQvt5KKmRQv 8+hSFlJtgRNCU69fxcau4Pf083jI8Mfs+fZLJxoiUm2/EDy+1XAJT+lg4fopLQyzE5WHt5zPTxhN mZK3CPnVmflekOgZpsdjhsqwmmtKettjdcTmDc8t1cgnZzq6K02kJ1XbQfM0lvUWH8GCaxUZebCD NDNUOUp3nLf9DjXj1vjCq8sgtzXAR2kRnHbIrthj7rF4AkCYAgiiHhiUSwItYOoN8Yb3zdn818ER ozqTa0VHzxe8YGQbjCl7lL3RXw8G4xNau2h7+lUEfpOGvSWx09x7/cjHOnJxdFMlowS9IOV6WksS 29OxkG8zLqP5HH3xGzoh6PGHd0CmuLkOmGcdvndoOBfG68RuMMQ1NNroxT4hT3GmywhlFfKqnA/1 4GDXa2cLIx8ZY0rpj5Gbo3IyIv4K4zvD7vBPB/u+kmn7NEYuXyOE4pL3CeDbriA/P5boHIyLkxvt 9fO01XKxcq9CSfLivc9il0gp8dn9HIrnHh3OaXViQgx403qr/+Kcfkd/BeqQUCvF9GzSyucYCGG3 wo1z5deFrSsoxM5YARts90LV2DjuSb6YDOo8Cjx0jsjIuQKTx9SykfTy1fnqXmp49a8IwYZwhC5N aMUXl8SqVIQSXh4IihRSSgqb4aQSjT4Rq57EWTacdVp2LAN3AjWErbnbuOOWkcC7XoeWrd4EmDdP RkbMGctOZIXJ6o9Tp/qJt7VTvfz90sM+0k6aOwJ0GoQq6HmVWVKO5vHJoYnlsw26Wh35BQxvxGFL T+AP2nUjfiBqLSnxXeOM+Nu1OnlEF8BuAXB8l7E8j3Rbrhn+2fcXqBrRos9lPNGFtYd1Gp+NyL5z 5l/jqSQa1PwwwE62kJwfHn8ogfyxMN+j3iQe8C00+FmhvOc6tffruXd5rrtxMkpGI7m4Q890FyDE fmxC+jJwQvae6J+ADMAxQFgS7xqnX7go3WNnH19pOTndHj7xpK7IqTwVURHeTvBWWytjjMOJrmg+ ZSyMBjtQS5N6cJyMYu/rTBAr2qwChVXQcUcln+I+meMAu0ZFMwD88l1y8wOnkzJ4gqYRypXLB97j ZI4hCGif40dXssrlAPHWmRajInXsfx5QnoVlunl7r92mnEIWj0yDLmvC9Y89VAKB3lUff67Z/E6h 4IITiVPCiAc/E4l1bCzcq6ShmADJctvWL22wcc54kYF8+b6ZUtVAzHeAkoS7Xv/YlH+dOc/zpYXj eNG8+qG7NGEe96vUQMWXNvGicqoVdw4ZbGIrLUVRgq9M9HPMluJ4FRL+B0wIdp3a84dQTtiZDS/7 k2y6T03JMXRxuez9hBMnqiochbqdmTC4nWIHKZEV+Js6+caFBM6xm8lhX5jCXHmTtBf4t4OCTW94 XRfTvOywwJ2/4+UJVJhFJ3IJW2kcNuIgyBz+esT40aeUQU8uriDTouCnG1p3kpTrakByugL/c3+n NA61I8LtLtiyNxR2Q5nfjhqe9yUt8ewAftmy7VXNZKIs6paB8EtxrYxCEzbh856C/R6P0h057CY+ R8O9rmO5HzB35TZhZe9QoOR9hB06RPWziqQJX3yP3x5UZgNBIDvfFa6z5vuri/4xukeyj1vGmTu+ /kOlPNCy1vf1vfdmZKkYA1znDAYF+eiWD13bkKdoj+awudirGgRlk1jiPWfE3aKhSwTh4RWltbCY 3mWWoPIb+tJEaSmlcEtX57lwJ35CPfgMmgs8B22OuPbZHpEcWm8lUz36dExNVOiI7BmDuKHk8rWJ bt9An8HF2uv5yq5sBrEd3xdc95KoJPJ5iA7JSdDykVZmL5T+RQzNrS8DDCAV7HfKzcSzoHwLdY1p hGnlMkyBXRNjiWUNMP3jr2GDGzUhJMocoTO6mZ+9D29AAReqA04U02DfEzK7Kow0EysbKG5Fk5yN Vo3EtOjCkddeC0BwHB4jLIQ5fALmQduRVCqWTWQbHdbIzd5DgetzPRHcxc12ghoa589Nsq4I/BYl c2WkhgEIV3KIIT/KQcF7rUCc0PbbkRYOqUuVtdftTrIN0NhyKFo+8knfoN3tMSpjmK5GjXqKZe1M aABYZ/lDU0OazSlHilU5inCfusIf4tqopqlF5SSa3gtisxQq1VjBCuWmNQy9RclvvFwNshYS/4Dh Ms8YQquDID/JKKYiYgT8fB1qaKHy4lW5qa9iQEW5HxtZF3Ta00sJUjU7eJ+cNUJzL8WGiy31+QCv ZGpwbj+5XSYHMglemtXbGfaKcFnD2pAetFudB52P8FHlLOwbSdtehSl8ln/NPzDj8keC+CBaEnmA apv68dTBdyrgpGqImyGx86kqCm9591xzp57selVO3O/SxUZoNyOlwHr1l0ezmWlR5uHV966y9UPv fBk5CPbFNOJowezXtnRxIMglhcZsTBSj1fRuNCzOUtgxIwSZNZkuUoh07QhrV8KMgKJ7ZmSqh6Hr 7leWjTZBZIxtVm66Ivm/aL9Gu/sl73JkJ5VDQ0cd6iUwQnLpgOWRJ5VY9UnhiE4XbRZuv+TAqWr2 DScG/dkVHNwXKeT+iTM250FKyxDs/QUKKtSQ3XrZOb9NMHYj32CDLz+cRNTYSxXBwDiKY27qpx3z OiPrUTk4tv2Efy2ImnBiPWNK4Z6eWD615bSXLh8xniHxV/z3wRdYUJtbsnPW233NBFDNca6OuHGQ qosK8nlGN9zacw5NPuUoFG+XgdOkTpyVCLnVTAM6Xh4PD4UHDWuY2crGCDujlCQM4Cqtsz7slPx4 riVV6qqNeQ0PfIeAQB0rfm0ykVLLslBWvmDIMGRah1NWx13od/FyDXnog3gSEngu1IyEimHJNIyp bK0b/2YFjynPBDSgRE8kHbULJ4f+RPsdgwesRFNvGHU5c0LMM4Wky23JkjVFgimrX5OFo3fPYyci MRJcvA6TmdRSKBpj+cwIRigB/qSwqTG9dqHzYLIS86FyRWzGCXTQBfw7QuLcdZp7UFYegB9fp8Ov 0h7zfZ99fpps36ug00/aKErRVhEFG2Yovbyopzw7IoFXlPoEDGgMF9fAFYdTV5kcEyzpz49BkhoV 9uVR1577uGD8rp590GxwZXwJcLneIEGYU/KQfMW6pazGfMQPW+fwZPN8N7VsyP0AdnS29b/4eYiP 3rbV7+evv0QkSQ+6B3Q2YXULigCTU4oUmyQP/KNsb7b0HsfDptXvl3UxpeNvYJeUV/Yw8z6TLUyr kIjVJO3UsN9AvRydWeLLRwSGD4uCPcTsFQ4OOM2OFs7VOfdHkzZsAna0d+zJmv/mAhiH/k78/h3Y g2N4nRdqsGBaPmT6Jj5XuJ7/JUEfneX+EtcWRceNfDVJVNnnkjROGtXwhQcWO/mxpT2YoJSrHcmb 81VxMeqC3wcHqBmQusbQ83hDDSf71sbqHM3rMR1LWKaSYjJq5uENZnY3k3ZT0lRj5vmL7ELo+RuD 0R5kbf1qwHMVBuFPBZB2YUFsVCLxhoiAvCnSfVmxjiQuR2wL+s4rQre99WL2WiRFMaIOEAKhxyTn 2p6ndASHN5a6CfrE0QPg3pm626TRDW0truhNFkZr0/wK7RWr9yDwEh2q4QlgvfpOMLbxGQpSjbAb m1RVwqqTYxjqlYBa7vorPFOCGSfNnLRnkkUbmD4ER7AWRq7Vns9h6Waa3VHS2cEdoHcqMrnIdOKN /6an8fyNeYfxbxaGRpocJT0L+vbI0V/fccN2KNBevOlMyGmiVRzz5k2RZWfEZM6pLudLF01lt8hq FUJocj16NGU22Apnv4k4wfBh0LY/+uM3ECBbsB9Vql4HZAh6o0I2x0AyKifD1ynqT0s2UCxdunDz TcDfSuQwpGiKaQafSbvqe99Z4+IKLrJi0IlyB+HWywjYXh6/dnTWcb6+jX780hnTOwBBzjQQxqoU MxAv112u8tuiFnwe4xcMXEnxOaM8vCAiwW4/mD2gPCufTxrskxkQ6xNukoeJIlY8oL7J/Eihc3J0 iZtkG1VtueXZVK06zsSzHb8dl5iwoTmcdEg3pbZt6g4Y4A4LaCqeWudQ4futJa/qiF/pGxw/VKg9 6FeAqqlcRnN3aUcbHp9+paf9xHAuVSZu9YOaMxzn8+eigA6p0hOVY+CLnAcFaFC7TeNnGt99JiRM gJ1iTfS0oE3le172U37KYATQhcDl7dDHo1m9jFcNIau7gLXLfDk1JTRga8Okol20/86rRRfdVbY+ zLjarmoSBBg56Feb//yvEwmGa1iZkFj7AoQUB6LCVqz2H7zZN5Q7gF8HoKU2kIUgJl6Q+o/oD8d/ ixIXG5fMnu9HkbnUiVTgxz0LXXvmdJZ8kwiEEGlmI28H6yiKnIN/AE5zlqofy5Zq/aXvafTFX5Vz Fl2++78n+hBkxM7A5CI0nda9wBt/G6dCQpCng+S7nrYZtpdi0wh18p09LWfSqx1PM5cufbi3W0Ty YIdntFSWMXX+2SGQ502QEPAJVsa4hJesGgPsMTpu8jAPyRNoQRG9KyXz30B1m4EAWtBlO+VGuOST gdHOdZRWr0WqhB3Gx+fqCUcIkD+NuF5fJxzzLQk2/D/kYEK4eugP0/qyj/wYKBLSkZR/K/CmKRKX Cjwp0f06fOOCTRpIhXPDmh2WZ8A1gjnGkDJYasXYaJ4+d0rYXbaWBgrySz27V+EEsNOXrrO0FRhW gzKRI8ZKLRuwwZt16Aeg2W/FrYfaN1llU48lG8GZqOBcYF0uR45DRZaHl+LVkTptb0+hW/Vr/o1B jdY7sWFRC14qPKUZlCf2UodxIaN2u/Ox7WdpUjsWnQweSRH9bL6N4xbnJBXx+IOa2CFW8EvnmSY6 w+xJWB8l/zV00pB2DaoYLKMELwbpXE3OCCz3wlGm7R24EY3yKziqQ2dwdDuI8SR+rF/rzBZdvvAz hw4F7ubWqigo/Fm8o6X9UZY3cs44Rk2pg8ofCAGeAbqTCpBIG28ECLFid0/LWNMuONqo0f8ETS2h UC2CGmW2K/MrC+nFLJ8BhtV9ALP3jKyGLSoRIS1SXb+HJhSMNEC4xZIbV7oQUvSZb4C9hiC3p334 Kuw0PB230EfHoYQZEmnMDL2gscMp6APitI15QZ+pdS+xPjw5InFN9OWG4PmfWsOo9RGJIc23cGju coMdMKpOAcLKx8qPhomx6rdiNU0D3xv+6kjkV2VwYxlKNI8PHkhboYiQTv+LV6FyvVXUirXP3Sax Pq7mRLlKMgM9PHddW++ZCyjt78BnfMe7GlbEb/WYTs2AMcmcm/Cdf278B/R63MDUv8vFRPb53vUe CzmgGmFxotG1WVLDJEkMSte+UKkP6UIXIPxD6U+8MRPpRgSHILtKsai2jVZtPXF66TtNsU+TbB2O MF6h/r1JtKGwAoe/CPb7Z0ccr6Vzm/ocrUc9Rd/AyTCINQzA1WJSCLgUuQKDKq6Zqv+ctogjGjcQ h7uuJFbTiNyeF8E/kISITmontc/nh0RRgIEni3n9SKusV9iHTaXVIQeQJmhYjlCwcI8u7x6GSJBL WErhS2hVwDVooVGqmLlFkyvnBZEfFx9iQujbUxLfUmumc1sB0EXCzVqAk02iLzapQY8Kv9TElRid YR4Pxq4uyn38UQCaNV0mCXp3mrjxIpCW6NIgsaqnvyEikk7lWy8B9nWgnTfj1jFkVDEuUmDjZIzM kDhFzd0ImEqQz6N8ksHO+gK0kp6+Po0X7N0bLDMjH33MNkPuPfLUPpam9KT6zhPxNkCUfmJN+ju6 iUG6dOJL2v38xksLK/6Sx0WtgnE/xxsSliSumVnJLGL/+wvwvbve9ozSyM2+Y90UoSpUoanvDK09 VKlis9ivB85QkNsiGPPjWM27nLCWdi9gRNb9Gxn5pOOGf4Y9h2OTrIXn064ehkWMsvjFPZFFnVXb T/JQDSbMB+3s1mULoWPZxH1U3buvDd3zSIJknY+suQs7DOjz2x1WpsGiu1U3NE89QBXewgG54eyx pg07IXANC5HhfI+EHd3Czw/JRW9BJUCFHvRZ7wfe5+IwCDgx3W8aZjge3gRdlyWY4VxdAAbEiSRf ERb00/QZUXhcKwV+ol2sNDtX6eLD5pqZpr+goWRXiH3XKdon/3EHa0AkUemd48FjhiTzQ2X2kWA+ T+Fli/tuuDLaqkanXil6gedStUo6NhZ3XMdR2aKyBScUQZq1H0/JqpTQWe8hXNVxmLjLsLxZJez8 dRHd3Xi7oXk+b3oM7kuTgl06IYjZ8oyXbDkzLECMCL+0vaDD7aWgjzPnCnKydSFQzHMnUoNGUxG0 zEjyL9XaXkSk7ArDF/F2lycUzKivWCuFiiVqZqJDRRYJiUL6EM2Mfplzst/SaImxjbh6z8EuaL41 bPChAJDZNat9xUISBzvkefGambOOpYu+nSWPPv88SudLa23vGGiGmZumjK17pzkPKesiQDxZr8s8 jsImpLRlEbnOs2nnwC7EgmO9uXi4JE+Vb3B810pMNIawcd+Y0hB8Pq7IilOe3wC3avCuEIpK2WQo S0szYa7CyW7GcuuvrH2uM1yT5B1nm8iqLxxmYsaD95Ikd5A2nUox8m7NRptnYXCdLxtRybdmholu Cpzb9i3Qm95Tkrb8OqZBiw146FnpcOMFO34dcVV/ctlVdno/kZTTFbQvGRvDUJiHj+q943k8zFro 5V+abMFtjG5erEnAuM3ELHo3kfiW2kZXeKMLPaRyHaUTvKxWs5FFue+j0sRVjV9R0p36p6DIPv+1 DZXUy5DVWNtbT9/YM85U2a8VwBjS1LvULWodWffJgWDake3p6x/CQ3Mk7O+CK4UxgDPLuqhos8hz C/YEtieYjMuTTHPoGevCNG/HVo0JgM6EBRvhitttk4Mk6OiaV4NdVJiwxNCY7ro/6PEuoJy2YpKc uTm41wz9bGqGlu0+MgKX0DAeL2fz5Q+/aBqTY1vl2dlXtjSb64AzdlBlX0bDLO604GJblYTgZUja OYeSmXhKCK2XyJ93ba0SEvSZnU4wCGK2UTNzNuB3S6UPnJZU1vZjb/C0EamYLqI7NuAnJHYjgExJ 51lwyyghmE55baDS9W9hHYAJhLDCCrVDBBLZT4GfVhJbBo0zmRCAv4rpPz/+V8JtsKnPHe6LbeMG OoOUNnwT08u0DEDPYjlGSz4itoB9Js+czCAUiDLeBerwTmK97czEj8vqp7EueD4KLlsMS584jZF8 4BeU7YbwbrPe2jf+4E7+V9RkoZxMKEBlQ+8kaCP/E57GqylmYVZH5I8soiY3SP96yZctZ/W0r34o lWw41qbKaggjElcaFIaRPGdVnQBXD77vuFrHtlc4/hAC5dsgJTR3VgSJkfZ583DwUvxCfaCS82pR OJvUqk0xMTFqLnp/s4I0xvTb87W5BsVq3ybegUZrENx9law+drHdBwUpcrBRRjDtD4jwYDcckjfa 2K5xMf1b/44D9RTr2FPrlk8FJ//ScLtLoKzHZ2QfoCxBR6s81Wb8spZX3HwtmCc1mjIlB0W/sQN/ pSEqQaxBYElDoaSqTjY/lS+VvpP2pUScKIJV/tlZvVl5/P9DFau6DmrT4Q331lQtaTgOEV45p7eC 99+WGfkPGCs+FUR/LNrhsDOHZupf/5EJXJhFy/tkY+OEhFtPB/HZ//nqxvhxjSzYSG0F0GQvHpP0 rPjh04T+jbfKUoKToYg9AtS51Hp1RcOLeH17RN6Rc8++6S2cKZaMkpA4QcQmvm9FmyeCfQCjlpFN SDaBkuP0CT/mZEx4k9MWUdj3I3XP7uC6HH0iepomRUicOhgXl2EQfGxD9x0uNgcXnqEABDwMLpnf Dlo3dm6C/ShBdUP+QIQZwSaE8vr7FZa+FI04FKkyWo7JdlrKY+cA/LuTJsXfeKiE+fRbrHVZtYUB ktuxv0JWquLn9uA98whMJ/1XupSVGRkey9Hv9umj6N6bJ/9KV/w3pz2f6w0AMxZBpq/8DAEEcVVr Xe4cpwSyUVLSRJMKkEfms1tzyMKz1ir05VWNcx5gqpZTR5J5mqPmnB9k8eE9VwikZ47ffRzyvSUz eMQLeAhSEqn0WiB+/dL8VazEK73658E0b52wHv1DvHwT9a+u5B+H0rlfCNGNFTbOQTIcQraZjnu+ koZy5xoUeCAxIeuq5Nhe/AJsV/tMJUB2AIY/3LkOvycXiNC38DH9s0uwyHfdPcP/yp2uBAtrJ/b0 FTCtzLOeeQPrKwZaPysAPPIxz0MmPmZ0liVmP0h0RWPnkQzR1jGD0fHUVfI9lTtV/H3amRSVvTTv DssRBC/EXMPW4nZLwZpjOLLX5/NR0yP71GuEN6tPbw2wAUAJgLTgOKApbMmoryLQB+uv3Xgi+J4H NUYM0FDOJAaaOb5n/kSy1VrqRX18WGhhMJn6MM4+bweeLrLwvShu0NtGMCPv0y1DflQWtXy5ZJ08 bUHpeqlCp2ISEjo/SZeX56MsbZS/w+TCWAPBuXKNS8uYSMQNbzIgl3yEug8+eYbO9RMU2pwGlH9T 9vTtBaoUbCJcbdhDXhfx8Q7gZ1U5yZk1QAJafNofE7QElebdaHak8Io/CQtda+cDUMMC1mpHJ2+z qmg0KZw+j2FlRzDLZOlNYWyok/wag6H3iBO8s3MjzEpW4/O3b07SNFN3sgqSkvaaJcdJfv8hpOna KbDcDnfeUiu5Ck+AhA1oKF7GeD3jfraCCzfsujZtldq4/pjY41Bdzq/4I9dPNLeaILvSVyH5pQrl j08SFrrbJL63A5pflsBYU//dwTe9Qx4UWvrLpM2bUq5yVEU+fx7KRU4pTbqnu5gtJtBiqS5Ij0rw 2j7B46WeXs+KnyCL9Tg4AvLf+pXBYsWDWYeq5Sdkl7nZkHo8nqmtno5apylk362Gv3AOzbyVgs9P Bpxpfi3EgyGihFTkzIF9lcNVBL4lU3DF8/ohXgYj/WjvtqIJItHXEF53//Sg0QQ09rdOojokQRSx zp1AVmCr0h/zkgZIsFeGgRQ7Kj4gtOTEEapLxnYiNBlqOQL5HPLec+qfIfUbN7PiFsF4++3Rit/c minwlktpsV3n5zA8QGNwrTnAU/O3NrhZAKMY8SiXnwpIE4/G6VGwWGBlmZCIbFCKukWj4RQG49UE Yl8y6twFn+wLm3pt4NvyOE6+e8iMo7Q4YdmbJeb8C3HLxB9PJffm4rkbD2D8B411g2i3JpLZfn4i CuiyfPa5FldPs6jF8SDrBswZpodKsFUgHTwG4VtRbuQYGeJ2KhAL4ePKCwcPQ0Bchtg2OAaPAIHW 0X73WBQ7iDuVInzRmxX9LN3koS+G8pXHkt8n2K1o6fv/p3mexDg/L/oZL/1OKf7kX2pzchgCnsPj 2S4W3vBcJRrfGT3UUyzU/xPYTfAruDqXrj00DWiJLL34Z3paRp+xPYkpI3sj5S8XV+CxXudr39Np /PulNW91MznqlrSSto18ldSZnZ/GuhY17y1iq/lop+UrJe9VBSiAMcfIcw+j8Or7njc5nhscUMUJ K2e6lBfCF2+3nv+5a4rMdZ2VVsKbvei1ozIcXHoWce0fYcACcz4/KXJNpdcSZl0/UKYMWtaGZMLq qmSd7UaoA3cn5VC6+VIBdgmZQ20gj3xxTCjfy0gbKmjYeoNKZC5Jd+6pw1HUExcsKLkhj8Zj4VLz jWkJMz9jU6fdXHqRCM5T6tD4ptXnEnVK1UbMD8wk6WcTPli8CtJDuts1A9rNrgJ41U+8ZBTBZ/U1 kl8aJ2pKDBUZCxgvL9EFuDagREyUcGQ7QXx+JESYI2gqXHPmaNEiOuF6r6SGrLTdvNK3N+YM4Jm3 FQ4BKz4gi9DlrwxegtfrEjHwZJ4FIIuGk4QScuxQoU6mjX4k6OiPi/CZ4hWg68cnzt+LePEZpydA nQ3qZwwf5AkSbXE+NDPS9icEZ6UZjp4fGuHQBgiSLzi6lrA+RMVR7Yf3OmywMn9Uwhq0gLssY5TX XYimP0bMl+mnaVBElnlgCJe5sGj6P+2g4nZylfZ4HBzWcWNHw7yTb/Tkq8TYunkbyYiwOmWySa43 Fp4r3fht+pEvNqiHtWF3AAwtG0QP6v/yVywy+hKSaYMoDdmHSLKMQqVgi4vCs9lDENzX/Y2txpHT sWMiYh6YGcxoZ+5eGt5SWF6JnJ0zmyiPt9qIX7DzOTPjSDh+d5LERbdl8HNvmSw/7BtSyoFzyuXP jZD3ZLKLs4irdqiOLINiWf6YGz1EQhXwdUVP7abOVjVO94fuKvY0PBzap4U64oTgN8tfc2WVRCjs xgTGKSWveAwu9t21Bb0ypudHyelHvb0q/dfByUGzNa4dy+1eQ/WRzrlKQcIYNUCaJcvLJMGl2ogp S3UGHeXaMckjup3bntVZ/6jF7awijFpMiYssBvurhxuoz+/h3E5XK5+1O762pTVk4b+YqygDQjxv oZicZQYrfB/1xAVHJCFqicraQHzmLtWPKt0hxQyxUljSjL6sJxo9e21gY+qBGvsDmBA/Jodcb2eg cdlHqhHfgXK74FTgE7UXg4F5Qab0r1gfy5SNsXMSzih5ci1HFS9zinnPlVWu2HVmcFVqQ1iAQTOr in6fNCzARUd/Uvj1r67gXOi8lY3ygqUsSKD9hFqCvaLTALp0sko46FpTRHhxP8vzMRlzrBbNgjC6 nPv66NeZ+fiwTeSgpthrmY4AzcfUcE06zAjaAksOxf5vCNkyxWqbFqZ1NHUtrFrHMlfKYMR6MYI6 gGloIr2jad1to1FhEWkKPFByK4wSE0w2vhKZhhgYAYYdSiYXFYvnDAs8KdYuBROn3IbzTOjb4DzJ mI2sQj4khXSz4YQj0KvwP6+BDR/kwm7fG2qSvoxZU4xNsuKzyu3TmABa+dUfqnVsF1cFRu5QOiAC /0PTq/g3pPEKbU7P7bWWl6C+X0CDVPH7kWMItaYso4qjkhPzpjqSHKX46qYNTbNDbwV3PTzWjUN5 TmDLYh8j5ZRCwTnG2KsS6UArEhVFJjfgkextIDOw3wMV5YvW/kGAyNfmdRONVse25XxN++Lv2Cma qt0OPjy7oYGJe425YpFcdzF7ixl8AZtwY8pSspkV6me2P1v4IAMOOjsIUwRzGDTbTHO1bryDVpsQ kCda+VN7H13avNrS+wCMPWXs8F/ZBS6DEazVLUVu9Y4QIg68FviVWBHB8ymn7h6AOk9IuOs4C1Dw bZfE0f7mufUT4LU2ynnawt2GgrCdJ+kjKBDwxUTgZ3S2CeiZZ2af8/ePclR3q86jQBwf65cgI6Om InsWE1FiEQOu0Uf/1PbRKKn7fuqIuix7+WteCMe3WJSmQu37iZLhTls4J+vqTgA/sw27ic/cce7j Ek5mNGs6kjRUpDjWf2OnJQog+EKwk9KhZh/gtrsgo4yx2IF6/HOL+HMEuumWd9jusa3ZSl++f1Pv G1SHuIlv09USCWfNDR1RaQdOQ+sZRy3rmnalhOWwIBxbS+QJSWVBMYE9wtGqjYRv0YLPEFZLc4bx lSag63wyXJjqHVWndYLPArVYcODF9BUPMkxJbyrcP3SukgewunVjFQkXClXC5ItAeIvkaAkeBxUG xPxRUlPFOCpNdpsrE9DtAL2Asm6ASv8ePPz624VQeEAqfW/aeXDcRti5dJo+IAhfflVZYCi+9f2b K21XFa5N79h2F65dpzcK072Tc/eX7lUJlUKE97mndt10Rj7nwspRpRTN5yKZPsPG0w3JtVeaWgAw 7ORuD72YszaDD2iyNGA5/Q3wyX6BEHwHr5WLLMP0dBZo1OTiaLbzcb31XZ8i8BD1vnsBrzhEk5/e nmX/7NKYSLW6psv7Etwqe9AX4sF9fd9BY6mHa238NQ78I1edAwP7fZb5RC3+3K/mRqlyWNOuQEtX QfSp3IpN9pM3Duy/6rZ60SAuTorvAigQluLZOZ32SluPVc8lUSRbQjiiPqxQn6xdZwQfbbpI/Ayy 0SedA8m6Mn1uacuDMbKbfV6GBfhWw1OEHKRuyQhgmVUrmwWGmqDE2kj7/EqS3DGL9f7sjXFiricY a2F2wDP4NpezH/+4R4ODAfct0xTD/DE+ZtJLyX9gpf/sa0fsla95QTRt9X95/Kq4nUP96HLAdYiX lGEMqcPqDzBeF9PFEJjDeP7288xC4uKs3XTSnhrCwP6GTU55SNbwjbSLFUniC3FR+m75eXy+QZnf SVAHt0TFNCJmr6h59UIUdE3YdnGcUcJQvhYbbWZGxyWSUn7uJEKQZQmri37Obx+4PMPvwDztVVR4 1PwPwR/anviEKhh2EV8FRmV0eFh/w+P49E1EzpKj6AkHf/AGTiuneYmzGQmU1jYxy1JANi/gF1jz CI/A+EwZknnIlaMWr9K2xOXJKH9hit5S7EIygLGtgA1vw+Tb8JdbQVwXT8CLYqHEP2XotqDg1+Wk 7Mmjgsrhgu5x6UIK0n1v5Ik20qYvwOmX3NTcwE5zxXo1pWs9fiOtg8+tS8YU9Masp0fqzjhbqksv rW+Sdeed2U9aeGbsTDDVNJ+78mFbYAxmaZwPnG17XyL/LKdbPeWyWrKIlK5FBfrRxduoc40QTJiZ S4m7OvsP/Y0ag7VX4mIU5ft3qVX7c7vVG0gj2IQrtiV3jC0WWFTDSQPFse7N1D5pXgkeSvCVXdHF 9UqYnFI+2600IXLrsqPb/Zz7nqUckqhZvBMmlMfJX79UziFDo+k6kGOKfRaEOgE952iZq3StzxwW g39VWyOE0peo/FMYq7cn+EXFdAgiiwA8fq+VMeUHNorO4TuNEeJHzlfm9VqipU5mmPizIjS/8kZp WH4otR4Vq/yWlycZo0NTeK+jXUULh3YORp1sO5MpXdihfc913IcBFYC8h13F8rgB405kb+msdTn1 yM6xeMDWDE2sWbsVMgEXZRQN97aM1cdz6esbquq8+bMIghWlH+LiKID1QgtwfSPKNADHXrIe39JC wB4lh6jvzj6hVZBCLW8iMYK7xF8bq6QEIkR6d3KRh4RPmATf3wJrxAvwsrft+iegaWtOzKgaBaHs yVl+hj1crUpt21knN41TQy4Jl9GsX1Mv3uaXwR5/CxF0s/pvxASMnorPU7TfxFzxiVvjfzuKnzpZ Z3seR/Lf76u5d9Vg1aQHe7i8zPMD44OPYFonleitxkJmt0nY3aO1F6y6JnawQGODi2pw06hSOZUr Hv9Se8KyFz06M4ZgiahRM4UAVOK+gvWg21MB3xmk2bh85IiXuYFSMUL+q1bWjI5/3UeLisIEOjN8 rohZ7AuXmfQDo/u46m8ZmmUEIjwVw9U9LVAPBqtZlxGbzDvpGcZ4R0Ri5gbwYvFqoG7Z0C980mNC 7VfrwZ9349Xuxz92uTIitbVVN5FE88W+eyOyQvLPrlPJ9zzz2K70unVCNeEH1ghai/TH0513mfme nFqkx6zPc0Sts8pSkE4u2c1J9hf3t7Cm3fgmDEPoy5Z3iqv0OVrghX1x5l865Ry5tgbT0eEsNUmK UykJxkvQRtyvOFtmhpCpBpbXrrc5XJtXFJoG5AFhtBP7hV43s7n57uZPIvFeEHvskumUUjcvnyFn b79QJsTZerNZvgQ95vjkt9hyiHwGPb91WIjeilYejq5+mX9AIvS54vjMHqkesysJC6s/hB8bHfD2 bbctq5Q3mZETsBgvq28nN6MHAUs8Yq452OYXUxpbZov5nYHG85rreBfrUHfJeUAiKqQuSbJ4kSLD 79+llqtNxDBrsd3s4Qpj6/lQjXUfLdv6tgPCZ0ffKKyQgm6X64C2Y5Xb7/Qz0+uCfPavBYQVH+Du NbEh6bsRi9BpBaziiHOuMLD7uzL1CGGGwB1bIcH+73HvJUx3cpIB9pOIRMBcB/8ETQJv5ABL5PPA TSk9IGIZPjziUm5JbN1JlRwpkLMtsWF+yP86UuM/iD9X+C9yMpAFohhbvvvSh205PagNcp+QQmyi Vzw3Ete972DqNh3Ss1doLxSCgaSnpo5FRz7ZhcMfMSnaUYD/7HPPqCB/tkSn7AcX69yTjj9YNwpe Swg4nfb84DcY3svF2VBPTjR8dSyzXDc/oE6cuoGFuUQlxlz7Oq5JFQFIdOK2dL0bv7hTJR8NZgne 7PYDpF2OQYWhq4elBc1UUlDhCQzm7LpGXMOuRn8y+sRePTMoC3TwN2EA2eDb3x4oAkLfZyWVTxM2 IhQclKNpcUwcxqseAT5xMKpdm6EIfDcMSAKE0hqW+zlbmYTkNC9pauGtE7tjDHIKn8hKe+cX/5TW VJLQS3ZsqGdc9t/TeDJtG0vplJ8UKbHm8AhRPKZq8fH55k5xo+2QSH15WX8qD8aDiKq8c+lMASjE mbRkqJn9B0vPfoG6t2BBbjNy8GbanAlf+2nQ4+XV9qo5twJkoGryKL4zYVpGs6DBG72t07cIVTog EIQPPMqj6I6VUcUo212KBwb+dKLHnRCyRvgQiDW05B29zfw8pOmolpEZWC+3BRdwo62HBYGCWIKi Tn2c4O4veLscz3U960O4LHD/bC/7xNyklXJCzh1PxApiNrnCI6BZmF7OXFewaXS7d/WkIzgofg8n yQxDRKVHIjYyI2GGAHduEEC1l+1DROAmM+VCbA8X2r+E0ixYnyZpEsv9hUqjjNaplpYrjTxvTwCN K26ytWR8h1sxsota1SuMeGuWojWKc9k+rxaqtA2FoAqeJyoiAGIqV/QEEPT2wCAq0pUxUSibQHai LritFyOH7L6WEwvmELiODhhyfxL0SteNf8X0DwkLyVQObptQxRCuDuGYjZJrnThZApH85Clucjk6 GoJXjpFpxGI1UgFzPZ4xCt57UHvsKZHYiKIZ9ARKuUODaguSkGGKduOp9AaZreIDE8sBecb+hY4R ZSxs/rgxiUqbKF/2fRCaerLg99rI8MgWSj+R53144iD/RENGmaVcfEMByHYvqkKgu0Zdz3q1/CRK NZGQIkqXo4wDGhqSdGafXfkJFCwiy8u8baKr86lt6Wegpr4HHgvl73+lq9palLL6O6WqkNC6yI7M C/c2eGcpQ3JV8aQK6WoNNiII5setelIXgAFfDFyKaRAQWswmG0p68MWT/HdCuJfxNfhVzUWB6DTR 0Rn17a9gXOCfRDY9XYf1AM8FYR6rsPVzDIsaPVL/RHNZTM3y4ITBUACgJ48p4Iznky2a7rleKfhR hOHQzuSAoYQcNIWwtOzRbv0YRKDbh0/qlkoeyFbcWdI8Iz/VxuwKUg/SDnu5sZCWHfI3/9mZzgKO AWLSWWjhgMtjNu6eXlJDC4gaVOQrkFX31xLzpUVAdplAPPwkNa+yqY9pCXcVdwkt3f4tBrdQO+TP 9ktYGL8ryCFgj8HMzrcKBfvSvnhdU+zwRfEnobFI7Sg8D5oPSBQoX8Py8l4OyCVCqF0YyWV0Eb4A M2i8jVlebFv8sopoIvc54y7FTAYpsQsxByNKA0IoYgweR177IN89EiTZDzYln18ezzk/Lki0Egd2 v2Nm9vVXP7rFljhUrL/L++YgrNXQ41THddxBw0P5Eeu+yGksZBCAXnPePyUkXXNGmKXMCcTOi5rJ NFhvOzCyjh6sNYQj6+vMZiHWLBPzdG7DRrOlXrOBDgi53B+Wq4JcemDzZxZ4y2Yp/vP6YmVg7BZ9 TT7+7WLEcsF4AsieiVyT21fpv5hKovW+z6QdgGZOdEiLjCaB7ZGmgri+OyZmz7Fv1RqVOehFVSbB 3oe4IunQ4wzNGHxNjFffaYKLPeWSuNpBeuGHj60mBGYQ7YSRPiziAUD/C3l8H9hV3EtGVJWVTbId xWrmsao3axdhTcIlnjk+LQ7224VfchnHDBmm+8zlsilmBeCQMQvRcuXyA9VW8tuVcHcm5ABVlFDE kmQwMtFS9Gg90U0GvnG8GQGUXYNJuy2ag2LooxmNXX7jvqtxdnhMs+Cwcx7KFItvo+jDbPLd1HIJ MG84eORuoJYWezK1R8S7+xcLHi3SwygrIfpx4N8uZ9BDtWPF8c9cRE6jLO4li1uk3nsrt8dhnC4S jX9/7QYSvv/Hm1JbI+p114rii+NnH0Zgjnn7lVjukF85h+WibKFny5nCBfZtGVonYTqD+bZkmAVE Ec1rbRB07WoDCSHUNpeDa6KVbWtqmKg9hEpc2ucbptLc7JjsKa3aH3HSle4ynMZk4xHjBN7aF08y DZ3ux1QX454dV5iu3c0JIN8YEhRzZ3Rgmh54Aa/cXyc+w9Zv4O7+XbLEfFKumNjXx+UMpzrzMZHB T6y3LpSy9ZDJye1akQ7gR7a9wNlmmkql5R9hpoEo3sVTLryfp84FdXX/nc7+dXRs6/4JH2HElz+B CE2Tm7/7crv7xehEVOQrVls1iH7jnIh65XTVeoC1cu2ZFTMlqUDebqU7aYx7l+DYZrvJlvzZucsh uLHjmk5FTogFjvZlh/wymugpZ/WifgaiTAe092Bbf3c/s+Kqf3J4zQKi3gf78EWqoq3D1jNjdbwy evrjor9CJe6pucNsspfCxZYMifItqsx4XikS2LTim1v2oDl1v+7V41aZdWYRqcGlR4NAwNVL3VSA mnGjjulVwgc79yZxzZNdsdeM4eNtLlOHdlu1UbiWXqd6h6LUMx8syA2136keLM4tDbW+l3kex8M5 2A3/wxQFtmw8aGo9OCcUGYo6tRLkSaj4vQqUH9ZwcrznjRQ+12qcDYLO37sFUXKWnlxpwLATbPiU cRU3eSunGBg+bhqvfYF3Z+R2srBjnTJfitlJGuki5ITL6JhHKF1b5Hsc0EbWRuX4I0Xb/GpcocGq i/99AG4tep5ToM3yMagQlX+uvXsbR2z9YLNe/wPqzfyK7XfhrfzWMhIq1WShqudFHGjxSKw2YRTj Ly16ImDXJNIJuGc2KNrVx95/OYZzekYzorwiPY0VoVomp8dHrssHhSabfFQo7pJQlWSAdXhLiXZr 9GOzkZq3P5UrVEIkYRek23NkqT2S4zDF6iRYepVhxF3ERn0H7ESv80pUHBfNSj5U7XhS2nItTCNb 9nmkpgz6kFMGGff+INVBjLhv0H+PthgLc4tgKVbUAXHiVIiZfj9gBJHMWDWSMTUwc23Qpe2UZ/dK Y/Bd2k6cR1I5Rz0qC45BRZ+yAfyaqqXDMWghPc/0zIe1EWyDiWA4A6aSKpo/oRjVslVhBn1HMelx 4C5N3gXLhchAHTX6QY80M0BfIaXrKUvtVsGv0YEVK2+T2fQSjeNteamSKqRg/TFY0nN/Swb+eL7h mw2xwoPlh4GvjXu06ORa38xSmJ3xo13pdIRR1t2/viLONL6kyzlbZ2a7/wm5ueXTMSLjC6dqqapG ZHLYs849JNdqB8kTSX0y45JLKrUW6vCIooHdknRxo4BodoGvOO6e/Knn+4Nd9zHoXQw/ayZwYFg0 aOxocH41H9fN12CgRpZh/UxmZmp6GFGxyxu3r0AY3OOkfMZBRt8pi+K6dLePvCsZdy+lxEJYl5Sq RJfnhf9OY9rk4wf8NHVl7Jv2h3itqIZoE+r5KPJsxR4Dj8Jl5KlM/eY7fXOqHz/6dTBilbr0KFFh gzaHcNnugE0GmZne7G0OhkL6EfyIPfmlK6vHkdsx9CRxB1w6vgVgPoJ1THnB+/0XnY3Fo43mZfyH KPQQeH9yJ7T7SXhfCTYzLb1QJiVJflnOZZiUihnglzB4dNsNEFb+MVTRJLs0T515uSpL7R9MuIBK +YN2EIkQ/D/7wEHrAN0N39YqtgA3rUdhsnzB10Afnb12yruL/zyzEQ4AuQ/Cvnw5LJhqvvmh6dF4 wYSAUNbLKU00kknglrKYathQmQ3vJ+u2GDWwlHdlr3d0FtwPSDuPNycKNbOfpZOdDNPazyabdZ17 nCbU5RAwemcxyWIgfkg6IL2DAgJ3FZq/oXU3V+w5EB7aWXPOKbxXm+E5OmJPR55TyYWZbxM6B1qk iUnYyHqLCLCmXM/QjmKWN4XrvaM8v3c6hmIB5QlAab4h+pKA085gLB91d081NTknaC4b52kc1lZK Fwzy+2nOLMTYo1mRx7b5VYhxsCfXSx4htrCxtYPKiSgiwR6kQj3oTgpT86vL+OtA+YZ2bDmpdqE3 zYaKBFJsU8ejc/3oFij6+FtXN/4y+Md+WgEI4txfcVReeneRdLK+lLDHoIH+xCZtxyv47T+ubNbq 5tAkeGV0DCxq1AjL+9Ge0XCF+ReSJecPSwwDr6KVLyKOYftUlKO5HuQd3oa0qhCF9TeY6D9sVp91 g2sGhebJq71Eft7xXZ4SlOFly4R0ZhQnUqYidGkM9hoUU+45foVV2EBt6zn/fI8Krm3eZKEkYu/p sfKdu6dOp3jdS7FeVGC7EHfITiyNMzGiG1C68iu59/A8SclwvzC0smJo6E6u6+SMAecWBqQ7ve8h OFDFYtQ/svCm1FniqFtTerF5grzJy7phIqdlh+Cc+N+pbghvk/anUmcwKfW+rvVJJcA/IO3zEJU1 panYoNC8ADTfmZScOECXC7ojdCygIvg9cSPjPYSQlt5Q9QgFijUf+9PZWdgYFE3w5kZyqinFEpgX dYXuaTzETo9CEywMGvKj6/R9fhI1kaG3bk0+uWnXiOo/Dr6pNyAhR0g3wejk3KzyVUFto4i8VjKt YiiQx5aNFnRFgfFWBRdRQInZoxQ4gB65W0npCVa6YyB7VMfpyoy2JKQ8WsfMm61YpPxUqwMdqQfk ynyMpgTGqhZSIScGYMhSd74WPKeKXvdykYbwidu17hdl+pr1ak2dgDs5EZgtUFAQurcPcCJr7XME C/Kj30SY5ZXIRNcRaMkDvQYVgfgo9vKTxzoWgd2J4/WWqfZ7rMG84IbexMW8i6La5qWGNId3sjLT bl+nRhz0w4aZiqz5V5+W3rAb2w6jUgS4cn1to0klEeZYYklfITuMlGODBZLrcmLBlRfZ2ujuCAaO qPqUS05WN5KPB+4aB2vu5zCXf1jho3qFNzv07JfNelSzcuuUw5XrL2pruzW8/jQjqx2YQR8m52hp YWyEUksx6nkQxKk6cl4txwhLYt7XBvzH9DuRhMdmBdmoWHp/Y7o29BkPKirrXCBRwzWUlA8oSl36 tYoa0roMytV7jzc4bG5D7DXM93SF61sYwsz+rBenuXFWtXihvzzEvo+ax+DTP3pjmeAY8rBG1IdZ SsBnpUy0ZEeeK4RMfrehl/RDBgPug9ELO2tZaNgB4VsIRmPHwsZqTB8n1mw6/CvVzWUH4r9tiDY1 LXYFtcMUzlHsr8ctWSTALQhJHPKprcQLTSPdJ0ngFcWUrohZ9bDKVAl+8odwk2I/k+TWRZbgaCYU 1SprgLyenQ78Q1jtGXV48vv1yOv8RWn8utm0wYNbJxHK40gNTxWmjSwvmKjWorn9LgEehZbm2EZd PXZpzliXUyKKqlZ39APl70Afxx01v7hQVIkiErjFYMTBugf3Lfh7bgreZEr1r8l4QB0OYUdSNxNt JkFEhy83f2zFhIEexRiDzOatyzilC0p/Amq6EUK02lO9UA4mjoyfiPWc9G4hOiziaozmcVt9TmDf z72AXjQCho4cAYX+MFkhDoEnkUbQ0AHx3g9UKH0FG5tidm1qdyFmseu73I1C4KRsLuXXHT6lkQ+M KrwT+xObkJ/JljMlTBpXxeHY9fU0i5FFYHVa/BSjI+dzS6dVrkJhcA5tERnfYUJMa+1panf63qJj eWfd8ugjBOen0q24R4QE4+NSA3OO+kT7KV4oa/rP30SwpHAt+e4+AOxsA6VOPwCq/8lk5uEYkZ3v viOEJgByGACiMtmpDTX1tF+Ed2sC3L6MJshuz/+hilGbP6nZgERl0abGRs8VGg3h9p07r7sPGhIP EyN10uqoGnHyDk8X+dZVN86ZdA07t68j3Dv6bmrd1iEZdwRNMbxlLytbRVBb9LJmPytckhiIXYKV 9QpFFZK2n0eHryNbaDyapMsMLzqkBOP9xi7ED6MtY3BMb85ylh1NT3D1vIMqjmIOXpO0BqATKEQx 0UHx1NoZ99f9MD0BAUNSr/IvGCpU+66y60QJM7JtXGzVQkLDuGbcyD1sTqJn8HzrIwmUvFJ41z+i aqsICIbPwNEt7TGifRmuvxvysycSaU3e+pgwils4vWGBI84loBDBUbOLv/sUPvFiGxAKw5H/JJCc afHeEeE7zV+LeV9juOvNVfli6CqZGcg+MSKjsLBCM3xBZdJ4pdKwC7D0RX437hJ5QXzTVNPtb/Tr 2K7PQjhGxGFdO4PrcUdXD2XH7d43PuMsxScobjD7QvWwBnEZ4njSx0O5kOxDpgqbL3AqSkUDbOvX UgZ7wKVUqUdkoa5dmx9PYeaRMYgzz3Mrsx5a9KRBecN2Y75WkzpyHpk+8hiAemeFqWw8+T3LYcM1 1OHI4Am2Gj7j6iC//rywXVqvabhY/2rJ6OX8zR9A5zSzocjF6mH1uz5O0vuAhkEpzs4hSqkUHaAj o1rSCx8NpGXLno1ko1jH8Z/Z4tySvlP66YsgI+Y9AiC/rg6m/jL+Xb7j7GdiE14tv3omHkPgrr7d iAehAWhXN9TQOIyZLuFlENaawMHwoCVghfz9mytxqB1txhUH26IJbn3YvjbuatuNa+djlps9KMb8 37UbNB6rOdako5u/31kjO77bts8OFrEbas/rEW3ISWqx5Uv9QupGuK0MN7uWSO+Z1axFGRi/Rttr /3ZY9U4rAJl5vC+F0ryAK2txb7ZsFeOc6HITSTNq1QzQrlleqXBMfRDthP2yifN724ZIYVpaA3bJ wG+QZoUF0r0bNirQfAXKYkaCJcdd8UBMOthcNJ0vYQgCy0XUKg9RE9xBCw3JFK372PfT2wGkycvW vFdn4486+o3t8wueZVuPiChMgrzgoZOFfWc+AdKTkML0HxJmiLfbGSOz1ehF7VaqawDfJWHp21MT aSFna1B1Nq8hvj7pFUB/+tgxYrR+GeSFYo+QJUqE+CdL7Y9MhAGZgVxoAru3+7ma0UrGiP4XPrEV FyxQ4rhGYJW08JOEte0wHwuRnNeE6ePb580i/XU1BbSPiI5TMdP3IME2UZzjqbKbyihhjeLqPFhY IE1tR1vY2fnJltt9vgttNKpzZGKTgpu1YiMUv2ZsOhQAvpvKSzwXsta4FdqXAozmnwaDJl1TDZe1 XdBgw8ufZcwxlmqogbfi4kSL6v62zQz9YYi0wu3PZ/xdkjA5zxFL6bRD90AoJJkncdh6CUz/kdwz LHV1ZbfYwLJrvrjvI+uAX5F9Z+NJiAUnztiIhqvLDBdJorL+KEngSxIR216VoBqWMyyzHZYB+oPG cU6aNSzWGJOtTucbWAfOsPSkxaKCLJm6kbrhp7eCAE3AWe3AWTZNArJv+a9FeJRv+mYhgPaTLAz1 AA44atStiXdugB2M+Oq7wrYLnmkAcdaqR5/LsG/X8CYhIJLtN4pDAWnuwOURZZlEpIcRe76RstFl hPHl2EeCwaAR5z4dNj1FFTqimS474PU51eSJJGW4tTMzncfi6KNCzJ4zjrPv2h9bWy7BeyuGuPYM FpsN/OfJPrRt/nYmhNBeCJVFRuHwyBmotPUQMqGoY6+HS7Mm9NrEKKDM8vj8/fisZy2N2wtzNYtP lR66meJEUPQypXw6WcGtBpJGJX6972hz1qAPOfskzaag6RWYPnZsQaXM0uXhI9aB4xsvO3C7k4Po DqxRRn9cyO2yv6RkXl1B4W4ksm5vFBA0e8FbKSXoFIGv2es0O1R8eISc6j9y0xeKbgYjfwvZ+q2W fTWSvHqqZ5Gi/vaFVhuW2jZoidPKZoFo0fXFH0oR1WurjSB/ohwuZRPqVrr6fua3ETs+4XesL+9v nNnQ/xxJhhqTmhE89ORl/KoJHN40pzSWCBygxtT0U8SgmifqvwGLPil5Ie3CQektsZHbRP0qBr0l w2SoLzBMRvjAR7D2ApIMKYIQqFMqokXTn7Hz3pkdec8muANipg9AawDtshyqDSv7gwMltvTQ3ckB l5vk6xwKmGe/GJxwgxbV8iNTx2CyKtN1Sf34Bsejfm7Pvlka8RwCNzApbmRetVvQ5UwwOHBiQwpC gA9aESSCzQndIMTJDnf6ZLSKk6K1QW0gbjroJ/Fns30okZLlgFJa21hvm/AEb1QENpHeckwA/vl+ niAXj+z/WL6XoqcfuYp1sqxmfY2woaBXIAra2CH8Il3mm7/c263C3JFrDbFMpuoqjfVOEJx5q7wO SMRQ1dXkbGWtu3IsLI7Uuy2imLF0B2RYJpRVnPOcPEj2KusBqEnHDxScMqPjhwK1GH/pkN5uLmye ytjTwgzlQdHiEOdLJNpAuQ+f++aj9MMAnOQo8Be47wuqSofpPN0D2JVIZ5kcnUy/wzlIwCPIpdLE rh7OBRyoemqa1fewyb2E2h7T2UhghY7koEGkwSCgd6/3YHaznI3jw0blDkKALIxpwQY3cG6/5aqi 95A+2lJop+0fJK3MZuwxosBrFtcAK3zkooqpPS95sAox3VrPmf1axreeSbupYra+SgrSBtu2Ym5c 5gtMCBFs0oIVEU5R4ddKsaNIXFVEH0GHx/edYxzsNK6lCX0FL2UbdiRiUI0vz2fVi49x7VtNJOhz gPrLZKHskkDD1U+IeSLV8HKF78sWegkSpxrnShQ7nZzvuU0jt30inbPuDOJFMGpEUm0hXMnWZErx qR/PD0vzBbdXFRusJfw1r8IiwbjZHmBqSxSxY8klgMW5hPgED1ONBq6xRQN811CjiG3wy0vxZEPF hSa/uslSFLkWd7f0Qe/qdy9MVmbhvCO3+Aw/F1UfYs2fWfa9cOk25IQ5kmAwMJmPQRrknhLiNFHm Pf6ePKJDyx+V5hnCDr74i4kxBkyeU5QaH+LO8Rz28NcWKqhXE7y17LGAZ3aK84tZ4tLWmMwunRng OJxBeDnHr2P42MGwwkg7DZWGqwbfra/sO8HhWLtBjwYppR/gn/uSfLL3WTB6wvKBfxqCMsMZT4fS YFqr1An7hCufq5yQrbkiruWUsGmlPZ1MhNR2WG0xh7JyLPC+Q2jAoPB5w4HSXLGRG7ABMQ5oTsmr Z1awra1wBarIZ1GLFquDE6qa9DYyIRaOQwhveE9TBNMW+DmfOtdnaIZfPMLSzhONYx0cQ5ZjsGWA ip7v1fMYl+qI23JTB6pyBrDcHopIx9wQJtYtbXd8yY+vq//Js8OaqejB6pp9O8wqGkaGS8CCRaKB wFGK5E8bumDaoFgG+snxJwuB96/vdkM52AdIqpyl+x7ueckSabtzqrK8V3H0sTheD5FW4HCUjZzV 93tVEN1xjM49/nGxS7EHHUxCZiD2ymtkt/30kSgcZn00DoO24gk4eRSzoZlb/IE2h/7O17gHkel6 3Rn6vhXEaR0SD1Vhn312NmzJYTbJPWW6i81vbzmqq/EbSXLSpZ1V9+NHMk+Qa3NL6TndlNsDsMFI u6clbsgORp7dJFlCr4zB93zpSto/kFQBxvUsiqZPVXmeYvFXK/7r2hvw3i5igQvQ6LG6uo63IJvL x88ux0qYRpsFt6BzVDqXq/eUipWoegPuMxrh157IgcX4TtVjXPvWhPBcr+Q50hXHi9V/CUXh86FN twgszcr7eepc1R368HbCGOEv5zCrih/XxZiT1zM9YszungO+1RFWtXKj/MjxkzYJUXAwWgM9sSYc nxYqJA5E4iXgnP6YjJibHe5pWXzkrQGAoBJi+Yq4cxzw52c5Qx31Lb6WbEUmbaNtW2uvmnrmFEnx LNDoAVAywnH4Z00JEGFsOk0eUYgxdkC5f0HCWJ2RNBWQuPqf7DgVNZuBer62PI1CwggAl4YYAahq jHfGnxuCaF0Lj86Z7xVEIGgqX9v/8TLA0SoLe6/32pDpI09HwKribLzhYjIAC9X1Z9JHCDLeAdgb BwPNnXWwH14pBR7nzwRb/xSS5b4FSIYC7lVv8Fr1OhVCTjKGA0zWVZ+C439SHAsV5nhMJLdtNrrU jHqM3OACSJnBJEB/NGVDVS9/psgRUQHJlsUsJjcl1jnAksIXCSa1pnhSlBmyYUgZChehXdvhLwh+ TAYWsFyg3ys1c2DL8XkR9AFPDuIUvxj8EufTFqHTf3fzEedmtcBaNySjyzvqCL4eqHhI1fSaDm2L sXyHWcFR+tJ3JykpiusFLFfnc2v5vLI6WktNNk+6WH7+hUODSc5ZYmglGjW1lkXiEbYYBTgXchDg hhNPqJ5PVydzKTg4Aa2zJ2YWVgH9jylYnq1JyfUAqEEMn5mJteed5U7jJw9Z5cWzfrT9I9xBewPq Hbd1cnj2od0+I+mvKTJbB+E2mZpcF2phoh0s3jX7IDp5bqJR2z8uMaIzqKgw8cuBZ0UE0hQN/Q4x k5OZ3E8vmdNkNfsC/EX+d3p7k3emmgC2i0IawO2qXxaQTujQLqfNT+d89tLmlBrNMYDJsrsj45NT 4fo6fykr/bhLeSY2sbp8YLHU9/Sr+6idWGXHX0ZFu0atPzAyWKZIgDHrwhVlGqFv4qyNSzNqnqXp 4SEULbfDnqa+s9deB4dExcl1rdFSGtWbNWhyYQLu+62465iYUWRmVZKGl+U/i+bZyEtq1hnBduJ4 gL6T+WiRwr1xQOaBdPLfAnZcWmzctpvoIDZO0yg4SdiHbzU93Bj2chWNsvr1PeGdDmqnxcqFaQX3 R3STv94ZCuEmmYN253OdliTJFTDrjp1cLLP9V5E0K76sS+1BQBlYqWb1eTEO+KZ8ty2J63OVOKWI OVidNHSL87+LWCg8r1ModydCAcMucpr8PerXoM97FXBTl2JTnR7fS8MXY8oFlpZwdHph0lCA0XON 8OWOTRTVeg9f2X95EGREYBDr7KVJLC5//tTmmB8b8O7LbM+XnBkq8qPduDljyBqwutbxncq49Jb5 1PcF4EjH5RRa98D7aFAnKTO6NNv28qFgUBz78JnznK9XgwNk/XTagPA65AB/lGFoIbdbs4HaSwdB HpJtvc7HY816mxVG76/9hWqAWjvSfpg60rAV1rtzwC+wpV1cUzrHa3ivoU67M2BthpqAzxcdgQMw baHTVsc9841XRYlj039A1yqjj2b3tC7TGwF/UzRAx7SQ33WeGv4M8pwGE0A9T9PV4Tw7tCI9meod CYlZ12kcoza+tWF3TJ95GXV6OLVpunnBD2+paALg3HkZERPoaMKmoXZiv4a5JEWftHBjCOyZv0DQ 1ZiLYrxwLSmvv5X3mAOh4AwXZ/fJBuOwO79R0z+caZjrrsDKzdK5E1VKXJyRG5rnXbDYKKAjH8uS 2auf21GmUFCjPM/3BDAqUTJEzG+CmSA5LB+QXNcOHkkwRI+JDkUfnh3mL/91x+bJjKPNA+MnRvv6 pnVH7nLbrxdfCnymfXGtLptZbEtwVWWmxmFalFlWoUBbthup6AgoQy/F8jffGJRT7IPW877KpaMf KXpF8KGrv9nzope2CXwqeE0iTJmXFPReJTafEoD4Nx1WBB28VGQ4aSgdDzEAnKTL1Ac50dPggEZm WdK4KB7WXwIEklZVSvnkbjIFwBGyj4AYQpYZw/XfzVSB0hBgmTYuq4PU4wjOibMi6EO/NMmVgxIS kAAAT0YTvs7JYMdyaqIDerxE9BsnLA0nyPd5S5rIofF6QIr6IDhevQx/TZ6oNUuAO6KQRCa87FCc EXYH/3UJIKnnQiDllEmDZiwLz9ICEtdQBElojUixpFkgh1UdP2geCD9hiNRblJEf8LqnXXvEQw1h TWuD9iBT6KNXzXhAZNU1yvLsWcstzj2luU9Am1ulF7jTBNoRhzxc8OmyLs1aqxIR4Ivu5cAaEpXr m4fAbEL20oSFD8jhO7lnFXAzWS1qx6P26bc1EuuV6RyRIZX16txsidHZx+eBqe6bESMuuapEQTY8 cCAl53pyQLubpHHf2EgHcuDb80aGZX+NgzB0n8RolKujTSE/yFLKfyJwSVCcg71WA6/h07m0vXIM CjzZtSh0SeWykKjzR4kHrdfRyYD4NaZBR7IXJ+g3AqVogCtAPqYyKVjorMW2tehgdfxSjR3Lm2F5 7Lirt2EYiii2P0dbl7weT4GUV0IU3Rks9SHkRLW+RG67maDwrBizEndzbFt883hUWggUs9nUL7XN is2QtG+xZC0SUh7RePPAGzXvJFoNp6PuBImLbk9HGlBspp9HF30EcccI8G0mbsLMuTzH3IAkX6Ze JEXuCnr0ZpwwwVl0POVCct7Bq0PrZBrWIzFaHcOsG7N/AfjwDyN8rnFqfUXZs/9prQlUHXVNjs5A itDz78G7/ouVPJ+AckO81SnC9gUqf2dGGBDf3liPI8paszv6q8LvftpoUYwysrjsy3KB5Lr7BMim pdQ/27L3ExiLzesBD0sJwvhc3PsFcMZey/ZrQmAwu7oJE9dGgt3YGylvv5GNikQw2yET1+CUXGT2 EksmnMiqK86BoGgybFgnodusKR9Y2shhPo4sG6mdsuyid8KF1WTSttvrpG7MrB0ZLXeldfVJINt+ W2ipeXKmyp8P4RQrYFf7zMPny9FCym1bokuAqzErTeRQ4QtkfJ6QKmbke/RnBXrbk1w0p203ySS5 o8gKsE1sbAPICWzmTh7VAUhNS9MtFlHIrCNAtQ6GW+aCZSJ7rxH2VXl1Lm2Vf0m43E9unciiSZdX KdMTGm7b+Lw3AMzVlLZBWbOFglacWrAtPzAfd4rHOpExMHScrKM1l8LlpvtbyopvtqcHdL/AuQGz mZAv+9I7TvpSc8YNEi+FVI4taJWI3vZPA6nY6r7orrvKVMxQooWarxLgGDslQ1KSBess0/pGP/sM M9S0LWiP8mHARvcYNNGW3umYg8WXuCwnIXmE6dGiJAiWaK8eyJxkkbXZ/36QLxW1uo42MhuL/FRQ t8jFsT1Jx+cT6DpLjykXarQD5ZSYIDfuixCWWsu8GYE8OYiWI6zy8N8lfTA+cngBRv4bM5m0MnDa mq3dWxhx1hHY3GTUvXidurUn0+RxQVA/b17nlUCoTYCJiLamVFzFG3ClZfOP/mYcUpkWpYlLybgy v8IZXK3fFKFrYbF4ZtFkudv16Ex1FqJpllAHxcatVXJZQc8qNWhglwlYTdxtjf6BqK/a0Q4DiZ3t xrK2pyrGzIvqz9yUJIFoVd++iqYRX1px42mYGLGIuKELJRY2gM8QEbPDOAA68vpWt94N2hVxcS3c EXGzLAqHecUUDBJqKIOrsub5r/44TVo927zB/vLeAGy+YINxAtoW3U3CQnE8KR6duJpekPIKo0Lg UBUFr3be9Sx93Od29oFizhcpLedIvOIBMSENSHu5zEThFyHps14QbauztRG//TghrYYEEccPt+s5 TVT19DFMIO3PUaSjxd1VblxpCd3ydt3LiWlJxTsI6pkv9StMnHnHPTy11RmCeHySZVI+5Zgx6lyx S/57nL0dl+wkVjVJGo6v8FE9uWMsd4tdS3CQ6BQiLXbRb7uXMSMt5wxHSL0QDW36cpC9GvjOQygS cMTaYYarTcGhdjxaUtwAvBWZYKpmN4oX6W/71nGpTbO+x8J6Ne4sPl3hSguIRHhY7Nw14a9fp2+l reRA+a55pSky+f3aJX9bIIz4CcRRaYbdlHo2CWwshH1H5SwrIA4Yqu1VfZfRmt2zo3wnAodFgFoh E4kqqmrOk0QsP6w2rG6SHdflfDdN+jQ6PgXiX+3Uw6/5Pt61X92PDPW06iUe7OSIUtceQrnqcfNU O/jvv/RBWEcj6Sx34acylcIxE2W5caa5VAShR7h15RBV25QnhG8Vwss9DYz4oVfHazeIHhgQRQFV ANLvtQk0tNy5EkDMI57GzE+PjCJprtPkQuCGYv8voEwvigbkOhhriOWrH3lfnXw8EB6YCloFOQsZ /fl0mw+MR8S6O8+SkiA+Ap6eqzTmo36Wg0lbTyRvLSHM+X1UURmcbIiCJYMgXbhcdqlQsEAFTVWH 1sGVqHO79Lhx5Bj6MEY65a9UQBJJltttpojY3tH22JX3HBrcCCcSDJ3R2yOSgxNUdk4Ke8oAd7vl wceMJiR3mqYcS1NE9NTJ/N7aFgPzGtoJcn2/y8t4hfVPRXMfW5wXOsZnia6gYFSu7n0eM1WTLyoz 7ibOBVQVoFp2FLsE9mjukRQzgaBfvy5frtIg0oD//wXS6gontpdROpYuX7X0cO7F7bp070/zhG9C qxGnWvTQwO/5ix1GqHT/UcpdVnuqpwV6tKqvQYLW/bnmybRCo7wp2uImG4fcZNafzoeYwpSpQAQC bSizI6SsGpda7QpnmmehqB9xO0XIYl9nVDTVyWYlfeWyYRWWUwS+idvNqb60Kj8TXV2BTIJm7GSi 8fdGh7e0gzzvxqdb/5khSgExzCGpyjgdvFdygU/CdJOeEjLk3pVij0FrofTimUb9HLkKqWc75pbE DEPym03RgzS7WUdO+4CulmSlaxtwDssV9KIpRVYvI5j0GleESIo9E3GpegIyx7QTiBKbdeWJfHSe u5evUcOmWEPN85lU4ZIqgEwO9065IYTAR0M6nSSXdWdRtb7GIW9/QsG1/hLIQu7qyhL5j9Z6EHas F2EqOx220l/rHKulL+dH0CvzYM73SdkXe5dBNbyvvlma4xWvRM99vYXDbZ28yFXkGsiK9ez/uSg4 240TdrcvZTbNATw32RupdBH9jd5If+Y9hGbboVDu/4cRs0qm/iF9WV8xot/Apq3u09c2p5cR1JFf WE27h5u7AjrKAdQt/OaL+mzvKS6wF02HD0BB820RcJQFmopedqZB13u0jEj8dFf6o7WGP3t/GhTn p9b+UFYLbi48tjuFh62gVKYl5KbpQo5EDf7yceWyfsOKQcKMuAZcFGwFd4a0j0RfBkSetqEdjL4Z KAG2PPHFFcDjQqo6mZikLJsSxbuOHD8HUsAVHB7O2d3C8O7NcKqp9F6Nk4jM+Slq08/Ng/kxJ93v lWG708pEDjmb7+1NkztVY4/B6B1qToP/P82p84XNjG+0Nn+LwLC/i36TVlF9YEeOwyHg1xk/e11L oGoQJI4LCvXjXftOT0ONaEjVrzJmdESSCSjLpaqQDk0JTCmr/+KiBduVnhbzR9onZugKW9UBmIH1 AdM9ieQLGGq3n9Ewy3ZmuFB2YF/jT0TFXhc/DKefjnxwkNjSg1gKXXrYMEx5Fd/BxwWhpdkjiMqc BW8dbgKz35M9trf5eS5cXf5bqT9jGmarYjcSFgarr/Pk79l2KDAtLDMj1J6kQFtf8p5iQDE/+jAm iPcoAE/bwZVdJk1k/3XOJyS6jB560WIQFGtuOPFcFqJQcvOtYBByBSFnNlsXPqdHkyfOwIehvetz COkliEMhQkKJ0AdbOVMA4QLz0V1dqhhTE7rVWm9tm4qxUJO5b03qBb330djowoUNG5pkjsgCr0CA Eczd0ZdZQQEtlwGFczWii22M0xnzAplcyrMwwiI9YirrZ3fGW8VhoLOqJjvgznX4LjfGecHUDyGP CEuscdc2dCK/ucG0B/BAydrhMmRnHAZnFkLJds1VAlblwfDu4GIyldSgSrq/lCkfJKZay8vNeBB+ yRCxGoyF+yokiSAPGF3BV6uDi6syUneuvWEU6sUu+XX32QteDa8l1QmJBjJ5AsBs8/zyToKwiu1U qaLKfgoAiqklPXNRJAZfV1cKon68HLFTcUgFf7Ih/SX2LQRjlmeQhQb5RQa7u/tetrpQ097g+5LQ IP9QnrSX/heVIink5fZFXY1DyOk6c2SttANHyaQd1uwYmrfHF5ZOWd7OzzEk5+8OLjB3oFLfTOP2 rY0sjQZlcp/CyosyDgtNg9C+hawZXWC41jwFAlXPi2Y93Gi0iwx0rRW5N6ljLBz4lhy4hBCBHbqV uuPwWpaNEpOBW8roeHjkQGUbnJ09CJrckZcmxXFcP0eWPDE8RaHVKuSbez364wT7319soZ4gHJ20 lwgxY+vR+fm2yOs5A8Q7l1OTbHi/EX8CVXMsbglZEb/bWOJuSnIMO4bUfztCPKEsChOgE+jepw+r aqvjdkIp/TbasybA9F924xprLEE9R+wtpN8DgnIpc5IKgKciSONNsqkfgE+fTFhvPFj3f0R56+VN R6TqdxN5KAVZNxEOkg1CCdmja7u1VpzyQ3vZthVRjv9QbDdw5FDY/Yu3/gY2+owXZiaXwdvZ4LdN brWOG9/X8rN1jIxth3wHISGL2pzfbcLr9OxPquMnt71U9Er/qljNSmpLJQydebSiCuJrYJMXei3u P0O1/f/mXbj5WEPXGWwgJAqRfayEduZqyuMaD/nez3hW3Ax5zKxJ+NLqMqkTx5tg76HybqYE4Wpy sP9ZJTBjeWXRMXQjmEBCmwcYiWcL9+yPjX4GVJNDblcGbgqnEj+yXtvAT276VHRC7TytcbfD3Z+j 2YC1SkTRS9lT3p4heMiuLHcT8N6uJOw5RNwpNZgJU5vayUJhWM4xadmSCxvla1QPd7Jzcn3PF+a4 5Nb4YTSbIG1JjSNpCOE8K4/U7IYkmFMwp7Nl2/42ZgMUT/mLRFkCtkSqWjg+3AmIRwwWO4j06x70 +2Q79csXDIkk6axpNm7WlEIxEdVhJFyD20XjvFqgaJDbTtUDRVC0GCpMAd2mtqIZ/JeB8L/SFTSr KU6zYdHxKR/cYWKFS85P6WKvTfvO8ExbNqL6PE82KBUT1h3TMRQo4ZJvUiVa9OibfMwK2bm7XNKY R3tSvdlKtbx2ekDspd+PgbQbwOX5ACeoiWv8NNvc70AGlYjw0ApP1rvd/BnzRuOVYZPjxpp0+j18 oMOlUw0X8VVXpbvKZQwEpcmTVQhDxwUZnumxkj5lGZhdKKJN4wtIysFE5KN2EqqnB4tMh8Xaqo3t QzuEPxxHld4EG3/SXALtsJYtAijmF2hR75K1qgSvj/8MdQa2qcwEQG+EG64wjUyzRocKCLALfdbS RUli2RFTrnqAOMuTXN9CHTB/sk2x8NXQJ9m3Y5TJWoCmGulJHdzIWU8txfR64CBEw3tvQn6YfiA1 JdoygfAd1gfwTV5pGHC0TO2O3bCu+TR8YE7UpLrnCWWXv+oLDUDDaFnjiFf3NC6K9008kM4lPJ2D smyMhIyWsvj3Gecl95l/vzI7ddIE7yCtKSzNO0FMCm+t7zzkIBVMxZLp7WY0KY3AxeMXbP3j60AC 9BN+BjLC6M0KL9LPUgcu6v1KrOzdd0Uu8kzukik464x5RcePTLE6FDJhMplMVwAM2ITBtEYG4Ia9 iGGdjl8GYK1bSiWZbpYCA9YpJ1AFsgDw5d/ZUrJLnseJ3glTRfhlxdXF/PVUDvYSf5TAgqAh8l3r 0Ggm6kxIzFJL+GdVoUdKu2Zp2BIGdfbd2oYaem1z0Z9KRPjTznHorm/HBVwYnIRPVg2KBuUu3Ih+ vofqMeMQJ5unhX8YZcFsSkQPX0aWA6EnRDTGZHMyE6F4jToPM9jhbCNVyqVesA3l8XN7PSecrDaI pfUjrShVlGKYISdvbo541MILJcJahrt0M/NUdfKYe5r6fGg292MTCC4kfejSM0XBDp9UkbCfkV6y wPI8SiXeD98pugVY4GcF+FFzx3/5Ri1+B+laxBwdbrqfuCjU3bmnU3upsP9K+AKz8Woldv7p1CJ/ obXiP+KoydseUhU27d40OT2/hqS0xkRYuZ3lvUuP09TQYcthmvPhRBL0491CJ2FYfx/ogdkjZW48 DiwQS2FBijBYIRakE+z6samMhRLDenxMuTUTsbBbVxP8de5Gn9CTSR9FeL6erwOpTsM6EdzB5FLz 9RCPiPG3iaYEKwxp8PYCA0oHesjiRI+ilkpe4tESU4e3qZmyoewXEllnr1uNHZB7TLyCGfrBznbj +fKC47uqqTZlQ34KMeqCn38YFjVHi9IM2qCD0XFOhyBgLaSGHZcecFvaKjB9qJ7ORXa6kfW1LWKT jSx3i4qKsKnUd7PjaEdE4FklbDsFEbVpehR+M3e9nR4BBtSZ6NOHb+EmnUinxDF0V97CT1NjBhom eWvdGlaThrAgJyWNLQEyktmcz+6dvtOLMhAX04pWjE4k1F1XhHzm1yXJ7Wmm2xbn2OwfpcE4RL5k NTUFDBX78/uB9wjGFs+n2RRvY63DPj9tOywlXkgQso3KOPyLqszLs15JWE2nyccwDmnuA2yKvCVY 60qtXPiY83r9NlA8/0i9AHwbiGxRaMf+HcHXpSDLUC4CdeHjXorLvxPzKI2+B53CqdAKakmuXWYd 76NiJnxygCFKnRAjYLjII7F4QldQRsaFHjmg6PB3lpvP9px8u+5bPjNjLMCow0dBlzLgWtSd+aDE qMAotNO1/OlBegH+6aAkjzKH8FCFhazlONoLrIiVsoR/9zRWT18VolSr1485+3s2EQirfKwRsLab 7pJ8asXKQy4xEVhlNJr/ybKVYVt6gKh86XxCrG9ap2rTXyZIh0HtKduF15xVc1DWNprctKNstJJB R4UB0/Y/hzU7y+eCAhWhJ4SlaxeOl67MkDAwc/GVZ1kFCXSCRUdJRQJGbcq3yNwd+RuFglrcS194 kbfFMj8Z1eqQon3293ge18r4nEVHpnVOJ0l7LzNm5zQiERFsvmA5LSq5OjkgBkww3LvNtnVdiAjv +mKRUhLt07gXYh6ursVfXDMM0bS3EmjWPwu8waL5TsiSP3SAsVAI/qhGQ4IDnHP0obA9iotWEiTG 4BOHji1Pj4BSKkjfC9jCcEjfF8ZSocf37fw7VMKhJtKstDsQTa1k6bbJGSP3g18rzm2TXlHWaVVB hUDGjUJ94xXaZ5WHQsGntPRHZcAKZVoeHmJd7H6UdU0zJDGW7oxbVVqEHdAY6AwCdm1S+MVcXveH dvnet0eMMX3r7a98nsq1g1VDvGRVXwy8eWDUA5AdKSoi1BsKS4bAqejIDvG8Q7g9hEQgLSqnlC45 gVB9bmweS7yRG8uuVCiw/+2GSAoNgxjRzXKVIV2WllTFrh9x7PzumbjkeDMo80qK9lJSeHDMJJad JFNvn6WwhwKoLcEjvyY7idGm4MpjNQ0aineVIv5rzTiSCysMeox4ExJ8sk6+uAiSTaFjEH6LzL3P YmS20B/zCE7U5KFA+QJtTztQPO2t5yWnHe76UDM5Sk/ydlZbma6LjPC5BVpuleZxb7RCbfgJWxcQ 0FHiwH24EOjPku4d7VSDhyBO+892ycbrbLWr28JPV+oI+Z2ETlZxSOWaVkgke1n7j/n8ZKdN2IuA x6PqlAnbgeHU/2lXuWvcVPg/8rCTSB9W0tkr3H7k9B5JdV56JSN+xE4Ovabg+yHOyRV6FT3DwY9S iGY4abVTA+J23xMtIpfJVwbjh4HdWvXMrdYU/09mn5StswUTRlOsuU4sbMLwzK3V1xmnXJnytodk cF0adUhTJfJtZIYdMJwMhPHDd2PKV+cdtqSpqjtYX0HQxtDqGD1HLyOcHaH0Zvm3PhKd+rpizpYx +sJHOuZVLSb7X4ZEdIMU9Bszhnac/MAWVb3wOtWuzbnMVMG85q4u4wVsq/6v++hNwvE/1lDibC9N InCVfRCXZ5RsellRaIbtQ7coQwb5Nz0Jkq6PSX4Jfn1/Rerbi66J+7xhHXAVOwc7Lhmq+APWrxGA y32KJNgJ3UXId6UC7zghmWLh2MWYXGlNiGTOR+10uLL3Dk2m9d0alOPX44iy7Aykz+P6jh8Ht8v6 SOiQ5kcLEhvQVOCu7S/iS0buBKNrDuN6A4WnMs54kBj30nT3os9HKVaMDLv+sF/CvhO47KTcacgZ xDjNO89wuAHDhcLZFfuSySjysG4GexNIFPZnfsbBIu02oKGATilciC8VskdY+90EgKgQNKlGwWZC whym7tPdqGEWWTEBrl4WVejYtQS6CVmStEM1LWQQ3OPbWkl4FZnD81lMJjIHKvMiv6Cm3xRg4ij9 XSb61SW8A6BMzEljcqRakpOaZlfVJNhbAHIFszo7Jhk1u2AU5jJTjsuJGYm8uSyqTGSaB+0Hfczw ruouKkN+DN0uurAOYyk2lzB+HU8KSxtLTjcwese2r7UMf9OWzQwfRPFFhkOxoTvzRfnm+NWtLgwx 8qIbDiXaoVbCLgkn4+u/Yt4P2LpUPd6cK+0CE9fO5Xjfu8pK6A8doKcidoVQW5FC7gwMhKFrjxfy esI0gcTZzNSLwU7dixD+moDirUlS9/Jv5KGTbwJt1kiPqMyaYfHMz6ZeNLXsYRvCLYGBp8s1NHKb gygFhIgzMNvEioCSjnebUEqXO44vsJVEQiE24WIAFlTuDQfHpIpGGC25CKIDtCVPYRpL3KTkfZ/L GT0qf6LTTBmnPEP9lOOW6YuBPff9GNVsxoHdnin2ro4YHmLmEvrbROhe1YZ0tBin2barAtw4GaoX wY1lODcDU6Nnal9FEKbkVpoRB7pekxN4Y4AnBX8s7MMBQJSx5RKQ6SREra3VbBBWdog0nI3oIPCI ZcfTVGlioivvwqfKVQLTNKFFveMlCvRvvUP+85ZFL8ZCGbKTNtqrgaF/BBVWTelqO+FjxYLEqIV1 AWRcU/y2EqbKyFwLn9k7pxjJSU4exTgSj/vt2b02c53gJ6Wg08XvriZhT2+0LIoWUh8cuE04ug/7 Wv6Lj89/mniMXogaWr5fsvLb36j6bmTw1p5v+C8jIqyjTLA1wgG1b3z+Iz/f8Pvk4L3w237Dgnde FjpkX3JS/XH/oynJzL6UTcj9KYMU6Wh7Jk+xR9/7EJY889+86ZMZJHK3Ks9EnpeWYEWPmAY09tai 8fWdkV7NmGIwokZ9ixnpldLFVSxY1yDXJsHEMqhK8dHdB/ORelKqKk3HBicEjE6YMkbCL47LSDBL iU6rUGVIfU33/B31VbdKtdJDHsPTrE37x+jGbw6obMcqLtI1ZcOf//IcwDkgie+JouM+EnkZDOlw b1K2GoH34JLj5w2wvUlfsqRGzg8CwqVpyaOXYqx0BB8tNQ9+x30VQugc3J7mpzdhxugbMhqALwid YXRAWvwaGsLlYh6c9qX/owDiyH4p4AWZzmHJ4CIh1HilFruwTZhN+ubPCT7frVpMMK7Cr6qA+xl1 Zq/5a2D8deIQJmchpRC5O1kQMZc3vAVkqpqZbh24JtrxCRufOJ/7yAYbAuBxTM4ZRpdAIIn13aBH 4rsQrcMLYRLp2et8+JeJ6DUZLohxHXToH/YVRyt566SNE4XHqQzfhFh7fax1CSkyRr3+jzb2O6ke U6zrvoa5facURKDmMCFLb91XPYWxt6L+JRv2K0CjwPUkSQ3b7jpSt8LzbNwHvoeY3TyFI+l4Xe09 uT6QI/6OyRYCbBX+B/zy83ojxbdG/7zjchOs7kQiE/yb6Q0qb8goWpjlDMUbAwm964RBwgzDLRFJ 8rF64xqKmvpJO4J37ZKfT45NUUztLigbc/x+/EINj0e5RDhkoXRkeugqYtsonMW0HcNoRYcM3pyu /1JPW4uB3FNULnW8I7tDvNT0xVXT+wGu6t0RbRF1PDS0SOP3cO7205+Gh1vDsrrtWnZRl8zGHaTt u+tfiqLtDdQku/gs/POs/QYInFpBgtbjzxqHeHew5Eaf3NrYRSGE2sFD0qT0pHwHDq4o4OUpJZTQ LIBdnv92Co/iNBrSdliIL9dcQh0RY1QOTTiGuY50wo3XXhELhmzvjjSD5mkbfAyfr06OWyjtx11I 24/qUOW8y8u6VSJcVH1Tf8fJTwRsostLrjBsjASD1PKo9pu4XQiold0vHbQ5kxNmyj0dGQg/loG+ X1qnZs8+BfH/t4J/foY7ahnbKuk+RH9vufZusbh9uGecXQ1yAhE5gdB5Q7TY23tc+WDiGLeaAv84 I5DGTQQ1aDooxt1iiqiAZN/Qt/ltHad1a5u4Gx8oF25xa/V7/Ywtc/Mi/tKG9I+ZkIdu+zjkdFwV QpVY/t4jrzbcdKDY8qWoBIACdUMi2uecUKdjfGTZi5asVjZKdP3pmWGabCaMDeXwlGHxV+eoCycO u+FAKTB/ON995ap1QWeI/5uWyyyUO5HNtyp/1ZJFjZAOPM3E1CcUOAVain+5iPU0+UAty0yCs0dX 8vq/SxDlxndeAchvF5sJE6z2/MTg7jQ2VRWcY6GJSvYj8hOX+WA2+AIYq0o0/MjjhZQpj44L0RtL Jdhxyx7YNngYfH3GtKkNQUqK1jJyy0qjoPU57FACimReRZZdqZ9dqVx2L6RgaS+xeK2eyP8BSGsN MJ+fWekTBxXzbSNRL37rFhmaWKi/HVYKBrNBAKI/9rhVO7wBHFqFuKUpQxEdwnrnZK6+B4zubOTv ZAoHKc1sRsAef3C3W8M4f0XZefhdt7hpcsW61TNRx/hMDy8AvZ6BLjX49ZVBQsHF++FG/sS3g4av YQv3govY+Yc/NkjgRwThwtayyBge9jhnwHQnEINONcMIwUEPJl+cSdQtlT+tEUKouC7/6M79+10q J2sL8qYly9O3eds+eviOmVygD07xZOLi8rWNcQpeG1aipe9GKGAkaUpyO3bOCS1BXzdmwv2vZ50E rhH9wt4Uo8a4UmTxwLKFtTAYX4cANBdJGYmmI5Mtc1jFDKsgi7kY9sbzx9Dz0SEVpEGyYXjykPEQ l4V78DOkRVaF/ZSQQuY4PXIUq3YhKq3YWBKYm56EYG5Cf5I0HloMHazB62FNKZZb6QhuC5n/z2G2 nLSOg63XdsFWzM7qaDGJ6XqEXgSfibKuMX72Vjt8VA2FQSRd49LsUNFt+rHVENAHkwSOjC6pmpQO Aqt6BTJ07UfOTcWnAwDVq3rYJtVmNQMjmpjgHIcltMJ9Ff3ihFQPoGq10jW8iCJzIXXTLx8EQHL8 Dn8PaBZ9oquR/Z1a39jmtzkqPvTxS3XD3/nhvxw9Hxw+4WLwB8U+HQYJN6vdXwzJiKdp94BXktBI f2xyXu8pT2Oy3x8OqG4EmCxtpgAt63p3S7XPpBpS9RCoAK0GJYibAOALNDWTNxTmDH/wiiHKSOcR HBfbEIk5xAiUW89U+uIHWHJdeQVC09bkRzPs+6GesadsO2WcQCmnD1TfyGcdawPeAFb+/HUVAejZ maL9qCdsARDn86oNEX078nLerC5HZEFoACMatIGUvsuWX4Aym+4Tf/l3THFop1yu+Pb+d0qDMxej 8/wkwyNM665v1cgFNeA2qgP/zxnsXKOIO/dzadyWq7mNID0nxAZIhGmQnBzYX25QguTBFEsGtY87 W5LBNq8ZiDzk3DhMUtsGtgv7lkSv6jz7JG/aG/Aulrv1OaG1G1o8SrlC0dU4sJnkwh/2a8Hu96LJ 93MdYGz0DaY0io4i8bjGF/W5ZQ/YGRZJTcv5zk+yw8465b/wy09H6BDD1jN8G88YHYY2zvJpnVZV vZY/m3y3tgTGTrY5rD/TGp2dy92QOYHmFrPFJ/3Y5NbDoPthXtAtChFMW0uZ7fMvKWd4YFd1udvE NUiy1Ss2yugY1IRI2fpD47blbS9CLb13ukBEmXlIjkpkZYnL9JMGaORK7xOv5BPVmHhX5SvvM66y 2gsLck1XAn/baniaddKRtmfwCY487owXwO7dmjpHc7FOvH8dXZDKcQYocQMDvTDj20Y9JVzOCfOJ mnu2gYYZi98MAOvR07WQ3B8Q6DXegrPrG7P60h2RUao7bRdoJlXebbrPqFdxZyLycOaiI7yj7w9o FF5RNALjl93Y5IdpkUXhEwTTHnwH4F2bLBFqntV5XKK1tIH1dhUzSgHn1Au59kb99TbOG9vU4bIz r9rBOkwce3BaGtsRjLqNv07o6WqHVUSybszrnGIIkO1mD39geWpWtFq50YOrawI5Y5fIXxUKpUlj z5Ciq0ckUiGLUZb2oHm7pSA6X3mvg2q9+3zszVzWwmYf71sU1ZJDIkJs9TJS/P++KEhO4qvck8kv qzBXNg9YtpM8GHzNI1w20H1vHs3zVbWIAHwX9DhGAMzhhoxF97NfTrBUIloJz/ceH4mGfQO9xaAO nWpefET8zj2NNyTvzXyMdumVY4Jva/vSUYxbyiZCb+R/RElclq+ssDHt+QRYMUNro0zb1xvZUQDx 6RxmUmwPnM3CU9mAT8CtNiZDHC6FEmfsNZZXym3EkUdZKk3aXEMoYy8wL3w9YlwkH7DurxKRMNaY eXU/e7dyTFR3zJTE+M7NxjStfZYQRW4cuu4EYeVomcCCtVW+ZHjbBX1TA60nNAcbPq68ffIcB64J bnY8V0HOSIyXgNfbQ6TN05cFSGEp1rW1TwEL4QOsVwQuoMR3CZz/s2wnB6eMvCrNH/3Ot37ZZi/K b5Rzv614M+4oBmlgydo1mf6xX+sT6JdQ5luLh9sO0Y5kbIJYANkNbHlJwmGWnilqiJNIY0WiW9xG aPQSTP02OWWHtgA9d18ATK1/JRSTzvR+B9pZ4VI1CZws93Ob173xhw/qRNN/JzT9X3I+4uLlRKIY UnPBD9chUiSYcpveN3ZNaxkNauuHYTuCiRoWddykieaCkSm8lyP2r/7dKxMxX6DcGFHbVHpxTwwv jGkZQ9A9+mxhVA0ZMwB9wcL3u6S6R+B6RfAOCln6nyKUgIX6pTgyIE3dUuXYk2gzCx6WNcAVCi7e 24Wf1mFM2ORkei2KEZv5yrllO2tPSJD1Pbc2tdp2hySuMHA669pTiYuD7V6HCYaQrubgg3smRNxn U60B9LZX8XCJHtum7QeGVw5ULZLnLBtJdxP++GI+IxWaLUQNd0QBwt85jtLyAv+4EfwTHyi15y7M AgQsejF/ebD0jCvfCqvgI9kt2Fm2xrDRfD3Q4hB8AJptLnrLz0TbGC7cMMdSJZkMBWHAr0cDGXS9 /V0tbhOJL3+xAk5q2qx6dQDafl1hwhR98giirom5v6dxA/2ycQB++X+hu7OxxFxMnyXv8KZlCnuc hStRzBCS/mE+uQj8G8Ns04247AFGBrizXPvGpqfzognslRaBi0rpTLOEYRdAuI9+TadYjPAJG+wE Zp9cLMepjx93MUA/ovZ01WiPEjt+ELgIFmp+cXj07FDspGH5Bn0QsW6ZQe7OkMXUSWOPc+WWW8KF v/HQs+p8y7ak9aszalCqJKjoKSpmTKBCPCz/Mi/I2ITpPdwDE2har+cWexlpi0fSwT5MpG9FOt0o y2oUU6GjoCMD5Y9GwM5dn8wVSEs4NqIAmWwBvCEuxRJX9qwjGzud5BZSPRTsmWqw4xGkmJ4jjGbk J82OHl2PYniUIIgT2G0vpvqHFPNIi0VYIgDWQ+Yiz3Ejoq8qh9liL2+INH41vqkHR7kyDViy7njg 6Q7P92TJ00yQgivTAbXQ3n2CsRtt1A149DKBuRn8TyvOPMY3a/PLZc2VqBqL3/f3sowNCECkppAy fEHEBa110mexo0VJDZOp5/CjRkjUNrLqxSqwBPJaeS3z5UxD8xlCd+HBkNbriuL7zvegfjJj9CvI tdjjcqwcge3gZq0FasUYfUSV3ljwG91Jg90xUI0TbnnB92OyakE2CYQfx/FLrVW5XaDa2hDgy2tF itaU5HdRhH3Erv4QUAqhsDKU4xHbP8PZHdmIQJKRKzdzU9ooR+ZBeSFoY2diaH+3kPOIT6mIQUIo EhBtT77qfy+EsdXVG7qmkKrLx1AS0ALZS0Y25bj/VO9R0v4ReAUhPNkKNE6Py8HGqmj2gNxQpKNy GtUoMn3DDSQ1hRyUFVvXThxbTotvROLImuTo6GQFGFT97v5QhllYop7UKVO3Uc7fZE81wD4B04Pj IXU/gT6T+TrmsnbEZeixM5a1prBnqr9drf55Py8FjvgRnvD983yXOiLajeknsQG9leytLJ3qyrBH g0S1D4exF+NroQVw6xDtu+stlcmR8sb9u2yH23gSNpmvExblt7Qg1BZI4Zfuuk+cNCDMWsm+fof8 fjmH6qgj7jx6pZjiJRXymL0FccvOpl4T0zJekkkBEdm2SCFCrOyThzkR6hNftuf/ZR54rIHrOdEv ogyuoNIvkGSTYx0LSVu3OK5hRtg2zbYT+USLud2HZkXafg1LUe1ExCSFsYgPBbNRiAh6MkrXcq7o 5XYwa+8FERB83sGqe9aaFrNcDKOgMIxjjia0IYcD9kZfXBhqpOEmMJ98cjXu/crWthKNbZpAKE4n fQLJGCCUJ3j2WYJSHeBy7C9ukOUpQgLeyLtKlsGnYVRom3AC2oAL1F2RI3XkXbQmokQSray0NFin pzlbESjeoN1UU+MekUIAPRvuXXVuBPs6LLG+2Aej+HFk4O23zzHUJiOulBXl4sT1g+s3FFCwXew+ MB0XitxvVg58CkPDhSCZc7rJgaEMhrjFw0H7kTUMN3duyior00l/PMAOylEjq3PLY+aAXXYCZ2zc fVSBtRveqRKESx8K5B9Z3n90QCK2JJjQ/Ak6vy9zYI4qePAapuVSpQL6gLHxe51Mmk2Z8ZnKFH1/ v/X4PmZrJiRTv3hOH6nULy6qqr8GCgHm9TSICjTehxNxlStUIcLz4pUcJ7HQavj0SSra7HYr/Wrp vS8momG5IiMIQdpR9NjSfSMNxkCd6L4AOfrXi6sqGm39a/0sZ/nr19FDdBTRLhEhaxGscU/tyBZU 74YJYCFYoK0PWQ1imCy9YxOP9jYkBKTvMMzyqUdhGwnHDOfWByF7ZH1fFqDiTzfiHoW7twjvPyv+ Hmxow41SApv+29MmAZ//c7rqMzOZtWy3/Pt09UUQOQioO7TI8mc9va394q2/hct0LAA8jYgXgXPD R/09ViJQORgk5/c9iosCM1JfNwUnSrNXX50ADWVwD6VNwE2X5TwrerjZ8duzxNHdpuJ9+GJRshyz Y1ok4Vpw3p/H762d75ryDmWQziHnuPYSjzT1jLXJq5bln7R7i2THgqrBZZLsq8alPq1NPHHDZ6pv HjLbRvwcSctdQBPw7iE2CWg03rzT5GE37ArIirdb/2fLGcstYlLeIQkK3e+S7mnADHWD304i5Klf 9Va+EXPnO584IFRmJWBEbOYmgVgUQ8TEO7edXtFLhze8hs4IrFRqj/eZeTyRjTP7vQ3fOYKroNih MsHDd5UUVFnARszeodtPHY2kqaxJ59bwVO8h6x7JFCwDE2E5xf7jEr9ierMXybpnCpI4TcQXzL5m IA3ba5+Fm5Xd5iLqhMiQdZWXcz5/tFXX3m45JG6J3H3fqO4hM3V6eV5xae6QbWtoSgN2MQ5gQ/3r AOer0Y6dFumXiSR/A3gpJ1kN0xDIa8jVOtnObWzWAwr/x/IYPsz0sqscmykzyAwDoSV9KN7hXNuN vLjR0KtP7zrZym/uqqJFeJ1rf5e437wc7Kml4JCl4Ld3ecNOr8rm2nrXQaRWax8x/Tr7WgJ0XOvZ +weeYtx/Pwvzu652KqtFM0xm+8yTPelvGdw1vEQ48zWOWam+uq5nBCJjP0VURxSfGM/2V+HBmDVx KC2lDtTHe440CAN/s1/MAMArRBcQvYYC1py4qTB/R1ETvq+aqQCVV6P9WvWjB+F7GJj1+/zvaszj qjK54oohnKm95IFFYaaDfIwx4otQ7OyT31qYukL1B50HafwnJ1jnuCBpd25aMWBdYgLX9CKngXJA dFm1hw14t/h/Ib5ZRprMtXTUhg86Jimh3axXqCW9gAUd8hwdmKc+yBW72f7TL6VJm5zA05wr5moe Qt97DIQ3GjbeY/QmPhculY9mlaeaiG/jAiAd7v1Q7cFLXSsqNfVurx/ayv2yJigbYgfMra5Cy/Fm mp++lMjFu7BqbMvgMegAh5+Va7X8qbsZuM7dwNscdD1V7qMHk50HegU3bX7ctGiWpbZktTJkadhi 5Ucd4Pk87kuGpU6yn12Wc9C+w4tGRt4sOjdFaDMSN59X0j9uz47uNkYwhZ9peT16XOoknxldNg9z 6wH4SlzlJAfyyQTOxXan7VSM4QzIbZvwVULGtNvZcFyqKEjzHs0FBz/iUJ/EyltToqN/iG0cl08e ejLLas5f4bhtpxcDdgf/IHwnAExnOkpv6a1DCcB6NfkssQruGBUeRy34LlmDQo3gtiqm+wfj/+3m 33OVsYwR8lC9hIGZGjSSYm59PIBPzvxi1b8hCWenHZxKt+owzA4cVATz2I18gfnRHOcOI7cnazUP zDoYLePB8HXyx513J7UmG+OQSubWSwN5YHv32JSJ/BAAq1ygt8EmOdFu0QfXvlsDGSmLRQC8Romq DjEDbqAwebEdu09HMLNzeObxeWzC7CGepadlnk+6XRqXWmYUk9YXgACThiYxpmkhYQelN7OciFo5 NVReW640+8RSoJj8JucJ5Ae1HONRRGdUXDQmz3U4SJWo7MkaqbweX2iIoTk3UXkW6dubxvMKiIFf w6mb4mnGKawbmvIXv60cJSZEAlVegf6hgkUnu3QE4X1gPouY8uuaJtpiKLcNGfFLIGipcXsTbIuJ n4NoXVhsrQmN0j4j7Napo2Az7eJNV0O8Et0YQIkSbkRbEtY2Y0u2/ia6swyQlx8HawbhwWzY3Pew I3+qOpuTlnveeDhiXtEIu8Cme6J5kngIVPfV9zXGiUQI64neVToOKp6UwYbIDoSn/9DRQNJSVfPX Uk/J0ummrbtGcKg8Xcv+O4RAiMWC8WqMEg8g0oBsY9ZshgIAXERza20bU0xPKzlGJKr6iWxpFY0r 5yXjh003vlFyjpb1KILk7BVi3Umd4yh2yJ9Cq96JyNFafuwKZk4VgdpCZYhhOdZi21PYuyNl49gR fDsTHqQmuHlbSKLQbxkXJBwa7DQfqaSp1cJCOjB76ioGnheA+ysCB9oZDtFkpl3NpP7reUusQ0jQ lm/Mu0YtqdCrMeLz4dhwbMtd3UTrO3IQERNLxEJvUjwQoaZmg+FV9QRKmYUGTL0kgXuHcC7QEEUD dHKeX8rTykYbkAEWwuvxeNB+aahxkCyOcVGf2bdKN4LDrG9jsfKD+tJ6sQMYTjhOfVT8KG6H1J5W GVId8wEVtyEHBW0zxf6VNhmev30UYEaqxB7cZKGSDjLnCXdlcLFzNYCFhvBz1BPoyyB40vsCpm1A p+HfNoh0AguX+iJAQbyLcTadBvMrQnSBRbs2KJ6QD70EIakRldsL22X/WQ36PAhIUuTAuZ3JbtoX 6Itrkr7bD0s1Th5evL3nH+QrCkfX1BlG069U37osX161AVdcqIhpfIVKw1ssyEFXtVz/17Jw+4QT v4Wk+hJNVojpnmt0Z+ftlbL1smyiEa4EnLeMX+7ycDdO+Va0MwBleyRjwZWe6wAdObDyIc/I+Pnn H7tCH2Psji7rumhvsAbeLxM2XGC51OZ1yVJlH15kNxyUWiGOyeV6zUcNO+CeyxGh5y/DEJCjLb7+ oeoop3EEAUKLeMqvOIfOWx86q13CdJDIqTfShVWSMIj/gugifVX4VcC9wDKbPrxA/8+uY4qbansn IpaBmNIc7iEFG8hjQEOYIUJsQ8+B9VbMUW7NtUZOi26S6nLjDXRmyJ3PjJLFb6TSGlETJO+ZTBsy 6ZYQAuBmiW0gnTt7U1ltAeadbWam/M0Aa98TsoBaXz4HMsEJtk+hSGQgQqctMTy3Z4WI7kWzhkkp RhuIG7VWvcsQzKMdIm5WwFoyBCjpAYS7oWF9EijB7eOrUQw8lwJHOXKT+2eGXQ0/i+ZNlpq0Eh4Z 04VIIokizp7/ToYvGCNQg7R8ZGDG4q+zhTKt4T8DFRKcUHc2fu1K5/oBtb3iMuZt4F87jNLX8d02 Ge/kdfR1bTLwy7SDVIBhpjydPcRt6b7ncW2gTMiQ08r2JpJSnscoXIL9La895v0dU2nBTlAifgpB y5iaMSUONl4d9+cGFKHrp/+qJdr5A1o1XxyoRTqlhPqJ8n9P6ewlk0/kJGCHlaiYYZN6IsL9kPaU l874LrbvUWqJ8Pen4wmB5y8yBN2yxvHiT6fVNEW3zuMXY7QEIrhV2bNNVJSicekRhhEVrpjGPq8Q 9vK53yHxuRwz5tBnSmhVOsk5OUi9ipy85UXGdGdEsG7CIYjTTZRjKl44Oky/d2hrvsxSTGM/RDfN k6RjRS6oJy1bXCsfDc0yhuiGwZkP8pz2Yq8k0d13p084au3GPcF+txCsXFGYATma/Ci5nkkng0ZX ACrKW1JhKXVAwwnw94RKzaaT3X/UiyLpQZ+i+srzisUpTLea91XQuUtCF9sDMBFA0RWNnzF/saCV qqXkKVaWgH+m/gvODlykQsYG6+C19o+kCPjLnXhGtAt8DzvGAkRv8eW/UnIij/WBM+uuZRQIk9mU huKqB0KRZcFQDPx1dNn6IfOovse/oJLMsxu/YtJXQM1culThs+AaY740xIzol8GcCEKkg/Z1cEPf kdarDfAhQMk0UTA8yhsCerlIR0KabMP+RchaIyWYe3ybv7TyYkwCRTGZHEtK7RrZL8tLkndyfDa4 C/lQZhWbOd3q4iE8hZqWEEq8HZiWT9rC7z63mSRD8gPE9RQ3dd/BsZz9yNqwKwb4UVBgmc+4E1/C F7OMPE2JX6XGEoRrxStFjfsi5laA+w9+++6AKq5+Wl+BgbPkAClF5powvQ3KaUNt7GzGv8Up/pT1 5rzy+C32Xs19xCs8JBmcBYorrvyww2+kN5YowvCyvgJpqrbnyOHlOCCX+DM7CsNWIENsEf9vF56J Jwxu4O+Y1bcKoatW9SV6xPBgg07k5ruYus0dxBbDC47tVDAWu4qS4oCRvSiXxvEZmW7tM/wxi4iY 20PVHLjUVdtr78BkDpBeIr3sEKwb+qF5RiejFhUoAz8Cw57tXiSeebLcXapBHJZDdYUL1oTy1yVg NQJLuBYYIqbhkRfB4BYqAo1aaL/xoOQteFyI4iCe8OI8ZN0wF2icWKwBIZ93zlk3VOdYaD5J4WJf cJVMAiLIws1N+5cFzEXpyKoAKIawQ+suy9ylBPbwb1bIGsl1W0x/afsfSo0b0WX88NM//Iw6fRAG MhYJxOmwi/7uSQWfaiRX90F0azSLErlYpxtik8olJlLPaTwFRnpR4Ify8k+PGwiz/q0HWq28Nj6d hg6I36Kjtz7o9BESYip+3wpXtMknRoUWNdpF1SKKZG0RcySNFOLahc3Zhg/mBz/AJ6U32kfidl9y BKE3Nl+HrPUCRx/oR/695oQF+jTPXo0LA3su/Urn7V1LHKWn6YEaenqH51ivMyIl+PISBbhNbbLk yyr4FK7z7QeyJahMqz4I0yI6KCcBEE0U1uUcDlvJsWJL6v3V5Y4JIl0QvUPCp0+TDlOQrqZ5m6aW YQAynlWlTYHrpGP8OsmvWlo0H4ADGY76JOA46h+triQGdQgoZcefScL9b+w4FcB6Q7h7yqEsDiYa lrqHxdyOGRq5WZJNcCE7l8eeOLceEKwLwdDs9jWGIZZuDwW30It5FmSMTv0NpzpcY918c6uWdgoo QzONzYrckacmpdFbMkZoABK849AAh4tJkXBFyrXjX0DzSmyelfc8mA409RFNXR9IeKeqSpzMzLAK a1CQGAMav3b7EoSZqF5EHCi5OaQfkgg2kZ/ju/+DF3/GnbPLHnJ1sTqs3kBa9JEmt+HgoB5pgLV7 +rVECp1Jr087ccWkJ8wJiJYkD7oIkY3FkB4LQ79Hpp5qCzDCfHiqgdQoiSbZ6JzcfEpDuiahD1f3 OWfybGsZmveJTNq+maEwmLO/wspcPmLN9Vq2nRUCYuOpNWbn3coI48DnIQQyvtrd4FdDQGjzZieo 8MvAX1cGSTCvvmbrDUO2cTFq0UtH9dle1fVmRD4a8lCvASlBIbi27sGjb3W7Krq9eDsLHcJRT38C 0eksWcwD5Vn562bjdSs4gycIFk57vmqsto+tubq/+TKzADatxwhrM9VZ3mM9qvutd1kp76LfFw8O /GYjStZrRRUzn1XJovx4fYdSWK8E+6TGEQrHQozq/+UiH2ZZEpcWJ91UB4ejO2sZmeav4sAWd+oK zNLEDEzgpf8WdSdmx5zhPhdHmzzYAIBdDI0iItEVv6wsDCGg7jEFsKaSVjBkrGV9TiopwqfXZunN oTWStcKof4dPTY5KUxhePsfxX6ixqu/kDzsu6kwEMAMyn8PpJ5uebblnwmGPDUl6/KkdZFdp9Ku1 xtGke92uWw6XpFO85iK7sseRmuMA5yowhjDNaVI1wi2RaT/Sq1HYRHRoCXiFP7C1yGrisqbZj3se 8Hxp/EtLF/QaycH4Jq3I4dAfQ1N3C/khbBJankl/0B8MdW3xr6Rpben4qVO1mo6USBPoicyF6AhK yHYppNzzw0Mf76rIr0zXBGOeYPOMtr5OcG8mt/x4LyDcP7Y2Z4GUBAUmd1OzKJXwL010eobyO5O/ 0nGfrYsG/a/OO/UkAAf1DG15nG4xQWCadUcYuz/Ev2b6cKZwHMrmmMGRH8OVKlN6vtqVN/S9tX7R KQT1mw8ofh3rVBovTpa9e7F9Kf+Da/KCD5kfi74r+zNQKQWoOu76bxndb17LqahMxBaOHYNRSPi/ VitcGPSMW3le+J2IzSimTiQMQTiAnlowNvG97K1gVYXe/CZrDxYcwpsnK/LeWcSQLFkQ80Wz0caL 2EzLHWtRqF8rhXTEEVoQNvMo3UDsLDTVMDAUhaW7XeC5BRo2M/ybYQBEIe+PpLcL5ZMIsCUtGjIl wMgbYYqPtiiadlmlVZ22OcR4fOotQFLM35K2BqAEdAcBNNLRWpa0XG6msSv1JEwPGMXKLgNclxt8 eN38NzoKlBwISK73h4czBMtA6FJOlfbdhM9WVNAC+MI/BCj6ozxtZdnPketKjoV3TaYUec+CUhfb UmVZ3+2O86cMMSGpg0iDzvRLnNPDrqd+HyJSgMdiyDwHiQtu9ZYjmYWKBdZtX4Nd35gUgAaaMum6 DT9MeFc1mZN+Vxq9+LkUIRH0Nyv1mmTMpcwJ+oP9rpYiM8jgDlz3sKaIsWQoyjSeo/oEHqbpDE2P x6ypPDT3zgDyU7ViPDE24niHkcWaN3Yg5cTDuOz3Dl/2Gm15PUFIkbLECbK3HPO4Wa824WN2ykRC XFCX7GNnK0hD/J+Py+MRPmBCJ2mCv+7GlCKgrZwEyAJ6ALrZvGTbCkbOLk29NEZN6i+/fYot1shZ AkCNUJSQQc9QIOmzsZZ5Pifsr9/G8YqUJgGVcEVxSk5ooMo0W0qadSyW8mpwoj9FmkPdWaKfQs7a sj1FJg8NrsYj6l0Bq8xHs5L3I9vQFUZRQ9Bi4PQlNUPc7mGjbIQaP5G8mru3YgRvxXceSBMZsE8X LG6ufMwCWBRcEmyWCbJet8MBz3Pz3PwAxOu1PyOK6jg1Qvq+qCsAf+SPIOSIWuToTteRfQulURil Ov1X7UUSiQtVyJSYilPxoLxPnEeC+gJuvOibUc4bjyIGImYJJsOveCBzOGyIZm3tnLXNESO+jcoZ 409vjuQtoewWraSbaJG4KzDKQL3sf446G1xA6rbhAa7ghW7+nw7ixMN1BtKeUVvt1rgJgqIC1eYO xUK77PRrPoiav4leSQNfaHANHpmtowBiFNk5v1P3O+iNiNhLE7yIrJcNQTHrtrSPc03M0oakzpPW szShkJCHOP5aEP9p30JJWlFEJ8pkmPNPiiMU+pNWRQapGPpG5bjh1t4q0V2BoaZYtcAwP6ZO7GFb IH/pwN3PT7HtEVj0LLD1FhTd0Qv8XQPOaiG8Mf9eoa+4OrCqKs99F1jAcKqpKU8t4+MbTH8KkNiY 9HFybJOmhdYYU18QJADUJwpPpZV/uPaTPguiXVgx8rVqLS61lDl9zwXsWS6ZBxOzB2BXyPSFAHtL /+Zd8D2XfaaJf1rV9VH2toIO4jbSwu7S+K0yvU9B7cQ9I0FQWc9/2oeu5MPxvq8cwQgsIcV63FRR oLPSb+zTynm72LXuqpBB5Uz1F/B9iYRlggjaMoOvnOQWScAGdnDlGkeYR1ymtLfqO34rCJ1/WJNR VebFz0xFpo3fEv9ILEx0mLL/E9oneRtC502/5cOzmkrOt0+e+et8R/xv9zjou6F78g23TXOd+/+z 80bxPhRwS5VaLyyWhtSDBha5EkuiUAcACvQG2BcgFiFNJ+rvMt3714u1ltg63Lq2x3HJnmnB70V4 O2KTiUnz9pw9vM6MP3rvH9P0VJ2bgfdWvLQaY6tLjyddB07jl7O4Bo3gN7JAJN6VUKXrpvT9YSUS Kq55xyxcR+NY2sGR9NXa+RWjwmsFH0J5iq7/fs24KwaPiHufXYBIKoOKfI++MA6oPuci5Q8FhNd+ g+fqu6na1J0R2LUIhI2zcjlg3wkOhqUtLwRIyWsF73E1AsQwbDGgBifs3lw0bAUSGQA7aiRy4Hyo y1EsnP+eLiMQcrReYzjMcZktqZSyAH4zX/faOXLCV9g7rDHc786BDNBG3ETuUCn3q7dR2nrxPH7p Kyjq6vJEF+nrOBMA5/T1gSdWwEu9XXPm9vZbShgCMRR4llmjzrKPDxrOANciJcKyWqe+81ci/rjb mlKgLubY9WD7vmeP/wzdj0IqlvJUMkbhq1TyqeMN/B0lUJcY7vIMoPLffJq5ZalcDn6topHwvhfc 5JdbEaj3+AlurLsJlLnkEGYHJHTiD2OWOOOfjOVy7oOUmQtcAF+JEJHYVhzND0VdJqCVqL4Nr8kj ceAx40L5l46nuv77rg1qFZ6YqMUvEfzFnAxxMhsQz4hykBkDX9KNoZqCWguVKPG5+6xwIdrXr2nj l0EmRZqZrPjRrqzPJShSuR05Zo4pu+ETUY6rEPp5FDYTXJKP2di9AL5/HLj9qGjKdr8pw7XfcKkk tQbzFkfcLAC4xpAHojP4Q5zgkIEGp5he2Zw1W3i6H3aMWjxYyi0GQffPFL9X9wLSdvbaZ9L5w9Lm swehdarIsCw9k9ZMSgIDzwUMRN/0o5Yj0QuaQrkORZbmJA4YllhrYTjLCYn7EwGnvRkezHso1Qx+ VuoNThbwbxIsQKfkLl8UkYAYe70bkSCfrb8b2/YNJK00gsaco6wR1IQcuNK+whFXSaEkapH/o/OC UDb2fh+n4T3hEMGzN0fCSIIOOWME9irEe5fSxzOMFI1n0tNTImSpppLeytOfV4ZxaOJaV/FiYYeu 0Mung/AYnm857azdYGBiWGRbK6hy38kGgfhRomCmJ7alxJRzx647l6jZhOBvj1R+ClwV/HVUkZB4 xP2+Gu2VLRb2Z+wG5Bbmm2XO+TllTHGZV0zoLgsVOq8b1Syiwk+B7BeO8xWbiXGizJ/1+CFdHBic Jq4nEw8vOhvp4vmm0mLjZtknVuLz6PtYfKPzXQjFM2cmqKf1th2FKxfvc65rX6kQTfss7vFwxufw qQG9gJsTqoPGRPuN+w0WZ9tpqvddXSacm51G4mhpcJuzO95Jp4eBOCDtl10/AGNDp2ULVD7CxL1K lAQDhKHP+WjTYq9IOtQTcXSg4KanZCOL6UroYekdqqQV+GPw6+7MQDZLHHWv8vjhuk6r3f/4SMbD 80wHTkTLRrkLgFINT5ITDoxybErYrTSfmaZ6HITygfItHykPWB494QMKvLQ362ya2Yn8hW3vP/q6 5r5+4y40qEBefx6OkA9UyQOWDtnpkx8ScjBs0gEQgmEpDBcQkUtVQ3K1u/1Ok/yq02qGuVDbV+Cw DNQnkXeLig3jpChbBMkkifcy0kRhvXy244DUKIfCAtPs39giA0UkwurgmNmehTwqbCGWjmgunTyi b16/JJuPRRo/6NSYqEO+i9wwgVF04vi5rXAm0CaENGEJKi3T8Q52fhvhcn627r/VWD2vtElAKMfx 7/ZDuGWPnKzzJ/c2HwuPxUOQTubvnfz9Bd2zKQsE0uC280KnBQunlbcPtVJcCIz+J822iMIFM/80 Akb5wsVlXHCa0aJVryrt3Itc12jwd4G9l/CguHM2oTj3B6Mn3egZNXD20lmOmKXYx8Rb0ZKB4FWw XCU0v43PgMWzIfP8mc8wg8dSHv/PbSdF4dRoZzg/92/roI3mE7/xAjBVTUEai6Gwya0dXimPUfCb CbuTOzvased7UYnUUpVMNCnzn7SRotO7X2LiBZF9a1SS1D9BjIqnn9w5zfiBteezT78+BzQ65Mt4 OE05PSzKQq2ZBdEoEczH7JY3yf8HCdiHirKhgKBoTOV367D9qZ8UNebT9lC76Ywi/mecq50azktu aX5c1Rf7Gvx5Hr1gpwvsxTkkOAIrdhv0SyAu+jYosv78yU6luUMO0jaFIrUtf4R0P1s/r56N9zsZ SK6jro4itxYG+o7uMc6ixuyeX978TZrTYO2HRObN8wSnttkqmLVGbZ7th5d76NBWlXxXskOFdykR cAVsdneVW88YArUGFuLB82MY8DrLdCN8ooBZQNbLWR0cZibJWX83sPC36pjtGicTBui97A16vNiZ dszCq5orh58T/4Xf2Ms5oihYIahuH5IkgBJLaPdbCUaAih5vfGzdPv2g5R/KtMiW1s4h3ORh3CH5 GWpDznU5trS2+YzWvGiQRy1v6nQPUNtfxMfVn/UpdJxlDrB0zm8jZsun4KLCDMO5f9FCxOOednWB DkcuqF3yNUpwStRFJaxCOC37DQwiQiTnVYlE5JLAf2bG9Bio/5qaM7XrbADU5UnjbvFTAplX0Ea1 Eas513MeoWxvZEv4CciTwV9zR3wkWTx4So2EsYURD7Nn04ebAgdV7Jx0XdpLkCRRhl/ZXYXafFqP 3cxHfthFiWR/Iwljv0J0odN8d9zaZ5jYEn03Dc+DpPdB+Lx6MarJNuU+1g0so+Ivc/CDJiTe6eBk yvzC0J6GNYluKS+P2bDawADEmzdDhWy/4qRFAMfo8RXCS/+UsdUv8Nh/Xu99YPWav7x0j+VlCHk0 4oicqaLsk6QC5kU54v1t7PB1IGVtixGrOQejuF2T3uqez2b6qcthjlFYqyZD61V6OaU9LMhoINpD 9S+fzi3+FAjFlfnG4yv9h2hAqg9+TyxUrgf+9t46TsyhO0qgiulqkHfllSu1EU8Cxu97fCNFfLK+ 5iGgv8OqRSfMjktdxBYhxSqhgvkLe83ug1QLJvixlUBnLABKUVD0GePYAC/T99VKweFccCUsu30W i229wQIHIVF4IXlWKMd4qJHJuko2dWb/Zwr9pvhhQNnmXAldfohcf9qKYuhNPdqC1fFLCXfza9sp Snh9rSW+xNOMWKcgG9M5BeFZ9XqomtQ+AbwyindpW9fy3MA8NnYPuWus7n5G9eXkb6yzvff9vRkZ 7jzK283OKW/C6IyIWiQObPYhS0DedTC5PbtU57wPBXK96DrHXGIEjF3MSyDawnId7BUeIpqJESR/ 5xMA3oBv1qhl6DbWxS2b5BvLuhLAneGU2DhTuoUdJKqlIm2GOoqPFM2BdGQl7GKRgJHt4ULBs8P7 LfIMAgHiosVPD1KO9PRd5FUobbqW6qhsv+n3UBqwV0nD7Ybbln39FCU01DozGwvCWgvGrp6MK717 WK3gLsXcpMk7ybM/EdDT7AR3mAaBAwg1YSEDxDq9dTP/K/hPgzZLW866ZKdTIlLZa/1Al9bAzX7L PbRx1HGn2oYIzIoeHQCzl676oUT0grs58J4Ve9Ry4pWlhlSTJRfNaqyscjeIv1+yp7ylRZi7xFbY p/Ua2OVAdDcQhMp5tM8nVbGiNkCiRmwg+7ub0KH4IFUbW8p796/neuvIQNgzX477U9F1dzE1HQrr DZxeqYR3ME0K7CKZ0FR4PAFvwqshW7lZD3IELl6JLOmFY1qnDwzLPI4ZEq9ULYrCZErhjgSQWDHL qmo1dWdgDZG5Ib26oyw27Uw5KbHGJOst6B1nxMaFfMpRaESKgW1hpMXoM0mjGKEoMliDnxpAjDFh SD/cCZ2nJlwDBSYf1cV/oqKZV4ek6L13e3kp+SIf/fe4uGTUDPgpyuOHTS3UBAInjKlsMGA2jFt3 k8eWk6NmX8zLTv0oa9l01AWoHlgI9uR7Wr9NDluH1aZ19pXFUnHxr1sOgiJrtebWKk3e+83PCP/J m/OgSnG+ikr6gvnR3rSAefgLVLT7FFu8CLnigQS73F93z4hwUQxTmDXgjBD+rOsWrwVW//e6zXK9 djzhFHJ3MEJ3jlSg0BK8CBqDileSt/MdCpsdQqGDRpIMnxlzELzBmO8yo3r7A+oK/whVpi2RFXTm xXdNFnSagogJzWqh6LwsORhsQjRx9Do5KhhXhDNcw6SUnNlt+G2ODHFXZoRpwzvPWXUp6TP84FUq OQPiqt3W/mKcvvca6DKbjvUX7we0fRobiQO9cmARJAhl6FoKGHRPrslVxqLNygCc7Qbo//Q/RKBH hO0deaql/7znELAIX1KKhpN7HzweQnz3Hlt2dhguM1ekK1+p6BBtfsCk6k9cJl539YNDZ4hLhRrH +UezoB4fZY4aw5SmiGpR2wElkcM9z6PRLA7KArST51bmKHgLau4arGx/T1I7S7A9InzsnayECtyP svnOImx5acD87iZnEXCIKDufOrQhBIL3jwPbLiAXnOmIHXNHybEvcXfbGI45EKSCMo0mvvdGLu6g usr+Ca2TSgubruc6HeP3UFPf3IORq1ZJO6yQ40fh57hUTWPersPGkk6xIzjLUWqHPVgkB2ItTV9c vgim0SF9tz3WHTx2CKJ/+pcjZfa5/tPllj75uEfMvhKJNk8x6WBtXWwDK1ZUlQ1S6A8Ju1skGUB9 2xfRAzBY1XNPzozULMKEV31wjfsJXMnPEZU3e9QApFhxMuW41U2f5kN4vu8KI5+KAY6lk9gSBRox Xr6HNw1B4mgd+3BYdihkx4uSmxy2Fn9sNvJxxhk9WWSgFdK3BiJYrLsZyc/7g3Pj1PbO+b+zj/mz TcLiWvqmxtibwY3Gbs0+iT9ah3ty+Z1qV45lZg4NeGO3WhuzxP6cgklqRsfoGOstLzBPmnRhRsUf uBmMC1jFuIbeeBrSfeMCbxWvynRFPFxQzv/1UtsyE2E3CIY325F40PneCTWy07w4Kss/G8xmQrwc CG/M/HQaHno1LY3MUl9zgvztK3/M30VDLn3cTWjYu7UXVuabY8SusL/G8PJQDA8I6AZO6RRkHMTn JeQvseythbjE8JNx8gAHiQVHbRsuxnlH4J8F3w0SG++iyevM782iyh0zVpYj5zkUvlnE04DDSWak bH3UcOM4Q7w7/zC8JG5OsnuwF1jgE60up1A8IapyJTDF1I2/nf+G8IRNvbNXSAM+Vg2JFwb9h9D0 g5vFd0EX+fm6jRXamYftcm/zQ7EygeFtZi129yfjJPZnROvgvmgY3QAnvbnhTK0WHDZMMnLaVGpm 2H06SSoDwIukE+VChAMAwkWWI/ssWEPUid1Qaoldpl+ihV6EKzxeV4HLSC2T8nCXXd6Dz8+YGC7b ATzHB4ceI4mUcix5rQC+6AAZDumjVYiko3IncKcxoPeGKC7CfsiwcPlvrD/09/QBcKFsQa/MoSfn 1+n+qUgHL8eDmYnjtOhvy8VEH0VcbWoCJCxnW8afsZ4RtZwPu94aFXF9yw1/QNOSlj1c1rA2EuSw WdChwTt7kZkKOKrun/WHEYt0a38IeUgIIXKAoqoOJWewGE9RPIkCCHyi1N31tVo53YKU1JeQTANW qbrXWiabqwDiL8TTDQtUzXXShYZ1oSHlQxSgd1B2RKrRd9Yxpjm2rFxRBW0bgo4xg5H34ZrBPccD NbO47K+eQ0cePpuvKIO3PLiYTiTMQRStYP63h3j5yDQhPvsWJ+o7ExO2+8qESdPKcdm8JSzgSNkm sMT/Gygnn360P/FVrN2AfHE1r/xmY6IVldJbOSQnF9A67UONBGqrbIRJOfac/5FhYVwho11qMQhC DTF4s5DYCi5PBAKGVK+p09JDaLQjwbUQJlAUtjE/0OYEPQLTdZyt0OdItm4ZkRGVkfXqpFWW0JEU y0Gcr/o09CX3SRFIMY91r9n69XN0GLTG0vJHEzy3lPWx4IEPly83N+WboYdgtk8jN/nprbEsqutM /fKIjUW2WUR6w0QLRjB6jr2hj7ZpsJITLYgV78VHl5yTMRTqh7LwguS+VFOS8boxMy6cu4uXE6FM hjS8z7crXy03IFZKVNFJdd4pnyQtXI7XZ8JU2rZd3Zm2nY6+qJWlyI/Ephyb8zQPM1FfdCWU0Ucm JHjl20b4wLh3XGJiEUzDKh+aS7y/2gA/pTeh4OB6DtgbT3w3A2M15ajLhRn9Q/dqDEx1WhTA3Nfi 4Y/m6hF5gArOHYQInFmXpY/dIH77uV8W8UvAWfj7lv1QhCMQCLmHgbZg4keGWUrnaVt44BPZv0vA FhL/y0vUe4DWCt1gHZJbb7tkFUznMZ/BtoDG6+lKVW5BNIQ533ZlMUvVZ6khlu0QTN9nzSkrGqqE I2+VPvTpZLHs8t5Qp1DkVchG4EJYnMG27t9j3Kva3OLLX2rSzy6siKUL3abTwIWTYBBkboInTjXY oSh+v2A+sG4xOeBRxLsP9RlWBvzkvvakZwjzUB95oFzVGN28y7GylC25bFIqdZJhKtrqpOL1apiL T267iuMHM1OfEaGALbk0+KFE2Ynq9LmLwFwdejTWHR4iRMWSwp/gfV0n+SAWFZMORisYELP28ziR 2Eim+DZf1NWPQKQMJ02/GyCZJI4huLzdNKEh9oC7YmDAcmhGmso64oLuxfdub6zKCOOeiQEEW5eS TYkXGDrG9CESnaBEZZ9R7Cx+/EkOHUEBfpRpCx0gNSB4oj+Gw8kZ9EoJVf5qHfZNjvFP3q5msbcs WTr/KyYZdK1nfGspngVqgkxHc9fIxRixk96ja6wCIfLccvDHR+apFt4a3+DHsn7DRseFydEA7cGQ dvZ7AoCqF8zaTc7913fgjKkclYQTh3/X4EkFcOGoAyuVbksLy2cK8okHL/c7Q0B4y8sy+o8ypacQ rtYBEXN66c2SuHvgrDuYXBlmTzcwU2vqGJfzjCb17eFtuOqstGhj5lwVsKU0ZpGBN3woBWtuMpy6 oabHdKuPcO3Zyk3LDPWDHyd38JFjXUWsEyAlt5Tj8yPBAhY2MEAdrf4c4VAxmd+sI261HSJuXqDu USAWQe1D7tqZBk/LZedCP5HIreQ2BfBUKaXRkLfKP0+ud0t5w0f19aXj68LKKH4wbAN4H9XqnkcX F+vfdpWexPNqTbcNXUtiphIoLcsiIDONedL3tTswzK+iM2ALh3aWsHnfui+vnLX+jqsasp9eT/+A 1XUYYejdMTpCOffDWTacMmMMXbYl95kyZ5hh0SNTg6p5MzzDXinVynLVEuqilX0mdy+O1BOoBB4G cN4l7C5uFnbyOGh+eqPp+q66x/vup+5S7pjxjlT2vCDDt9r5pTPm26LbfdDat27JO5guE2hDQGSR +qjx2H8Unzf/ghp4T7aGf5EcmqZgeKR+XhS9r7bUtOL/TScwEnBi3FxVo4iRSN5JkWa49wFzzC4x XvNBbAd4g/XGuivdNzLf31vc0JU0cJok+t07yXjFi544CHuiO0Xvq48CGzu3VZhggcegiH7PRfSf lWTZMkxXU9HTdwAa1RaYF7xC/IQNig+uxXdoZrfQhgaTg+OBk/617JaBCVTqYFkAM1PHCE2lKqjx Zr+i6GV1K8BTN+q1HFHCo22W5z6mKCLilyqtQp9LO/SlSLcBQ23q/Zi0O0onzRFvNVjnTA98Z18J NlSHbZkPTHbIDnsltYNZaRynLOiaEP7QzsXg4feyUVW/6pbIQsZWrblweF4NEkEXS8q6jGR2jAN7 oRrxA6XChit4NNKabJw/xVgG+ECgqs8iKcWyrAFVneha8eoIcnq+/8ZHejSvrnz1L/PouT9KQjHL fZ/8AqMg86kxsAB2lVCYeRf72zzZk2SXtFD67iIFa2wLqUkgeGvu9a+o8LPDWq6vs7x+ALkOabS0 Hw4352M0n5CIZJXqlGPkdFu4lGeJatnO31ptmJMh2Zy0lVztT3q0iXFEViegzapEYqyLhFFulF8m BU6dWuTKRBCumbq4hOPitfe5fv0YO2NmopCJHu50IS3wIS8nitha/RNkfU8Q5/NLuAGMkbPvPnIf HSTIkSWoeG/GQHH/Ll0EfLHOLjCqd0pVygemK2JYqpqDFpoYAV9DJC0/nvzptrFm/blLW6JJWoQn 8yGcBQBxlxFNe5nKvqQpRLqvvdjBe87VYlitm1Su6vSIIPbeFI0tcSMyLIl26TS3cR9X2BaEgFfo /48bfgPO80P5vsPnRtZuZoChmUz3kRAcUgB/C5c3dUHq0wRZh3UJLnXPsAiO5H9sAKp+XiXr7W/s LUnPGK2ySWli0axA15skNb+Y/Z3x3ofWTLa/Nk5HYXJzXTO4xPx+3HolPxWgEjAqCLtpCYgtsZqm NLU1eSnMELcGyA7wBde5JTPJrD97qC0x2XE14R05gnfNrgoDmGnucU5pH6PNWWBDMMHcJ65DtA4t dF2Yf+l8/36LY1mO1eVeEDT84UqwvtlXC52sbJ+7+4N59/8DcfAUK5snY/cCa75wry9v3WOiar+U OJGsn2YTxxh0zaIoV2Tz/KlUOoe95hXVyPqooU6v+EW3ou0wQhpepXyx40jTYbk06CwU7XuTrM6t /GCuV2Wmw6c8oxf8fjkYy1UpOLki/EyyjDLteuD0hgfHw9zn1jav1sZxXUa1M4o5Z3S8mqg6am4T Bb5saGNNecKLkyEiojVvVA9QnBR1uiEZCNRxQO1t1fmTRRik6gGz2OKccY17JYCdXH+C79IRYtPd LCFLbz8f161z0vRXyQQQFkNJyCZJr2lYR6iw/XA+pD2kJ/4ReRpywz85V81UmwUZd/3bD0eFz9If +ABVoVSKY0hRjUoFKXFqdM2ClCfO0Llv5hkOHb6GZOUSCosvNP+cVX0EYykrJaCHhDhUrY31SuJ1 YAmmRQyP1jB1kJvsCU1eTVYupjdk7y/CGURdcZytD7MuvsjIhuYfrt510LTyu7Y5lwr0jvXB2TVw RczFcS5uM6MxDPaEnBhISCYVLWaZqtORnXpnnA7NlUiK4KkRRF1HItIVvEWHW7pLBGd4WSoBzeLh e8pt4j57Fb4VlZ380Vwhx7tvGwKA1BPy6w6wfGj7/LMCIuU/HDF5UTdo+LT0c6e1S3d9tY1zliMF svB2etXmNe0f+90qr5dGVgD0bE8pvQiPIufvB9r9hdklnVPd0NZm0KmkaDPM24c75HKg9b6r+Oh3 1Agia3Mem8IjHscGwTAg+NipcsbO5zYG0s7QoY0WCEhYxJEZDj9r5Ncw7PPXH9jC+zJAMB+K2tMw cSKhxXeddCrZIBpe2XPkUJRAPqU9BXkiHJSRk2NyjM39N0eHlN7FuNGjpJQxDl1jC6o7hQbWfSc5 ALARhhPY6WxvwzaveAQium5MlJRMD22Ov6XMYY85eZHaesLpchX1kqgQb179sJMW49y4b/ZQAc+h WVi9yKVyzQXMfdOctr8wi+Fp8P3rsdLRKdpmZuvtW7eSiYDG/mkOJ1nQlsjjpmLP194DS3S+uDJQ b+3n1NVgvoWefjnJRfJlv8y/ekLlt47tphu70DwyJz9zPhip4KFDkvXHM+v4+o/SxZrW02hHe6Lg XOcFyd2W2TCZ10Esvdpqi392qzKajuilQb6HJUI575bzjzpVMXXq+qtxYqVYH5yiD1BJb++NzQS6 Qu8jfodu2+JOImMvrEeKvXmRzsvu2DGul6rLGQfEoPrzc+gq/4JHaMTKQDShTsfE4LGm04zC0QvF BbtjEGp6aCXGLJGtDnL5Jah5q1Y7ssMo4MMBmaAHaXB8G/tSiy+vI2dDck86oeSNHMIY0pQegJmo YPnJKm+lzZLJGDFZ2LJqZ9cadmAJuXvh0b9k4GSyODBjGpJ982wgxUrW5y4104ot1PVto/yHEBBW w8BvxD1sBoY6wW5GPPEVsu8vJu9LoYOVkxQ/kFt8T0aOFG8ack/ZOazr1vvHQNgAUgNK8EOlK1XF CRO5AQoCTmibv9VcbJIY/q1xKIkovWIt1raYqkwzyv1NPuPluJNUIgE4wR4Xy986744OM5DnbcN7 G6je6jCsVoWfy839f5UWQ6XdwfqfZWQDODCwgq8kwoNrjYjGZB+HfBPSZ26Yn6YFP0skp1tfVw9i ZLklnlCP8I3Q0b+BFXhEdLrbAzsPDtvKONa/XAS25fTAj5BOz3V81ESXeHuX3Q7mEjdtjmuDU84Q 9PV1Rdl01NZ08gzLSHAsmDLfT/mV5EXj01PcvRxDuw9SnqLNIJm55aVmTHRcg1QuW6/S7s3BJGlf n8FKiZVb7oCVJ5uvulmH/XeBIwu4sRvKZESUhnSlP/WwqltZ/8eXHyQa5ksxjBCevlI3viEjbOuN WaHLucwAd6FM/yrSAzS1QEZtQgUqCU+Abq/mfiRWnePDGUvphI7zMQBSYYGqNHQIIyFowJr492Jz nytTilwAHESm6vLF41tDtvY2NQHCw/YxCS3LZ4RZIQxUIKN/BXkUB/jokOeRYlVUOI3ZITNbmFl3 P7UET6RZOa71pD1o4pnRgmnczCKI2kCWe1KfohAw01bG9pJ45yPSvgGMpdM8GaLLd5sH6sxVha+W GTPrQ8a+XIwj49q1q8b0RSdubJIVc1o8mGjXA6En9vOT7EsB9hRHvKOVV6w6QEWT7f8Gv/ju6Klg LJZ05P6faidApf/g9YbW78ID4+r28vNUgt5WESkt/hQBCEJMhgMiCvUuinjC7pj7A603RmJ84hu0 KHkQGeJqZcLNImzdQM57StKIqkRjK97Y2T2R3YTRnBKnZtqbTmcwKflp86hVwdRdbdVkhDb4DPOx Yj+QxCAGzSK19y0xrxUiI8jC5GQsHZEeHXDVE7GQYvPbUaTtwRahdflwyqArOehMgTgH/xI654x/ VER9Q5tnrjwnW6exRDTYVOvOKrGYfnpIWABfiJHpn7+p5XIwDAloIv7F2j3OghrmXEQusyVe3cTz V4zYituxGEoPAefGZrc/KxJNeS8BaHzUeF1rWLlgoM7kWpvq/KLgWZfYu20Bkn7cUoGfzISJvIBg KglnJMGOz4BlxKIFZtrBuc9KnqtndTcdaKBS8L/txFUdC0P7BNSOpzvQoCw9yPawFuN/51rAnU9g 9F9NhM88FtmOM1KAQwkrjjz4f33NBqNZ/zwYzc88siaswvHetTkf1KfWtgd6PrzkVvVPfSYy1vk3 EkDRWDyi4YURSfgK9X67XmL4FK/qUi7/mbkuovde9+C3EHB9o06ViX+/Gs/m7T0iwgfy03GIkTYt 7xxZJLa0dPd4pD4+CLUfrfH1HH+7RCGZ4wnTQbI2RYY9cHVML3+nNysP6xbvvkHFdlmFc3aC+gtF WHJxcvPL9TlbA+2khgXqH1bV2c2Zn7j4cjdBqu9E6CZ94Iw2DVnBVhoQEKVm8fCbUKq6NMI7if6a KZvIh6hmPDx60CLV/fyrDbxvwOOhu69MqFcm3mPTshYsSC5guaao2355pBuJZt2J4VVu0xX056Ks vdZnxnHWN4Mw3Unnc7QiiaL90uP0gURAYUKmGgSJaRHbhd3HVq363RAwhu8VfBhcOCWyAPj8v2F4 9jZWRriYn2rgVdiL8zDi1w5VcpRWqCBgdpOAu05UHKv349pk3jWgAp7oW3Iymb8DrrCbwmDCilvZ eHtd4roZgyDQglvXlSfS2yeofgrrbYQlx0AwNbJHex0AIqC58/8FivkaccojFejPc3VCQqpu5yLr eE8Z/zqklFZoQBVhjwjfjKplV8NdIwXzRanP3OMp3xTZWPgaGrtqsbDHXKVGXi+VDBXNQnnECUG+ jeSHtD1Idde6aT11IqAXQnhAN3ZVhemaYHamU6PSuMWXRAHRmLYImDHYaFchrdImFu5cPbEXiYYk 7hvR8hcztY+eraIROg6A4dTqZfD3360Q6pTmntTqLyz+duPCqcAvWm6QW1WmYn2TD0/p4QZPE6RG pWqtiv3PkFQ8T8gED8dcrmxhBlRC1M7gMmLwclH27PuwUNdfpRpdCLSEtjL+K8VRsj4OKaa8p+3o kE0fIL1LhLzedqQPWxaovyzaVm2kgxfS3sffaNO+v0H1Osh13bg/vsflaP/j76IwF3hTlzZIuBFB pcUypgjcg4Ql7ysNboIiwoECbbStWkqmdaTQ5enEOVZig+DKYvZKRlD+32mDuY4bIV4/UpVXp86e CZB/2GIeMlC0kALtFpH64MOuWF9fpgX9BPnPFpv3rRV1TZ608GNtG58nCEv+x6Xetlbzes5Z4FO/ AwQ32h/Q1MtH605vzEsQQpqhdTex8/rq2Rzp4GKcOhtSUuYbzQOge94WJqCK2QXx06mzv4Msl42y V+ivj+c6jAyhw0vtbjHklr7ZXF2n/DCH3ee8y/yUrcP9twi04rWOHndi0wchMri0S65/jTflE2tb /j6EewHvP5SOcfQWyZlVTGq8BsEWS+Fo20raggYsXviAmdS90Sx++UcbmiQHvYX8OamlRvrh32F0 muBzyT6o2leU7Q6YP5uqw05c998MxLs8IdHlPpLx0ua4hYoUEPPzautNtqReC/czmpc6lbVzRXhu UQFdNcXd+/TykT/4EOlSMvUs9YSEiAlMCVY4ctRa7N5MqCq2l9vX+Ji34VQqYXRkbDZZojk1Dr70 MXtHSaNbwcNy22Gwy72tRevTu/A6OZQE9dFrjhZ/v1uNvePDMAvSkE+WyD6qxFFBh40ssLuAFIws XwUjhB4oo3HRBilj5Wu1mAHtvDwtfUSAcZZ6L2u5D9F9FrSEYdM2hkNpR39roVY5XxENzdA6qLb+ QXj+nRF25uRY8KXcyWdOsmb74+NfyboD0yM53OzzKnw/MtZSRGjwiQ1SToGFJe5f1fR363HcdXc2 aOVInF0B9PO2Zr5aeoBSNO8FLXpjR5IYWicvfFIkLQc4cEouqj8u+PBXK9/b/mo0Ov6AsQHCu/oA Ewnd8TtFnFVs65qaiTm63HTniQH/iWRcSBbLe9sMtBYATQ9GuKfsGQcVCe6REaytmdYCT1CvEJv/ FyAHHNp2pMX+/lZAI1GC8MTKiUWbVu5gX7bG+Y290p2/mdIYBdQJ06PkLMPmCLPXg406dY9NVNcr EhrInQjJt/d1YjJ46fjcU9vM0xO46gJR4Z5CYiMvDehwtNel/P4FLw4J9gdwFESnYUu5p6Fm/b8T VcJkm8dtD3l/fUMttirnd5Ta7X8BgzEG0L7EndjaMlyABS2G+vkW3OnUA68mMoKHzoG+iycr/zls 8YrxW6hwS1XKVh++HMB6RsYsWvTkj0XPR7YRuNJAvTfUTPS8woVNj9XpvL0NX/PilHiKBn/Bjpwh jZnx0DG09t/dmisiXnYhdQERlOX0RGak62hlTa3Sarkerh2FJJEaNS2HiUxyuLtbylzjgns0tp2d uGd48L0UK4h4bJqbaqfOganNJ6cRwel3MW3wXDS7At3B5ZntPZ0B3V1vK85/mAKBeUHBr7EusLHY rFdGQXiVI7PxKYmE94Oje26nPzkH1XGtYu85J54vLGTyQDVIo8KkAL95LeBMZKNFzyef8qPJWvr+ A96a+/kae1/45DFKNTYXK21+FaoR/bqmZ0cOqXZFRHUMvQ81sm5pvptf1zhb9m7ajKm/LXOgYLR6 e0aafzzX1jIXrG98sCvhlRaNqeJqggmciC4xJB/mSJk5gdhQovzBwRLvmDyuYJQV7l0/ciseQ7gj uQ0nlPFpOSBPHgg70CSTtA6JgZdSWyFJf+aavGyT2ZotImdSby1utvybw2T4AjKwiqw12VWXYMf6 8+Ya/pIF3vca87JOiNY/UQxmHW+A7wyN+GumdsNeQh9vY+Ga7WbCZSxcN96sXfk96zY1zssnfhhw yehdGqvTMtoV6ql8prpqhXcVQx93Uwed/KRAkL4a34B/S+pxDg3i9JlON1UOKLYc5gEBF8nNm98T twYOneM2OpR7yQnS+UOX2Vf//aCa830Z5d+iyXgctfWBK3rnYdaNhPeYgn77DprHWrZ70D13w+MB AY4c6VekAb9DW+udx4u0CXxmK6d7aHWCmXYRmv6a+bZRZqv8pRDVqRmyqdKtc0WNhPWlWkeVEajq c7vl1UuNkkoNm0prVIZxonnKYAzX555kFTxM8UgCKhFyi7j+9WuJNUtK2FfaGkhBaOxE4KtwkHXX 8pwKi3m6HvR9ULvfKYzaiBlOHMz6l0zj06W6GkRhQPSMy400XyHt6sp1VwnTa+WIzMrSUXQJ+AUf NWJ8ufyUziHX+HK84lx7EVyeEEzq41pfSR1mG6P0k6MFmEE9wKzLhXoVylXi2djFVPjn4f6IwzaV vdXIouyQSoKqvLfjtl3xF8hP1iItazJ6EhmrIzjk2Tyb47MSDHMI4qtQB1wrNtPPdFoKTyU1syH9 JOqUfms1WXG06e5sJU0t0iTxFOcigMrmlHC8Ea32/3ybrbb2OiDt8VC3sIXxTCxcPmRMzA3Hmrvj +TQoydjzmLe7+GW7NKrAxhC5YRzTWoENsQlMktM8D1bTelM0PW8CcyoWZMUDTTzHil1VseNaj2/0 cpkGbrsBPalXzoiUGc1euZd3bgd/u+twSDJcpYYHIMFeN4vRzhx9OjSYuG30vS72CCtfq/qnhRyr rNPI0EqNWrMTS82SfCqhbG7O4AU5M5PRHfKLwaKTC2ECPh1bUnz7MCp4LBw9ddAciLBFItAZVSYo sTZ43rFXVr6go87Fdz7c9jv0QfiQdpd31t20UCfJdFlSokKR4kavta3t8lVUMfg82hJ7kKFF1Yew bKgqkuGmppn3gHGneWBt0pAsc1zy10Zff40XENkovWQgJLSRcvmgLzizHjeYix0wbgFL02weenoa 4SPNMeX5M7JUx5sMWWe5v3YyAXZ6x+j1Dlwy2jCd5WU8fOwrlFhMObTfxY+tL/gyMQ/93k8SWjcS I6jgvhfuMQ7mQ4k2jB/afoJLN/kCGv8/53GrK1BdiH/XSwaFhfbxlDPQm3JS3hr3Vnk2nzd9rtSu bCryPh42YEG8wjcjmH92FwfoG7tY8pwmpK4wHf3I6VSlLPS6a+jPHei6dSBRju4j17rRWQtnnfHl mahXd9zQ14Iohtaazl8fY+eRoZy7aUenVCkIEoRPIQe+doaYF0nNbqhfVOg/OZMU3RHKN69FBySQ F1iY3oemrkcNz5Gad86LxLM2FFbcu0roOesc8J/RcHvD4oZJqVfUZZfdc5wPE7H8bO4RL+GbBVPj OE+27MM4p7kJHUw2OH4MUFzyMCDwyskbXrrtM4bp8nIs6YTt6MTh/dR1sCox5UPxxlgwCmLgFwUL lr6yYeGZ1rCm/UiBjcYtU32C+2OVH0pvKSoP/rlclesu90Y9dmegtXabBLL//I1zQ9NPEg7VPhyn 1a4OzEirSWipwxwbzdztwhcdmXBnX2Fwb7nJ1A03aNOmV0Muw9uZVSVFNsvFAGCtLBn4VPveUcSW aRLv2xSoD+5waZTWUKgq1MDE+bIcGPmYFkGHPVNDO04U/FgSt0sXjabh3dyedco52HbUuX+emCYe EzOfFxBZXAP7SOf41p1kcmcWV4J9LBQ+wc6diOJeaxTwc+TJTUpFjXQ63pFAc9JD9xzhjLllQt2Y jtsk0PMGtKwkzw9a73xiFYqxJbf0EPaWm0vSopa+lFBRHI+Nd1LkzXU/slboeAnNE4vL1uj2S1en tjMTTZMIY4Jij3Myprd86xLGyAscSLsF8AkXZxvIgxlXWQHumEN7uc7vcYuy3tPNY1KkPH2cl1aV uZekgI2U0/20Ddy7IfNVeLjynqjTbriD5wNb+6hLz9ToxJLj20dUk0JXn86WNb+VEQvNV+cOGwne pXPMX/I7eSG6Nl6JupeRSNgGguRENHT5HA6xj9BgS8Pbvj0uj1l/F+aDzSfu9rLT6ITZEuI1K/K0 8DJebr8EzhYYrNyrd3rnLm1cRvU2u6KTgE4hNWet1sbtEzDnJj5avVAb8l7rvrJVfE4mvgUXxT0g Ao8XQroIxCVo87rUFGJbp3i7lu/G+4DBykRqrml92dAZlYLH5sGIL4ndrcc/k7Whjrg/NXwnQsiD 1K50j7dA/D1Ug90t+XV/SOgcUt1B7D10fGqQDHfJbgYtV2CJ308hB3dnBrsDJHR3hcM4Wnlx46lP iJA81tMWee7LRaMaEtSk070b3Hej+mq4jktzKBCOt5U+F8R3Cvx+k/3eliAgdfjjz13JhK3KI4Lv h+7oHCCNSJxLdTtrACrjVgjAJrTDbX+JDT36HlDGfO3G0I+lJhv5479jJTtK6RfVXIme9wpVtr+R tLVTsw2TUcOqJPvaw0IEXPxPO6ikUDNgfCpKyOpC93AihfOyGLxIMOIpq/gx5vGxur1pvHoWAray ApPrfZnCpqxVEJL+qAGuIIATNFXVaqPwml62NPO0TWNccq0lPD2W1dtlKfE8bVQEVex5hqQzhxtr uyb9pfyEIA9vo0BqwMgFEGZq0phdoWpSG90ie3OkGQxHB2S9n1Eqjnj2V5r6NCUfPX3cH8t/h8zE V3Ke+13kH1bgMc+aSGKBuRR25WIfnYKaWI1XkDV3UdSXVEmS81wY/SQEkBUCF+ibZR3o4lBNdnoi YPy17VI7rSB4ZkPYhWsTIYljhG+kZMcvAHa4+6V5hACjCEQnDfaWU+hMDai/7zzKmadbVEzJO9rQ cSzma7IR7fQCvBiFmSFGvnyHCBLJ5F1hSis66l2piNW435WqLHLbuNZPLJkKVuosYOtMrLJoepcv +i6CqRDLMMAmR0jRoBlho1Tgi6YGRlf6LNgAiSNc9dFcnvK7zrLxsdp8Ogip0PJJfudX147uFrbt Bn8eyrhyqW2coYer/AJjwun0AleAv9xOvSr+ZTY3Bo+c3HB03UglkGhnOwz4dGmQjJmsUMYlETQM o6iEPmL63dJJZl+rKe+a9VH2PnHhDauV3nILSOVY7OEMrgwflglnVQzrIsCDVEdJr11JPiTwQtGv G3GF60E9DhHICgllE47N4LYVOHl4o4RUsNmjIzs0SCqd9Yw+F0fuy0xzjH7e8g1m7FLLCniHVsaZ E26KbPwz7q/C77P3zPJ+U+ptNbrCALY5Z5ya8nwGSvKlX/02gjw7TIEYdpoPZ4NyWSs2ygpY1+aI l/F0mYLNn6Ay6xK5FNQPoinPPgkLvkDugaWmhy+MMiB7c0YYGTyE58EeV0ieRcFwHapV5J/ZO6ly Z/XRyajzTzuenlNuqo+Tx1MZ6iUlrN7th5Yqlur0UXZhIllCYlr6wMvJtErXPNOms3hUmDozuuXk a+F4+tq0k8jF18JNAXJX4dEeZD0ljNdhf5byKOx67Kc1K9Co+fNamjJMo5yKUsyr7TGjiOGOlM6X LV+hE9QfyLER7gZC2pmS2yzXKwgCGmCYvzyokzR2n/QFKhIX1MQgTpGqvul4sNDrdTVTdv3f4rMG mFM7iZkCgIQcTpQ2JfbswFOcaf+ZslunC/WoEB1R8JUFWTn5mHEMR2pNT8uscn8CDaqfyuL/T031 J7EG5448YuUmzwWDzzz0+SR6RwrDRuEzhOFctxVMGBDMODQRZVdP8lQ8/ftSMn9+s/AJ2ETwc8kO dgVpNvEofGoXO2mptujvUsoxqOnMv5eMC8m8lLrZnkaREghrYdx7yjb10YiFLqZfl+FuHUV2s2AY PnzCxLZUgJig0uqiRE2SJh/FgAeEzd1Du9Ic+/X5Rc17q8/PfpOwIBL3UW3fThm2mc7XZai0CaxB a/Ln+bp3NHkDQSGMzKSyq/Fu6f7A28grsunf6+QIAa7Csx0InioqVLRXHzsWP4LoU7l8TAgwTc5k CMk7zBADj8JNRFcQdJFI3+w0/OxHaNgwBXzknMApjTAvPkH398nm7E9LbUflrg+2P5swV/AEl2Ds jrnP/9r3cUyqlydUpA4ZT7Ww9u3sXGlQZ0Fin4dECwU2VbVIVdRlz1ClRE1mLGNBxeAHFoMk8UAN 08HS8sLcf3/8SlxTVJrT3/Zwp0uLXYTkEdakYwE2yAr2kv2RYTh3pVadPiCssi516u1lldbiWPed JP9QPBKVfw4JC2eCniCuI7jdo+x5qD19HMB4a/xJ7pqUiOtxz3N0TpMHKue24NP0du6/wZNKX0bl TXnSpv2MOg6sKvCxZ8e3CgKU7Pw6Yymzj7IZThYjmWMgS+3zwrCcZDNtKTm9JCDJVDAgG8fPTz+4 bJQOrrxL+K9BSIIGgtZVrvmhr3FPabzPFDm4XjIm24c3Fi2jethM6OMJCCX0yo+SieuasKyJuail wFtRB67oS1RAQTMDvvdert5v1be/u6kYqvBBi6kqvqkhT5xL8minxcDUjr9eB7Wg2ddcJKkaGwHb R7ZEGSj+YheMYiEPANcVEzWXw4yMxRWEJQjenGIYVYiR4mXakcYn/DWsrlJ7EKF2TwSvmogiFBru Jum3NqGQSmRh2UEXsolohSRjTEoeANP5/JsYFWBBph4WnJRlzZAiHOMquoClStpH0uDzvWdMJWJU fKUa6sdqBFjwCUp4Hppha1wCpEFpIylN+Y0ywXFwRdCCuvLT68gF1+Sn6iOEhlI2/ryQ0HqFNixO DfLp+bTO8JNSYCpOs/NjzXBMJcg8NB9iQtVmB5ENUxw51FR18FVafmzrywhTPn+bU5m7WOd+rF3M W72pMCpRIBvxngbAXksJBc7YfRJC0oZVZGtPyjEuQbCsMJZbIdbNazhHx/RsiFqWQ7xv/WkUV6qb TqUxqsOyM+y44iyEJusD0Ia011B6gKy9jDPQqyHSJDFFM5OzhZ5yMKyZrfCqEnT5H3HIyLlHl4JN tKjbyaUEh6fpHZWjaExJQf2wG3dvXK47044i3uFsb/uv0Ah4WMlx8fin7/1mAAL1MKBfTMcF3ipL 8ObhmLJa6M/pVeXQ9S0ufn4EqeX4ET3Sfkzk7HCa4JeHG1Ma338swx05g3Pupwl8QSBG3nOIPQCO taY2N3WoMqZ2LeO6sYwc560vAX6CpQT1ojo1e5EF3CaepZnsAi8OvoCHzTTa1OKrlg0vKdl2gn0N 6VRURvQT3oeZl7bbDFEnR+nHP0pGcJqQiLWtgdCOhgwMGyra9kzFOMoX4gMjJ/xknGN8RUQDdsgR tlBJQX4cZ5cQ/eXOuDQmVKRg2iSy71mMuAiDANn7GnMBOrMS+XsadblpmMTZmgx6ALb1uYQmVuzA 2kQGYHKLcjaJ2qQjPx16b7QoJn3wdT+cCCBDMcEqjaeaNk0r/yFiPWkKW5VIZgxOKvKDwdW76+js 8YWlG8NzAR+2d8mqhjDLgn4XnJka8TZZ0wnT7MRfu9/eOCLi03jyoAvku7azEV6eTSTRC8ea9OcC JEqxzsj7fA2+RIi7Y7cAy3t5B5DLa8XYoVVHpu0nN71V05Z1pKl2BtdzfqmywMkl8AlL9MWYzpnJ 4G6Z46efAQ42qoBW3HzJBJy16i6eDb/0ipIMgGFSlOsfKPgNeBG+e2oPcRSSfTmFaOgMP2lb41Hi CjZXjC00+2e+xDTq8wtr3LtHo1DzIXG4s563FJBQ0eC+FsKpqW7vR2lLUU9semDzxiQ9+/h8XEZW +1F4ozr+TANFHlFeB9XhO0aHGfSy6+92pot3L6rJrNpPWtX+JD46+OqRXDhXosWy9TKPzK6sISEV DB7EF+/Zq3hlK4Fv1T1jQffRjwCjAKjnGL1Bg/1U5ru+wsUQoMh9MTJfEtJhC/f/A/FrAf2RrtHB vKxgUUqJrRh67dWehHyybsJmCWITiYJd6dZ9KLsK8MezIgxOLjPNYvy6taGoOKCMEOyTn/9Az4Gw FesvAD6ksFNXaL8TZtVVu9k+DW85lwhiKtWhZjhexX3Rl9pRuf0JhUNVpCY2+OpPWFHi8S7mipF5 iq0uUmgvYy6mynv/GORuLSJvv1W212AUzXwuPAqRrJe4I7MhC26ADz0gCsr0o/fFXhGwaa67Vrj3 S7gq16JEgJj+6N2Gr65KdnAE4haWEZgvAY897SYeog9IN6pZWUOK7r46OWsk9M1b++VORAkW3S3/ LtD5l3YTI5632ndpt1jxL+FAMNUNorJuIVF8Ldd7BcTCTFUIncVjCBJM5frDtyxJypCnna8kEZuo cGkKHCPARE8ZB0Du7j+rcWRBE/Su6sFWrV7eoeMpj47LujdJ2Af7s44uILOhLfvE8SA+WA8sEwLk 6Fid90EnQhwnfp11bnu2dWJBKZn43A62s9Q5I56Ez6vpGA1ErjZc0tYCl8MnbKly+GcM0XGXAZYw W4v67ekEBAW1os1LDpNb3xs0XmKQCYtF3DRu5LxnKAMqWR0oI5dSupBbbYhlI2Dow5XauFSUlLDB 6F6ZEG2mlpNlxiYdQQpNH8s0eEVu2GZCIONkthtw4vQh6t4neK3zTZK2EFiWvoYXymLSf1pqQ5oB 7QKQ6EPVzDtw+kYR/8pATeUOPdAupYYIIx2L4RrL0koQm1bWd2XLbJI54gPYa4S8EqFvIjzXBCqR t4W+wYiNVlJ53flmgYEc8s76LvS5iN0LuvCDgmVfvCaO4ZdemaluBdYGbwmamWMeTLv+NXFSwMhT QckrggqO5emT4fa6NzlRFE5Q4jAHm0LrLJdfmbX9kFRC9R32eXlELAnpu4myVHv/DhVCTLu6hSUA M52eRLXfKD4m81i32g4yHSlW/7HSUAa4ys4fu1FCmGOfrP3Gaz05D1qJttqpToG+6q/7N6wCdBVW 4DVkbnvxeDAVOCXs3wNd19nyNdBqXRY8LcPMXs948tD8cxTapF5gdSleBQwdynACqgJVxJsO/EaG DGRiaxRKc6HAVWruZ7Qwy+7GYwyJetVQ3Waq6G0pXouj0spDg5cWAA724wNlX9cbzJlsQt1HQeTP vDUgTwzcaCcuOHoWxysmbsAZUraQK6zmSSrFItjYuyQcJe71fBlkPusB8apU1gZctpNmOp7CVG2j p8sQmPOZKuX+pCd/pmqLCgDFpwoJRACzbVvdZ7CoeRU0sle6wognSI8peM3uICH9Lj3pQcfkVAI5 dhrNyfUz+Eay+pQiBfq0T0N1FRfhZU1BSDoz/97GaKx7JU7sxPpDPiYS49KleaOfy/j9HhN6YpsW Kh/QPMRtL5DFtrmDMBiobpWh5OukCNOqM8blOkcm94gimjgzwQgakX8hWOAE9NsFLvxvbbDFvrOo RMWuIfhoHB48KmHDgRutWU93b540vpwPGPgL3VAh6gfORTZAZhlRMMm9tsuVq7ugpOP6ZwMWIhHv sVF+I7NowjUw81R6s/BS4WA69ILSEa4i6lwqIL+dzNGCLJtkCHK+6Xkn4aj1scYRNJPMJOymu1LV nMQ3ssNy26yo6RQoxgcUdfgDM15Pr3HZusYuXpGenlrbDx0TAYpu1/zhnaC+frjPQDOXlxzI9nUR s5/PIctRIfgl5MiZniMoSVGM28DKKyaX0WWJMb1sSmC6dyZjXCXFYzOp9gOjWb8Z/tnCplMsf85n t/YeITStYNwqfyjYR64UANz9U6X+5gSMRomxRHD7sDz3ykaaAeip/kWoqGea+9fOXGzJAYlmXMo7 p1DSYXD5ziTGnx+GO9pRx1RSyI1T6+/Vi+bovBp6ldae1r4O9vEO3bDPVDmffL++er5iv0qco70F h7qyPIHJtCxl7/ySLvB/BgMK8YO3cN2Raqygeg1Cjd8uSbkAYkCR4/SOM2Z1B4YHOYi5fxzPemP+ ssI1/VHS6raj33247fQHKIO8hr4QOjuVk6yiqR2mFu2U8smbR+M/fpW7OvM6ZLpkIQaCu6OkKF8x f3ywmuHcJq7XBbwuG97jLqVfD/2bF0tBFMFow4tdnKMLu4pt0ekah/1vLq2tflmfHO3Vg6BFhTMk aEz8UEtF7+1veH79QOpzeDh1tkmxEVLZW0GPpwMsd/BaEPIjfFl9+3ktAk7RD93ctP6nrKSeWVp9 R+9hWnsh9ymNlQR0s6i3NShb0IDampKCzrFccip1dnMIetYAzWnKwjfdx1Trnjoh+TSqp7oepTVq qNkQhrgG5SHYBh9s+RnkIThyY7cS3pJiI4oVsJ0z8VA51sn4ij+BLzJN0kVuUly701r4B/8Imxm8 rEzH1KobhBUCRm4ifJs0i793Wjq/0ZwDjtRAAxp+Yvp6gPm+Z3DGfN3qZW3arG89ouBSNUT0WUjI CHX/zPIwh+mV9UF07WUxd+lEWOkHoKD0RbHlCIEYmo2RNq+W7k1ysaAF+uJ3NKnbro5eavJQ7q80 rr1lwdNRMAc0BS8lAd2FrEevATaysGi9Dd+w91NSi9iAyWsRc3HUwH2baNm0IkXZs+3R0qSNxJ3+ rRZVozvaZoTo8FMikds3gJs2QiX+f5p2QUDpysiPhMDpEDhvW9gPsSpLzc9rV3i8nwf4IkP9tQbg hNDY+tahIWgn2Us8A+X3fYHAsApg2wpuigyucQIDJ2g3jw5YiwDttYv7zsuAyru3RtAbl7wBGLMw b8VZZH597WJ/dG9JVkm5CZjihhSTiGzUKAW2GRpjiWGBBow6rIKf498c/0oMQ3kobvzN//mdwSg/ rJP2sRJYO6+TDOZsB035pNcFglOEoPYHxmKhi92KY3XEK3i/Yg5uzkfW8MqRgWdH6+UEV+g299QO dEwVwYoNK/5fqh8A10o7vTDaDqesL1hwc9FgQv/As0n7sD151N8XL4vkFbAySG5LKlgod8AKOJam HdwKkvOJPau1Yx8SYVSZ2Em5Ef1rALEZtR4BqRaIxYlrPLTupqQvnSmsnH6W5v23dg+I95COtde8 AaDdo27zAFGwC7HCNrZxX8P1TpR7lctn+u2WdBdmdUXpZvzXNAJ9+VF+eNYApTADem3zqejecITp EpDNcCSYcSw6Ujw0L7iEUR6uozcea8p/NsaaDOzyZIdXMQS23xNTc7YcU/j1BJCCQvdXGxl0GeBE STJ6hp8QVtCNrnMB+x+qki+sVkzSbq66SuB5d7qOUIVzDsNMvxoIHxET8j6uBdg8FVrbqvIVXH2b +Ydy4EeOiDhe5QK8EjFDHUJb656CJ1Txlsp0suFw4QDm0Rwiz0agPCjpAIAEJIhk8WL1tNHNcc2n zP0ZCwivabuP4zs9n1jYgJvpAiO9ibEu10H34wVbI8hy2tFW1Zj0iafZGbVcXx/byB5jKJ6imPc9 6KB5b8kGJpkT/LwpJ4WMIKNaI/XOoENJZDRVP7RM1trEuZ8fH/7wsfja3dF8NU77Hi4QYQ5by5zs gZWGhGR1fy66cD8zmPAV23jv1xeTqcZ052rayPyGqmAMBZ9f5v88uyXY8ebmxvEprBek6gj1cPKl tZ7bAoBypfgUpalxGKxC3TdhmRNElkuitFSXt3xPLfvTZ6GKEpImiI8G0LYIwhyhh9eGn0wbOSpY ntDoFVAyz9PKzZLXQlSxhOMrIdLlspCJTVTwG9iClEXsob2/Z7yd3Htv1ZlccBa/zI2PAbLqq+d0 FHfzcCaUsr8w2AT5es1OKCcSxziSFu9T1PmvJYD7kGzZXDKhvx0s+INvjtzM8NW/HGfl0lAvNq3M HcaFblYIWRJqpc5bTX8ziVHmDazti3lCx0JcKEprlosHFgulmJyfUN3DJXfAcPfpVySmgdWY51TK X4ZAlbL0TE8JbC4QxH4t4wr8G3H7eqj0wsdnYd+nSt3KgH6D61qQR/oA4BGA9dH/o15Yt74gMzuq Fq4YumGuTTUb1KBfDUnEc5H6Ti7S3xqisuaJUe0DmEHSUbRfYD0jcyJUA22ybEmCYiLH+fsRTMsY iPSJU6OFThU8mCdpOrxW9ZY71ZHR8Pu+pPb/vxiOnTVRaHnEUn12HZA0A1rK4BptQB3ZdSSRK7bG hLD5eaUHwLHoaXcm20+nrxKQZ3zJlcZ7ZmF8FmAjG5ctZBiWWaxrUBpjaKSqrxGGhpdLlFaSfT8d ODcbkvb136O+neuNDRKv13Ojqz4tgcOpNy2wlSBPRqWHCfZwB/QpDlefLANGnX24upLP3U9DLr+T /cMvWVhXAvek/wOiGJGPLJ37D5lCqUo0KDbswugRJiw+l3a7fZ2eK45Spzh+C4H2Y86fx0909oAT KmuCeptzl8wE+6iEgaUzn2c/juiiKzUlOaz8Eg9IrQKD6PMD6+Zkv+b7VxuOVkR/GiZRr52eRbFk JQVEIC83QJ+uk32/B9DaYok84fJMs897xupxDr1aC6Z5B5IzTXMeDHm4wxDprC+NcSdXEi81IuG5 q0xgPrMFksgSG8uwH1Ggi5JAkkHWedR9nDH5p8KRJX30XcSS3dFl0ALthIYuyDb03RqaWN3OoeaJ aD5YakbP1myqynuuEDYpVZzQbyPmvTKdf/PqMe2AeZ+DF+S7AoR3pTG688Y5HuuvO7vPTtELrcko tQ0miS7Ss/87QCmDphU3VWuV/XanOXHnGEAvcn7H/PDin4sxVNvFnlo5Dx4iCG76Nz+RgLpdTYRc BSPrKVdghwXAYhY19DWVzJ0/nbiiP2at24AqOSTK8ME89s9yODJSgT8f9KrSWYVQHyiumztzLa6R ed/k4dkqnqp0u0FZ9qGoSQk45aiOS8EhTdXqCIOQDvu6yeBRDxhbcx18s9EmpYRQfXh/jvnLZHA3 xZHN/mdo3uMjnd90hSmc4ooHSu8GXn6JzOidWQECK1lkDtR2BKikArS/GaNwG4ZvMIPSMi83N5Af AgFEEqFXRXzHtgFGJGBLjAi34ywWYt3A9sKriBHMe7G3ic88TtAnK84sw0uRLk7a8q23tCYZUujG 7t9xbuv7V2eWoMTwZZLWcGJJObxHFsFkGDa63pXhDtJTBCZe2gYgRPRdjrOIiNN+PM4dSqZnZnEo 2X+Q06RbFgdV+wYrGBtQTzLz91J0NZDj5XL0aEpR4n/NzsdSd9PqicumyHzWYSLQJuYsXSHFCf0V A20NFs0OpWkHvkJmUQVDfhajRhlKTamaHOJVNjdGIjdVL8WjBNxQJCql/4clSab4xWGaxsqm2SnR 2JsWjayReUPJOsuqm7luHVTMzoKc9QuvoM34NVT5XzOXYPrHDUTfurnqnTI0G6ggFUOkQKe6LPkx KQov996xz/vxMJO1jzHpjOVCUVh8mSndI27M20qLtukL3C98igXjOe3M4+vAKXIctu4M/fFZd1Oc za09RK5B+d+zgTwQEIPLA0G5sOfJgXI0YAru5gzCv+bb8BEm+rW8LT/ybUa49SF1KGSbxDLJiae0 NXNDWx6v/Ot7WhscKLZxZFkh7uLqtU7reCGDSsEert8WFfjLY+9QVE4oROvuW6OucZJccqp9FG4v Uk73jvi8DvXL3dRqs+WFebkNUZMn5iUUlAbiagNPFo/oaZz6SMBUkoxGDFvKA9D0plAfNdOGUa7X iL78IXWfMrSLup2PfeTaNrgzKwGz5KUo2kUbglAnKfiTkKzKT4PhL2ycbtsqjUetgop5bPUN2Icl +yqu8GOHLC4++2SvZh7XFC1FEzJRbK6TFgNpfzFmCK61tnbGtrWBlCidT4R4TU1M1DMfO9cfjr4K eTQR/f9v1s4q7Ih6TeB5vonYu82ZTXWfTVoPFTI2wwwzFr5NHmU7DM+UAUR77NkSh17K82pGJYOE WqhbAYWJFRaUX0Ew3wOHrU+oqQnJ3Zq1954VzCWBn3PsWcO6qgljdxE/d1hkEsMMyX79FKQpwptN oy3bcuW5EaPIlh0TuZtIhx6JPlEOExyzNzbdod4g5JELfzgRAfgHXcevfaC1UpPZ25EdOi+qTdwS 4MTiyMdEu3WCui0pgcPnASmGEVMIaAYvuRERw0wD/ueZqdZU8JKykJeb5EVLODYaPb+iJXsujSRV Pi4A4o0pL7a3BGvrpOCT/c+GdSJsO7Wd0yfQXbI6DWqBtDjl9F1DU4++F2pfX0MdKKQsbXRwAouv vSbqJe+Jo4fS7BhLzUCI/NPhYkW6V597BH4inX5SGcCRS3hE7Lmh17ZP0Yk1/cZtBpQapUXPDxDn JVQuslkHjkyDuDrrAogGWZy5BmB+sVK8ZeaXcdEztaKA3DYGXB55I1cKMgzvjqrTuw9k3V7dML0g yPTQnS/6bY1EwcVuJqhMNi87rGnNsJhFTtxvea2H3435GpmYuuS6NLztcBSXLM5b38K+/dcGGfHF OVghMNEOGXVCOP0z/tM9Oe4cMN6LwY9SkPbIOPeYqFYQSb1gFY5Nx8N8Le8r66DhimmQwj9BO/xL bs2eur+M3vvrVyMOk/4JqaVdqY6QIFtoSwbRLPKf8WY+YOYvnpR1Fc+NG32iYHv0oKuNDHBwkJYT uANxHyX+dyEOm3s5XEQgGCgcSrVRLi14l8rZ2uWT7bGupgxkzl72lXWW51/mKn3VL21H9+GazGj+ rmymhaRcDMbWSpZh4Ecx0RhLrMbo3fPTz+RJHkqMg7bL9a10KziNP3Fgtf6pvAOCsq2tpoCkX/Of oWMbNq1oO48YvQLcbx+YOBAhOr1PVcmxS71S9L+yndUFoBBbdPO5kdz3DtXkkPxJ1GOfr2EKWT0e /yOEGfV6/fLexbCY5LKCbVCgpFW9W8JEKf86IkJPKNedogiW0jM/l0Rq37ThvoSfRR6nCVw1G7kY aGyuQSsApNbFpN3ybHOWRtpiGkFlYpzOFBnMaW12gSehOWG6IacbNjDWCBoyCBkiYbtWmubYNThW +3CZaUnimTIZz/UgOLZ823JFwLCpxGS3IRf7+4unA58s5FppSMKdcmCslOrMG1XVlT0/377+ytkK WtbXY9qkSILpb7i4EPVsQBc+5Ty+7E6InPQQe4c3tIT7ZOyI9l67PrZ0iDS+vypiqT8IiCPSAOJO eVsVVlsFKHeXOIyuUc1SG9yD8kmT47e1Hc73LYaVaMLGZqsXelbjFfPKLefhxuuio48akHMjfMw5 ymKThEDjQ5iV611xWUYGKzgy+PC4ex3i60FUxd+n+JzNMD82mwEtpZr/u/GiF3rDcjjgL9hSt9Dp qcSEJA2uhq7yMnaZ/z3zaKtxf0fOJ9YR//HVADc2v/rEgDdUXuBlsXdlVzfna2iR6jgj0OsmGbog 9GFcNJR4u3Xh881ikQTJ7USCwklpndeWiUo2AXUkHs0qu4F+r7pjzGzcHSwRJHqXLblK1TxNJdZ3 ie76AKFeERrCo7UOT/zDCwgKSSCKeCf+1prKKp3pesblfD6Ezqvf0jRSEEm8FtfgHhIBIu66zgxw 17/xtkj4xraa3OU1HRn4ON2k3OQKLHlAtgDDMdcpdQB9ErMxsGzKbsnPCUnOg0QhCpr1rXd/crve /rfqaMjs3I1RFr8Peto2ornnW6xmV1LMhhIEDJA1wyMmUnkGjgEeH2Bbhw8Xi4BoFtsoz0j4zVm4 JbrLQGRKfsv2RP+n58qALz3PcAGe1IkLk1yO+7QevMfTQuMG0TXsND36dyviylRGEBpZiCz7qGxn ZXwTkvGXBGIJMVTaQqSIUq7LBFhqNblHue3jhaMx5/hWKJzpjzKN3XZO/k4JXXMR5+yNnpFMzPdr 1aU7aUJx3VX52cu7j6IhaVPFo9bUkHV3wQuSIHuYFbXjBfnzoHDENIb3Jx31xL/wqqf1cFxLWsPv q1SfAAx+cnVUAYyWCf9P1nj2zc+liTp0MJ46zAc7vkd4RDBDDvakYbwdSri+erR+/Kgukm2YTumC IKtOTbrsE++TI+QpSlPlEZPdHT2hRwzXWNlPeX9M+2aqKjIE+LP57Ucblj2BlP4tCSHQkgnG7H3t qqIx8atXonkriuodu+H3IVIDP/SMcvkrSu3BxfjTXyJkjWf2v4eL1AEzqurnikLkpHbO0nD0wh0J dGZJXsrk68TXCIRVXcV1sta9SmjkgGOeZ/miqqfuv61FxO0FSSjCiUZAHjX01JvBtRP1gEwCvVaJ Sq5mxlqafi1tb255/hzp+Ke+9LHx798tzcugxHvQFWSpKpUYMPl2z4Dnoa0BGWP0QDxZMtV3pjCa BdJikRiUeuAKVrw114yxEzk30mAB3ekf6yqb0cB85kjYLzPiHR5BtmydINFES1Gp0eCKqX2b+GAa 9RSq5peutQRXfzV6VLKdt2HrsHs3l85Hiji6ZMbZtnsia4Tj5aetsFCw4pStzps3KEJqZ3djdoIf UYIBU6EhsvyuK1npzwLWTvEEpMjrRvmlg3P+zdgAuze9tyxjPxcwxoFTW4ePlKyzniJpTiECtD8F ehO+xyTfzlM/z7N0neYdt61ANqiYv/STusr9ffooLQi4Ye0A42kJyb7rokfzAYB11imET+Pi3wrP YCHy2IMx+q9mrKnmWF/d19Vfm92sLrhbSGz21eIMtJBZoOgeijOmhOZK2aVScvy2tzadI9nlppid goRd957C80eBgPd5jFNiURgyYG3QE27s3pv3XzFze5YJhbiKm1KmZvOnhg/wWrJjXs+GQ3Pc25x4 gjvc87zsPx2dNmYhvirsXSqpxNbCKWnGTdCmby2ucDDVXzXFdkv1QFXgzotgexSu6gmdxucA4GNB BP8L2rNGTHQUMTm2duzxvROHZ2iUzk4vKMCyy1z/rSKRl1DHZ6VrJhqEVfqYWa6Xm4WhyFZ/08O/ BpuiCRVXagsdGnHcNLpTs7GbVjRx0LGyeKgcE6BmkIDta76mjTHrXx+CIHi5WbFQ632HYC0Z977s tadFuIkAY+nJaIkTx67Zv+gULYmG5uqGQyPOZWnAEXH5+Vf270jht4s7hlm08Nl4s+J1C+vfLMLQ 3SzwD26VFeH4ExN5pi8HHPe8p112Uskz7BmYO8vZJYvS+egF0YSgPbvLrtWj/NQ9ppZkpGR+2p+L c+dRmPLTj0HYfeHSFTiUndswZ/e3rQaTfW6P5ziGzfcg0Bn1MfIS0NFI8yzOF3Xd9mvG+QotT0Dn 8aTCQICNonI5r6i67WPrZAU+QQBh+4WOyGzswc1xpS4XmJkRg/mqiMBAADYwaBdPrdBoeomqcKAn z/+xvhSM3e5VqMp4Wnev8OUsEPzJH1DPoNrOq+cWYgqIGSzIFIXTk1AQsVuIzimVa2qtGj7jVtTU pZ7nUL3FcehQonUcpWNas/E8CDEMqmh16YCAcK78jV23Qh5Qgz7GKpnWCRhF/N+UIjonDRUBrR4Y eBaSB9wVlKcCdKtYr9N5b6+5pLwRBUQHcDNKuGatpwPCAIqE+Q4GohqJpMybktD7p4gJVIWlAAcI gIq3Y04zyUyLyCQSzqafDArXUt2HYzd8F+no7w2tmWrFOfmWTetVKCvtDe8fPvP+G7nP18HcNYcU 0iJ5IXz+frzfmnyIE12BCNIT8oc2vKL1v8qw6wZAvXQBL8Ona7dlML58xVnPWp7ksyvYyxGhP7Ko zxJQQGZc5BU851j+uKepBxjEtB2NbVbDlNlLDdMinoRsOIicsqCTKgsQH0PesUSyWAHpqyq41XeA JaBQSW+1lMFj9Qj9Zmxz6oR4A/ieaFhnl+gzCkfGaJ4VRi45NZ47NjXAjOVXI4qbIf8zClxWoyMT VseuzR2h8thfHCsNbo0cJNLN7FEPWJHz8N/vMF1aBapFngBALg7tTLVYhXIkESnUoRW0zD+rMfwU AMq2Pwh78VtlvDq4I8lnoNCY+spaOvfDYLCxasOghla8Mkq0yNDbKP/HsRnhzZeZzRhQqy1pkQU6 uL6iL9LiAr1eRMY8LtRdo+i7dDtrot8d8sXHlTn7tgSIFTcw4BA++AHuF5J/W5XX1nT6aL6VsaK3 1M1mLMPPr9ippOKmrSRByQ4/HpT6ZZgppVjtsTNiB4tpbsWTfIbJVygpiEDIEIblQhHsK1FenHbT qBA4022d4mPNyVznyzZM1aYEVG+RgdMoWhVr8CULqlHMMsg8h/+v+sOgllY6ao3X1M1RpqJUdLmU vwXXB8rpnbvnxOPrO9Fp0aYHDfCYFkmcgTi8auNLANrJuqzIjxnWNtp5V7Xver5RFRQUqavq+eGi 4Mk3zeeVO4kbzG2qBNHXXmP1Avr1OmDHd9EGsJhJGj7C53KBI3PoP28FdD8fA9ufhOAedXepfrkq fvLC89vbQZXNbdaIlNcaZHmMIF2B7Vw12JsR5A4WeY9zs29zUGInzxFncbR8hsH5T1LqXN0AlvV1 s+lH/NdAQxv5wd68vdcK/1i0yRNGzXsvpJfS3x9ebHq+9AwojT13yunH+HTw+bB5fwzo7Tr89JoL ++Xb5Wqw6MqiMouwR+UJ+g2ry/ARqL2G+5HGlPAjkRqWIS4cOw0kZONBOKK5faTJYRA7KDZEWKWr E4ByaKBPrDnzdRuf4WLyiaQgW/OiBQWYcvYP0FVBXAFgPt0ySiZDqpum6BmyQ4te6NNddHLStcKE bkYJmALHkergtO+Blio0YmR23aBXNaX75APDQCktnb7dJwBSxNZ12QpwET2MAE9bjfqdhefBOlPu kAbdyFbW6ilLbBIsecDhRcQbYEpOikCelluSmhzQIg89kYFhxw1q6y1AKndR7AhLDCSUUJlX4xj9 wQEkQRg8cgq++PQ+4RGBh2hrIJWrzu+wA8k3T3NILKLyVCUyb4y8O4lmxk/qAdPE9Waya+yzw8Hy O2rJ3qHMiQc4exr55pm22MzzTImKx9HcPheH2r0Yk7i815ClM5dAIBh8EJFr6jMyy0AzjYBmfCnB XVKDTrMTrdhIiHPv4Efz6t3gJePGbi9dn68DveFdrFkmT6bAT5c+2O+1qoa5ZPAYRBI8833M/auU oCtw8tEYmCEVifks1z6eSdfYkb67apQcpPZzH5olmrXHGx06X2Ygguvq2Ug/5zmMlNec6ZPpplVx GaD+XjBdB3o0NgtJPNYx7FA8IinaoFhrRgrBomQISvokITqZXXe50cynOYJyRlTR3ZW069QvirDp TwQrYsJ1EQsm0mIvtWVkvb7zHmOYuuB8JgTOf6wFSB1+A+LgiN9bfYV+3kF+Hl3DJQcR8Sk6SIbZ rUIdAoW8VOflOaOJ0k66KoXPN95jDXFmLNTY6A1u0LCbxloWHtPmtmxB0Ar/eAVwi7rfQ1U6+bww rdW9BjtDnHL9EgaX2k2dWDQkulkGzBSePCAJecXhRjBviM6srkaWxaoaJu9mReSHT5pAkeABUMdX gdYoT73Jvfvc6M5zk1fMrzi21+0b/NTdFnhMz2ACyOcsAzucyC/8fEPeC+vRQRsJV5UMPw0z0iyR /z1t1fY1SH1TjOqeIu1/lRgGP/TM3tZLWTsalIW1GRLWYFjowvE5G/dzEquiH8xMK2ikewoZWO2s aeQm00/C2Ys/dkj05jo+Cz7dAO/HHUrbNqxzHfP8AqSDYHZGqxdsnTfpELoY7QJD5TAKBFQHkvcm b62Tyg/A2fvd72QX1c8VpsS1VM7eoKqg4UBkSwqwTr3lwqy6uSU7ihRpRli7nsTIJOWMO5Qw7sBn 9SgO5GD7utX1hxtCBu7+B8sQAOa9K+tfhnoT0ZRECA93rbkT1fTD4VOJ1qOrrylb0OhC9lEeEwCW ov8wRmdsrAzJ3UG0c5lXbzo+FNmbshlKhy3hb+Vd1bkCeDnztQvVIoVyZkGo14omyj9Z+X/Dw0Ts mO6WBbhk5NAm/SphPmki4tTvKsMBSzSYPr8ObLWKZj4ewcdGh3DYQW4Fx3Ihqc3lslg4vHuVYjHE 9toS9+GmH1zUn1TSkc/EUMZd93OXY6MxskT2oFzYs/2tB/QPfFSG7N27gQGvC201ki/9iANap9un IZW11Gs0Q7JYI/PWt7heiUe3rHDzIYMZj81cvhrHZOAYi1bBcrDr2bzUDsU/RJQdctLPilHNWixi bCCS53c8LmtDLVxKa3/sU2H453woM5Ck6yGLvDXfcGHUVjhTR0DapvOf5a9F4lpYWNXEBOOBuGA+ WUInB/DTDWA36s80ftnu4UEugva2IcNk0sq0wIpvcPFbNhA+U0jOPB8zflJvvvXnx45M7ijlXgG9 QTg80crbjJsVJnkreu4gbID2fvEJvly+pKnk6EPARINkVb6lBTMqpnl7+TQY/dSzEAE/q6XaOCvk QATc3OLqNJUhDsi8WFftn8agioTTZiQNqg2UKMnKoJdbLUzhHT1K1P0HQp+uaMH3psF8ZOI29Ekw V9bpQFGNKCeJv1JYJOhnnH2Ta9xcEhcwVEGx1Hp0iW8mZq5wP7GxeXmrOLXygmObPD1fFwkrEYuc YoED5o/F3ckzaq8FRWWqxIW6u277vNPAK1rfuis0Xt+HwgZSSlnoqSA3cd/7wBGvuCgbhhgn4kNj igNspxwTx6oJLkMt5sO6SjyBnWGbH+956dBcXraqSo6f7Gmve2Ox0ZZsJJiGU7ZTC4XZUxiJn4qv yoiFO2b09YlP6iVIopBdUfcbwy3etz3C6D8/p2+sOoyOfCIRuxONJgk2kEDVwZOtQlVOl5d31rAG nEardgxhkUmG8ukcNq2TUKyq4G3mepRTRaxvewwUybi0KO/QAvQ6IEKs/fEYTixRkjiPedYv0W7Q 0f3amjhhVyp+8ZFyjzWv7+RwpZhD7Y6ipkifsqdHoTUw51cnNoTQUqh/AqPU/VRvlbB8Liw6VsTs 9SCSI27dgEjNEkj2sM+GFaDIAESN+HvtefKdPV9zCzcbRrGL1XpvPJjlVy8fHf7+YGsecNtsurCP J3amGoe2BonxHjaB4hIY00HVeYVjC0gcESTOfaMj4W6SGcw1jGOc4M89NyKi3GdW9bNaWFahbKaA AxqaRJ2eg1s5PulaLVmHTxHWSXZ+k8S2fpO7DsqbToB1KBxHHSSRTrfYDIgZJeXZKQ8HsBMO8JVF 1CcANxsgFG41DfL3saTD0oUDn+OG4HIyq7ijv99IctiTkAHo8jfPwaQrPmcFp/BlFfIxUj0Ytcwc UesIJPR/TYXm2aQY9hlQLzgERQNJniUQDSqxpk2y/wf3Rwvnne8j9LtVLhgNU3ZoK6EHcXaQ5Rf8 JSNdV+3LR+pte/Jy0gTdQSbE66LXEZFWqHfnwBCgCX5VrzAadtcpg4CGbH+j84K2eusDR+cLxeF7 vIFbEvPqqxyURoLwn1lL2u37cffJuQF3Ejjte7m2K/UhP0AjVkjro88OdUVFgQACxrOJJcT/P4rc +0HIvHtBQiKo61sO68Mi0kaeJ3MdUA8JUV9A6byAe1gBcaYybGEZgQ5Pj37iazQ074wrWLk9xbDm p38xAZtEqF0up2z5tRQjNo9PzKcS/mv2fWwYvASTet1CmZe9/buBOlGwjlJ6jnc0T6myHxHBbV2v zspDLfP04u/6KXLFb7ZR4AERsYj5g0QUZ1ctObLD2t9/rQqlOk9jXxLYxL23SYRh+ils4+IDpIhR d0WOboiEuDyB3Pza+y3YVCFG+cW3xjP9Gh2AnEtKurCaESmteIFb3ZVOAFcLl+t8w5Cmu8dyXbQz rBxFkSRE62/4Y0+KRlSqOy5PYpFUdXG5GTUgP2uF8tqoCLdXFrbKTxVRf5UPCOLyA/IYzhaRdMLV js/Q7unwuCu1ydoIa+n+gTvfj8VbPHV/qb7tkuDGquafBQoXS1JBMc5LsZg+iiEWp7Z0FEuIw6kv 14so29HkP4lQQqwbtNS8qygzgWGqNEyapCsCRpz/8KnYeDTDtaQ+56SM7QF/3YxZTn6mayTw7FoA 32oIpP0RvME7hfvXmqX+JzntVMsyiFdTczUQnJSKdCOw0CEvtg1KqkSRZB0gxowB0NEb9O+VcxAQ RdjixFLuFHW3/g0U9WZDKxMCI55hegVkNL7Gj0PEPcH6H2L3GC5i5P3E8+bnUkSBmOfv0yQgmPwb E18UNK5eDEPzyQOi5b7V73jRjkmIXiZbBz5b+jTG5v5lImW3tcA1rOrkcRwoSrUwedtXrljFvzus fsY+52R2LMVyqH8+Hd5pxxodKI/PvetYYY/4VCVv6dZgT470CPI4ZzCJtgs2Sjsq8fe4tU3ajHWJ vtyXYmDXzLvsHJvLICuQRWJksxNcnZ86aK5BMZEiPXAYwpLMPVkDhf0+tpSyYHU8fT7K4qHTkOzm j1Sx+HlBKoCIcnyC0FQuLCNXmoGJBPzG1z1GPHPoKlVr4D6NbxAaKQaDS5e0tQN40KTi5Byt6H/v FY01Qve3jDRWumgxIkMKOnab4QOXd6mnNFUkCZoqvn4SOjVV/o/kZf7+N0niu18J/dM1B4wD/FHf edCK1194NtQeSnWZ59oekOVgJAVLpRu6P3Bo6Jchsz5PWkZlpf/j3wOKa3tQdy5nJaJFo/kmSQ5h gLCnytYgz8apl5CiQ8N8tPYDDYqzYNx2tHA/xOQ7IFlVgMLifBBJrg248BMMPPO+UDvvpJib3LnO 9OwOgtnocpGYA6L42iAcBwpY9nJ/HWSte8tAI0PzOg68EHOg2LinvL9TPGY05bvAuHyqT+6YRXAg yyVs4RUXyBY8wlYpiJ88oMqM04IdQavkzm7oiag4qWZZgperY/3zw7tBikllJt4bYkvYJ8I8seLC hOkCfIrIcZcR7Ua2n6NZDSyPbZv+l7JUcRrl9SwSi8vQ7XBuJs17rMIhsdsPY8jqhBWlfL7gMYLg r/JC1mX9gG122iUICyc0DWdtHqlW9R8s5f9nMF6av/VlRrNElfizAkexTfyGj8bRH3Sg41ZENs8L FdZVH3dEUY7mLNVlncQDFu7dd2i/uw++y7qV/YBWASB6P+Smd6aiq1MrvvgFZRmGAXSVs4KPhyDo w6/2JOmH2PHYhTzIiWAhrXBecm60nS516DHogWVGD33IRSVd0yoC0ar90nalNhWC6+wDq4Q0x83h cKpD7RbfvtvEO4RNGywp1202y8KBbWTF0FEkiqNvcM7oQUTYXWJsjV9h48lCrJcJ7SFzutLoAHXR MHKk1qjiHBZb3Q0hapvqXIXkCWkkdhpadzxriK8tRp5RFJf798agfEtKEjqtxZR8rPGPyRKmt2XO jjyV2iQqqkdjBaybtQ91yX400H7LNcNmIOmyGYhJ7j3u7LjM+PH6as+nEIgoUzu1V7/ALXP+ZlIC pJdn6R7SlOp9D0iYNcDWea/i4xjy+qggwy2KvIqB58CG679jAn0/Ow7Xy3AUHg+V2P0NCTtadYbh 9sT8aq+xlsSJ71vsx/x0M404Mj/FRAcjXPhf/JD7TOfQngK1bi8jCgfkrcwPuFgYpJWcFEIKXFmK LuHwpCJoeWwQ4/7nvNBexPgASNfH8W9WbEzXzcEHDxkg+n3xP+nLcyv58J5PnXrBkdoQMuEmEu+9 k9J2gLeRt5Mw5vUwkaaCuZd8bFdrGbRd+Lrg9rlsH9JHSi89zKxUbQ5UMFBGgArYIPhhXzDrFFxr uahzkJa91B5/6TNHTyUJz+6zIAwQvQLIrhiS6gXMz3v+NOIn+nnzhZcACPT7Dl4MdtyYxNIyg7wq bfTP2Ed/h5+qgAT6Og5nd7aVM6ZjcLHN2S9G9fHUBuNPUKro9KE51BYOrovATBCvuWigeqtsD22Y sWeNUzmZ9KnpHZTXC29x/9eY7JjKH6706gvoDU1eoNowZKqAqldYoPMGjRBkW5sV5/ym7rUI+9ME iK14osXS3Vmgo7c7wkfA69cQ1rp3xjSvzStvLOTIB69VI68qbDWB+34kFEguEpkG1qQXKPyMGbYQ vQJKVpuk2Ywxq0OqpQw9uD/N5k0Fmo3y2ohsD5oU/y/bBsCaZkiveFXDNMqajX+b831LV22Wgt8q f9U68BSFU651Plq2aKmimD9Bbr3GEMSDrUkTQeFt5d3B1I5FegFs0tBM0Rk2bdOveh058GZ5pnep m+jkj1l7RMt8yz2Qn2Y7gjbpEwS4uyY27AUaV+dA9iwxRscXboPTPNMjZuMLZwSe0njp2oysZ/+p LziRh+83MyP2qdEoDTKApnQR/dLosTxcu20ddEk3KHAj9Z0I5qhlbOHN/CavrBUXF8PZ7HeyF6R+ /1Eq0D9QRVdr+SFqA9gbBnxLpxuJl8mXUERQtOwDEkSagQYJCg3nt9K7d4o+dymqcbn3mHvManPV jOyVzyhWPecUA2Q/tEU4IA1oeEyr06JrR9HuSJ5LLQhWFRcZkhOFwN6JZ0M/r66caWVEZm3G5zyq 27AtAT/PHEOYVyD1wl/arnoUhHvCigKEIoEQ+89hVcx6bkTmjvpEqCzbydmWW/t8dvVCEVNPa9l3 +JtlEsGhomsjVn6CyNfRBSfDao+DUW/zfHthRZ+V9BNFTO0itwC6m8O+EymMTxo4Pi3lEs+9O7jw 7wJg6uq7hImhRmle/VwsIqW6N/N0DX2hUp8ijckYd7xmm7U/OVVBlP/LoQcWjPPmmCAZIiwfRYMG 8icpKDEios9A2NZ5topzi9isbVYD91ClePg+g8siv8K7sh3A3pcJ7djbKBJ2ibNfjG58wgWQI4CS zcTLVRdhi2liykwHPwaAYnHfhUUBgCsUpre8csvk6KKuOKzyX//4Aqr0ituD8m7ChNHBpmfZcYGH sdbfpigDKhWI8iONohdyDVVwN1xiABBmAk/XqZvkBhIvdECIpcPODu0EQ+0MU4gLw4sIY9MHrj/5 GaMf9xwNs/BT0CRgxYndlx3uwtOWWLhHPhaTBgxa+8ADzQ71r5PNzXPPKKGNl3g65+ZnsKkuvz39 ImjrHj0BDU9hZzuo5eY1DrDD9PWN3VUsm5SIo7YGpNWpojdWvAURW8tk8auOlRLVGyLRCLI24/7X rIBKGlX6uJE86sm+aCGWXfJ1g3/ZCfgzrryWfuzm7MzpeyY0ocUSXYYJ8xO/DsHlqNr9L0YFuSx3 8gyzPI2A3fVNobdrMzpO6aZxSm+IpEN6cPgRNUmKuP/enObna0hsrQsxjRbPSd51Toc98+m4cs3D wjafa23tvAY8HWEmbJYyNxgqsQRAEPC21tYZrPnsWS3ASLEHPVZizPapREUU+Vuamq2HkGhRNnwV wu6CNOnE16aya/R9TFKA+/FhKc82OVZhBYalEoZuftt5bChHHCxNQJYV8J5lyZO//sKaoXoz5pHW qnDkSFF/3e4YaM6JLtrKa2r3UFenwAKoPmmWQV+bqxpgO8Ss2THRGlWTQreoPjkWgwwlYWwhLchi 3WMOHREpJpJKXXcaG/yiTARBq01N4dp+JjZ6lGuoo9tbd6cudB13AzaGj9HTCW8eKuRdF3NzYPiV A5qEBTu5J3cyoAXD9y7YxEt4ItqfANsRUF8KH7dQqmclqD4ZJaoORy/KCGb+UZHKWYg0eZZ+n6ZI Nkh+FWNweXH6MAlYOcpu/xaS6b2W6sPA21Qq+QhE/9M0fw7DnNeS6Mj4H8S88EA3PcaNYenBuOnU 4mobMVcLyHkLvePtTJB5OzY4qvSd9FXDDWf76k1HZAvPeEK7TdApKwkrVBZJSYtYbvBRYk4BgAk1 JrJG2k1KtuwvoT5FnZjKTT6I0FWKGdmEg9TG7Lyr9l7C4lP2FM8MHTny0PnHoseHTixYneQ9Er1o F3TqG29xkcB7aWWN4oxlFKk98IHzE2cesf6J8dJREwQlSoglIYBKWAXQd6s0IZImh5UXGn2m6e+I lGI2uaSvb8fiYWZrp9xApcPf4xjarATDMmciPcXsnhIovk9oKP5bYM14DQe6eMRA1hmY1NCccrWg slLKzoykQOMal/pM7YLVQDA0BOhUmQqMeMlmFK+6AYqwncWJG8H6W49ulhjVaJGfCuXWt4lUP4nJ Qfs0sale6Bsen+EkcFuDhQniOW1jo6C8FjqcldZ+e8PzeQg8mH5fibb30Yw+RviRMmfHmgNspnV/ nmbPB1Z0DlRLo6pytyVMzppcQZtxXLw7urMklvAp6xTJDJyw3E0+r05BIKkSwkUpPw9RuFnLpW1C YkrG1E+4orj7PKL95w7W0aQj7UKlJ5Aq6UPtYIQNNIZ+0VRCDb2on8hkS04S23hJQ5bRVufvtPJ0 l7A46sTcqKA5UqQn8XAgODX1wCKsGqd8rPzbtLsHOCMeXEzK03kXMgphfKHw2NVg0/UMR9XkdUKJ AuVB52TAKjGE8aQPq4oUkzqXsI8kUz2prM14Xupq8P1X5WAopBqcRS7nZ2/VnccQzNxr4GRteGPz LBDEWzAXZOBCHn8dtETHEkoggTt4Js3ZjNXMSBdIG139cIlRkhZSpiPd0rtLvJMTThfQnUMzkAAm JVfbCA81xVDR/NO8CH3/Hxtdo+U7tmPBwD27vawmCsU21XSd+ZTDeKNdzWtRpL8o986F4yeZObkd 1T14kUaE9MQ72xhkg0tAOuxgzy24Bllke8GICm+OS/QjmDMpDW+Y8mlkvtT1cStZDtRmTAkNkeMT CuX7GoYCCoJTvXGrI2/XJfz9JMoFr6aulpwAuckmFVoHEwH/kaknDAEi6QGZaVYfkvVGV4NXV8aC rbaPzj05pCNjm/Tdc6Ag9fbJUY/tZ7K+7RUSUrvQezP6rQYkxxQz6wgpBVvSdl3yP8Mf4wj8A2af ST+Nkv+3HFemdzXgewi/+c04FTLZ03sVmPNXf73QGr/qSf0pqsPpckMbAvB39kSfU8iYpp+QPNo6 mNfHA3FUN7+zEnH3S0AxFVaQIFrqewp1se01+KilrjJPQxkMiPLLibe27kbpQO6czw1nbqCq57sV NEDpyLgW/OPzzoAcDWSZVotbCKaobmJx2VdBwXRh83JBdHtkYLlJTiDv2e437AsIRP7tgVLFf0oo D4Kc6SX441Vm+W0127P40rggIl6ksGItJonMKCoxMv4Ky4DAy1Gm+c9HhVuCY9Z3sTDxf46Nuhs6 dDPJREijJZDKLN6H7nBg57fwh1lbiddGDO6VZpQeET2NrGbeRypPzc/irec/Hq+tY06RZkJNUfqS kRojv586YfAlrSzRrIVO3W4uAQenYQ+ADJttViqw+B8bYbxYeWbqfmT6o9HYKW+uXYEO1u76xD18 c6yduJCAKL5fyeBN92GxIrbb1HmomB21LrVmCPB+qzEa6/TIUxUoVyleRJkMzPa31atFXxKKjrqb 70R4cFt0BrAAZ4J5OBs/TB2XwGo8mqMt9AAoijn1gAFs9Rr/QFIWb4bk6e8M6S+PhVcThulfVbg3 IYXrgyNYx4nWLRVbNGXcFQvFqC9osWDFr74QOo9uLxYRq3oFvl3e0MscsLVjyFGJqkNIpAOssK3l mmR0ANjzYpPjV/0khqLuygxgAxPQGHeu9dHHGaq6sgW0GbCiDcHkB3ALLSonDejp/gu2wckAEqLe Fgy40OwOwHHgfc2cnDMuWU2y7PsirkhxrDpwzSHQ9FVWo34tJJy9xycbfYTwBMPOF6HZM4BkJkLE i4ct+LeZSqCuoBCyCq1wS8RJKsdlKoFYcGx5V3AgkTczc4gg6cgdOd3i51FC64AWdhD/5N4/MwzC nsW37dY+5qiMBkCutNqoS1m3KwMeMuUN7oS7Oqw6k17f+oWrayCrOAqqcM/Czin0gBm1IlgNNytt XdeVWonxMMvJzIc5Jcfe5lZOXclyqDoHgPDfWPuNqyfe/OtJrFcvQ7GA0GTVDJ5fbbpKyw7z9H+1 EGveBCbDDDwEDbQEO+kNNr9uP4bJpkVuEOYvpGfVSCykmoJZMdVtV9Lljrn4Szo80NtQNBE3+z++ yeJBUuhtrhsDft8QXl/JAYjUW7o/v5nWY83TNTKX61pO8TYCQvxtgQ5BsAKFlA0m9kDPdi50FUG6 vudSYb7NMfdrKgAy9JuqWit92lV3AFLxvjaUlFWdLr5kOqv4p6AyRHlFVfs+U24ca2AojVFPQNMd M4qJPG0KWwUB48H8VjrVr/l/yEvkPASTU6lcwDr6faI+jHk0HVrBciSqcgonRXqvatyB9MmrtOjI bNzqD62Oi9Dh2ZVEkWZqoI3LGBCLbzE0UNO8CwXX0LRyBmU6PtFiF3uCr4qs3A+qeIGhOriOM7RU 1HIUfzOGHu78o3nW03B5cZZVzSioKnbr5LlCepm7ChMwjbHiQdEFA5ZHBJfl6/nTVuy4KkKCk8Rd /yo0AJcyuEf2BSQNriOGtNjjsYXPHU7D4enoWNpCPb1LHd3PfD4Lmz4X0OxarXYoK+WycDTe/0Ph UUGKYVx03x4UsBKYT6W6jDuIi3gEgMPtJio7c+jvWJRk7DZ5Hhr83K166RVCaBhWYcnMfQVzJVPE R99Nk4iizTPuky5ROof+MVk413ttfo/sC+cO5JuiZHk1I3hO9zSv0u2Nd4xF1wV+2FtVkqbs9iqF mu4l0KPtqoAe73QzeFp4zRXLyLvaG63zhc+BKjNV+qbL5hfhdJ/a8bEwvV687bzmxxls4rYQR3a6 xVL2oPFQubA3peFkfNiV6SJf6RDQqD8D505seWEyf1nAqJqkkxlvNpV1z8JWWA6ij8clVmvwF56P GZN4YGDVXGwYVtwaLtvK+EGsO11Oqdqu32dDojeaPy8FxOXBmRnIMj6Uzmv9EMZ77rzLjUOJYC1z SYImAiYOaLesrTOrXcIZ4pn/GWx4mw2aVJQ9GF53jxiKXD4qI8DPYZZwb6kvZAW8U/OqPjcQtfuK eUhyInh2aMwbfhbFnGMVBl5miwG7/YUhYg1q9ulLC7hyxHhIEJZaUOeb3VTazszF/MwqogcSVCIs q9f0XwPtm0BpbD+ndmFQGVXM5iZGP424eUNy57uzfGlbktdCj3rcL5o5GuGZZ86egIcNjM35oJzz oJL6wQTAgsDZmyssh7SwHjjueh/xpRfq2Q2ilA6D5gp/YJqUPgbb+0spCgJ2tUH25/ndsi3x0yeQ IR042F2FILO9uC4U0Ju2oXFnuI9KBCeBNAQYTFk7FoUwM9eYeb3Rkt9r30+MMkx0F3d77Kb7IPYJ ftCXTu74DScxMNQyI0WvWqJA6B6ZLZJ9TwqLTGlB+aIyoFNHDfC61LnkODhdLwatH1J5jbY7w81g 7PRoNXzsUl92hXQ4ehgTt6Sf2ua13A7Zjl1cCxMsj07M7DtuWI4bGDxhTyyrxlydUZYkJeelgKxz AEZW2H+6dZZAENfIzGafyiYx1hr6vyZZ/tub1TCxcT8WgqZrnN2gh0f/0UEOLovjXMxHQiRwNFl/ 1u3nJIWL+fbw1eBSdIHzdshaZPvEes4Dd56mRdIvAC1FYvAelbkdSV9tYRbYHCkEw4Ctqs5lMPJt AcHbl1pZ2aa+FB45LiBw0qBAxOsnvXF3Ri3h8SGER8yIyqWbz2RJkPLvceYnAIQCo5Md9hQ8p4vV qWBOCZr373jhUtG596J82IcnsfiGb87FariiKnGKJrb4Y5K+74XO4+l6zwPJh4jXV6scxm5DYVsf JNYQZ1+vwjNGl0dAt30aed2WNtd7VT9oXALlV6FUbkp5X4tQG1lS4conDT4R6ER//3qbj+uPxsoh PiScVQJY+siBXj5P9QXtGXguxUGKS58EsoT9NNBdPl3YweyrjhzBsPY0Di/DKFqLEqrNJ+a2Q3ix xju7v68r4fBo0/3bj1ZkoVbMY8aUOklTpwfCWpfFmeUdWC5WPaLyf3DMXAY7i3Oy7pJLQIsbJo3z Bm/ucLK8bcUBxrZ54virt/v9hEbhfjNb0ib/baJlN6lDoLbosyn4GCrs8wBBwuhzY7iy6QVeoy0j NfNBsz0bFXrV1ZVMhKBVv9D9SrEypStE9qPoD2cbjRp2b7aLycCB2RQY5sjjvNsy0QDXR5eyBXlp M2lWjAeBCJrcS/KC7hz6AjIOfrT4NfwndghXZj+c9BcRJM7wKYDpwKbNou44Yh1DwUtZQU5E7tWC usIdtCdbMRirPYs3WCGzVsFGsG8ShhSjV/JGC401EA3c6EZa21Abj09Z1i5No5Re8NCy2R69hRb2 vu+XKLgFLus92QbdFhaUl/FYjZd/8BK6fVQ12Myz9Q1an4b1G2MJZiqSxTHtI+z3hnC9hp7C9SQi Ix56/1YTphePuA6+vHs2KLXbac8CBnufd8nakwFCe3icQmsi7n1xDqjPu1PbcpmKIIg3F4UWuA9A kiKKMXxQq8EYcv1ILGqTpRDMiFtAJkPgN8KWPt/s40GaVDvwHH1M2SkgGuclZDJJaMPwDeMNxGGI ddb9FbmXosE0ml3/rfHf9PILgYrRxVW5hiz92CzsUUx+fFQ1YYof34a6wlpO7g8pqohILTWh+jxa d4TKPZyy/LaRUEqwIqw/J+3URApJwtmb3RQIsKgMkeQwzQ4BwT92dFhGPKhcnnJyRR50Ge16wkdV 5qDc6fY0+1zsf7mHZ2ATgIujIgHi9uipmx+Aq5iXYMOljc2GtPxkTpcH58toibGpXYERXuNU+lp3 LJRhVB1bB9aKdKjGpeNllkLxIPc2IiGqf1G23uhfau+reWJ/84zYPFMZbeGQtrw3pQZ7oEnT+2kT n6nYCTUWfr78SKpLOTcp2a8z6GcMlj1Ejb/jvGU9keT11SBSSKJxcy7tEO3azjmtENLhjo2Zop7l bzIZ8We3yTqzxeNf0Wj+LnB5BcSEGbATOV55LgARZwIzKf+hMLO1ULG6Jv5LZI1r/IMbc5Q6f+m5 gA2JJoi1CJ7jdXRDSdnim23Kr8jqjU0NnyWE/4PION/VW+4xKDi4Dghm2fnZpGx0jtMkN+59uJuK 3Bnuh/y5d8V3MulB8JURhfgn3YYo5JjUlUuHXLOS9M/6KBs7IhGi7eQloudFLvmzVQjqKUK6Lh2M 5acA5/c4F3txCyPU/PECbuyU+tb9Gdu2Iztc8KgM/XWHbU0simk6fso4Ep8wZzeSAcRP5turNlmI ngPpAPHPP+uYH0jyBLynVLw55Je2XUws5orP+wD18ilM2CUgSzn18IYQylz581sxZdcd6JY5275y e/TnxMr+C7ZDsl2rfYExSISTCpepMhEbO5WHEeg7OqtLYtOsLNk2r04Jy/PuTUlzVKODZZT3JOvj CWsQRU8U4RWQXaIn4T5aaVF2EzNDMda5/5W1ohgEzXX0QTLBk6kgd8spF8QZlwTOUT9LKFs7+J1Z hCoQDqw2SweehUYlXAj3WMF4HNzsGD+XHBTM5LiDb32FUamBHU6KzC2DRnOg/KC9SNOfcRbRvgpv BsTziqVg+rDYy13pNJJbsJWfpYC7HU1N5q1EeqySDcoKFah2IKhqiWwYPE7zBubeEK0ZDsMC/uT0 kmlUNw0st+03hmsbXX7m/CIHETGSe3Jw1S8c3kvgWC9w6JoGRe7QB8E+JCwICcvTpj+o4Cka4V54 elZFK/L+UnuF9TmiQAMhhqZbn6eCollF04wLzd2KSTxLWiTUK/6yu6c9R4uD7aXO1wdqqU5cOj3R luaHDCjrdtdBF1BjJ76HFPbgNGl4QVnpjHyno1dXXlX4RVpOUZHre6uw2MEg1UIrBg4FJHcGiiAk MJiqPnC494zgDxveLFM9QJdcadV/EXl8WUW8Y+Jq9HXw1Nz2E6vbssWHgQ73cEPLnh3tf619aPqC 3Ls6MogQiQtKjlfKTnqiv/UUwCaS7Gqdpp+U5NS3pN1uLaLCcLw7rJzD9wd0DS8nH/pL8CyNveek OU+wv7DLJLHSGeAbPQJFFLoA0c6c8Cs53yl6B7stwOiSrK/q8jUzEF3zZ6IGNKjsIbnJdjMjZDKw WQRCKLjB4QA84cWlFIxlBI92iOrvzU1zr26LmN7dpPgHbZAikmC0mWnGWAkbFNcek7WaJ/4IrGJI zsvRjXCB96d9ZYN7StCKu0aEOcDJ5WiIlK+xFDfRqKu4zOTp7bXYsJFEhO1h8XcFy2J8EqB7YzjO FDgbvz6n0MqmtRinlR88D+yqeHrsl2Skt0zXJPeUiubJUsFsVwEBFvfT60iClSuzo6FboMnfa/od z1QUvRQttfvyBgswaOJVoQZRjHr0THva1+STE0mpKBZCRET/o7dlbT5jH3Aj7FRERrH2BkXot+wQ GD9Mv4dqf7DTbVplFAHzBXOIIM/oPKC+N5hyTujVpdvxrbxr/qaJnlIQ35keZYfi8gasyF8WyfJb oz4FbdfJ8ggwVIZ3w0kyt9TTTflnximZ19hk/+Q+1tXz1ISCuB324kXC/V9kH3ceXRzM88/s4M0g zFaxL2zyHsSStt37uKvSHyFe27VtwPpnfmwozjY/6K31Ne414TEqnqxydEcmZkGJjfLqDN6KSvIt b/HMZL3xQ3sa9jiglyAsmgjd2OFziosRSLrqNpS6dP4E4h7IU0Xb+OmlY6OwovLIcqZ4U71YmHZI b5EMijih+LCic5ZETKmWVOL9nFKL7wO+Nxu2CkIInuLi6x6WnI5MlTlAgY0zpFEPHDUSjCsO1u/8 GMHJy+CvK/I21i1z6D66WU9o6XbM37WigAiVFb5ceuw3H/6eS1IFX64ZlA35CXADJP9syOo3idme 5ztWIxCqioCeiP/cbPYydclBO/930leYgOiUo4Y8as2A/NP9Px17oiShzyuY4zO83dveVSgQ5iRk Oc5xxbgBdbA16prDTQQRwmlKYeBzYVA53antwwVAV5T93Vc+YrHNjjZQn4qMmouo8piGmCiYwCiv Y3YwDrYNcn3GozWDbN9FmsFd+8jIBSRpP98ERuN0B5vxiEbl2mPB5z0IvDQab6v2l1Li1ODfIRcx DRm8mC1h2oqwdYh2lmy21hx+sFJmsMlJJ5dg+SgCiWIiq6pr5bXqCBUnwUcFmB6MwY8u6R6z6tZd 7Xa6Enb2Je/ZD/7jpDe2Q2OSsJ19iwFCwMaJY02PfppLuWRKHANKJk5CDIKOKnmGepth3SIfhkOM X4q/7tm27rTxuv9XVBMleS6+Snaki1022/QOwmeG9ZhVQaDh16QxXDvDtRPLtTpn7KdE+5wdUcb3 GaLRdK91ZCHSdi//ZFZUfOcCJCHd9a0mbmDIeqrkcLMajQk8007WpmVkrVljof5xHIGRc0DSmt56 oLRRAbTWHXq65LbgwnzAh4UshLtUDwY8uUe6xPyPb7SM4X3IqeeCajPi6xpUADG0pXUhx4vZDrwY S3b28BRhaxTB5k+M+qJ8XDjWRT69kg+10pcGIG+ZlkQl7eQaZvc2a5OR6I4UISWKaoRh+K0mXm0X wEPgBZFaotm+oa0kkDOaopkESx+mYP/vW+gyyVqJPhBmy5B9Oh3rqbVf7cD7JC1NqoGZYiQpD2KX 2QwTVnfHmtgX7laOnk9dsYGNUH/G0QRR6jxCkgmg488e2736gkWLR5/YPqMIQDhO6ofSNX/b7c34 Vsz0QNfXYj5hBCWIZzE44nT300wpzbiU8FGUC8k6DXF94grzjvAxlexogVakv22AwOg2yN+v6EgV KBhYxSwcGZK5nWG4N8qbe/v2HBB0CV3ooIBT1k4RWIhI+6t3siacro0nTnm64KjG5bZnyZcvhFrQ 4ZNYnMwATQS6mbC0us4ZTuSKHjIkhqp1DVLZ/654ghkHX8sGByvNUHpKYVLMWuj5dOa0grr3iJeT tzzFxz8zi5O6+3zTZdgxt4JZH9yGAhTRCZR3GNvwwrDRsZk621HjZ5Wt0RaoB9Lx5BeTfRH06mOZ AuNWHLRwPS02XVMIu9Mjz7G4K38QUNoB4viA7OAS2y/guSeCNNqGR6BnVtDPzFqB6ByqNR3xSYaj B93mSqqwbEsdEZigkCYB2exnqx9o5/+3fgrDa+ZUFbJ3xRHk/Ry0S9ptTStGCVRbidGOHMcIkufz dR7CHK6Vwmxyu3KhfYqtdNQhYtbhc1LUYp+g3WVEu7fCTOzLRcHzOQUSkgulB0kTYbLB34e59o6e 9HHOjin7HkpJWp31aAhGfUNPCMi1E+PFpxy03X810YR89Ruo9ZNaAmx1p/e0HqVCocqr10pHX5LX 4cEwOc537P4QyWxbfi/FlApXF43kG/1typpYnqDGWXTokKNXkk5ESUaccJipGky+Ow6K5XOQ4dst 11KRQKHQs62NuEuUxZdSFLxqYqaGfTBiaW60LTXCOPrNAZoJQduMn07WzZwBeAyIJJpRL97EhnX3 IfzW8sf6eWj2FscwLGzBMo9LM5X4mRsUFpG8cZEGNwTvkzKgzQ7gMGLGgWacUj4LtloWNvhqvEEU +fKA6qeAmBy26E8tlSffJ3zEju41GNBBjKBU8huEvWn5zYIpnYpcO7jfbfa8t1Jcfv1XbfTD1pWv 0zh2BjooZSyIHcoZDI4oMeTGR+8i2kFJKm/sDcVPIxPOKZKZ6QAPXmaaTiHhkBWaJUthTAYU5POK Eyw2l+iHDzATOzQi3RYioeztLACmrKa7q0EE/ehTydjUAcipHNm/NOMWUB7/MqkwYVW48U4hCnjm UFzhRjaDPoeVIFEUI7viGvlCBuuoES9LXb62/GoD5ahTvWua2UqkGDtohGVVV+MbDMNw1cJCtdo/ 8L71CfHumRx0iE1FK8mI2hslkNZ3KpyP4p9XgowjE8Oy0DvrNQv1NW5OmvSA4PB1jCmshK6VMiaF AV5pzc6ax+VAMs+la/8M3K2pijceMBC19+i8w/LRc7Tszz6TqtrQKg5Z/Nc7KJEm41z9GMgUjo96 30eXxdvm0DIm/IySJ3zBx2o+J8MdehMD8iJFWnEh+pmHjq9OtIveZfuNwLxLfSVakWtc1TF1LTsC y41PST8/R9XR8IERbIxXfkpWgm7X5BRt5oHUq2zRlVuJqimohLNAhagD/hviJkGSGdNv49x4uO1p Mr1NZ8fi9BfymgwXwURBm7vvPqctkx9jIkQsojUilFYNQKEPrlSASZiJM4XcE/PVQSrcBoR7EV98 ZM4+CFjuclF8Xaj8LLbZ1icbUCjDBhsoaHuLN8E6x7zfQhzLgMPgQT/osgkerPxtEKTtypjFsKtq N8G3+uwBr1Ow12RJRw92L0RITRvk3iTIAtr7A35PDvznw2xlCWWRrB8q4/jbjuNrsouM83cgBGFX vIKDWIScCR+jG1LASSc8kSfOjmGUYnU73t27X2OYIfsWSVIwVX9dXl+dwoXzwChT/DaRfzArdZZ0 lugSJd8YxYxb9WzkkS5LYihxTM7qP3LoDRwRD9E/wq8Ux9BuXccvb3DYUXn91hefCm+WnoYpD1Rd 3bJkHCvXGE1nSZg32O4BKFhQqx1sO8bVSe4ivaFw5tBXIHAh3GWcvDlb+XBWiGROIcnZC/rYCwQm pXyiXV1DZDxbHTLb5JiYxduvv+YRrs45Kt6egMkZYKFEOBQpOGIP6bXgTZF8XlF3DmIDXZ7QyDFg CLg/aAN3tCsJnFwDJu/Hql94houNLrZY+leQmWF2OjG0YbHqueHodUrEQr9BdBEJoaGt3Dck1Qnv OMf22zPUTi3GBDDoTJEXDnrwVaPKnTKOEIFfRSkYih9bwRNgepnZX/gszoFM/+CWmPnquaGg39mQ Ylr5creuhVjbONWJEFHjnSf8/iecu8ec2nGZ1Ij2H1/znOmfmkvoGn0vx3Sf1FCYDcC/BUalog+2 eXH5GSvfdD27J2GHZGxlVul+/fBHD2tfkEJsOliI/Lv33Ny7Zw0zWr2GctD6sTvJ8f09Tl5BAW51 1fcJhZFI9+8OAGx3UZb+ymH58/P3Z6h7CnmxhCCfHsWa4TPTGONuRr/TWqQnNF6UMS2GXLGBdWNA KS+b36wEV0XxBSIyq6IfMyfTk87MNW3668ApIfXIg5XiBY8XIw14fkGYoiE6iu/6sG3ScsPDIdWj /pV5630xhgdwHEN6ZMgwOnxBqd46dUDiP8Zc5sRvgCs5md12pxG2qeadwcqTiwNZyGMlB+PoMEGF iZkkXd9SHXl7i51w+ZyMjETeXxapJkQDr/60z32tJ9JIAD6ZiRCsJVwVRaXcMn58/9jT1KXCjdus qny8Xmu8wPoYFTVjqqmrheHwv0hQM/QE8Xe3LwKQvfbnGgepx13DP5aAXi/pswuOMHdcT78aN894 zSErRVBLk+V7tykvmnRGeaoPsudbkkzc1GpL+xUZZF/ysxbRP0/a58eDPITlNciuj9QWfxVCfdJ0 2OD/zgQxaOY6Gt7g2ZdYolDJklzgLnopWgIvejKyuJSaGSvRmEULd4aEhTb20BrXFJEdEsx2pQRr nCW9CllMwuSYM9U6Z1xowyjgLX7m3eqDU7V1dFOP332lqzUVHR+5Rzd3JzcrSlusjj0ggfWOy3eI VETiF7UMRouyQndDyJwQfpIfVqKVFhW7LKVObI9mO3igPk/J6oid6tdBQEebjqW+zOEKPaIC7DFO zT7A/BdCJEArf0WeWOwgddyiNUCgKQVkoj2JCtQ9RxX8tJ2N3ATrAL4d+kOtOEdRI8AwchyWUxUn zs2/c3FULbcmnbmxO5ny7ftdcybiVJPFXFVo/lTFKa4hUdzsNbTc6HzMws7gNyMcisR6zO+V9vFZ SYO2J9YbP2qI96lcXWx/wVSyszcWYrXpnPqjrzmuB7jFMqPaGs+w2peGSCXXflYHssTM+iUmK8Ig 2UEYxRsDXRddOAxxS4AxLiESpZmbj7AzNfL6OXyxQf6j/dYHvEaa3n3QscpDrANkkQ66O3jfo9S1 UDqUEUDCql1V3fCY3Z0UdPwv4zdSqpZlOM0dtjdqkFvloTfQAtwLg92WHRoS0Fx2tIj9o8unYPfC 4y2Kn1KOWydjlcFuOXMzZguKjCkoQYUGsqxq9jSKafYMrq2hPx7UalXX8GVhhAWju/jDnP5zq8W0 4yn84xQYL8+mjK2LJLDw75/kW9hZTHTxPWrGoQeWg8WtzUvTaNT1fbw5csB96Ldmw4o85326rXum jITAv+MuWJfCZu/C3cz0WINoAf77MiAX4/1zVr1KSLvbc2IlPvjzhwBW4TaTuBx6DvbqRyL6hfmo iDHdtTLp07Mvuwx+Gfp8qHrPCxZiLVEmqNNbBf0SCpXt92ztpR4VxyMnpvfrS+m09zwFkwgZoRTk Viqi3WrElC7r20nZUzr6lMqFslo0G4+d4axamZvdQDM1+R5+Ncn3n3yIwTHvsUB3iAHsAwQdd2Ll ccwExU18iviSazqRjYKRLDSKkTEtkiDenPEBdgca4PUCBzoySy7rjCuKC3+VAaY4drOkdlssFynW hwwE+nRbii3NooPCd3SbHXZYPrnia6HeIJpi2jE3sqJtEZjUyNaY1fJhrFxMLnv6dv/kLdsgFkHA Hz2VZRCl6bby5cuBA6gAfxVecyAqP7AeYjrGyrM2GzGuuf41C0xTMpbiVnR1pAAQuLUAKDLdqOZT pvBc3wUNEfa2KtPertlPf0hw4jFqiKRFXXBsROwHMAv0Gc+so8VaFFzpYy+A25i8aOPOsRlDUnL/ M3ftLl4GrL1wkFnmSAQTte3oQlXXyItREskaJBAvqPWDM++ozaiRTh0DKhYRKPIfeSsU+gJ8rUKc pbqx0FjcqpG+YMfvpdXR9CXahFIcn372ovlplh1jVnFpnIinS1Ng63cYh9+EL9Vj5rwPk44qd/X2 j8ZDrzPU83Wec4V8b5grHjgOXDkVEqAKRk8mqUMYgW4LkGYM+ar5ieOonB6oWSKkET6DK+a+ZQ+Z cUJH+WDiV4zwRHytBa9EmmpOwzqsoG/yR60YWdL92X4iLeL5tWDc5z6hNXIZgYq0l8tnbGaeKubl bhSTRlcZhXU4jYWRw40dJe4sJclp0oNg04ywykqLuHxCf9urTd2bzQ1+4fYG0A2+roeuphp4BSqq fyK+kcnZ7MhXvFsOxHqkSkjYv0SUNwqsDJKw9pOdG5gFLmqWoCVqEm8/hAFoypOWR2SPQoUnjpoJ nf95S0Jpslg1Yv8GSJfZ1/H04904tbbhkZJ7qhegH7NL65+pQMTcrVNzKtSkTPmbMWv06A0t0Wph pKC6ZzpE1/szR3Qjs013FhMq5aWEj1RCVDQjcry+zhTZ2hP90B89fSQmv6Wupu/Oyf5kenSd4e7Y kuCQVTG7bByP/E2tP9OEvf4V0u5U4ENeah+aEKiCkUb/j+90TF2Qu529yWzFPglXKZrHtWpSEQCX yeT/gprZvd2dq1I5W7sX7MfIJyTN8FGKs5CIi/z/OzhjLe/SHmmJu8HP32yBfMq7IsA4DVjALsE1 Iv5VY0YXb+Gn99zcBgyv2hy6jSNk5VyVoHn5As/vzX1HvfENG7Xu4KUcQltPF4BEzAEEX/HyZdKA IMi639CRyXXISLnVVI6n5y2wxbVRFyP3neOWs7JRv8wPdrL1f7yi3xSSLOvROwG7n3V9wy2TKW1i GoAXWWF0tMesItllPPh/Kik2skZalvC1/DodpPA8wOeJAvbUukOlFPNNZ0z6cGC1b8KpXKGWnvhp GZflDCySaahTDhWowiwXPfn1aX5RNAbGPxe9eaitBTWi9rU4mcoddXSBfoKPBQ5+s3heRX5xMBut 0WNYK9lzTq2o0PSZN7xYc0eqT4TgqSG6u+GOb5R2mLz2A+/VfnbSVVaLH7SQBmVj/5/x3Pf9dBoz MekY4nQ1QbnNmI6oxESsqDOuLRAvrU0MbUZPxxZxe0Aok8cFDqJ/Gv/R9jDGrHT9H2UGs6WaNXgj WLneyKgOSiguYllqsduKf82/aekV8w1ejy/cYtSJzA9i9rM9bOTDGRcyiC4+zJYwHkxbCOaYqvzp rFF23x8pQuscohUygB8jeSnc0KOgs0qUx9oX4B2cY5AlF+cCDnZkOc8yBfYNkbz493Z65VxpscH1 wyg4TzCjR41gavz+9AH6CyfielY/Tr34TXygQS8/JxnhvQ13q5SUKuyRXpBXoFeMCOUqTnW0B0SI YwRwS54HACjx7fmIsLC6HzdPUhgIL88rt1hYHTjxaImaygBk/RlJselHwe7PCJslrAMP2tWFjgdi Xq9EMfz0jTbjgEMxbsMb1pLd20AINhkm1tw5RXhaiRPVzSeBggLme2hF1prNqd23aPzroCM1Maqi Upp+SaPIYPeYNGCUG1nEcZ6glWUJyPM29zmKzBn4WHL6czCT4HoNjJy6qyY5RlWkSGEnbgx0s7dB +MddJ5FvdmEslk2lnJoPuVvYHFKZjjTzHls0LWQHq8kSraoK/VBcheMH9bupQ5mnhanO+2lCYpjI G2xSJNEmVOvDiu2CteLh2bgScqPEuamu5C/uFlfyuNa8582l42RMndGwU+v1QOiTRCu7JPhHW5VU 9bj1ax7RCGYyare4YKYt+krQHm+J9NTmX8KAVCLwpM6Tg4zXQf2dsnhx4WKuU/Dpy1ZG5x9mnkNj 0qc+flzzZMXPz7bfzobJflAncjh05qV7fMSzm9FftgSPlhHxmKgkt9G4EkXTpgUf/CDZDwsI2yoT M84xwzTVtZoOrFcNOvc/G5qIbf/Gy6dUiljPsLoJnsC0T6w4oOHA/4NtMPJOs0uqhq3xyx5ISrvi MWuSvwYZzPnzi+9s268DXJdEvDqLzYaPF0A/XfUx73f6Bi45aS/pVqPqeS8plgPjKEnq5BSpa17C +fnLE4lBubE8nFIOB/DBSKW/ic/zrruM575jBGY/sNqZThA5iQABznsRJl7zGcDWHtpyJaqg9pLZ EiV6xt2qry7uJpaH6QBp1a7NXbWOquuFXJIPFVLnVWyaiQKhkQhK85vkdzZbbQJ85vV3ZFwSbQnb HzLGZnv/RHjLQy3AjFWoKMeFr0KkQhPg5PUKuW6+qHba3xdotGZfC4KTjFy3lpO/H/D+uoBNt61G RZ5TfGV+hGCzljk4++koFZ8+Z62oBKwOq684NbHxsHF34NATan8nm1q/K+WaQb1v1N3mfnPdHLq5 9JVrR2xqVudRLnpiCifE/4YSjg5XNsPdn7ucwooEIIh5ToDJJ8TXPZ7tix16hossBt/vIfTvG7iM Y9ooC2aUxSBMYvdJMk4d/vJxlzhA6X5Z9y6SftlqJquu4eXmLRcoI2kiUa48r5fVjjztdkhIQUj1 NQ5y4TacaXbsQOWoVW0WO/jfDbU3Hag1UEgHZ8eF3x84BoDz3vfMAyVPNpc1pf8ODu7pYEOp+uJj e5n2JZcw/J9VMijwM/J+GuLpIKhpzkg9PSyybONaanifvAuWE+1OKQWKehLutw+DxlYklLF34JHW sJmCfvOBCHQCXPirj8knrRyqCluBYmd0HuWzYQlo+f4TCKKZWE+3rd9grdlWcafPNRTOjNUnitQ+ lM2muiSA9TvyT1U699UL0/9v3Ta122VGCNbsENDzkuQ6Ol7Yb0CKrjJC1f87bkoOhkef+oGMFKpw /7AMH6x0kjZQ/mogRnNUaJgKY6GHR1AVC7T/ua2Z1z3lDQrBMyG+zl5Mew/Gd+evdsMTnphpnHE0 9Ma0BH7wEH7/Id0cuTwzGDymR8qUCQ+diU6xDw1TCrZ3Wfj2E+kzmN14UJdaQnDsv80ccAaXvgeA n36uknYhNfWD6i4B+OKufjXM2pT866eA48TOtFrISEUx9DPjAv1bnDA5IXIhmI8EQ3Lzi7Xc/UmC BkcgM+BnX/w0ToHBKp4ki+nrFcPXpljvVc/y2ExJb+i5gZvQDQD2ZrJ0gZtnGUyzWr3B0zVNa4zH x2xveJ4x4aPS03wJS8l9FmcXdGHsCkL7lJu2Yh8uXGGlMk57Ble3q14J0U/0Z7fOg9wcE5CjJHCx WIb4dauQO7K1erEzRc+MpBUfp2QPHbizWsPtmR7DjMZ3Lp8Lu1UsBe2h9kIyVJvbqLCVfPJQW9nG +lwuLc7nuaM5F27wx7kU23XIk4l/YV3KNPhIt3Ww6Nc8UADaDKtavBgc6+InARrAa28hXkyFKxBV wkr5S2Qp1TvbGkMQbfDBxFQ8ByuInVad4u7KGl/4lRMjL+tXrkJrJem4pss1wEsVF2KjSvPcSXGj Mtkg1G6L3g3laPJFFvPAQREdYNWQwTzkFZ4QLm/cvVSRQTKOfBukrei5HxJt9Bh5ggt9Y4vhBuTp zCnnrESghZO7Z9JwcHDWL0tXOiPi2v+ue1Ll16gOzmlkqaOoJcgExdXVIszRjvsGj2paZ+gmDucA hoC6aeoMOD1btmEnUUNIgcx73SL8uRCvpWy19WQsggf8PB6prn5c0n8AzqOJMQ0fiB+hToAqeJKq bMSPUX+OSfNF3mjQYAz6oTUucVfreIw83bvPb3E/UsRXqrHaocTLVTpFvCajNopwxLyrQTGYfSH1 UqiB5ZhllA4pRTCAbI8X09OuL9SlXS1s8M7xN/1ABSA64+i+ObWC4XK4fW6ID4NWR1r4VpaNZryd Qw5JQMlRzGWH9/sM+o+tS0HrgYwdFGOV+ZECPxD4OFfEmZPeYWZMbQvEmKoCeM0eKT4Zd+C4b6iV Z33ZyzljzHMosw8rzU8DPzj9GQXTdtyaGAruC3UdP3CbM7eD2FZl/wyBuiui6wGGVxX1HRCk3zV+ qMBRLlKsXnSpIWFatcWgV+WPFDTcpUA1+NXAKXGYsxKi+072M8NrntDs9UH6QcIoADHBTxkgLFgm f9yRJD2rNepOtpGCp8I+0Z/LqbGJBeFfo0EBKF/pnc0yIkIw59X2HEzTRpgtyeNuJfd7oirbaFN+ VXXuiYef3aiQFz0lOzJ0khteiezsKR+KK2QzpG7pap440H3gz9RdrJt0YLfxiR8bZK/hXuzFzp8e RnOSKlk23Xx5BwO5rYddfxpYqZK0dYrhZMpxMudKrLuSaUoJobPR36vCCoyNGvKSndDnIwPvRufw ZXTj7jlm4JTw0WW/6d3HSvCaAKENljoLZ0lKtlCNfO40C/0OBdbiRu5X2lhBSoNcaoBXTQ+sAxDG /z6M/jw8jhaNJfybcCAAgt6GAzTDOun1G1UzyizQqgV1iz0oQFL3u/p5ap9UCj4WuGgay+G9aZLs /dY9J4cIS8wabcngVWfw+YlKln3cjTthkXVDkV1D4RdWuJGz8mWagiCxQlcR2mNipJal+XiYL5Sy 7OpcLUcIcvdjLsb8ZsiOs9/CXginvlmb4qTZgOMAs6CLm7tDk+BlUGyFN6m3k8QkhiB6HDX2ylv7 rcXDIm3GotthWcyruiNBQJO3a4er00CHN3ILPUKEpBlWjNtZjoxL19LWdwDOEBvgZQdEORvfbIC9 AQ/7rzh5HROmpbDXEFeEiN+ajCwPnIadS8KEOC4f3T4cs8ZvDjkYTs4NmqdB7ZBJAlm5ujC1st+M OVAPqGNCmZqmxCU/o6DyCcTHEyW5aR2SW+xd6FiFnsmHamLestpQkInLg/9Hq3Qc1XTJWP7BqAM5 TDKIc1U2oom5hHTHfBC3YDWH3ngr5OG7QBFuXx63E546juohePtjF3G/Ja1aFf2OLAXB6j+3Be0V 4gIvA4TMkE3kLBe8SDQkEMpVEHaRKKYpBiAPNlboicIrlv7LEJW4nhLh0bMd2pKJMMbXSsQ3JTsl CBxuhm0F42OtdegJFggU2VCR9RLlj69hVROlkiyZ9II5va2/+LJ97bjexuJz2bMpuBn8eSRYJ8Rn hm00VxO7wFsZXwf7m2TyG90XoT7dS4wLfkoBfX2+xH9DuT4SKHLOizmjXryCM7XUgxE4PuXrDXek 2/XIK4Wap/9s92SPySN0LXHLigArauBbJmM8FOzQK11JNOmpY4wLaGdE42PDccdIGpdkMKRWdlQk Exr4nomPRtlaqHVLox172x5cJGemjAHrXWSHlmHKuqBBKF1+ALmJHngsVHOEXJNaAOQCoz4lhBf1 Dv3zuyN11ku2ZciHrFUMwiUFSbO1KvgPuBk9NTdbQEVstFGcv1vLmHuZDerUk14IpxI5PWSR1qmi rMMDsIKEX/PqMKic2mskj/dTybHhCApToBvdOS2eGieq4POyWN1laFBcNpbl1Ji5b0U8I0K6K6gm b5xW5q+LL46pcRMc4L1nobqYkIMAyGxWAjhYmCxYW2ntMfPpTIMj0fk3VkcpXVOHv4UAjB1zs9g3 tiCts/KqXftcZJr2fsuO9JZhi1FECykHQwFyl0fp+HXe+Z2HTaNQJ6MLlHFfzdBuoVJcXZvP/aO7 4DmEzmizwnQCwJUgtHEnz8hJZqOuTKKLcZtAWBX1mR9Z8hdB4g/G2Asf8QrEVKSGRTBDf5Tp0KQF 8D3mr4ix1g5OosRLI8oxqs+8VIwP0tmvHNTS6w/qIckr2QftfnGlso1Dst29TQZkG/AGIsIL9mqd EX0H4ZyNXndQbo/za7aWCNkWtr8uNQ6nFoQqdptzJDtAwA6fFkznyQmJuMml+5l6t64dBiNSX/Uo 7taUonh/UM/86W11o5k75T3uo5EpYcm7NP0wf+gWzMZcAaHum/uMa24Vl+3HrHQFIG1tX2TpnFar ad/IgFdFfOY5NMWY1j+o36LH2CG9AYXOzYQ+cbWdF9kJHzt/W+/aFYAKTj68ZP2rA3GwkJjru6Hz LMEQ1bEo5KvdgRiZFMSVzIgErJSO1QhzeBlL08jOw+5Qzers8+p4R1lBj00tViVPDmFo/01hEZeo IvXRzNgOWMiVmiTTBQs9V+ynNOmmJnYZr9f3brkvWF+g81UIHy5vadj2vW2OdVMLO0Z2f5KkknX5 el9WtJQe4o4u44RQAvDUXaHWCRRpYxt/PE1IVnZKI62YmCliO+ByNfm6b4EW2ZieuqxOttkYQH11 P5Qf6Z86wb8FATKVS4BLegHLsXeONR+d1h7CZYI+/tVW23alQd4bm+aAZydPdcR8xNULYpu67VTt mdsjPab0RtQNHQnuc1uoAaUuhQiYe27Cv4j8xHn3tqhDlE0W4m/7+WvfCJB1gIt2U9tVABj7jrnp X67tgRYU4mt8vKPIwflnSNy0r2guU0I72ZRtlnKPeXgN5jGb1CJf0mMew62cCZpjJX3+Ir78z8Cb 0bDkW+v0RJnfskuwFsF2YRdEF3fMd8IyLP0ruYhSyriwnH1TYKLGbsr+nfOzwFPfxjJ6MVlf3rub GlEaQljCV1v3kXXHEoJ8fQcD5Y/tEH/BjpAa5tEVg2cjX4Tc44FDOx0gIz4TdsjlCmK4UMAgPuSV mDQWukY0CP1oKHPsYS9m/J73o32AllYsEW5/5afUgi1CUXObeAeETJe5pPTXU1fOSGZZ98V1fSbF /ktpou6llFfsVIBjJKPO7s2gBDE+aZ1dEQ25IhK5Rv3cJSbbHCbjAchaQwZwuZ7OG+lWpYLnXZKo R7+7SxqaRN3RF3m36mmhJLj7k1oNZjRsuM6P4ZHG8IETWK5wcYLp0JBxeS2ZlJ8z40K+1euqLugz jvkQ1t3O6qU9phPnBvRF7HLM2x33SydJ9PkrzLK15Zgg2y2klfSDWCS7UfGLKvxqVLIo8RzJ8ghS IJENDmon/0SZdWmZc8ixKBoJBRDKKuL0isvyddx619YbzQVq7K1A0g10RP+wFZomGUn1gN9BMmjz XLPu6UeyV/wM5t3cByqWt5+iwyjQt0mAdSC6NMQQpLEc5agCFR8aBQdqTIkH5eum2zeA59+oXHb/ OGoEn6nX7nrvujcMLc8ey3+8gohdVuFr/TRWAt/xs7gXdIZop9B0nvHaeyUDka1i47R+TtN6fQOE JiWqFC5rvBV7N537mfjHg5n/Lh4clqbRglS8hulFE6iUQBslI1jRXSjv8NJYYXuws6CAp85euxMG umGEuHKhVSppbkfz8bOz5cH6I2SMbM+nwmex2+3cj2ObYJQrQpsGj4UA9d8WDAOQP1ABTrnVbOc5 qrJsCoyll/uz1ibrDiGZbxpMEvBovmClhiTuncj7RIQ7dE9cpSmy2iBU5E1WiGxFgogmP/PEH1f6 ZC5bbWj7pvxaU9f/36XaxPPYvC0L3QzpXeba7jMNZB+bqaCYrR66Dx6nNxisT2dlZS3SwosIooWJ qiiQjjPabRahFDrmlR49im4MMKeH9F334mYHH6NL/flIjlNPJ4hgZf/Pkr5ltHMXVnp3sv/UTyX6 4Q+56h1mZA5Lfouy654CZXiE/wqMgqtACZ1X5Lk77Jfj5DntWrRRdTlFi70lHYlKlFnT4fKg0Lvx lQnZzKpEwwQrATs8c4IztWB15LTAn/mQrNhZ0LLcUTNi5+SDuHYr//8yZlCn39OqkocLGcEdHt8+ E4H0DiN6V8bASNIny04kGnWBKlcAL38tuCZM4yvEthF21hjPeNNKEgMjVNCemrqx478EelMSea2a fFjK1lwquFS0NQsgi8fQCMGe4eWlWJqhxPuU36wYx+x+f88sMsYZQiiBVGQoO8CBMpEmYqMnJDGj EPGIRBJ8NIKiujNr5TgH+AMh4mY9KMZS7Ecq7Sh10MtTDicd9zz2WQdBmBIszvE9YjrNsXgv3+/j VZ8K6DcXjItxcSZWC88vGbKp8Hefjmg6aLAN4B6SM20vP9rc+hlIS202CtthIKuDhMNxwEXipk8S srv7smDTIuaJEc1Qfpyuo1zf9Z11BJm0zVkVy6p1kJwcY3sDW52p3PM26l+QO38JNyL+YWNKqlo1 QJF0/yMz0Yl096FmvG84EU4j9tqcsREw0HLrXQJSc9Sde90tpqckLmEManYL4n/oBvy/jU9sT3G8 plQITPuICMdrRGTF2CIKIiZ3c4g/ypnDoL1bsVfu53Qsry0WOfhA+MwiHcj9AQsFzSi9JEBKLmq2 VraZ526z6sexfw/vpI7t8p83dSyPX+FmyO3lTgIPZNowFX8SkPZxBQtLuyb0M/Vh9aZBhC6kdKax cOW9E6GYw50YpOrhBPdNvKkXoKdxuOzmcXtJc8BKgP4PR5/md7fNUiQYfcbiRSbxXN8pw/IYPowf T1l63JbYxQ0t4my11miIOpe2GgLWcEvgi521vsZnoZO4O5hxK0ZgvQm1TYeMEnQ7EbNfVN25RN0C JFQjFbt/flCSJ4VUbbMdsimNARmsQ+Ue7x7BfZuS8zCMfadrshhViPqbT1K6NQPbUBRt8Z4iLDHg IxHd0y0I3/vuKAdnTxHN1AxXUhgTuZzKKsbj9uxBgc2pG2F3UfXl+TgZN5ideya8otxx6ZAmDcIG dKt175n4M8+PAfdM1PxHrFSykxttpy6IsR8GIVKpgUU+IQi7Pc40LRPuhg17VnNRD5Hh67CHh0j7 gat1JNsYVSjqzjn+oSlenklfEtgypjgBLEm4lfQBLxyE2RHDkBt0QAlcjaL6V6gbUfmRnfxGFyxY AQPakmsscVPmaV1rr3+/oHH6fK55me8B/3Mi2z1KnDkUrrsyRBJ1ch4PNM1W46OkFyD0KBV6WAhA jrWTvXXdeXQh3BZFFRVYFwHyCu3FD0g/SqQP2SC28GXVGCCGIncjrwdVpwspl8bqWk3EqoHc0of9 /3pZ268wlfRSCeT0UUPttrgjrAS6cb5Py08uBTQcuhz49LaDZeC78GxCuqmlVUHXUw6S54L8mwk2 75IHrDT2JfoEPjBVFC7xCGy9YZen0Tn858cXKStcZ7HpZ7Ntlg+QN7hfHKpuwq/LPE1M6Bv9x00E A0j9qHgCZX02WaCBAsTazTKzawDysQZnRNm6KKz9cdDjfz3WhblK3cVrtWpmPjMv9T9aRBHtFVHC KOhb+bqCMxTs/PHQbYwy83cMT1BZfZi0es2iqd84tExrGKWk8n55Tiyt+7tECNy4HqdikGi3Md9H GjOSo5854KFdSHw1pWz4061Y022bljRuUMLQAGtwkwTy8e4VqFH4RpdJyAJObHhOsvNk/hdWd8dC Qx29lyMm3RWTMo4zQHqsThrMkUDBd3NAZxvdblEnamtzdGA2vgesLuT5Gn/4iXvXetg53hrOBNEJ g7q5dWDLqxqLtvEGkTmwQ+kp4OEqGscl9xLIkRYcMwLhlFlYUACZIccEW/c3rhINQA1EWuSy65ab vG1HyfSZj7F7NrU7n4W+6DgBJuGx8ItqO27dtiKvu06OhPp50aYLTYx+cNG/iiph4Tw6epyXexfh R6YjE+gu9EB+fwbLNEmCUDx7BtHuQmXb0YPbgyxMGlBNYKHrefNC9gy0ok0TcHvcRa8vLp8WFRrU elJYMQF7Rp6gCGdentdrCo3erysCwVUiGcbC1sxP+p8Qx66lObiMrByKgLeEQCjc3N453gIZWpPm PppucknSE7cJxZVSg6hcP99Q6iHKo/ais+Mi5YH7DYhxcF/nshCFZO9uWa/CdlOs4PEw/KDkQ8gK yzoOxMey1BEjrDfNNNbvkrfioHMazqibmAvemZTFapGPZoyarxLrv19RTf4tQXepZew07Tv2WPtq 14xBkfQ3iC6yPPMQJ4zMtmuuPEz7CPMsm89bWPjYHUsMQL0XPm5NpxRYhPNr5PIlHN1tbWNNX4fK WBo0HKNzBBtpCBHPflwABMZjlWYH8WQAycEG+3X8SuSOwYWwwZcx08W8Hrfxc3FgndixPujZhrMm PDNX/WHJEt9jSGCO0PvqntiLdc8ISct4kUzpek0bWMIu4+LjoJHU0e8CDw0RX7bJ666hMUEUbvq1 u1TR/7gNmYIl+joK/d/rGdwJ1ifuJxzX6ZuzGOgIa+V4tAl0M1GWNv2qXNDPdIjKmG5pbDXdNXwm dNEsTNSgPKhupTHtLonWguFHx19p59RWdixoroCdeMfZt4fBUR0RYOZ1dQVUqNcZi/HIq0/cGIMh TNoL0xG93Es2bIPKttwznO0guk9/SJMM3qMaoS81rO882zs/8vCJrZrPq9hsMGcxWOAXzZd0b5Ae TSMHdIqKPqtVAPlJRTS5MkeK//EQdP8vf7EOvBx2L3vakdKlNojR0vTpSgUDth5dGEWPWdiFOAk8 4lx/KPDzKF+Wus4V0KSXP4eV0mW1oUWc3eiGCCvnLXwO3I64g8I/lDgrjlTBxorm4q7xYRNequbc sRa4hx0+1pl04/a7kmZ+zIMibcPUEd94IxXzkRvHAj1gmBpKBABJnRnE821Q02oxRJxYxMrKM3Bj sHjhecpvoYE2DqxoAxaTL2EXnrhsbrWZEsFILAxj3TeytudKnILs3hdYMsNS8WM9/oEqJ8PVTSBn ITq29GZGw2GAtAPMaYU7941hGwwKOc81NgmwTTCLxu5t5K+1JGzymuUCoJusf+dVqB0lx3VSZn03 /6bfd7luxct/15w73ZX9fJO6Pi87cdo3s1BDQw4gwkq1gDBSq9sczhg+XNACEKjknieYxTYZN0Yx AhpOovTAAQEJdg0vfYRVdbUAzibxhlH+k3P6oTkWZRnCyaTq0FFFZ8pEgVE0Y/ev1oXxfATdY4WW k6xxNtbMbcsHOl59Lj8bDV4DomCCVJZy9hcyJRlPwmDvTAtA5eOrgMrprsxw93dRCgNUe/YkPUsC SC7K+i1QGSQMdLW+mrq4JANHzBIacwcpNdVpazSgTJ7j6M+BANwJwQOfC7+bU1+XECoz65uqID6D pLLaOlprtvv9YtG/yTxV4RxtuoJqJWEOE3IhOeQHczQ5ndYhgltU0/dPdpNyYwg2K+2eM/g8BJxZ 0erdJ7TdUVykRhCt+wlUiu7p83m4lcVraGx1DX9A1RrU4jxELHcOCEc0wRxuN/lEB1VABfkVShgh uzJRUTjuedMxemmUfKv2eOpYVg1/eEjD08AWqgmRlhUFlKGwpRoG7hfpgVHWLu7Afq8AL93nm7x+ j/Sc7YgwDCdfGz6AZVoUa3UdJyeWQvRtUvhJALhUW3GsMVjEpzsUeggwZEmMrYIGEAK/H/vYBFYR oVL4M7kbsPBtBcCJ0l6zUa/+iojjsQsF1sJKzg148EpNjO+QYFzBp61lnYwpMpt/W7mj0lxar1XE yzIV8cPnObgUTbfFWoyaLLeZtgQcjncHBd73clFvyvHyHcnMjiAxEABLKRinamjjc5DnKEngiAmt ictd1WNhJkxwj+B9mFH0uMJhuuzUJX4EoFMngNjrwQufAfu5AxJ65mrKRZaHauVgD6ugCtC7MDG5 5tIoLokUlJdfJDaAY1AA7qRLgKcp0hfhBVJSsE8zA+GGVPyQk0BfaEdEy+PDazPHILXIanxuHMB3 i71Yo9pQm/Wv1mjF+Dmgx/iD+JNY7aLQXa9ASBC2XjF1zwf83ZCMzStI2sYAKhPQmtq+zpDNnC5U TD+fezYNx8vMZZ4xZCTc05YEYLv2rzZmgKMU3y+9oPWd32KhnCX6gE0hpLle2Z6Ylpi4qHre0S+N xdGTBbEshwZWTDzBVHhqqiKVX6TOYMaoFn8Xqk9OAekczV7jXvECQngwcJWxtwcrd89Tad1dYdQt 4/9ZngDkzpTMTgPN5Yxa/h0BM8mo+aIZw4IJNj7mvBX2+3NhyICqB9ML4XGwoIg8pbV1VqkKe02d QwC7k9z0Bhh+y9KpVF1pHCqRcMDoSuFORXfwk5fK/b+XAhyS+VWsQXQByv2FN68SEiJfsc9TyEof ETW7QsT48qnO5E6iYoh05A/jXLFojJx86X43MD3N4dFikhyFTg0Et63yKrDPb3X9AXoWWYE15jhX 5UHELi9q4yqSPry8aAeZsuxBwHaULDvVczckx4aYBXrN6Sgbu/MhzD+VHvLin2gbGfjSk2IhqT3Q LkhKn18mceqahJdq7Yw9LrDVwUzGg50VvDMo/t7QIvta5mg+K25rcY/uuTN/866YKTEJu6bp82En coodjf9GvdqihfotU6OqfSAELwKfml7bizPTWMgh/b2aI1NHDNROp+IS9Obx3Q/KfIMOqGUCPPrr cvcid2+zIw1mVKkJ9ZN4O7luZDS5rQ61UXF1pQWaYfzN5cx4DQprqhGCU26+AAlOKGsKCUZPivWR GG0kGCnVn6uRR1CQk1H1EsfYXj7AirsAnO/LmepC73mkalFxSgFzOsK5h6vj58q/qKN4DwvYlfgp G4dktOlvb8nPbepZpKmaCLDFlAxFCf2dVPmneQlJLcR32H06H5fYznJrPuBmslciN3EnjcRzU5J3 Ewbk7ei1qZC/KbkPg0qbyATM4VvEtVDrwvCsBKQdPpWMPYa4xSAqoL7kXmw1pIyn3vBkbUIuK+z0 8wXYD56c+i71lEfUPYEPJBz3UKA+W+gRlMwKl7gVvB1QERJCbN9IvTTDMYladbfTxldomNtgR3Sh gKVHuneoz+OY7y9TFVwYQZR/a9vzHMDkaa5r7PLUOGjEyaWXrzcs0TAVwyUxX5ck+1sDeaE2TQF0 MRm2l8h8KtYRST+4tuWbRNgF5ArJYez8T/rFvKVSQ8KtAn9vGVv5CXnnT8B6VUnlpL+WqxZXy4EQ fSm+gK4SjFoDNBEYakor3hEep0f/voQFilUIHaAdsBrNPke4L5ekGu5Z9Ud6eo4Z1hK1fpJCjMOK FgTObyLbGCIeaWvhI5dT/hyQGEO0/vuX8uXSgVozUs+IJGJ22F9EzaFtsL4h3sh5KHQxOqv3PkuT ywzeJIZd90rfoO60LMKgiyrGUQ9jAuhpLjYOD0CYmcDu4PFFEAqcdBTvIhoXsRC2sMf//83UX1oB xvWAA31aEYHVb3lYCgE85hIvt8X/hD1JDjR9le3+VcSE+1wOY/3OuGuWy5/ggXuG1yzlGnQD2hw1 O6U8toiK3Mzwl/72cfS1rjyV8JwJWO+mjulzj6GDLEWBGL+EoR0rnyrtEtVwH0gY+/ifZjG/xdbI 3WOKv91WR8nmdrSS9+1qDwe7jBVCvzzSN4zO+gK9GLjYO63LeeOrSuqfAIIyMUjxrrAwLGIYFxHF 2e3dQMWIW/kG71wO48lILnimyHhzpmZE+gCIWFdskGqpXhpWZFw+ogBqHk5oMqpiVqL7Iu5pyzbg beXvQy2EWj9QeDvpKRbmrwOM5yTzoOLlugCUnIeuupia+KSQcDFv3t1XQaFqfIZVspJhnVvlhz+d pqL+QgPlUYubKny7Pf0rz+C5CJUQsgXjlnbtV/veHknWhLaZreSZDZ5R1QRfnZ4OOdOfFr6Fbi9A rQcCyHYHkYR91khntE71ULDY/hUlkRHlQ6bF2fGNXi9R3uMWGFwiqlDl3Iihipq5nhtD+/08JYwU trha8EuL5Tf7TYouAEhXTUdkReD0WaBfk3aAHuSh/6qXfEILYyfBbTx4Bwe6E0RQjL2O+usUY7om mx8z7lUrIOz+S7maXoRk10Fmt/MikxC+/aNlgha446If8vV/iKBptnuBjnm8be6E2Ate65ap+4gh 2fGtmM5IOK48rgQFP3WIQz40/dywDVyQmvAn7SzF/r8ZLzaqxOarGCL1B0nsIV2LnrESMI1k+y8o bYO3d8Lsabm0nxn26ln85Ts93Yl+x0bfy+qxjoR51qxbIST0a9tzdKb/ZKbieLViZ6LisbGsM6CO iwm+gGfggljT/NiZh6xgEj0r0c8lqE81efnWNzJw9lgDj8HLSt0XjCf7dVr3apYT6yuhho9XMR77 bX5ojp++OYrEolYDmZ8oliL0s83vvduoqzOZ2bNfUdg4aOJTvSqkHn4TCA5q8CZA5JepTUhi8gAe Mh2h2s4iHPssPb6h6hC6e5CjdfVuTLlyGX7kOtko6OZzLT7eYUOLZSm4ft+5K7o50cbXjJD4Lgdg l/Ben3+Ays3pLKI7UvgxB8/4VAuiwrxhnzE2zG2lgQYZMlYZbSQE/CN5f9NKMpvlzuMK+R0jAau6 JnzPKTlFjM7pNv7VdtAxMXFXa6TH10DSG49D+tR1AueUDVv9hx2xD8Gfji7gcxIX1GrqjMaUxXWf Kcd/lwHJ2J8/UGXCykA1IC5g5/Pv6TG5IZdTEQXPtE587natpQ3ec0391y7Q2CA92gGMY/UyuSL8 hyJK6rLJCLfDDQVFsH50HZyOBFDjJcsemS9ga3c3I8gEbekuSsKMLcgJCRraSRJf6tU0KdFEb1+s 6t4TeASJUnvafSIYTzJ8wXn0lYKEgVusurwJ54QIWf83v2iZOb1RsbuuuAvQw6pQOtxMhntjSW5w PS9KSwD3SGcp4jkKJ/SNrRsOXLRTVi76VhquTRATDBDYhSKQhlX5RWsG83MRM5jMp0hhQrVQZfuN Ko+1AuDPyrvdX8AYNh7+h8Fwm+cp3EWQjEgl8QSyJJ8m+x1xrN2bIvvsGwvcyR9CrWdgXcgXOnoI M/Pi0yIVCjU/cNcwAOBgU/7bLqAt6seOV2ZcFL2mvkiuiIxLx+eG7LcaGjz+Kbgvoma1MJQ88Z93 Ruq1PqgKpKIbWCz6S+jDXQgnYkf0VmwwvJpa9Fau94W6Sf5K9gzjl9g6wv6t7u6VFop2VjVqDZPF P8/IdOYQCNINWGa802fwky1H5nO/DqyOIRntxMSCCPW9PShQwhBMN06qZVx4SiHZs8O4Q9CEkrZ6 KyrIMqjhCzoS3Mn3MOOx2gF4GB/g5z8c4IHwL+fRlTfjJjBRyawjLE7wRxXhCyzTAHii33L6Cx1C jVXymvmbYQV1TOl6yLxFjdI++RLLS1Cd0exXGNpryfZ6k9CjzvgJXExojFENJbXMe9ohacQ/UChb PlwNTa9MxDRASfv2NrAaXmhW1ipmn7e7lOYRH7O9c4M3zZDgxgYMyA9dw474eD0rsSAIXXnLT3g+ nuL5q+g9f9HWbhBDBKJVFjUJcxQ0e3+jm4KM2+QDJ2OB/6yx9dzUVMObNDY9Q1RjwPxir0uNvHa5 Rf88V9wyf451aZUxlpmmXm5Sk3SvVzzpTWTGVdtzi7wWBkAk+C+Zh4R1VqrhRlVgyzexP8gtvRlC TTc5+hVVja+VFCqyBN5NXNuk+SGZL6Ra9vsLe9aVTpJGH1yOAEMnA3D3+G5NbpkQm8yjtcdWJw0Z AyQTCZZcdgNCIBvz64VZQpF8Waz1Tpp45VJxadxF3oe9pbO0YfUcAi+gwX7n/G4xdXkTvAn+y48M qJNpj688QLaHntVu7lTgo6SdfRMxiEZqdTvjS7NMgoS0XYXaR3H3Dtr3VuSJu0cGTvIzDElvJSgo mF5BHeICeoTEcdGdRx4AtTJ5HyxBziG3RqcE4ojzaMZhyREqELT/Q+0ZtezEEqJLXLomMIuTiHWn Zatzx87I13RXc+txcTFV5vWTsWSTLZPW5bFNklDAIrA8uM4/5rM+GfJyylBk2aDQdB2Q1hUjPTmx mm0mv02HFDauPszqBnc3XKAOgGknOMh1tkt+H7Wp5X/wsVaZ8R3/2rCx+OpYfplYxzxsiptLILXT qNw/mygHWzaE5sOFYsuNLpULIiEICRLeaCflkiMXZc6raaF6mptqnKUiapE5m4R4TktLavQjVAYn VJv33eZWvrj7Nk24xH7cNqgmagvydJvf2MNmYhd++95CgPGbV/+cFu/rjJpiGMAFIZOh5dALj+F1 tPXiXViX68lnbyyU2nB9uzGM3CUvKKuF4VooEIk4u0f9NeUB9rCdJQTCr52e0b6VE1zgR+Hxm4vy ObcPRpwLAhi/uJe2Blmj6mqoOkP3IBNEunpvgyjYWNEhX1aBz8mdlj0yvPKRauCmlWTY5YBybU/o SbddzQDX1nLf0ZwF1Jiny9n6DMgde2WQxOXwUYp/ETsMvQ3M5DeykYvq+vJ/bG2ZyjpxgHuxW8Lr kR2Tz2docyrOcvveqO44ekkbkkA0uGg4D8arjIL2B3xCQmrUVC+i991dC8gIemj594hlusRW/ZHz tSaL22np3bWQ3gYWS8zcU63znq62hN6z8a5XoN+W3DUUk7bfRHvcKywvT015+SfGRxW1y5lu+kV7 wV3XNUbNVFUNVsfCVICranWv0gg61GL8tQ7Bso/+wl7VfZRs3V7lzhD//0hHem/0xyqnOFvdZ8P2 99L0iU3h7lKFhksfvEbyFD3P5ACGLV6K/Xv9W1tqPKoM4UIDNHxsh1Vsl+ltRgUhwH0l+GUGzZ7t FUiKR7pMM+Viv3dNY/JETLr2AyQuKzrRWEpuJIMBpETm6yl6XllKpSt+akz2OIyuIFUmYzs1oZn7 MQJR+dRHHullFGJDkMSxuR3tkNkWVJdvm1jWckbv1Yqb6U5jmm+WyCCfTSnA+T/KsEQq86u3PxkO 0ZbOi/W3rtVhxlkx8eZ6/Im226//XtIpABDUuUm2yMZnGcs8VG8jCVQFCIXOfXkW+fhRnc5ATiJg GIJBKRdS6eqY7za6saWpUrEG1ZwBwhd1Hu1qFsZ3Oq4xxEPAuVF8xxPUL6oHu2utEYsnD/kB+rva db9Q7IEb+nmyYpHnoAnez2g+WFYLS7YIHeQNhjZtBn550hQ4jGbHhpioDz/lXTTf0OHg6POeMp6Y bNqxOcNdq0JDNZi9KMULnEMq8vPRr3CiFpBIs1Dc0rxOCyFkYXWqFQF5GSlKE6Ea56sUiAbjBVg4 ggQ60QlkHxZShbLXVGynBYdK2nWxHyZSnDAhSAxd/Uok1xAhwrzkMVJur+OCfZ+lONdXn2xIuRIJ 30BOKdjljy3S+KmvHFJ5Kcce4q6pLA910rX4Ck/8V44kzqsW/DilN5SHri+XGFyXeIffp3ytwwPj pFO7M3r+rJ/hl9jT0T3hsG49EmK7iHPGnHXOsifoDXgrUxLSk5WpB1Mwv+5iXIf7K5kmYqVaD8Cl ys2fUvumaCrLAHkRmm91fvHqbSrquJ3pHKobJBO09BEg6GOC3cMIk00+W+LYSxpJXunG4LDwOSxc yS0q1SxBS/iMoUW52gYJWeqOUSgSXCRjILDVF0gIeQpp4cJxim7a9QtY+sqUNrLVIPt8NZDcHosT GAUcGTtGOpVa/LErNn2PgN7yUNvsGbH25FHzw03cYbjhas7Jll8oqn3ONT/65AiZGR0mXQrAP1/f LMLl/y5LbhIgi5tsNi7GEJilaoYh7ngnbynnW+fSMLRL8xg/0eZaVX7cwnjfXjjt5Ckdh7ALBc2i aPCQIqilUR5SwebxF943RfyZkhtAe2MX5ngz7/V/HDSDUs9PdpmFjxu3BccL+HcajVXR93nWmG3n u9v4I+HndVkEYhJnDoJh0kif1uVTKUmBJXf7GiFxmZsp3NfrvvfnMr8G5atgj3lF1D9xhEcM2e4G fNK/4BdA+o4wsBtm5HCyaz7OVPCirGkI5I51OAZ4TMef3AwWfhRzPQzPteOakzibL4ZaUrFEDXrU fpkj/qmZiXc4w7fmNahhEfOR0UryFCSwSiLyiiKZnCSiU8XxDgLB5PosOrQtpOMgaaFHAlSty5oX je9+zXpaAnSEVkT7xUUEEAW/ipiwd/Gt3ABx49TibFr6RiI0O0n7r7XNC8EyyXRqDFWE98zy4s5b LrmCdyv7Q7KiEXo7a+Ko9tpNN2GJ1kW9LJ2xzo8refh4l4HKYUxCOJW2rXh13vaTrDLAhE+lYo5o ijVAvfxpjVub6YEd4vkm2szpG7TOj9luDUbFVVOTJ7R4TFT/NQi+lvETriDyg7UoOA/XdWa7hsYI rRp2iqjfSMMfDx+1RmBquRPIOL/mCUDKnUIACNJTHLafdOT8nk7DrEe3M248E5/B4hj41i6yr/cg QOYFDIrq8ZpWQ2ky/ZJrv1B8dwEl3rHYVHaZ1OUT6jlxwkNsCcpDkNhY7tpRXTC6RxQNjGIfTZ/p 7uh584w5qVTa627XUsdSacNvWQwQcFR43VjK+Q6lDV5CN6vJaQaTkLj1LA9g9y+c089H/ddeAUQY 7/v7nyoIsI3is3GwaHxx9Aln65EXUZJu57kxI99U70L0Unbf3YA6+FZhfEuL5VXy+/iUTJMbzgKD VlDI6YjK3S0RhNuLdzYFJoKBCqLkNKjI9rpSqDVw0ie/TMMmu3rmeTUogE7E4jiVdwKlCYc0GC9g C+9G6S1WLVtgP8Bm04+/EhDX4JU9uFQ/O0c+llU6DE19/TMsAqMSKiGrKaN2yJdzQNMcp6yvT8jo eU8XzcBlfm7VMF9Tn37UI6gdphToKhvyJcOxz7S+CDd+Q9aHwA7ZnpHSrJx/Nl1kngnPxjQ7ZzHo TvdngQOXT/qFcnNZEQOBbsZuNxL3qQCKNqOrY1s3Cp0KJpJfSn7FbjVSSD/Z1odBptEHHsdHbyQs uDY+WO8XdbzFymYYj8hLaUuJM8FyR6FndcASnJ6CE886GzeKBHyn/qbbinx2f2+AummyGQxyJf7S eTM2Vrss/xoWc2b7e5VDALncaHQUC2Y1+46ZYEjbyL31UmXzQLv1F1WJ//ThNru9dDYWjvJqAVxV 9GhstaTc92bO8VYspS9GnqdyNCFk0vpWV5Kl3X5a+Tt/XmNpj2NIDJD55RuuzXLLk29r8siNO6ch ioV8MfEXIXhVv63Qz6If2TRsJVvx4A4yJDzaAxOWxepOi53P7EjkFlPuzp/u1HJy/NZJ233ilTIt H58nqNc3Tm3QaUicO6qsA5UdXYJ0ouDP6TU9Bzis9bTThaoImRgJsjjJyBxtsJIHYdnAguez1uIz /No+Q8ah0AHtOcBO9EswmGa285UbpS8yA5j0Jj0qKunopOOU7L6bEvxHsofxRi3JpswT/gV0eXb5 Tdgm1+DQyHOgDoGdefPHd6lkVYWmAdP1gB4bV69m1J+JAEt+kejAclQwTbX0HlvxjO/9qGO13g4a ffmxxL6Fx5qUyngPGDQ+DGrWcTwnBzTR1DtykSI2tSdWTmNMOj3gIinXIsl+7MJ/hQrLSKIf8Fss +uycaO6KekwAR323pkIBUOikDkqaqM3DX0ErfoL3t8enuRpieZHoK9qZox+VXE5BhDd/VDY9+mga 5r0E+OQar1JX50v3IkAKigKcqvU5Zsd2cA+jbSbVVEuHkAs234ChX0UfRFgy0Y53Pgpdd/HfFZoN LmuTTcZN7jZaet4HykQqJ0SukAoLs36RDVk+pyH0b9gjmv5p+bDNWZ+S7kTfmpyVQ+HtBMcEs580 apauM5/1IgCg79PbaT8LlJD2Pu/HLMO6Rjw/P2laj+edzigzkZ/hWwdh5ouX2ckDjt4TGwnvzFsG dJu0DAM4lJzqzbz8VqhnhpWsS86JoyR1w/ZpfyqM63qRtRkc/S8LyhLBoIc0dwXb0h/43NbYyBvd dN4fcmHbJLLu+Atz07ejaPxsDb242pjyGuWApNf1/IAok69nyzaBFsdUu87mRluehAVY9LvQBSdv WcMk/H5VZPwXphhI4v6AJuLHDjWFVuPwOkZEfgxdXTzIryV1ufSsyHjkGjiMdpfTCfMmK4pV3z1n avZaDUXlRvojkp86Set5n9eop2SipuB7NdkmliA5wnqbBAkPjWC3FbJzZQi3o4xdew8WUp+bEYRs 1TZZNFoXq/2RUd4IKD9jSU0xtGtPw4uWzp+bcUnLiGqESYjHmCB7cDDc3R1seprt+VVvnPI7ghkc LdosRYbfWQFMkQA+tQhFke3s1VVh7ef5oGmGnTDmUxiHEs3s+hXvUa6y8cc+BdgRx898HoALemEB RvmS5MOsLtAkNtJ2DUEzMdrGBlUNiFV9f+RENqQD24H2gJx/eEZFoLNFxejUecue3HGxtacWotCR kXRGwJOjzL4fdrz8kgnsQ14OIC4nWawJuyTSeB9amEbQ84nDlHvHsRZSRsgjozmTgjiSklQDQ15N 0ovo9vmgF0FgjoWbVpXoFm73QLMobdFAD7mVFnkKjnwAsS4Vr9s1yHsdv5zcE92jZis6j9Je31g4 a0mbfCrw8ECFWtm6j/dXg/EY0Am7wq1K5MIWipYu4hUMh8fZORrKcLVf4Bpk1LQGpZd1mQ91kwNN H1cyiNPdBvQK4GXAV4diTkxvH9euVIEnnWCKO1WsAagG6Yy44hXz6QALtytZwofsQTK5+sBSjvxM kt/Zm1D16u6T7JDHY1OOmWh+Pw6jKrUowjn+rdzr/d4U82r99f3+515AQe4sGWrSL5OeX1ExJ90e mnkzcyrXY83cyfZb8dN4f9hBcpFw0dObZoIw3xz5g6UZDLUKFgk/dQS5YXumJ6xIlZM0P7iG91PW Uh0/IEnOttxAaQr5aPJy4odLhDZ5eBwfoLlMSZIcEaxEh8Y2+kkbPo7lPbzxbLGHOVHTVuRn5+/3 SNwKIhvnMZZL8Riy8wa8uyriwC8ubLkpTDaUBJJlKzFNAGM9DWEWz942v5w50Qk0A5IsRDXxV+TI h6YX1ymO+rEu62BujpQze3jcsAtBR5ZM8EBfhV8bje4j6jJ6OTIb2CFB0RZ1SdD0/IIXZMo9PO3R BrTK+NPG5Snk8Bbth8UHmT2JFNHYEkJPjpFV4/dSmyidTKQYgxCNfW4mfO4/yOrTR+7WRmAE5Qeb xtzKFAaxrcmjkYn9dXDQ8NBzloT0I76niiXPDwZtIfHJAwsx7AkE+N9uLXqrtPEVQyTF5jolgSVv hHArpdbdlxc03ezYW5PGcfxyCApCpo6qjgJtzX0NKz30f2KXh07wpubO2zXTz4jymfFDlaThhDvB ufv/gsBFbLF7NhqJo62ciitbutpEnJHxtGKy1NG5BeJD+gfNmFr5QTnXDZ9MiTd0wmhSzodSt7q4 WsbtQ4if/TiCw7XiQ6Sr8kQglDA8/i64cXo6iK9MyhXP31nXOC5uHDihFvBQd1+3aX2MuGdv1NCJ f1xNBUA5Bk20MjELSiy6XQ+yOj0DT8rIiNGM03cMmGa0jtHzhqplZJbcugRu5QipS4/Nh6HGiTf+ bJn19WFsccUpIwrC5xqB81MnqghV83MooF4LR7oJFJDYsN0yAXWNSUySUL5/fz9/Otx1bhGaPe9H 3jMKgkijIMk9SEwIVjVr23lQwVrxBbwsVv0zPc4HqsGXdNPoEE7Xig9c4JxbwxDBnQz04w+myNEE asY5XSmobzIgwp8AoW5XpP2BSITnRWf5xtDX71wy7nGNDTh/LqLFoMHwUqI/d6YZM/pawrvirIk7 8uxfIcm58mqIePq4jFoKNZDiRtdRu5BTWrSm9hNRG/7iFOFYG6UVBuI6kMLru31qOgBiQOgpJVYz Jc+FDXpNCsvZExoOsxL6RhIbKqVClXUBjpx/6WaaCk/cN8+5L6lCTwg5SwwH4URMrmlWAaAepS3I L7WDvM3jUrQhHlhdC7nZZXRx/4oPuSzGru7tX9SitY7L2idzfVbk9KCc/xUpNw2f3sZ80UPxyuLY DIAXyZ/bfOONeHntmzsUCNJKfQREb4y3Ep6HvfFLLlLhR+iwXq4DOuRDmO5qze/eK3kO1xsC9q4G HHVhIYDJDgSYIlXDGAf2XtAeh8YJkcV5wEB9sVWzkOTksk8yzz7edYaIjd5qVqUQiEryUwIpjj9C Miktb2V9GYAIZgtfzZ1GK69ullXAc5MEvaG7kR577s7+a3AmyJrCmWWQWcfgEAQN3drBlMbvLN0G Hmbk0HE21NVRLbD5nl/ppjvRJ6b+pvY0qOk5WGkLWLm7t7qAUcos/BYel7m0IChS+9rBfiAjYWs/ TzC7NvcK/FL9AUt9zm047YedG8X+gOTEBZHMhgZAyUAxiHmlV4EG4hCcZ0gRK1gb+mjtYflyZt7+ RpEkpt78Ddoxg1SX7o1bBE5gVvAfKhvJDh8qUdzXaMrfOdTFFoob1uMJ9ku4eGWFAJccGDyxzF0D A4EASaICfbeDpnQPqt33zozzZ4fpUeqcqU3NbdyYNaDFniLtz5K9Z0qcgCmddH8kqZIY/yFUTsm0 b1fiHDM+7VFd++u7rm+DkK8wHjdWNQbx8XPx0PFy5Mq3BCbTNg5DAi8ojCVzItirTEGnMcm2nEWq +TkrJpmofLerdhHAEeOccmwSm8Y8gu2atUq10pwTX+5J1kyTqFDUywGdPZjiVouZ0aohL1TCH6/7 UVSuTCkdpV+XH7axfOrEJ8SlzJv4Km1R+HWbow7HGzkXPwAwq+i0UYlxbD34B0KUBKCzpGnP6atG G9wzEeHaXyk9TX0reI8nCRR981wWQG/BozB5Xy1Cb+HZz12ERJG2CyTugpmhP8pJJD9JV/GUvAcq TyGwZSFd+ZLpNKuupEvBXxnXt/4IhQzgX70OmAhOLnABPPUOwLsmcmi/SRgoRUjOUumMtC5yUHBX hDm0XzVYqsaiZKBGBUkquJVoy3EOb3Iz51AbTKgYR3K/gTRC9Np1lLJ4vGFenlZ4OYnFGLmqB1EN nyCXuet1xtnzLTHWxyHkLFx7BV9WetViwJi6352TPe9GnZ7UOL2KOTQ/KTeS1euYJDg85i6sSkjm UE56yG7Nl2gY7wLjdOZrQOTR/lR+Blm79jeeol9RxPjpbDX0phS2Q+LxYVYHh4huQDc52tSQpGl3 d7pqnaKz7lUuDoDnokO7aqabIP9zOC4SZeMGZ34HM3qRzWqgosWiKzIDvE2pVvhGHD5fTiPdfegz mvqT5Yay4vzAtkX5eTl5ZEv6C62Aunv0EGtwWxK0OZKp2zhFZXqfiHdVN+POo3jav90jkgusbZfd EBTNvvHbWcljXKfCkFgOwWAp6MRid+9sKAk642/A7fCEV5iKI31t6QyXcSIuV3hJR2LQ107IcqUu 5FoB9omrEsTAtY4PwbwvJh1pNmKzEoM4F9xMAGhTpezJ44L/SwDYmcdrx4QWBzZoFXyFg2FtObYT m//QmZnI70USd8GMg+tldsp7urC+eDO2oe1qnwyFUI1PNIYP8pG1iJpMGziBt6G4Zx+keKZxzyGJ SVQ9WVmnYJAr2a2Fa/1YoT1QIX05EtA+h/aOf7YxiqmwqGUNLRVMbCu6xq/rjXDpOEozRdnQKJ5o cQZ1ICUcY4qdKEYIVLaKPFE8SXVOYsgo/0nhzvpQL7zEDDhrKgFW2/bNXZbPULyXzUSHLAz+rlZv 7PmK92KzF9C8YLxMYf1hObdUIr3JgrJ2+JzDCO44ak4zEkbysLvQYswOnn/EyIWSoBvihdbu6Vem M7sR2p+18Yd5aaW7AkNfP/jGrMErnFaJVwDx7RH3i5sv8yJo/90JKCCtKh5RtSPR87F3ctR7qGK6 cGCkTIK088srZiiar1RW6uxicymHTkSPdXeBFBYRA4jeiIYvP000mdZ8DVOvY+iYERy9gle6/COi VC/imh9iyKojBugCRbtt96v41zSANlLxq3ROW0R9BmzoTqo9qDr8khHUiYv40h1rqL1dI1bxQ0Cx WITYyXCqG7XR+FhogyibTHDB9xC+c4dCY7AOUFnjZk3ZLxZ7sS872vv71DjNCbL3yuJ7XouEVuiG CdRBg02UNinX2fUyu0HkoUVkjkvjm3l/dCRAnZKxPfRWEBKML+G9xyg4omNPxkuT0oic9I4zM5Cu rkIjHLjf5huomVuFVOmiZkBW2f1S9iV5bhqZkv3cnkcUZFsuynf4FD2VQ/5mbJ2dNmPYL838OvDM xCNGz7xhlviqFQBxvo7xFsGxeCyWdl5L2YyNoZr++S8S8t76soaQkTBAkHM84Lo9BlH1QxsTi7c8 hOMAe8BSWdy3kGxcqThMb82SDF70Of2IvPvXNCx9+BeSsgKdb9etC2jBJPc5AeeWE5J13bkBpw04 SVnuItHc7A0kExDjwgWimxS10Uvxr2yhEHmpASG7n7AHKKqOGvVfi0BLURUwoyOEwAxuMrWixWdw IRfOnxGbjcTjyx7Yc8OB88NlDNjSsKgLVml5DxiIc3uRmNUcaMUNfKR+zqNqAoBsh6KuJi4jUOE4 hUOhMHe8rado72LLPCrenGhYhRFgKylYiCrbzMz/oVfuMunrxaVBVdWB1tYs2jv11CuBvRYR9GWO 3vjIOXp1wj5j8bg5/J7cihssE+HZ9TR0oH7fQ2umfRWWtlcijpqaGFOLS9s9MNX2FDrEwha819k7 AFTHkuq04gx8wSt7vX79bTqFwMNxBeiSzEhmO9bZ867CQie4gBYc89+NkbjMuUPuAj3T/qqSJd5J A5oEehTUtgOKp1VhD/k6JkbImI3epJ0tNjP6CNGTg4gdudDfrRGyeqt7QvJKO4LlFykUcJd3zcWZ 99usq2Nkw6JpYIPhTGm8AmTJHIlxuvAdfBcLRkiIS2YS1YhpGmLXIo0oQ6IiKTG201ah51zMpe5a TCxHV3Ap57tAj/0+qS1CCGCwnAQCc2VgJy5hUIWCXKbad4KGagMiu5mnRXgD2hWBoae0Zp2NKdGg mO7eFcqtgVISAWDGR3TJJnyv4uK6LplCuuLn0WaFlaou9yKSmO8MkNIRhcSvqIOoQOkm1k2mtPCH KKgWotr3h6hR35YG6d1H0NRwaJhacE0nuTU2GwMYQLmy+CmGKjqxnnyZ9tngDZJDszMUFi6geZkY EijFDZWWFeG/W5ZSrKlGOn9sn/wgoWlyNedPJKPlQFVL9z5OfQKEQZBDORZiaKXzSAdLpZcUaf4b lvcBsXRMLjFA7tkA9Blzwqx9k1Ib8W+K9esiswt4s94e/jQaDN09aZX0ldgD+pTvGd7lxs2hp2x5 wCuuWqG3L97AcjyGTLp5IbIgbRY3HA4Uk0HyvXsuTWv7xHb/r7ZQSjusL0DGcMZ7sUjFUqbVMTql sK+xBLyP8gMC5RParpupkqgeBcahq9SQ63kCN7dZqI4a5Ak0xHgOiMGPbDAGz297PseCnUFopICI 24bp6r0VeUdJJPLfY9LJ8KM2rgWjwckG8CTLOtgMpZzhTFQRRL2/E4Y+UocIBH09mN20y6DiIxuK 4n5gxfL8jF+kQv82+WhMRxucR2ntbvFPHOWhGtrXD9wDe6IS8kBqzwQgLS5ty/OMHpcll5zT+M7N DcOZ7D7FRBzrrhtUXZhegM0h4q1SGFj8zoEHllZcwbroIsdWJzdr3V2MUnbhmiTlV+s1OKgbtLgl lHQB7vcxBfiRINo0I5USy+801uxubIJ5Ne8RMGTOz2HfwgGrT2AsXiMVs4lEq4wGLfOriFZltBkx bzVkONoDKDVaxpvs66BuoyDZ+6YYgmAxPKNVZYDlHlZvrJ+9DQMB6WSVNDeAvPr7ACKNR1RVvQQb 8FeTYzwWlPp0scDSqBTUvURbzTHOAyINDLNAAr0S7HsHTgcA1VZ2ZLNrvJbkNxtmzEa6tD+hDl0m ofpKc/LZSkizoUtIJ/0VY6EoOqMp92u0CaeAFgjkwBRxu2xPZpHG3n+hIXvl4tLlpjEZYBuhkVWj IDuYARPRI4WCjggIKR7xWHeQWDzAog3KM6Kj26QiJHt08F/pnxGznOeL+yaDCcZVVCw27BhdjK2D YLPStGUIxu+SN6CEyY+cVyjPVHhwSv/Kw0TNNtHlrwokhiQIWyWi4ii/pLnDpwS2VN+Q1O/kZw61 eKYwRIHFvGi0ZOyphZ50qXwD6v3LrFcGtCBV2w55/7cdiqe00rB5MDuMih7s6adzm5wGwVop7oXq JlxUBAFPqztlAhbCD+0QA0yA98Lzkih53im8/xaMeGJ5zeAeghOsA42YewWslWXoYJMLea0/mvFV qmhIc7cqTfgU6JUD6Navpdke1Tkn2p1U9KBj45UfVGAX850Oh8DOWmQrM8y6R97RdLC0sseMJzRS Qg6EiwH3uVOvSHaqKpimHJCRNfhaFyfAIQcGI/yKa+XDN2WnHskhqMv1REPmTCtMa4h4pruttW4V XQjoDZQ1TLcRIN1CpQJMCw3ogCGs/HCK3jo8tF2IhVSJUeTLXlYD51c4o8YrMQRzBZ3UXwW5Xs+P rZARJUCiZ9qMSUK6or0iPtzOAexHIm7KgkU8J0A0z+ZieFq/NipiBnLGXs0hou1D/Eyp6+We27rC vEaZXNErRiE79KgjhHucfpbf9mR+lhCTVz7h5aGvyWuh+2Mf8WnlWZjrc7LciB4lDImAd8Z3TGQQ lY28ejpnW7wsh1TWBl8b4tQPu+p7s6pQPIr2CnJ8xU5UfF8J5OX3mRlBhEHSuhgV4XCFeSIHu9oV Cjx15kOX0fBbiVkPqVuSF7L/7w1cQNFTMkcq8NqUmnidGElzaPb2ASlJn8ug27F4mex/4fA5BCdJ JayzDtxUzwppJXDAvezrZY5t/Mk3pcoFHfC6rHBroMSSHoFEW+LStR66kDN3rCSq34vRktNA1Ww6 QfXqLYquDPPpNjXASriSKPXcrZ2NLCiUMp6Ja9Gf9M8DT1FaotD8akquAiJzZ5415TUsRR1dPu7x 3pjN0clUzqDMoOMMjI7k5/DHcQ3qY/d2enzarhbQ0/8UVHYQia9mvjJLjDy8ioUvu9ZZPDulhBqw 6O4fyovn9fDXrIqp5+jY17kj8R8BheJn/snQYwD3FDJ5kdqOWILpY2WuYAI6RMbg4mWYYbDkMv0Z Sjplksvn14UGpPhwID/OtcuqBh5m0+vCOa/urNVsTLAuyW9LjSmwVfuptgvm57XnIu7nnNXYTM7A gTFzrjprrxqY+uFY3AZCOQMnlUKb+A8kbIuMbZxnex3j7RB2jJYOIV3ngxvgFo2pw0xU3eQd9gBQ F0xF5MVmhTpKWB8It6jSymAZghH7DXikUigOHidHojvtfOgBrmLtxJvXDaNBMukzFntAYps/7yQb 5u/la9jaA0nCfgq9gBt7R4lASGr+pbAvAYM+u5XLD7hOHqRzt0ECXwJvmhK7JeTR3aWmN3qsi9c7 HFJW8wZpt7oM5GSgUQ7dHboiThitlDapfw7PXcL/eEuRP5hQbqK0QYszssRDgWQlYjMUs0Y+Al2y GE+HQnoBFiyTIVMYhBZMa0QA2Ij+yj7BVE539YCsSARwG8frAQ0mBIxJDnnxfKCXmwQAqQm5IjDj tDmruh2WKX1lCbol/w/PUlugcQMXoKGwv07wMRX+QkES1v5IyC5NtRmKI0rWIHPFqLL6fqArNRjn AdiqauXKRBt7fbvVnp1wxvhsWEDjeJqd9ow82OaqcIep2oZ602OaJ2pUZoWS2v38m+FDZfvHYcvW YzoalRpHKyHWVNzuHsd1HXbuHqzW7V48hvHlzmVheivKWZK4yVgAwJ9xWedc74YeCwBJ04H1TlfH O0jUeun6Y/dq7/9KKV93HjMQFL+UwRgWv1Nz7eqimFIxVTK4LXqsR0nbfOkg408IZzdBUJ3muLKd 81tlJpX3uzWG0rmA/rVVqe/sB4Y65pyJIQ5q5jcwalyVqQS/oAv7pxAcBAVjxGVEHw0xP5UaOlbU x+2zjhpA3NgJqlvmQwlNVWTrRyxRyPuMNcl1fn3p2487Vz5vmgD0bsOHxVy+8B5ebMUVvVQyiOqr rJ3C3z2mHLrQuUKbq1JUeNE4QUw6FWRsDIJxaUa0RHsk4nRquOz3Umg1C9no5uor/Evl3RGkHUie pomQcsNL+Kx2DcNN6aHDooxOer3lzdLqZL50vEeCJ0+FZBCH78L+2nc/rTIccTQ7HOJIXL+5A07s dBC4kdIGSO1ayJxPwlx1pma7YHgDO4qeRPmvd/WvvD+X1ts8Z89A3wLyErSo5a6HSueb/trZD5gi UQFWPlFuSJRD6X4GwRKyMc6nLkG1x8XjuGz9V1eXvrmZwhNvdJKWBAhLZzCvzbw9Spa/Y/DAvbod U7Ejxa3cRjbOwvaNMzDUOed0mLO3WvWSYPUVHakbGyNzn8HR+A80yGclVdreqU1Tiie+imuMOGSg EuiTjVdPQxkREq/FL0aIH/9h3Q9sgvrMnQlr20MGFRn9Ywz+ewHKSBJaE+sRGpkeb4WriJR+albm 8Oy8UNSPfeOH3yEBbi1O/fa86CepZhzyq3uCPtSvQ7gRW7rsHubEzRODEwa9zY4V2Mox7sWWM1Li ZLS1fF2b6GmgMG2S+kEItBp6TQMw+YQVEtk7tYD01ip62L4UGzB5sCnCWHUpDyncySuDucYFd9Jj JJ1wkKWKtiudbQaUPuvxRNqH06AmXsbp19VqUdYw/pzxlcI/qYUCU1WpwlKTMjgqgPeq8G3o4yfc 8SJbpTfoG3Q9TtbtgbhLBU5+NJsk2T+umHfZ7MN9eSaN8k18NTpHHOHwMZ8E+2UzD/ocRTq6/jCd Qk1bHFEtwJ8x6hpRar+C0PiFDfkpvt3i6n2AVZTXuI5XaGqayA+2l1rHgWDZEL2RwkomlN9xyub4 gdYaJpCC8f+5VkK4SmJ+yNImqSXmIWpVzcnaWt6t5gqnVj3vhG6zUk7k4xkicDt/dODp+34uOgKP 87KsYWKy58q/j3MiiNXH2MbFjMB3APUIV7H1RK+TpnS+G7vsl2qhOoOlpu2YFGMmOMRGzSAi6qwx /HrKrdNQJ1thJf7smw9KdmHPAe7Aa55Grlhb71WtiipYB4gvX2GWq8qlxi6ccrRzvKzyARKftFs7 /e5bjRDhEAwnehIGynH0s9oiiggtwjBPuo+CziWSMOmkGge1AAt8eNfubM31aE5eQUnhDXPTrdRp NfEAjBy8otVrM8pDVEKPKXuM/Zo2r78OpHvMkOV4HDGWICS8xZAVVDgjwTqfrJKtiACXHThdi+jQ XEiDofGAjUXWxec4iyJ25c4Hm7gUIjgG2O2AlhilWmR11IyVn0t3eNlgbXvGh6deAPRP1oUvWo8M lSdFhbV3oUbjz/TBp5uxRWrPXsLMUEqzdWvbcg8UcjtnBbyq5ykjVQHgGYFekbagegr7QM392Uf1 4wk5dBKO0O+vymraNYBhWduEl37MYNFAT+17zgaUrZFN2z9rvOf3mUotAFHQkOhZXnzjbFFGBSei rJbNgCLf6neSRd0iptJ71qcrIK0lPF8Efu5Vx26yWSlGuizo2pgSWl2awbEPZXXOapt4vW5c7hqP 63IF7jKxD5Cwa05fSvqtwLdZIOLMF1eA0YwUvSJVx7E95gp7SXcUymy279CzugdCCK7D+I2+DxKO D2yV+5X5SfM5mmhbt8JEj31ycQNfAEcak2m3PM+GpMEQQL8MVH8p0htcnmnXg/c8pAEnjS7iIKmo X/TGUveC2W3t6sJ7foMNn2lcAMDS71lkJdYF2bJkJoSrE2QrigLUS/q9MZkJ07cuTClIXZvWMFCC 8QID0lFZQLEAm9jvjkd/ylMjh78aonb7RU2CZyahJMPqPeKgsHxRWOmLw/JTg5iGFdklFuH/M4cP CNPlYJHJx+YiFKRc3nLFpgwH+GG131/Z `protect end_protected
lgpl-3.0
id101010/vhdl-yasg
rotary_tb.vhd
1
1736
---------------------------------------------------------------------------------- -- Project: YASG (Yet another signal generator) -- Project Page: https://github.com/id101010/vhdl-yasg/ -- Authors: Aaron Schmocker & Timo Lang -- License: GPL v3 -- Create Date: 13:41:21 06/19/2016 -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY rotary_tb IS END rotary_tb; ARCHITECTURE behavior OF rotary_tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT rotary_dec PORT( clk : IN std_logic; A : IN std_logic; B : IN std_logic; btn : IN std_logic; btn_deb : OUT std_logic; enc_right : OUT std_logic; enc_ce : OUT std_logic ); END COMPONENT; --Inputs signal clk : std_logic := '0'; signal A : std_logic := '0'; signal B : std_logic := '0'; signal btn : std_logic := '0'; --Outputs signal btn_deb : std_logic; signal enc_right : std_logic; signal enc_ce : std_logic; -- Clock period definitions constant clk_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: rotary_dec PORT MAP ( clk => clk, A => A, B => B, btn => btn, btn_deb => btn_deb, enc_right => enc_right, enc_ce => enc_ce ); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; -- Stimulus process stim_proc: process begin wait; end process; END;
lgpl-3.0
freecores/grain
src/VHDL/test_synth/hw2_grain.vhd
1
767
-- -- synthesis test 2: -- * without clock enable -- * slow -- -- -- Altera EP2C-8, Quartus 8.0: (same as hw1_grain) library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity hw2_grain is port ( CLK_I : in std_logic; ARESET_I : in std_logic; KEY_I : in std_logic; IV_I : in std_logic; INIT_I: in std_logic; KEYSTREAM_O : out std_logic; KEYSTREAM_VALID_O : out std_logic ); end entity; architecture behav of hw2_grain is begin top: entity work.grain generic map ( DEBUG => false, FAST => false ) port map ( CLK_I => CLK_I, CLKEN_I => '1', ARESET_I => ARESET_I, KEY_I => KEY_I, IV_I => IV_I, INIT_I=> INIT_I, KEYSTREAM_O => KEYSTREAM_O, KEYSTREAM_VALID_O => KEYSTREAM_VALID_O ); end behav;
lgpl-3.0
jmarcelof/Phoenix
NoC/FPPM_AA00.vhd
2
3418
library IEEE; use IEEE.STD_LOGIC_1164.all; use ieee.numeric_std.all; use work.HammingPack16.all; use work.NoCPackage.regNport; entity FPPM is port ( clock : in std_logic; reset_in : in std_logic; -- reset geral da NoC rx : in regHamm_Nport; -- rx (sinal que indica que estou recebendo transmissao) statusHamming : in array_statusHamming; -- status (sem erro, erro corrigido, erro detectado) das 4 portas (EAST,WEST,NORTH,SOUTH) write_FaultTable : out regHamm_Nport; -- sinal para indicar escrita na tabela de falhas row_FaultTablePorts_out : out row_FaultTable_Ports -- linha a ser escrita na tabela de falhas ); end FPPM; architecture FPPM of FPPM is -- CUIDADO! Os contadores tem apenas COUNTERS_SIZE bits! constant N: integer range 1 to 31 := 8; constant M: integer range 1 to 31 := 4; constant P: integer range 1 to 31 := 30; constant COUNTER_UPDATE_TABLE: integer := 1; -- numero de flits recebidos necessarios para atualizar a tabela begin FPPM_generate: for i in 0 to (HAMM_NPORT-1) generate begin process(clock, reset_in) variable counter_write: integer range 0 to COUNTER_UPDATE_TABLE; variable reset: std_logic := '0'; variable counter_N, counter_M, counter_P: unsigned((COUNTERS_SIZE-1) downto 0); variable link_status: unsigned(1 downto 0) := "00"; begin if (reset_in='1') then reset := '0'; counter_N := (others=>'0'); counter_M := (others=>'0'); counter_P := (others=>'0'); write_FaultTable(i) <= '0'; row_FaultTablePorts_out(i) <= (others=>'0'); end if; if (clock'event and clock='1' and rx(i)='1') then --counter_write := counter_write + 1; case statusHamming(i) is when NE => counter_N := counter_N + 1; if (counter_N = N) then link_status := "00"; reset := '1'; end if; when EC => counter_M := counter_M + 1; if (counter_M = M) then link_status := "01"; reset := '1'; end if; when ED => counter_P := counter_P + 1; if (counter_P = P) then link_status := "10"; reset := '1'; end if; when others => null; end case; if (reset = '1') then reset := '0'; counter_N := (others=>'0'); counter_M := (others=>'0'); counter_P := (others=>'0'); end if; if (counter_write = COUNTER_UPDATE_TABLE) then --if (false) then write_FaultTable(i) <= '1'; row_FaultTablePorts_out(i) <= std_logic_vector(link_status & counter_N & counter_M & counter_P); counter_write := 0; else write_FaultTable(i) <= '0'; row_FaultTablePorts_out(i) <= (others=>'0'); end if; elsif (rx(i)='0') then write_FaultTable(i) <= '0'; row_FaultTablePorts_out(i) <= (others=>'0'); end if; end process; end generate; end FPPM;
lgpl-3.0
Hyperion302/omega-cpu
Hardware/Open16750/slib_mv_filter.vhdl
1
2587
-- -- Majority voting filter -- -- Author: Sebastian Witt -- Date: 27.01.2008 -- Version: 1.1 -- -- This code is free software; you can redistribute it and/or -- modify it under the terms of the GNU Lesser General Public -- License as published by the Free Software Foundation; either -- version 2.1 of the License, or (at your option) any later version. -- -- This code is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- Lesser General Public License for more details. -- -- You should have received a copy of the GNU Lesser General Public -- License along with this library; if not, write to the -- Free Software Foundation, Inc., 59 Temple Place, Suite 330, -- Boston, MA 02111-1307 USA -- LIBRARY IEEE; USE IEEE.std_logic_1164.all; USE IEEE.numeric_std.all; entity slib_mv_filter is generic ( WIDTH : natural := 4; THRESHOLD : natural := 10 ); port ( CLK : in std_logic; -- Clock RST : in std_logic; -- Reset SAMPLE : in std_logic; -- Clock enable for sample process CLEAR : in std_logic; -- Reset process D : in std_logic; -- Signal input Q : out std_logic -- Signal D was at least THRESHOLD samples high ); end slib_mv_filter; architecture rtl of slib_mv_filter is -- Signals signal iCounter : unsigned(WIDTH downto 0); -- Sample counter signal iQ : std_logic; -- Internal Q begin -- Main process MV_PROC: process (RST, CLK) begin if (RST = '1') then iCounter <= (others => '0'); iQ <= '0'; elsif (CLK'event and CLK='1') then if (iCounter >= THRESHOLD) then -- Compare with threshold iQ <= '1'; else if (SAMPLE = '1' and D = '1') then -- Take sample iCounter <= iCounter + 1; end if; end if; if (CLEAR = '1') then -- Reset logic iCounter <= (others => '0'); iQ <= '0'; end if; end if; end process; -- Output signals Q <= iQ; end rtl;
lgpl-3.0
freecores/ternary_adder
vhdl/tb_ternary_adder.vhd
1
3180
--------------------------------------------------------------------------------------------- -- Author: Martin Kumm -- Contact: [email protected] -- License: LGPL -- Date: 04.04.2013 -- -- Description: -- Testbench for testing a single ternary adder component --------------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; -- for uniform, trunc functions entity tb_ternary_adder is generic( input_word_size : integer := 15; subtract_y : boolean := false; subtract_z : boolean := true; use_output_ff : boolean := false ); end tb_ternary_adder; architecture tb_ternary_adder_arch of tb_ternary_adder is signal clk, rst : std_logic := '0'; signal x,y,z : std_logic_vector(input_word_size-1 downto 0) := (others => '0'); signal sum : std_logic_vector(input_word_size+1 downto 0) := (others => '0'); signal sum_ref,sum_dut: integer := 0; begin dut: entity work.ternary_adder generic map ( input_word_size => input_word_size, subtract_y => subtract_y, subtract_z => subtract_z, use_output_ff => use_output_ff ) port map ( clk_i => clk, rst_i => rst, x_i => x, y_i => y, z_i => z, sum_o => sum ); clk <= not clk after 5 ns; -- 100 MHz rst <= '1', '0' after 5 ns; process variable seed1,seed2: positive; variable rand : real; variable x_int,y_int,z_int : integer; begin uniform(seed1, seed2, rand); x_int := integer(trunc(rand*real(2**(input_word_size-2)-1))); uniform(seed1, seed2, rand); y_int := integer(trunc(rand*real(2**(input_word_size-2)-1))); uniform(seed1, seed2, rand); z_int := integer(trunc(rand*real(2**(input_word_size-2)-1))); x <= std_logic_vector(to_signed(x_int, x'length)); -- rescale, quantize and convert y <= std_logic_vector(to_signed(y_int, y'length)); -- rescale, quantize and convert z <= std_logic_vector(to_signed(z_int, z'length)); -- rescale, quantize and convert wait until clk'event and clk='1'; end process; process(clk,rst,x,y,z) variable y_sgn,z_sgn,sum_ref_unsync : integer; begin if subtract_y = true then y_sgn := -1*to_integer(signed(y)); else y_sgn := to_integer(signed(y)); end if; if subtract_z = true then z_sgn := -1*to_integer(signed(z)); else z_sgn := to_integer(signed(z)); end if; sum_ref_unsync := to_integer(signed(x)) + y_sgn + z_sgn; if use_output_ff = false then sum_ref <= sum_ref_unsync; else if clk'event and clk='1' then sum_ref <= sum_ref_unsync; end if; end if; end process; process(clk,rst,sum_ref) begin end process; sum_dut <= to_integer(signed(sum)); process begin wait for 50 ns; loop wait until clk'event and clk='0'; assert (sum_dut = sum_ref) report "Test failure" severity failure; wait until clk'event and clk='1'; end loop; end process; end architecture;
lgpl-3.0
Hyperion302/omega-cpu
TestBenches/PortController.vhdl
1
3556
-- This file is part of the Omega CPU Core -- Copyright 2015 - 2016 Joseph Shetaye -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU Lesser General Public License as -- published by the Free Software Foundation, either version 3 of the -- License, or (at your option) any later version. -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. library IEEE; use IEEE.std_logic_1164.all; use std.textio.all; use work.Constants.all; use IEEE.Numeric_std.all; entity PortController is port ( CLK : in std_logic; XMit : in Word; Recv : out Word; instruction : in Word; CPUReady : in std_logic; CPUSending: in std_logic; PortReady: out std_logic; PortSending: out std_logic; Done: out std_logic; SerialIn: in std_logic; SerialOut: out std_logic); end PortController; architecture Behavioral of PortController is signal Done_s : std_logic := '0'; signal PortSending_s : std_logic := '0'; signal nextWord : Word := (others => '0'); --signal readControl : integer := 0; begin -- PortController SerialOut <= '0'; PortSending <= PortSending_s; Recv <= nextWord when PortSending_s = '1' else (others => '0'); Done <= Done_s; process variable input_line : line; variable input_char : character; variable input_read : boolean := false; begin -- process PortReady <= '0'; PortSending_s <= '0'; wait until rising_edge(CPUReady) and GetOpcode(instruction) = OpcodePort and (GetOperator(instruction) = LoadByteSigned or GetOperator(instruction) = LoadHalfWordSigned or GetOperator(instruction) = LoadByteUnsigned or GetOperator(instruction) = LoadHalfWordUnsigned or GetOperator(instruction) = LoadWord) and getRegisterReferenceB(instruction) = "00001"; -- rising clock edge --wait until readControl = 1; if not input_read then readline(input,input_line); end if; if input_line'length > 0 then read(input_line,input_char); nextWord <= "000000000000000000000000" & std_logic_vector(to_unsigned(character'pos(input_char),8)); input_read := true; else nextWord <= "00000000000000000000000000001010"; input_read := false; end if; PortReady <= '1'; PortSending_s <= '1'; wait until CPUReady = '0'; end process; process (CPUSending) variable c : integer; variable out_line : line; variable currentOperator : Operator; begin -- process if rising_edge(CPUSending) then -- rising clock edge currentOperator := GetOperator(instruction); case currentOperator is when StoreByte|StoreHalfWord|StoreWord => if CPUSending = '1' and GetRegisterReferenceB(instruction) = "00001" then c := to_integer(unsigned(XMit(7 downto 0))); if c /= 10 then --write(out_line, to_bitvector(XMit)); write(out_line, character'val(c)); else writeline(output, out_line); end if; Done_s <= '1'; else Done_s <= '0'; end if; when others => Done_s <= '0'; end case; else Done_s <= '0'; end if; end process; end Behavioral;
lgpl-3.0
Hyperion302/omega-cpu
Hardware/Open16750/slib_clock_div.vhdl
1
2042
-- -- Clock divider (clock enable generator) -- -- Author: Sebastian Witt -- Date: 27.01.2008 -- Version: 1.1 -- -- This code is free software; you can redistribute it and/or -- modify it under the terms of the GNU Lesser General Public -- License as published by the Free Software Foundation; either -- version 2.1 of the License, or (at your option) any later version. -- -- This code is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- Lesser General Public License for more details. -- -- You should have received a copy of the GNU Lesser General Public -- License along with this library; if not, write to the -- Free Software Foundation, Inc., 59 Temple Place, Suite 330, -- Boston, MA 02111-1307 USA -- LIBRARY IEEE; USE IEEE.std_logic_1164.all; USE IEEE.numeric_std.all; entity slib_clock_div is generic ( RATIO : integer := 4 -- Clock divider ratio ); port ( CLK : in std_logic; -- Clock RST : in std_logic; -- Reset CE : in std_logic; -- Clock enable input Q : out std_logic -- New clock enable output ); end slib_clock_div; architecture rtl of slib_clock_div is -- Signals signal iQ : std_logic; -- Internal Q signal iCounter : integer range 0 to RATIO-1; -- Counter begin -- Main process CD_PROC: process (RST, CLK) begin if (RST = '1') then iCounter <= 0; iQ <= '0'; elsif (CLK'event and CLK='1') then iQ <= '0'; if (CE = '1') then if (iCounter = (RATIO-1)) then iQ <= '1'; iCounter <= 0; else iCounter <= iCounter + 1; end if; end if; end if; end process; -- Output signals Q <= iQ; end rtl;
lgpl-3.0
Hyperion302/omega-cpu
Hardware/Omega/ipcore_dir/UARTClockManager/simulation/UARTClockManager_tb.vhd
1
6499
-- file: UARTClockManager_tb.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- Clocking wizard demonstration testbench ------------------------------------------------------------------------------ -- This demonstration testbench instantiates the example design for the -- clocking wizard. Input clocks are toggled, which cause the clocking -- network to lock and the counters to increment. ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; use ieee.std_logic_textio.all; library std; use std.textio.all; library work; use work.all; entity UARTClockManager_tb is end UARTClockManager_tb; architecture test of UARTClockManager_tb is -- Clock to Q delay of 100 ps constant TCQ : time := 100 ps; -- timescale is 1ps constant ONE_NS : time := 1 ns; -- how many cycles to run constant COUNT_PHASE : integer := 1024 + 1; -- we'll be using the period in many locations constant PER1 : time := 31.250 ns; -- Declare the input clock signals signal CLK_IN1 : std_logic := '1'; -- The high bits of the sampling counters signal COUNT : std_logic_vector(2 downto 1); -- Status and control signals signal RESET : std_logic := '0'; signal COUNTER_RESET : std_logic := '0'; -- signal defined to stop mti simulation without severity failure in the report signal end_of_sim : std_logic := '0'; signal CLK_OUT : std_logic_vector(2 downto 1); --Freq Check using the M & D values setting and actual Frequency generated component UARTClockManager_exdes generic ( TCQ : in time := 100 ps); port (-- Clock in ports CLK_IN1 : in std_logic; -- Reset that only drives logic in example design COUNTER_RESET : in std_logic; CLK_OUT : out std_logic_vector(2 downto 1) ; -- High bits of counters driven by clocks COUNT : out std_logic_vector(2 downto 1); -- Status and control signals RESET : in std_logic ); end component; begin -- Input clock generation -------------------------------------- process begin CLK_IN1 <= not CLK_IN1; wait for (PER1/2); end process; -- Test sequence process procedure simtimeprint is variable outline : line; begin write(outline, string'("## SYSTEM_CYCLE_COUNTER ")); write(outline, NOW/PER1); write(outline, string'(" ns")); writeline(output,outline); end simtimeprint; procedure simfreqprint (period : time; clk_num : integer) is variable outputline : LINE; variable str1 : string(1 to 16); variable str2 : integer; variable str3 : string(1 to 2); variable str4 : integer; variable str5 : string(1 to 4); begin str1 := "Freq of CLK_OUT("; str2 := clk_num; str3 := ") "; str4 := 1000000 ps/period ; str5 := " MHz" ; write(outputline, str1 ); write(outputline, str2); write(outputline, str3); write(outputline, str4); write(outputline, str5); writeline(output, outputline); end simfreqprint; begin RESET <= '1'; wait for (PER1*6); RESET <= '0'; -- can't probe into hierarchy, wait "some time" for lock wait for (PER1*2500); COUNTER_RESET <= '1'; wait for (PER1*20); COUNTER_RESET <= '0'; wait for (PER1*COUNT_PHASE); simtimeprint; end_of_sim <= '1'; wait for 1 ps; report "Simulation Stopped." severity failure; wait; end process; -- Instantiation of the example design containing the clock -- network and sampling counters ----------------------------------------------------------- dut : UARTClockManager_exdes generic map ( TCQ => TCQ) port map (-- Clock in ports CLK_IN1 => CLK_IN1, -- Reset for logic in example design COUNTER_RESET => COUNTER_RESET, CLK_OUT => CLK_OUT, -- High bits of the counters COUNT => COUNT, -- Status and control signals RESET => RESET); -- Freq Check end test;
lgpl-3.0
Hyperion302/omega-cpu
Hardware/Open16750/slib_edge_detect.vhdl
1
1702
-- -- Signal edge detect -- -- Author: Sebastian Witt -- Data: 27.01.2008 -- Version: 1.1 -- -- This code is free software; you can redistribute it and/or -- modify it under the terms of the GNU Lesser General Public -- License as published by the Free Software Foundation; either -- version 2.1 of the License, or (at your option) any later version. -- -- This code is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- Lesser General Public License for more details. -- -- You should have received a copy of the GNU Lesser General Public -- License along with this library; if not, write to the -- Free Software Foundation, Inc., 59 Temple Place, Suite 330, -- Boston, MA 02111-1307 USA -- LIBRARY IEEE; USE IEEE.std_logic_1164.all; USE IEEE.numeric_std.all; entity slib_edge_detect is port ( CLK : in std_logic; -- Clock RST : in std_logic; -- Reset D : in std_logic; -- Signal input RE : out std_logic; -- Rising edge detected FE : out std_logic -- Falling edge detected ); end slib_edge_detect; architecture rtl of slib_edge_detect is signal iDd : std_logic; -- D register begin -- Store D ED_D: process (RST, CLK) begin if (RST = '1') then iDd <= '0'; elsif (CLK'event and CLK='1') then iDd <= D; end if; end process; -- Output ports RE <= '1' when iDd = '0' and D = '1' else '0'; FE <= '1' when iDd = '1' and D = '0' else '0'; end rtl;
lgpl-3.0
Hyperion302/omega-cpu
Hardware/Open16750/slib_input_sync.vhdl
1
1562
-- -- Input synchronization -- -- Author: Sebastian Witt -- Data: 27.01.2008 -- Version: 1.0 -- -- This code is free software; you can redistribute it and/or -- modify it under the terms of the GNU Lesser General Public -- License as published by the Free Software Foundation; either -- version 2.1 of the License, or (at your option) any later version. -- -- This code is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- Lesser General Public License for more details. -- -- You should have received a copy of the GNU Lesser General Public -- License along with this library; if not, write to the -- Free Software Foundation, Inc., 59 Temple Place, Suite 330, -- Boston, MA 02111-1307 USA -- LIBRARY IEEE; USE IEEE.std_logic_1164.all; USE IEEE.numeric_std.all; entity slib_input_sync is port ( CLK : in std_logic; -- Clock RST : in std_logic; -- Reset D : in std_logic; -- Signal input Q : out std_logic -- Signal output ); end slib_input_sync; architecture rtl of slib_input_sync is signal iD : std_logic_vector(1 downto 0); begin IS_D: process (RST, CLK) begin if (RST = '1') then iD <= (others => '0'); elsif (CLK'event and CLK='1') then iD(0) <= D; iD(1) <= iD(0); end if; end process; -- Output ports Q <= iD(1); end rtl;
lgpl-3.0
lerwys/GitTest
hdl/modules/position_calc/generated/virtex6/nonleaf_results.vhd
1
484765
library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/BPF/zero_filling1" entity zero_filling1_entity_d0ac9899b1 is port ( in1: in std_logic_vector(15 downto 0); out1: out std_logic_vector(23 downto 0) ); end zero_filling1_entity_d0ac9899b1; architecture structural of zero_filling1_entity_d0ac9899b1 is signal concat_y_net: std_logic_vector(23 downto 0); signal constant_op_net: std_logic_vector(7 downto 0); signal register1_q_net_x0: std_logic_vector(15 downto 0); signal reinterpret1_output_port_net: std_logic_vector(7 downto 0); signal reinterpret2_output_port_net_x0: std_logic_vector(23 downto 0); signal reinterpret_output_port_net: std_logic_vector(15 downto 0); begin register1_q_net_x0 <= in1; out1 <= reinterpret2_output_port_net_x0; concat: entity work.concat_cd3162dc0d port map ( ce => '0', clk => '0', clr => '0', in0 => reinterpret_output_port_net, in1 => reinterpret1_output_port_net, y => concat_y_net ); constant_x0: entity work.constant_91ef1678ca port map ( ce => '0', clk => '0', clr => '0', op => constant_op_net ); reinterpret: entity work.reinterpret_7025463ea8 port map ( ce => '0', clk => '0', clr => '0', input_port => register1_q_net_x0, output_port => reinterpret_output_port_net ); reinterpret1: entity work.reinterpret_f21e7f2ddf port map ( ce => '0', clk => '0', clr => '0', input_port => constant_op_net, output_port => reinterpret1_output_port_net ); reinterpret2: entity work.reinterpret_4bf1ad328a port map ( ce => '0', clk => '0', clr => '0', input_port => concat_y_net, output_port => reinterpret2_output_port_net_x0 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/BPF" entity bpf_entity_d31c4af409 is port ( din_ch0: in std_logic_vector(15 downto 0); din_ch1: in std_logic_vector(15 downto 0); din_ch2: in std_logic_vector(15 downto 0); din_ch3: in std_logic_vector(15 downto 0); dout_ch0: out std_logic_vector(23 downto 0); dout_ch1: out std_logic_vector(23 downto 0); dout_ch2: out std_logic_vector(23 downto 0); dout_ch3: out std_logic_vector(23 downto 0) ); end bpf_entity_d31c4af409; architecture structural of bpf_entity_d31c4af409 is signal register1_q_net_x1: std_logic_vector(15 downto 0); signal register2_q_net_x1: std_logic_vector(15 downto 0); signal register3_q_net_x1: std_logic_vector(15 downto 0); signal register_q_net_x1: std_logic_vector(15 downto 0); signal reinterpret2_output_port_net_x4: std_logic_vector(23 downto 0); signal reinterpret2_output_port_net_x5: std_logic_vector(23 downto 0); signal reinterpret2_output_port_net_x6: std_logic_vector(23 downto 0); signal reinterpret2_output_port_net_x7: std_logic_vector(23 downto 0); begin register_q_net_x1 <= din_ch0; register1_q_net_x1 <= din_ch1; register2_q_net_x1 <= din_ch2; register3_q_net_x1 <= din_ch3; dout_ch0 <= reinterpret2_output_port_net_x7; dout_ch1 <= reinterpret2_output_port_net_x4; dout_ch2 <= reinterpret2_output_port_net_x5; dout_ch3 <= reinterpret2_output_port_net_x6; zero_filling1_d0ac9899b1: entity work.zero_filling1_entity_d0ac9899b1 port map ( in1 => register1_q_net_x1, out1 => reinterpret2_output_port_net_x4 ); zero_filling2_d7e27e9bae: entity work.zero_filling1_entity_d0ac9899b1 port map ( in1 => register2_q_net_x1, out1 => reinterpret2_output_port_net_x5 ); zero_filling3_1ae3b6c91e: entity work.zero_filling1_entity_d0ac9899b1 port map ( in1 => register3_q_net_x1, out1 => reinterpret2_output_port_net_x6 ); zero_filling4_6d7b2d0c57: entity work.zero_filling1_entity_d0ac9899b1 port map ( in1 => register_q_net_x1, out1 => reinterpret2_output_port_net_x7 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/DDS_sub/TDM_dds_ch01_cosine" entity tdm_dds_ch01_cosine_entity_4b8bfc9243 is port ( ce_1: in std_logic; ce_2: in std_logic; ce_logic_1: in std_logic; clk_1: in std_logic; clk_2: in std_logic; din_ch0: in std_logic_vector(23 downto 0); rst: in std_logic; dout: out std_logic_vector(23 downto 0) ); end tdm_dds_ch01_cosine_entity_4b8bfc9243; architecture structural of tdm_dds_ch01_cosine_entity_4b8bfc9243 is signal black_box_cos_o_net_x0: std_logic_vector(23 downto 0); signal ce_1_sg_x0: std_logic; signal ce_2_sg_x0: std_logic; signal ce_logic_1_sg_x0: std_logic; signal clk_1_sg_x0: std_logic; signal clk_2_sg_x0: std_logic; signal clock_enable_probe_q_net: std_logic; signal constant11_op_net_x0: std_logic; signal mux_sel1_op_net: std_logic; signal mux_y_net: std_logic_vector(23 downto 0); signal register2_q_net: std_logic_vector(23 downto 0); signal register3_q_net: std_logic_vector(23 downto 0); signal register4_q_net: std_logic; signal register_q_net_x0: std_logic_vector(23 downto 0); signal up_sample_ch0_q_net: std_logic_vector(23 downto 0); signal up_sample_ch1_q_net: std_logic_vector(23 downto 0); begin ce_1_sg_x0 <= ce_1; ce_2_sg_x0 <= ce_2; ce_logic_1_sg_x0 <= ce_logic_1; clk_1_sg_x0 <= clk_1; clk_2_sg_x0 <= clk_2; black_box_cos_o_net_x0 <= din_ch0; constant11_op_net_x0 <= rst; dout <= register_q_net_x0; clock_enable_probe: entity work.xlceprobe generic map ( d_width => 24, q_width => 1 ) port map ( ce => ce_logic_1_sg_x0, clk => clk_1_sg_x0, d => register2_q_net, q(0) => clock_enable_probe_q_net ); mux: entity work.mux_a2121d82da port map ( ce => '0', clk => '0', clr => '0', d0 => register2_q_net, d1 => register3_q_net, sel(0) => register4_q_net, y => mux_y_net ); mux_sel1: entity work.counter_41314d726b port map ( ce => ce_1_sg_x0, clk => clk_1_sg_x0, clr => '0', en(0) => clock_enable_probe_q_net, rst(0) => constant11_op_net_x0, op(0) => mux_sel1_op_net ); register2: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_1_sg_x0, clk => clk_1_sg_x0, d => up_sample_ch0_q_net, en => "1", rst => "0", q => register2_q_net ); register3: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_1_sg_x0, clk => clk_1_sg_x0, d => up_sample_ch1_q_net, en => "1", rst => "0", q => register3_q_net ); register4: entity work.xlregister generic map ( d_width => 1, init_value => b"0" ) port map ( ce => ce_1_sg_x0, clk => clk_1_sg_x0, d(0) => mux_sel1_op_net, en => "1", rst => "0", q(0) => register4_q_net ); register_x0: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_1_sg_x0, clk => clk_1_sg_x0, d => mux_y_net, en => "1", rst => "0", q => register_q_net_x0 ); up_sample_ch0: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 22, d_width => 24, latency => 0, q_arith => xlSigned, q_bin_pt => 22, q_width => 24 ) port map ( d => black_box_cos_o_net_x0, dest_ce => ce_1_sg_x0, dest_clk => clk_1_sg_x0, dest_clr => '0', en => "1", src_ce => ce_2_sg_x0, src_clk => clk_2_sg_x0, src_clr => '0', q => up_sample_ch0_q_net ); up_sample_ch1: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 22, d_width => 24, latency => 0, q_arith => xlSigned, q_bin_pt => 22, q_width => 24 ) port map ( d => black_box_cos_o_net_x0, dest_ce => ce_1_sg_x0, dest_clk => clk_1_sg_x0, dest_clr => '0', en => "1", src_ce => ce_2_sg_x0, src_clk => clk_2_sg_x0, src_clr => '0', q => up_sample_ch1_q_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/DDS_sub" entity dds_sub_entity_a4b6b880f6 is port ( ce_1: in std_logic; ce_2: in std_logic; ce_logic_1: in std_logic; clk_1: in std_logic; clk_2: in std_logic; dds_01_cosine: out std_logic_vector(23 downto 0); dds_01_sine: out std_logic_vector(23 downto 0); dds_23_cosine: out std_logic_vector(23 downto 0); dds_23_sine: out std_logic_vector(23 downto 0) ); end dds_sub_entity_a4b6b880f6; architecture structural of dds_sub_entity_a4b6b880f6 is signal black_box_cos_o_net_x1: std_logic_vector(23 downto 0); signal black_box_sin_o_net_x1: std_logic_vector(23 downto 0); signal ce_1_sg_x4: std_logic; signal ce_2_sg_x4: std_logic; signal ce_logic_1_sg_x4: std_logic; signal clk_1_sg_x4: std_logic; signal clk_2_sg_x4: std_logic; signal constant11_op_net_x0: std_logic; signal constant16_op_net_x0: std_logic; signal constant17_op_net_x0: std_logic; signal constant3_op_net: std_logic; signal constant7_op_net_x0: std_logic; signal register_q_net_x4: std_logic_vector(23 downto 0); signal register_q_net_x5: std_logic_vector(23 downto 0); signal register_q_net_x6: std_logic_vector(23 downto 0); signal register_q_net_x7: std_logic_vector(23 downto 0); begin ce_1_sg_x4 <= ce_1; ce_2_sg_x4 <= ce_2; ce_logic_1_sg_x4 <= ce_logic_1; clk_1_sg_x4 <= clk_1; clk_2_sg_x4 <= clk_2; dds_01_cosine <= register_q_net_x4; dds_01_sine <= register_q_net_x5; dds_23_cosine <= register_q_net_x6; dds_23_sine <= register_q_net_x7; black_box: entity work.fixed_dds generic map ( g_cos_file => "../../../ip_cores/dsp-cores/hdl/modules/position_calc/dds_lut/dds_cos.ram", g_dither => false, g_number_of_points => 148, g_output_width => 24, g_sin_file => "../../../ip_cores/dsp-cores/hdl/modules/position_calc/dds_lut/dds_sin.ram" ) port map ( ce_i => ce_2_sg_x4, clk_i => clk_2_sg_x4, rst_n_i => constant3_op_net, cos_o => black_box_cos_o_net_x1, sin_o => black_box_sin_o_net_x1 ); constant11: entity work.constant_963ed6358a port map ( ce => '0', clk => '0', clr => '0', op(0) => constant11_op_net_x0 ); constant16: entity work.constant_963ed6358a port map ( ce => '0', clk => '0', clr => '0', op(0) => constant16_op_net_x0 ); constant17: entity work.constant_963ed6358a port map ( ce => '0', clk => '0', clr => '0', op(0) => constant17_op_net_x0 ); constant3: entity work.constant_6293007044 port map ( ce => '0', clk => '0', clr => '0', op(0) => constant3_op_net ); constant7: entity work.constant_963ed6358a port map ( ce => '0', clk => '0', clr => '0', op(0) => constant7_op_net_x0 ); tdm_dds_ch01_cosine_4b8bfc9243: entity work.tdm_dds_ch01_cosine_entity_4b8bfc9243 port map ( ce_1 => ce_1_sg_x4, ce_2 => ce_2_sg_x4, ce_logic_1 => ce_logic_1_sg_x4, clk_1 => clk_1_sg_x4, clk_2 => clk_2_sg_x4, din_ch0 => black_box_cos_o_net_x1, rst => constant11_op_net_x0, dout => register_q_net_x4 ); tdm_dds_ch01_sine_1129eb9762: entity work.tdm_dds_ch01_cosine_entity_4b8bfc9243 port map ( ce_1 => ce_1_sg_x4, ce_2 => ce_2_sg_x4, ce_logic_1 => ce_logic_1_sg_x4, clk_1 => clk_1_sg_x4, clk_2 => clk_2_sg_x4, din_ch0 => black_box_sin_o_net_x1, rst => constant7_op_net_x0, dout => register_q_net_x5 ); tdm_dds_ch23_cosine_398d5cee32: entity work.tdm_dds_ch01_cosine_entity_4b8bfc9243 port map ( ce_1 => ce_1_sg_x4, ce_2 => ce_2_sg_x4, ce_logic_1 => ce_logic_1_sg_x4, clk_1 => clk_1_sg_x4, clk_2 => clk_2_sg_x4, din_ch0 => black_box_cos_o_net_x1, rst => constant16_op_net_x0, dout => register_q_net_x6 ); tdm_dds_ch23_sine_782ff6a42a: entity work.tdm_dds_ch01_cosine_entity_4b8bfc9243 port map ( ce_1 => ce_1_sg_x4, ce_2 => ce_2_sg_x4, ce_logic_1 => ce_logic_1_sg_x4, clk_1 => clk_1_sg_x4, clk_2 => clk_2_sg_x4, din_ch0 => black_box_sin_o_net_x1, rst => constant17_op_net_x0, dout => register_q_net_x7 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/FOFB_amp/TDDM_fofb_amp_4ch/TDDM_fofb_amp0" entity tddm_fofb_amp0_entity_fd74c6ad6e is port ( ce_1120: in std_logic; ce_2240: in std_logic; ch_in: in std_logic; clk_1120: in std_logic; clk_2240: in std_logic; din: in std_logic_vector(23 downto 0); dout_ch0: out std_logic_vector(23 downto 0); dout_ch1: out std_logic_vector(23 downto 0) ); end tddm_fofb_amp0_entity_fd74c6ad6e; architecture structural of tddm_fofb_amp0_entity_fd74c6ad6e is signal assert2_dout_net_x0: std_logic_vector(23 downto 0); signal assert3_dout_net_x0: std_logic; signal ce_1120_sg_x0: std_logic; signal ce_2240_sg_x0: std_logic; signal clk_1120_sg_x0: std_logic; signal clk_2240_sg_x0: std_logic; signal constant1_op_net: std_logic; signal constant_op_net: std_logic; signal down_sample1_q_net_x0: std_logic_vector(23 downto 0); signal down_sample2_q_net_x0: std_logic_vector(23 downto 0); signal register1_q_net: std_logic_vector(23 downto 0); signal register_q_net: std_logic_vector(23 downto 0); signal relational1_op_net: std_logic; signal relational_op_net: std_logic; begin ce_1120_sg_x0 <= ce_1120; ce_2240_sg_x0 <= ce_2240; assert3_dout_net_x0 <= ch_in; clk_1120_sg_x0 <= clk_1120; clk_2240_sg_x0 <= clk_2240; assert2_dout_net_x0 <= din; dout_ch0 <= down_sample2_q_net_x0; dout_ch1 <= down_sample1_q_net_x0; constant1: entity work.constant_963ed6358a port map ( ce => '0', clk => '0', clr => '0', op(0) => constant1_op_net ); constant_x0: entity work.constant_6293007044 port map ( ce => '0', clk => '0', clr => '0', op(0) => constant_op_net ); down_sample1: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 22, d_width => 24, ds_ratio => 2, latency => 1, phase => 1, q_arith => xlSigned, q_bin_pt => 22, q_width => 24 ) port map ( d => register1_q_net, dest_ce => ce_2240_sg_x0, dest_clk => clk_2240_sg_x0, dest_clr => '0', en => "1", src_ce => ce_1120_sg_x0, src_clk => clk_1120_sg_x0, src_clr => '0', q => down_sample1_q_net_x0 ); down_sample2: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 22, d_width => 24, ds_ratio => 2, latency => 1, phase => 1, q_arith => xlSigned, q_bin_pt => 22, q_width => 24 ) port map ( d => register_q_net, dest_ce => ce_2240_sg_x0, dest_clk => clk_2240_sg_x0, dest_clr => '0', en => "1", src_ce => ce_1120_sg_x0, src_clk => clk_1120_sg_x0, src_clr => '0', q => down_sample2_q_net_x0 ); register1: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_1120_sg_x0, clk => clk_1120_sg_x0, d => assert2_dout_net_x0, en(0) => relational1_op_net, rst => "0", q => register1_q_net ); register_x0: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_1120_sg_x0, clk => clk_1120_sg_x0, d => assert2_dout_net_x0, en(0) => relational_op_net, rst => "0", q => register_q_net ); relational: entity work.relational_a892e1bf40 port map ( a(0) => assert3_dout_net_x0, b(0) => constant_op_net, ce => ce_1120_sg_x0, clk => clk_1120_sg_x0, clr => '0', op(0) => relational_op_net ); relational1: entity work.relational_a892e1bf40 port map ( a(0) => assert3_dout_net_x0, b(0) => constant1_op_net, ce => ce_1120_sg_x0, clk => clk_1120_sg_x0, clr => '0', op(0) => relational1_op_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/FOFB_amp/TDDM_fofb_amp_4ch" entity tddm_fofb_amp_4ch_entity_2cc521a83f is port ( amp_in0: in std_logic_vector(23 downto 0); amp_in1: in std_logic_vector(23 downto 0); ce_1120: in std_logic; ce_2240: in std_logic; ch_in0: in std_logic; ch_in1: in std_logic; clk_1120: in std_logic; clk_2240: in std_logic; amp_out0: out std_logic_vector(23 downto 0); amp_out1: out std_logic_vector(23 downto 0); amp_out2: out std_logic_vector(23 downto 0); amp_out3: out std_logic_vector(23 downto 0) ); end tddm_fofb_amp_4ch_entity_2cc521a83f; architecture structural of tddm_fofb_amp_4ch_entity_2cc521a83f is signal assert2_dout_net_x2: std_logic_vector(23 downto 0); signal assert2_dout_net_x3: std_logic_vector(23 downto 0); signal assert3_dout_net_x2: std_logic; signal assert3_dout_net_x3: std_logic; signal ce_1120_sg_x2: std_logic; signal ce_2240_sg_x2: std_logic; signal clk_1120_sg_x2: std_logic; signal clk_2240_sg_x2: std_logic; signal down_sample1_q_net_x2: std_logic_vector(23 downto 0); signal down_sample1_q_net_x3: std_logic_vector(23 downto 0); signal down_sample2_q_net_x2: std_logic_vector(23 downto 0); signal down_sample2_q_net_x3: std_logic_vector(23 downto 0); begin assert2_dout_net_x2 <= amp_in0; assert2_dout_net_x3 <= amp_in1; ce_1120_sg_x2 <= ce_1120; ce_2240_sg_x2 <= ce_2240; assert3_dout_net_x2 <= ch_in0; assert3_dout_net_x3 <= ch_in1; clk_1120_sg_x2 <= clk_1120; clk_2240_sg_x2 <= clk_2240; amp_out0 <= down_sample2_q_net_x2; amp_out1 <= down_sample1_q_net_x2; amp_out2 <= down_sample2_q_net_x3; amp_out3 <= down_sample1_q_net_x3; tddm_fofb_amp0_fd74c6ad6e: entity work.tddm_fofb_amp0_entity_fd74c6ad6e port map ( ce_1120 => ce_1120_sg_x2, ce_2240 => ce_2240_sg_x2, ch_in => assert3_dout_net_x2, clk_1120 => clk_1120_sg_x2, clk_2240 => clk_2240_sg_x2, din => assert2_dout_net_x2, dout_ch0 => down_sample2_q_net_x2, dout_ch1 => down_sample1_q_net_x2 ); tddm_fofb_amp1_61cbc8ec65: entity work.tddm_fofb_amp0_entity_fd74c6ad6e port map ( ce_1120 => ce_1120_sg_x2, ce_2240 => ce_2240_sg_x2, ch_in => assert3_dout_net_x3, clk_1120 => clk_1120_sg_x2, clk_2240 => clk_2240_sg_x2, din => assert2_dout_net_x3, dout_ch0 => down_sample2_q_net_x3, dout_ch1 => down_sample1_q_net_x3 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/FOFB_amp/fofb_amp0/FOFB_CORDIC/TDDM_tbt_cordic0/TDDM_tbt_cordic1" entity tddm_tbt_cordic1_entity_b60a69fd9b is port ( ce_1120: in std_logic; ce_2240: in std_logic; ch_in: in std_logic; clk_1120: in std_logic; clk_2240: in std_logic; din: in std_logic_vector(23 downto 0); dout_ch0: out std_logic_vector(23 downto 0); dout_ch1: out std_logic_vector(23 downto 0) ); end tddm_tbt_cordic1_entity_b60a69fd9b; architecture structural of tddm_tbt_cordic1_entity_b60a69fd9b is signal assert1_dout_net_x0: std_logic_vector(23 downto 0); signal assert3_dout_net_x4: std_logic; signal ce_1120_sg_x4: std_logic; signal ce_2240_sg_x4: std_logic; signal clk_1120_sg_x4: std_logic; signal clk_2240_sg_x4: std_logic; signal constant1_op_net: std_logic; signal constant_op_net: std_logic; signal down_sample1_q_net_x0: std_logic_vector(23 downto 0); signal down_sample2_q_net_x0: std_logic_vector(23 downto 0); signal register1_q_net: std_logic_vector(23 downto 0); signal register_q_net: std_logic_vector(23 downto 0); signal relational1_op_net: std_logic; signal relational_op_net: std_logic; begin ce_1120_sg_x4 <= ce_1120; ce_2240_sg_x4 <= ce_2240; assert3_dout_net_x4 <= ch_in; clk_1120_sg_x4 <= clk_1120; clk_2240_sg_x4 <= clk_2240; assert1_dout_net_x0 <= din; dout_ch0 <= down_sample2_q_net_x0; dout_ch1 <= down_sample1_q_net_x0; constant1: entity work.constant_963ed6358a port map ( ce => '0', clk => '0', clr => '0', op(0) => constant1_op_net ); constant_x0: entity work.constant_6293007044 port map ( ce => '0', clk => '0', clr => '0', op(0) => constant_op_net ); down_sample1: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 21, d_width => 24, ds_ratio => 2, latency => 1, phase => 1, q_arith => xlSigned, q_bin_pt => 21, q_width => 24 ) port map ( d => register1_q_net, dest_ce => ce_2240_sg_x4, dest_clk => clk_2240_sg_x4, dest_clr => '0', en => "1", src_ce => ce_1120_sg_x4, src_clk => clk_1120_sg_x4, src_clr => '0', q => down_sample1_q_net_x0 ); down_sample2: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 21, d_width => 24, ds_ratio => 2, latency => 1, phase => 1, q_arith => xlSigned, q_bin_pt => 21, q_width => 24 ) port map ( d => register_q_net, dest_ce => ce_2240_sg_x4, dest_clk => clk_2240_sg_x4, dest_clr => '0', en => "1", src_ce => ce_1120_sg_x4, src_clk => clk_1120_sg_x4, src_clr => '0', q => down_sample2_q_net_x0 ); register1: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_1120_sg_x4, clk => clk_1120_sg_x4, d => assert1_dout_net_x0, en(0) => relational1_op_net, rst => "0", q => register1_q_net ); register_x0: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_1120_sg_x4, clk => clk_1120_sg_x4, d => assert1_dout_net_x0, en(0) => relational_op_net, rst => "0", q => register_q_net ); relational: entity work.relational_a892e1bf40 port map ( a(0) => assert3_dout_net_x4, b(0) => constant_op_net, ce => ce_1120_sg_x4, clk => clk_1120_sg_x4, clr => '0', op(0) => relational_op_net ); relational1: entity work.relational_a892e1bf40 port map ( a(0) => assert3_dout_net_x4, b(0) => constant1_op_net, ce => ce_1120_sg_x4, clk => clk_1120_sg_x4, clr => '0', op(0) => relational1_op_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/FOFB_amp/fofb_amp0/FOFB_CORDIC/TDDM_tbt_cordic0" entity tddm_tbt_cordic0_entity_38de3613fe is port ( ce_1120: in std_logic; ce_2240: in std_logic; clk_1120: in std_logic; clk_2240: in std_logic; fofb_cordic_ch_in: in std_logic; fofb_cordic_din: in std_logic_vector(23 downto 0); fofb_cordic_pin: in std_logic_vector(23 downto 0); fofb_cordic_data0_out: out std_logic_vector(23 downto 0); fofb_cordic_data1_out: out std_logic_vector(23 downto 0); fofb_cordic_phase0_out: out std_logic_vector(23 downto 0); fofb_cordic_phase1_out: out std_logic_vector(23 downto 0) ); end tddm_tbt_cordic0_entity_38de3613fe; architecture structural of tddm_tbt_cordic0_entity_38de3613fe is signal assert1_dout_net_x1: std_logic_vector(23 downto 0); signal assert2_dout_net_x4: std_logic_vector(23 downto 0); signal assert3_dout_net_x5: std_logic; signal ce_1120_sg_x5: std_logic; signal ce_2240_sg_x5: std_logic; signal clk_1120_sg_x5: std_logic; signal clk_2240_sg_x5: std_logic; signal down_sample1_q_net_x2: std_logic_vector(23 downto 0); signal down_sample1_q_net_x3: std_logic_vector(23 downto 0); signal down_sample2_q_net_x2: std_logic_vector(23 downto 0); signal down_sample2_q_net_x3: std_logic_vector(23 downto 0); begin ce_1120_sg_x5 <= ce_1120; ce_2240_sg_x5 <= ce_2240; clk_1120_sg_x5 <= clk_1120; clk_2240_sg_x5 <= clk_2240; assert3_dout_net_x5 <= fofb_cordic_ch_in; assert2_dout_net_x4 <= fofb_cordic_din; assert1_dout_net_x1 <= fofb_cordic_pin; fofb_cordic_data0_out <= down_sample2_q_net_x2; fofb_cordic_data1_out <= down_sample1_q_net_x2; fofb_cordic_phase0_out <= down_sample2_q_net_x3; fofb_cordic_phase1_out <= down_sample1_q_net_x3; tddm_fofb_cordic0_int_516d0c2a22: entity work.tddm_fofb_amp0_entity_fd74c6ad6e port map ( ce_1120 => ce_1120_sg_x5, ce_2240 => ce_2240_sg_x5, ch_in => assert3_dout_net_x5, clk_1120 => clk_1120_sg_x5, clk_2240 => clk_2240_sg_x5, din => assert2_dout_net_x4, dout_ch0 => down_sample2_q_net_x2, dout_ch1 => down_sample1_q_net_x2 ); tddm_tbt_cordic1_b60a69fd9b: entity work.tddm_tbt_cordic1_entity_b60a69fd9b port map ( ce_1120 => ce_1120_sg_x5, ce_2240 => ce_2240_sg_x5, ch_in => assert3_dout_net_x5, clk_1120 => clk_1120_sg_x5, clk_2240 => clk_2240_sg_x5, din => assert1_dout_net_x1, dout_ch0 => down_sample2_q_net_x3, dout_ch1 => down_sample1_q_net_x3 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/FOFB_amp/fofb_amp0/FOFB_CORDIC" entity fofb_cordic_entity_fad57e49ce is port ( ce_1: in std_logic; ce_1120: in std_logic; ce_2240: in std_logic; ch_in: in std_logic; clk_1: in std_logic; clk_1120: in std_logic; clk_2240: in std_logic; i_in: in std_logic_vector(24 downto 0); q_in: in std_logic_vector(24 downto 0); valid_in: in std_logic; amp_out: out std_logic_vector(23 downto 0); ch_out: out std_logic; tddm_tbt_cordic0: out std_logic_vector(23 downto 0); tddm_tbt_cordic0_x0: out std_logic_vector(23 downto 0); tddm_tbt_cordic0_x1: out std_logic_vector(23 downto 0); tddm_tbt_cordic0_x2: out std_logic_vector(23 downto 0) ); end fofb_cordic_entity_fad57e49ce; architecture structural of fofb_cordic_entity_fad57e49ce is signal assert1_dout_net_x1: std_logic_vector(23 downto 0); signal assert2_dout_net_x5: std_logic_vector(23 downto 0); signal assert3_dout_net_x6: std_logic; signal ce_1120_sg_x6: std_logic; signal ce_1_sg_x5: std_logic; signal ce_2240_sg_x6: std_logic; signal clk_1120_sg_x6: std_logic; signal clk_1_sg_x5: std_logic; signal clk_2240_sg_x6: std_logic; signal delay_q_net_x0: std_logic; signal down_sample1_q_net: std_logic_vector(23 downto 0); signal down_sample1_q_net_x4: std_logic_vector(23 downto 0); signal down_sample1_q_net_x5: std_logic_vector(23 downto 0); signal down_sample2_q_net: std_logic_vector(23 downto 0); signal down_sample2_q_net_x4: std_logic_vector(23 downto 0); signal down_sample2_q_net_x5: std_logic_vector(23 downto 0); signal down_sample4_q_net: std_logic; signal rect2pol_m_axis_dout_tdata_phase_net: std_logic_vector(23 downto 0); signal rect2pol_m_axis_dout_tdata_real_net: std_logic_vector(23 downto 0); signal rect2pol_m_axis_dout_tuser_cartesian_tuser_net: std_logic; signal rect2pol_m_axis_dout_tvalid_net: std_logic; signal register1_q_net: std_logic; signal register1_q_net_x1: std_logic; signal register4_q_net: std_logic_vector(23 downto 0); signal register5_q_net: std_logic_vector(23 downto 0); signal register_q_net_x1: std_logic_vector(24 downto 0); signal register_q_net_x2: std_logic_vector(24 downto 0); signal reinterpret2_output_port_net: std_logic_vector(23 downto 0); signal reinterpret3_output_port_net: std_logic_vector(23 downto 0); signal up_sample1_q_net: std_logic_vector(24 downto 0); signal up_sample2_q_net: std_logic_vector(24 downto 0); signal up_sample3_q_net: std_logic; signal up_sample_q_net: std_logic; begin ce_1_sg_x5 <= ce_1; ce_1120_sg_x6 <= ce_1120; ce_2240_sg_x6 <= ce_2240; delay_q_net_x0 <= ch_in; clk_1_sg_x5 <= clk_1; clk_1120_sg_x6 <= clk_1120; clk_2240_sg_x6 <= clk_2240; register_q_net_x2 <= i_in; register_q_net_x1 <= q_in; register1_q_net_x1 <= valid_in; amp_out <= assert2_dout_net_x5; ch_out <= assert3_dout_net_x6; tddm_tbt_cordic0 <= down_sample1_q_net_x4; tddm_tbt_cordic0_x0 <= down_sample2_q_net_x4; tddm_tbt_cordic0_x1 <= down_sample1_q_net_x5; tddm_tbt_cordic0_x2 <= down_sample2_q_net_x5; assert1: entity work.xlpassthrough generic map ( din_width => 24, dout_width => 24 ) port map ( din => down_sample1_q_net, dout => assert1_dout_net_x1 ); assert2: entity work.xlpassthrough generic map ( din_width => 24, dout_width => 24 ) port map ( din => down_sample2_q_net, dout => assert2_dout_net_x5 ); assert3: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => down_sample4_q_net, dout(0) => assert3_dout_net_x6 ); down_sample1: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 21, d_width => 24, ds_ratio => 1120, latency => 1, phase => 1119, q_arith => xlSigned, q_bin_pt => 21, q_width => 24 ) port map ( d => register4_q_net, dest_ce => ce_1120_sg_x6, dest_clk => clk_1120_sg_x6, dest_clr => '0', en => "1", src_ce => ce_1_sg_x5, src_clk => clk_1_sg_x5, src_clr => '0', q => down_sample1_q_net ); down_sample2: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 22, d_width => 24, ds_ratio => 1120, latency => 1, phase => 1119, q_arith => xlSigned, q_bin_pt => 22, q_width => 24 ) port map ( d => register5_q_net, dest_ce => ce_1120_sg_x6, dest_clk => clk_1120_sg_x6, dest_clr => '0', en => "1", src_ce => ce_1_sg_x5, src_clk => clk_1_sg_x5, src_clr => '0', q => down_sample2_q_net ); down_sample4: entity work.xldsamp generic map ( d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, ds_ratio => 1120, latency => 1, phase => 1119, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => register1_q_net, dest_ce => ce_1120_sg_x6, dest_clk => clk_1120_sg_x6, dest_clr => '0', en => "1", src_ce => ce_1_sg_x5, src_clk => clk_1_sg_x5, src_clr => '0', q(0) => down_sample4_q_net ); rect2pol: entity work.xlcordic_1700ad0af26476326977e0830172a2c4 port map ( ce => ce_1_sg_x5, clk => clk_1_sg_x5, s_axis_cartesian_tdata_imag => up_sample1_q_net, s_axis_cartesian_tdata_real => up_sample2_q_net, s_axis_cartesian_tuser_user(0) => up_sample3_q_net, s_axis_cartesian_tvalid => up_sample_q_net, m_axis_dout_tdata_phase => rect2pol_m_axis_dout_tdata_phase_net, m_axis_dout_tdata_real => rect2pol_m_axis_dout_tdata_real_net, m_axis_dout_tuser_cartesian_tuser(0) => rect2pol_m_axis_dout_tuser_cartesian_tuser_net, m_axis_dout_tvalid => rect2pol_m_axis_dout_tvalid_net ); register1: entity work.xlregister generic map ( d_width => 1, init_value => b"0" ) port map ( ce => ce_1_sg_x5, clk => clk_1_sg_x5, d(0) => rect2pol_m_axis_dout_tuser_cartesian_tuser_net, en(0) => rect2pol_m_axis_dout_tvalid_net, rst => "0", q(0) => register1_q_net ); register4: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_1_sg_x5, clk => clk_1_sg_x5, d => reinterpret2_output_port_net, en(0) => rect2pol_m_axis_dout_tvalid_net, rst => "0", q => register4_q_net ); register5: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_1_sg_x5, clk => clk_1_sg_x5, d => reinterpret3_output_port_net, en(0) => rect2pol_m_axis_dout_tvalid_net, rst => "0", q => register5_q_net ); reinterpret2: entity work.reinterpret_b62f4240f0 port map ( ce => '0', clk => '0', clr => '0', input_port => rect2pol_m_axis_dout_tdata_phase_net, output_port => reinterpret2_output_port_net ); reinterpret3: entity work.reinterpret_b62f4240f0 port map ( ce => '0', clk => '0', clr => '0', input_port => rect2pol_m_axis_dout_tdata_real_net, output_port => reinterpret3_output_port_net ); tddm_tbt_cordic0_38de3613fe: entity work.tddm_tbt_cordic0_entity_38de3613fe port map ( ce_1120 => ce_1120_sg_x6, ce_2240 => ce_2240_sg_x6, clk_1120 => clk_1120_sg_x6, clk_2240 => clk_2240_sg_x6, fofb_cordic_ch_in => assert3_dout_net_x6, fofb_cordic_din => assert2_dout_net_x5, fofb_cordic_pin => assert1_dout_net_x1, fofb_cordic_data0_out => down_sample2_q_net_x4, fofb_cordic_data1_out => down_sample1_q_net_x4, fofb_cordic_phase0_out => down_sample2_q_net_x5, fofb_cordic_phase1_out => down_sample1_q_net_x5 ); up_sample: entity work.xlusamp generic map ( copy_samples => 0, d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, latency => 0, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => register1_q_net_x1, dest_ce => ce_1_sg_x5, dest_clk => clk_1_sg_x5, dest_clr => '0', en => "1", src_ce => ce_1120_sg_x6, src_clk => clk_1120_sg_x6, src_clr => '0', q(0) => up_sample_q_net ); up_sample1: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 23, d_width => 25, latency => 0, q_arith => xlSigned, q_bin_pt => 23, q_width => 25 ) port map ( d => register_q_net_x1, dest_ce => ce_1_sg_x5, dest_clk => clk_1_sg_x5, dest_clr => '0', en => "1", src_ce => ce_1120_sg_x6, src_clk => clk_1120_sg_x6, src_clr => '0', q => up_sample1_q_net ); up_sample2: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 23, d_width => 25, latency => 0, q_arith => xlSigned, q_bin_pt => 23, q_width => 25 ) port map ( d => register_q_net_x2, dest_ce => ce_1_sg_x5, dest_clk => clk_1_sg_x5, dest_clr => '0', en => "1", src_ce => ce_1120_sg_x6, src_clk => clk_1120_sg_x6, src_clr => '0', q => up_sample2_q_net ); up_sample3: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, latency => 0, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => delay_q_net_x0, dest_ce => ce_1_sg_x5, dest_clk => clk_1_sg_x5, dest_clr => '0', en => "1", src_ce => ce_1120_sg_x6, src_clk => clk_1120_sg_x6, src_clr => '0', q(0) => up_sample3_q_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/FOFB_amp/fofb_amp0/FOFB_amp/Reg" entity reg_entity_cf7aa296b2 is port ( ce_1120: in std_logic; clk_1120: in std_logic; din: in std_logic_vector(24 downto 0); dout: out std_logic_vector(23 downto 0) ); end reg_entity_cf7aa296b2; architecture structural of reg_entity_cf7aa296b2 is signal ce_1120_sg_x7: std_logic; signal clk_1120_sg_x7: std_logic; signal convert_dout_net: std_logic_vector(23 downto 0); signal register_q_net_x0: std_logic_vector(23 downto 0); signal register_q_net_x2: std_logic_vector(24 downto 0); signal reinterpret2_output_port_net: std_logic_vector(24 downto 0); begin ce_1120_sg_x7 <= ce_1120; clk_1120_sg_x7 <= clk_1120; register_q_net_x2 <= din; dout <= register_q_net_x0; convert: entity work.xlconvert generic map ( bool_conversion => 0, din_arith => 2, din_bin_pt => 23, din_width => 25, dout_arith => 2, dout_bin_pt => 22, dout_width => 24, latency => 0, overflow => xlWrap, quantization => xlRound ) port map ( ce => ce_1120_sg_x7, clk => clk_1120_sg_x7, clr => '0', din => reinterpret2_output_port_net, en => "1", dout => convert_dout_net ); register_x0: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_1120_sg_x7, clk => clk_1120_sg_x7, d => convert_dout_net, en => "1", rst => "0", q => register_q_net_x0 ); reinterpret2: entity work.reinterpret_31a4235b32 port map ( ce => '0', clk => '0', clr => '0', input_port => register_q_net_x2, output_port => reinterpret2_output_port_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/FOFB_amp/fofb_amp0/FOFB_amp/TDDM_fofb_cic0" entity tddm_fofb_cic0_entity_6b909292ff is port ( ce_1120: in std_logic; ce_2240: in std_logic; clk_1120: in std_logic; clk_2240: in std_logic; fofb_ch_in: in std_logic; fofb_i_in: in std_logic_vector(23 downto 0); fofb_q_in: in std_logic_vector(23 downto 0); cic_fofb_ch0_i_out: out std_logic_vector(23 downto 0); cic_fofb_ch0_q_out: out std_logic_vector(23 downto 0); cic_fofb_ch1_i_out: out std_logic_vector(23 downto 0); cic_fofb_ch1_q_out: out std_logic_vector(23 downto 0) ); end tddm_fofb_cic0_entity_6b909292ff; architecture structural of tddm_fofb_cic0_entity_6b909292ff is signal ce_1120_sg_x11: std_logic; signal ce_2240_sg_x9: std_logic; signal clk_1120_sg_x11: std_logic; signal clk_2240_sg_x9: std_logic; signal delay_q_net_x3: std_logic; signal down_sample1_q_net_x2: std_logic_vector(23 downto 0); signal down_sample1_q_net_x3: std_logic_vector(23 downto 0); signal down_sample2_q_net_x2: std_logic_vector(23 downto 0); signal down_sample2_q_net_x3: std_logic_vector(23 downto 0); signal register_q_net_x3: std_logic_vector(23 downto 0); signal register_q_net_x4: std_logic_vector(23 downto 0); begin ce_1120_sg_x11 <= ce_1120; ce_2240_sg_x9 <= ce_2240; clk_1120_sg_x11 <= clk_1120; clk_2240_sg_x9 <= clk_2240; delay_q_net_x3 <= fofb_ch_in; register_q_net_x4 <= fofb_i_in; register_q_net_x3 <= fofb_q_in; cic_fofb_ch0_i_out <= down_sample2_q_net_x2; cic_fofb_ch0_q_out <= down_sample2_q_net_x3; cic_fofb_ch1_i_out <= down_sample1_q_net_x2; cic_fofb_ch1_q_out <= down_sample1_q_net_x3; tddm_fofb_cic0_i_06b84397ec: entity work.tddm_fofb_amp0_entity_fd74c6ad6e port map ( ce_1120 => ce_1120_sg_x11, ce_2240 => ce_2240_sg_x9, ch_in => delay_q_net_x3, clk_1120 => clk_1120_sg_x11, clk_2240 => clk_2240_sg_x9, din => register_q_net_x4, dout_ch0 => down_sample2_q_net_x2, dout_ch1 => down_sample1_q_net_x2 ); tddm_fofb_cic0_q_a6a1d7c301: entity work.tddm_fofb_amp0_entity_fd74c6ad6e port map ( ce_1120 => ce_1120_sg_x11, ce_2240 => ce_2240_sg_x9, ch_in => delay_q_net_x3, clk_1120 => clk_1120_sg_x11, clk_2240 => clk_2240_sg_x9, din => register_q_net_x3, dout_ch0 => down_sample2_q_net_x3, dout_ch1 => down_sample1_q_net_x3 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/FOFB_amp/fofb_amp0/FOFB_amp/cic_fofb/Reg" entity reg_entity_71dd029fba is port ( ce_1120: in std_logic; clk_1120: in std_logic; din: in std_logic_vector(57 downto 0); en: in std_logic; dout: out std_logic_vector(24 downto 0) ); end reg_entity_71dd029fba; architecture structural of reg_entity_71dd029fba is signal ce_1120_sg_x12: std_logic; signal cic_fofb_q_m_axis_data_tdata_data_net_x0: std_logic_vector(57 downto 0); signal cic_fofb_q_m_axis_data_tvalid_net_x0: std_logic; signal clk_1120_sg_x12: std_logic; signal convert_dout_net: std_logic_vector(24 downto 0); signal register_q_net_x3: std_logic_vector(24 downto 0); signal reinterpret2_output_port_net: std_logic_vector(57 downto 0); begin ce_1120_sg_x12 <= ce_1120; clk_1120_sg_x12 <= clk_1120; cic_fofb_q_m_axis_data_tdata_data_net_x0 <= din; cic_fofb_q_m_axis_data_tvalid_net_x0 <= en; dout <= register_q_net_x3; convert: entity work.xlconvert generic map ( bool_conversion => 0, din_arith => 2, din_bin_pt => 56, din_width => 58, dout_arith => 2, dout_bin_pt => 23, dout_width => 25, latency => 0, overflow => xlWrap, quantization => xlRound ) port map ( ce => ce_1120_sg_x12, clk => clk_1120_sg_x12, clr => '0', din => reinterpret2_output_port_net, en => "1", dout => convert_dout_net ); register_x0: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_1120_sg_x12, clk => clk_1120_sg_x12, d => convert_dout_net, en(0) => cic_fofb_q_m_axis_data_tvalid_net_x0, rst => "0", q => register_q_net_x3 ); reinterpret2: entity work.reinterpret_fa01b5fd95 port map ( ce => '0', clk => '0', clr => '0', input_port => cic_fofb_q_m_axis_data_tdata_data_net_x0, output_port => reinterpret2_output_port_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/FOFB_amp/fofb_amp0/FOFB_amp/cic_fofb/Reg1" entity reg1_entity_b079f30e3c is port ( ce_1120: in std_logic; clk_1120: in std_logic; din: in std_logic_vector(57 downto 0); en: in std_logic; dout: out std_logic_vector(24 downto 0); valid_out: out std_logic ); end reg1_entity_b079f30e3c; architecture structural of reg1_entity_b079f30e3c is signal ce_1120_sg_x13: std_logic; signal cic_fofb_i_m_axis_data_tdata_data_net_x0: std_logic_vector(57 downto 0); signal cic_fofb_i_m_axis_data_tvalid_net_x0: std_logic; signal clk_1120_sg_x13: std_logic; signal convert_dout_net: std_logic_vector(24 downto 0); signal register1_q_net_x2: std_logic; signal register_q_net_x4: std_logic_vector(24 downto 0); signal reinterpret2_output_port_net: std_logic_vector(57 downto 0); begin ce_1120_sg_x13 <= ce_1120; clk_1120_sg_x13 <= clk_1120; cic_fofb_i_m_axis_data_tdata_data_net_x0 <= din; cic_fofb_i_m_axis_data_tvalid_net_x0 <= en; dout <= register_q_net_x4; valid_out <= register1_q_net_x2; convert: entity work.xlconvert generic map ( bool_conversion => 0, din_arith => 2, din_bin_pt => 56, din_width => 58, dout_arith => 2, dout_bin_pt => 23, dout_width => 25, latency => 0, overflow => xlWrap, quantization => xlRound ) port map ( ce => ce_1120_sg_x13, clk => clk_1120_sg_x13, clr => '0', din => reinterpret2_output_port_net, en => "1", dout => convert_dout_net ); register1: entity work.xlregister generic map ( d_width => 1, init_value => b"0" ) port map ( ce => ce_1120_sg_x13, clk => clk_1120_sg_x13, d(0) => cic_fofb_i_m_axis_data_tvalid_net_x0, en => "1", rst => "0", q(0) => register1_q_net_x2 ); register_x0: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_1120_sg_x13, clk => clk_1120_sg_x13, d => convert_dout_net, en(0) => cic_fofb_i_m_axis_data_tvalid_net_x0, rst => "0", q => register_q_net_x4 ); reinterpret2: entity work.reinterpret_fa01b5fd95 port map ( ce => '0', clk => '0', clr => '0', input_port => cic_fofb_i_m_axis_data_tdata_data_net_x0, output_port => reinterpret2_output_port_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/FOFB_amp/fofb_amp0/FOFB_amp/cic_fofb" entity cic_fofb_entity_2ed6a6e00c is port ( ce_1: in std_logic; ce_1120: in std_logic; ce_logic_1: in std_logic; ch_in: in std_logic; clk_1: in std_logic; clk_1120: in std_logic; i_in: in std_logic_vector(23 downto 0); q_in: in std_logic_vector(23 downto 0); ch_out: out std_logic; cic_fofb_q_x0: out std_logic; i_out: out std_logic_vector(24 downto 0); q_out: out std_logic_vector(24 downto 0); valid_out: out std_logic ); end cic_fofb_entity_2ed6a6e00c; architecture structural of cic_fofb_entity_2ed6a6e00c is signal ce_1120_sg_x14: std_logic; signal ce_1_sg_x6: std_logic; signal ce_logic_1_sg_x5: std_logic; signal cic_fofb_i_m_axis_data_tdata_data_net_x0: std_logic_vector(57 downto 0); signal cic_fofb_i_m_axis_data_tuser_chan_out_net: std_logic; signal cic_fofb_i_m_axis_data_tvalid_net_x0: std_logic; signal cic_fofb_q_event_tlast_missing_net_x0: std_logic; signal cic_fofb_q_m_axis_data_tdata_data_net_x0: std_logic_vector(57 downto 0); signal cic_fofb_q_m_axis_data_tvalid_net_x0: std_logic; signal clk_1120_sg_x14: std_logic; signal clk_1_sg_x6: std_logic; signal constant1_op_net: std_logic_vector(1 downto 0); signal delay_q_net_x4: std_logic; signal register1_q_net_x3: std_logic; signal register3_q_net_x0: std_logic; signal register4_q_net_x0: std_logic_vector(23 downto 0); signal register5_q_net_x0: std_logic_vector(23 downto 0); signal register_q_net_x5: std_logic_vector(24 downto 0); signal register_q_net_x6: std_logic_vector(24 downto 0); signal relational2_op_net: std_logic; begin ce_1_sg_x6 <= ce_1; ce_1120_sg_x14 <= ce_1120; ce_logic_1_sg_x5 <= ce_logic_1; register3_q_net_x0 <= ch_in; clk_1_sg_x6 <= clk_1; clk_1120_sg_x14 <= clk_1120; register4_q_net_x0 <= i_in; register5_q_net_x0 <= q_in; ch_out <= delay_q_net_x4; cic_fofb_q_x0 <= cic_fofb_q_event_tlast_missing_net_x0; i_out <= register_q_net_x6; q_out <= register_q_net_x5; valid_out <= register1_q_net_x3; cic_fofb_i: entity work.xlcic_compiler_691a78f3d9f6f4d23a2519dbc0c21266 port map ( ce => ce_1_sg_x6, ce_1120 => ce_1120_sg_x14, ce_logic_1 => ce_logic_1_sg_x5, clk => clk_1_sg_x6, clk_1120 => clk_1120_sg_x14, clk_logic_1 => clk_1_sg_x6, s_axis_data_tdata_data => register4_q_net_x0, s_axis_data_tlast => relational2_op_net, m_axis_data_tdata_data => cic_fofb_i_m_axis_data_tdata_data_net_x0, m_axis_data_tuser_chan_out(0) => cic_fofb_i_m_axis_data_tuser_chan_out_net, m_axis_data_tvalid => cic_fofb_i_m_axis_data_tvalid_net_x0 ); cic_fofb_q: entity work.xlcic_compiler_691a78f3d9f6f4d23a2519dbc0c21266 port map ( ce => ce_1_sg_x6, ce_1120 => ce_1120_sg_x14, ce_logic_1 => ce_logic_1_sg_x5, clk => clk_1_sg_x6, clk_1120 => clk_1120_sg_x14, clk_logic_1 => clk_1_sg_x6, s_axis_data_tdata_data => register5_q_net_x0, s_axis_data_tlast => relational2_op_net, event_tlast_missing => cic_fofb_q_event_tlast_missing_net_x0, m_axis_data_tdata_data => cic_fofb_q_m_axis_data_tdata_data_net_x0, m_axis_data_tvalid => cic_fofb_q_m_axis_data_tvalid_net_x0 ); constant1: entity work.constant_cda50df78a port map ( ce => '0', clk => '0', clr => '0', op => constant1_op_net ); delay: entity work.xldelay generic map ( latency => 1, reg_retiming => 0, reset => 0, width => 1 ) port map ( ce => ce_1120_sg_x14, clk => clk_1120_sg_x14, d(0) => cic_fofb_i_m_axis_data_tuser_chan_out_net, en => '1', rst => '1', q(0) => delay_q_net_x4 ); reg1_b079f30e3c: entity work.reg1_entity_b079f30e3c port map ( ce_1120 => ce_1120_sg_x14, clk_1120 => clk_1120_sg_x14, din => cic_fofb_i_m_axis_data_tdata_data_net_x0, en => cic_fofb_i_m_axis_data_tvalid_net_x0, dout => register_q_net_x6, valid_out => register1_q_net_x3 ); reg_71dd029fba: entity work.reg_entity_71dd029fba port map ( ce_1120 => ce_1120_sg_x14, clk_1120 => clk_1120_sg_x14, din => cic_fofb_q_m_axis_data_tdata_data_net_x0, en => cic_fofb_q_m_axis_data_tvalid_net_x0, dout => register_q_net_x5 ); relational2: entity work.relational_d29d27b7b3 port map ( a(0) => register3_q_net_x0, b => constant1_op_net, ce => ce_1_sg_x6, clk => clk_1_sg_x6, clr => '0', op(0) => relational2_op_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/FOFB_amp/fofb_amp0/FOFB_amp" entity fofb_amp_entity_078cdb1842 is port ( ce_1: in std_logic; ce_1120: in std_logic; ce_2240: in std_logic; ce_logic_1: in std_logic; ch_in: in std_logic; clk_1: in std_logic; clk_1120: in std_logic; clk_2240: in std_logic; i_in: in std_logic_vector(23 downto 0); q_in: in std_logic_vector(23 downto 0); ch_out: out std_logic; cic_fofb: out std_logic; i_out: out std_logic_vector(24 downto 0); q_out: out std_logic_vector(24 downto 0); tddm_fofb_cic0: out std_logic_vector(23 downto 0); tddm_fofb_cic0_x0: out std_logic_vector(23 downto 0); tddm_fofb_cic0_x1: out std_logic_vector(23 downto 0); tddm_fofb_cic0_x2: out std_logic_vector(23 downto 0); valid_out: out std_logic ); end fofb_amp_entity_078cdb1842; architecture structural of fofb_amp_entity_078cdb1842 is signal ce_1120_sg_x15: std_logic; signal ce_1_sg_x7: std_logic; signal ce_2240_sg_x10: std_logic; signal ce_logic_1_sg_x6: std_logic; signal cic_fofb_q_event_tlast_missing_net_x1: std_logic; signal clk_1120_sg_x15: std_logic; signal clk_1_sg_x7: std_logic; signal clk_2240_sg_x10: std_logic; signal delay_q_net_x5: std_logic; signal down_sample1_q_net_x4: std_logic_vector(23 downto 0); signal down_sample1_q_net_x5: std_logic_vector(23 downto 0); signal down_sample2_q_net_x4: std_logic_vector(23 downto 0); signal down_sample2_q_net_x5: std_logic_vector(23 downto 0); signal register1_q_net_x4: std_logic; signal register3_q_net_x1: std_logic; signal register4_q_net_x1: std_logic_vector(23 downto 0); signal register5_q_net_x1: std_logic_vector(23 downto 0); signal register_q_net_x3: std_logic_vector(23 downto 0); signal register_q_net_x4: std_logic_vector(23 downto 0); signal register_q_net_x7: std_logic_vector(24 downto 0); signal register_q_net_x8: std_logic_vector(24 downto 0); begin ce_1_sg_x7 <= ce_1; ce_1120_sg_x15 <= ce_1120; ce_2240_sg_x10 <= ce_2240; ce_logic_1_sg_x6 <= ce_logic_1; register3_q_net_x1 <= ch_in; clk_1_sg_x7 <= clk_1; clk_1120_sg_x15 <= clk_1120; clk_2240_sg_x10 <= clk_2240; register4_q_net_x1 <= i_in; register5_q_net_x1 <= q_in; ch_out <= delay_q_net_x5; cic_fofb <= cic_fofb_q_event_tlast_missing_net_x1; i_out <= register_q_net_x8; q_out <= register_q_net_x7; tddm_fofb_cic0 <= down_sample1_q_net_x4; tddm_fofb_cic0_x0 <= down_sample2_q_net_x4; tddm_fofb_cic0_x1 <= down_sample1_q_net_x5; tddm_fofb_cic0_x2 <= down_sample2_q_net_x5; valid_out <= register1_q_net_x4; cic_fofb_2ed6a6e00c: entity work.cic_fofb_entity_2ed6a6e00c port map ( ce_1 => ce_1_sg_x7, ce_1120 => ce_1120_sg_x15, ce_logic_1 => ce_logic_1_sg_x6, ch_in => register3_q_net_x1, clk_1 => clk_1_sg_x7, clk_1120 => clk_1120_sg_x15, i_in => register4_q_net_x1, q_in => register5_q_net_x1, ch_out => delay_q_net_x5, cic_fofb_q_x0 => cic_fofb_q_event_tlast_missing_net_x1, i_out => register_q_net_x8, q_out => register_q_net_x7, valid_out => register1_q_net_x4 ); reg1_6375e37e24: entity work.reg_entity_cf7aa296b2 port map ( ce_1120 => ce_1120_sg_x15, clk_1120 => clk_1120_sg_x15, din => register_q_net_x8, dout => register_q_net_x4 ); reg_cf7aa296b2: entity work.reg_entity_cf7aa296b2 port map ( ce_1120 => ce_1120_sg_x15, clk_1120 => clk_1120_sg_x15, din => register_q_net_x7, dout => register_q_net_x3 ); tddm_fofb_cic0_6b909292ff: entity work.tddm_fofb_cic0_entity_6b909292ff port map ( ce_1120 => ce_1120_sg_x15, ce_2240 => ce_2240_sg_x10, clk_1120 => clk_1120_sg_x15, clk_2240 => clk_2240_sg_x10, fofb_ch_in => delay_q_net_x5, fofb_i_in => register_q_net_x4, fofb_q_in => register_q_net_x3, cic_fofb_ch0_i_out => down_sample2_q_net_x4, cic_fofb_ch0_q_out => down_sample2_q_net_x5, cic_fofb_ch1_i_out => down_sample1_q_net_x4, cic_fofb_ch1_q_out => down_sample1_q_net_x5 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/FOFB_amp/fofb_amp0" entity fofb_amp0_entity_95b23bfc2c is port ( ce_1: in std_logic; ce_1120: in std_logic; ce_2240: in std_logic; ce_logic_1: in std_logic; ch_in: in std_logic; clk_1: in std_logic; clk_1120: in std_logic; clk_2240: in std_logic; i_in: in std_logic_vector(23 downto 0); q_in: in std_logic_vector(23 downto 0); amp_out: out std_logic_vector(23 downto 0); ch_out: out std_logic; fofb_amp: out std_logic_vector(23 downto 0); fofb_amp_x0: out std_logic_vector(23 downto 0); fofb_amp_x1: out std_logic_vector(23 downto 0); fofb_amp_x2: out std_logic_vector(23 downto 0); fofb_amp_x3: out std_logic; fofb_cordic: out std_logic_vector(23 downto 0); fofb_cordic_x0: out std_logic_vector(23 downto 0); fofb_cordic_x1: out std_logic_vector(23 downto 0); fofb_cordic_x2: out std_logic_vector(23 downto 0) ); end fofb_amp0_entity_95b23bfc2c; architecture structural of fofb_amp0_entity_95b23bfc2c is signal assert2_dout_net_x6: std_logic_vector(23 downto 0); signal assert3_dout_net_x7: std_logic; signal ce_1120_sg_x16: std_logic; signal ce_1_sg_x8: std_logic; signal ce_2240_sg_x11: std_logic; signal ce_logic_1_sg_x7: std_logic; signal cic_fofb_q_event_tlast_missing_net_x2: std_logic; signal clk_1120_sg_x16: std_logic; signal clk_1_sg_x8: std_logic; signal clk_2240_sg_x11: std_logic; signal delay_q_net_x5: std_logic; signal down_sample1_q_net_x10: std_logic_vector(23 downto 0); signal down_sample1_q_net_x11: std_logic_vector(23 downto 0); signal down_sample1_q_net_x8: std_logic_vector(23 downto 0); signal down_sample1_q_net_x9: std_logic_vector(23 downto 0); signal down_sample2_q_net_x10: std_logic_vector(23 downto 0); signal down_sample2_q_net_x11: std_logic_vector(23 downto 0); signal down_sample2_q_net_x8: std_logic_vector(23 downto 0); signal down_sample2_q_net_x9: std_logic_vector(23 downto 0); signal register1_q_net_x4: std_logic; signal register3_q_net_x2: std_logic; signal register4_q_net_x2: std_logic_vector(23 downto 0); signal register5_q_net_x2: std_logic_vector(23 downto 0); signal register_q_net_x7: std_logic_vector(24 downto 0); signal register_q_net_x8: std_logic_vector(24 downto 0); begin ce_1_sg_x8 <= ce_1; ce_1120_sg_x16 <= ce_1120; ce_2240_sg_x11 <= ce_2240; ce_logic_1_sg_x7 <= ce_logic_1; register3_q_net_x2 <= ch_in; clk_1_sg_x8 <= clk_1; clk_1120_sg_x16 <= clk_1120; clk_2240_sg_x11 <= clk_2240; register4_q_net_x2 <= i_in; register5_q_net_x2 <= q_in; amp_out <= assert2_dout_net_x6; ch_out <= assert3_dout_net_x7; fofb_amp <= down_sample1_q_net_x10; fofb_amp_x0 <= down_sample2_q_net_x10; fofb_amp_x1 <= down_sample1_q_net_x11; fofb_amp_x2 <= down_sample2_q_net_x11; fofb_amp_x3 <= cic_fofb_q_event_tlast_missing_net_x2; fofb_cordic <= down_sample1_q_net_x8; fofb_cordic_x0 <= down_sample2_q_net_x8; fofb_cordic_x1 <= down_sample1_q_net_x9; fofb_cordic_x2 <= down_sample2_q_net_x9; fofb_amp_078cdb1842: entity work.fofb_amp_entity_078cdb1842 port map ( ce_1 => ce_1_sg_x8, ce_1120 => ce_1120_sg_x16, ce_2240 => ce_2240_sg_x11, ce_logic_1 => ce_logic_1_sg_x7, ch_in => register3_q_net_x2, clk_1 => clk_1_sg_x8, clk_1120 => clk_1120_sg_x16, clk_2240 => clk_2240_sg_x11, i_in => register4_q_net_x2, q_in => register5_q_net_x2, ch_out => delay_q_net_x5, cic_fofb => cic_fofb_q_event_tlast_missing_net_x2, i_out => register_q_net_x8, q_out => register_q_net_x7, tddm_fofb_cic0 => down_sample1_q_net_x10, tddm_fofb_cic0_x0 => down_sample2_q_net_x10, tddm_fofb_cic0_x1 => down_sample1_q_net_x11, tddm_fofb_cic0_x2 => down_sample2_q_net_x11, valid_out => register1_q_net_x4 ); fofb_cordic_fad57e49ce: entity work.fofb_cordic_entity_fad57e49ce port map ( ce_1 => ce_1_sg_x8, ce_1120 => ce_1120_sg_x16, ce_2240 => ce_2240_sg_x11, ch_in => delay_q_net_x5, clk_1 => clk_1_sg_x8, clk_1120 => clk_1120_sg_x16, clk_2240 => clk_2240_sg_x11, i_in => register_q_net_x8, q_in => register_q_net_x7, valid_in => register1_q_net_x4, amp_out => assert2_dout_net_x6, ch_out => assert3_dout_net_x7, tddm_tbt_cordic0 => down_sample1_q_net_x8, tddm_tbt_cordic0_x0 => down_sample2_q_net_x8, tddm_tbt_cordic0_x1 => down_sample1_q_net_x9, tddm_tbt_cordic0_x2 => down_sample2_q_net_x9 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/FOFB_amp/fofb_amp1/FOFB_CORDIC" entity fofb_cordic_entity_e4c0810ec7 is port ( ce_1: in std_logic; ce_1120: in std_logic; ce_2240: in std_logic; ch_in: in std_logic; clk_1: in std_logic; clk_1120: in std_logic; clk_2240: in std_logic; i_in: in std_logic_vector(24 downto 0); q_in: in std_logic_vector(24 downto 0); valid_in: in std_logic; amp_out: out std_logic_vector(23 downto 0); ch_out: out std_logic; tddm_fofb_cordic1: out std_logic_vector(23 downto 0); tddm_fofb_cordic1_x0: out std_logic_vector(23 downto 0); tddm_fofb_cordic1_x1: out std_logic_vector(23 downto 0); tddm_fofb_cordic1_x2: out std_logic_vector(23 downto 0) ); end fofb_cordic_entity_e4c0810ec7; architecture structural of fofb_cordic_entity_e4c0810ec7 is signal assert1_dout_net_x1: std_logic_vector(23 downto 0); signal assert2_dout_net_x6: std_logic_vector(23 downto 0); signal assert3_dout_net_x7: std_logic; signal ce_1120_sg_x20: std_logic; signal ce_1_sg_x9: std_logic; signal ce_2240_sg_x15: std_logic; signal clk_1120_sg_x20: std_logic; signal clk_1_sg_x9: std_logic; signal clk_2240_sg_x15: std_logic; signal delay_q_net_x0: std_logic; signal down_sample1_q_net: std_logic_vector(23 downto 0); signal down_sample1_q_net_x4: std_logic_vector(23 downto 0); signal down_sample1_q_net_x5: std_logic_vector(23 downto 0); signal down_sample2_q_net: std_logic_vector(23 downto 0); signal down_sample2_q_net_x4: std_logic_vector(23 downto 0); signal down_sample2_q_net_x5: std_logic_vector(23 downto 0); signal down_sample4_q_net: std_logic; signal rect2pol_m_axis_dout_tdata_phase_net: std_logic_vector(23 downto 0); signal rect2pol_m_axis_dout_tdata_real_net: std_logic_vector(23 downto 0); signal rect2pol_m_axis_dout_tuser_cartesian_tuser_net: std_logic; signal rect2pol_m_axis_dout_tvalid_net: std_logic; signal register1_q_net: std_logic; signal register1_q_net_x1: std_logic; signal register4_q_net: std_logic_vector(23 downto 0); signal register5_q_net: std_logic_vector(23 downto 0); signal register_q_net_x1: std_logic_vector(24 downto 0); signal register_q_net_x2: std_logic_vector(24 downto 0); signal reinterpret2_output_port_net: std_logic_vector(23 downto 0); signal reinterpret3_output_port_net: std_logic_vector(23 downto 0); signal up_sample1_q_net: std_logic_vector(24 downto 0); signal up_sample2_q_net: std_logic_vector(24 downto 0); signal up_sample3_q_net: std_logic; signal up_sample_q_net: std_logic; begin ce_1_sg_x9 <= ce_1; ce_1120_sg_x20 <= ce_1120; ce_2240_sg_x15 <= ce_2240; delay_q_net_x0 <= ch_in; clk_1_sg_x9 <= clk_1; clk_1120_sg_x20 <= clk_1120; clk_2240_sg_x15 <= clk_2240; register_q_net_x2 <= i_in; register_q_net_x1 <= q_in; register1_q_net_x1 <= valid_in; amp_out <= assert2_dout_net_x6; ch_out <= assert3_dout_net_x7; tddm_fofb_cordic1 <= down_sample1_q_net_x4; tddm_fofb_cordic1_x0 <= down_sample2_q_net_x4; tddm_fofb_cordic1_x1 <= down_sample1_q_net_x5; tddm_fofb_cordic1_x2 <= down_sample2_q_net_x5; assert1: entity work.xlpassthrough generic map ( din_width => 24, dout_width => 24 ) port map ( din => down_sample1_q_net, dout => assert1_dout_net_x1 ); assert2: entity work.xlpassthrough generic map ( din_width => 24, dout_width => 24 ) port map ( din => down_sample2_q_net, dout => assert2_dout_net_x6 ); assert3: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => down_sample4_q_net, dout(0) => assert3_dout_net_x7 ); down_sample1: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 21, d_width => 24, ds_ratio => 1120, latency => 1, phase => 1119, q_arith => xlSigned, q_bin_pt => 21, q_width => 24 ) port map ( d => register4_q_net, dest_ce => ce_1120_sg_x20, dest_clk => clk_1120_sg_x20, dest_clr => '0', en => "1", src_ce => ce_1_sg_x9, src_clk => clk_1_sg_x9, src_clr => '0', q => down_sample1_q_net ); down_sample2: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 22, d_width => 24, ds_ratio => 1120, latency => 1, phase => 1119, q_arith => xlSigned, q_bin_pt => 22, q_width => 24 ) port map ( d => register5_q_net, dest_ce => ce_1120_sg_x20, dest_clk => clk_1120_sg_x20, dest_clr => '0', en => "1", src_ce => ce_1_sg_x9, src_clk => clk_1_sg_x9, src_clr => '0', q => down_sample2_q_net ); down_sample4: entity work.xldsamp generic map ( d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, ds_ratio => 1120, latency => 1, phase => 1119, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => register1_q_net, dest_ce => ce_1120_sg_x20, dest_clk => clk_1120_sg_x20, dest_clr => '0', en => "1", src_ce => ce_1_sg_x9, src_clk => clk_1_sg_x9, src_clr => '0', q(0) => down_sample4_q_net ); rect2pol: entity work.xlcordic_1700ad0af26476326977e0830172a2c4 port map ( ce => ce_1_sg_x9, clk => clk_1_sg_x9, s_axis_cartesian_tdata_imag => up_sample1_q_net, s_axis_cartesian_tdata_real => up_sample2_q_net, s_axis_cartesian_tuser_user(0) => up_sample3_q_net, s_axis_cartesian_tvalid => up_sample_q_net, m_axis_dout_tdata_phase => rect2pol_m_axis_dout_tdata_phase_net, m_axis_dout_tdata_real => rect2pol_m_axis_dout_tdata_real_net, m_axis_dout_tuser_cartesian_tuser(0) => rect2pol_m_axis_dout_tuser_cartesian_tuser_net, m_axis_dout_tvalid => rect2pol_m_axis_dout_tvalid_net ); register1: entity work.xlregister generic map ( d_width => 1, init_value => b"0" ) port map ( ce => ce_1_sg_x9, clk => clk_1_sg_x9, d(0) => rect2pol_m_axis_dout_tuser_cartesian_tuser_net, en(0) => rect2pol_m_axis_dout_tvalid_net, rst => "0", q(0) => register1_q_net ); register4: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_1_sg_x9, clk => clk_1_sg_x9, d => reinterpret2_output_port_net, en(0) => rect2pol_m_axis_dout_tvalid_net, rst => "0", q => register4_q_net ); register5: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_1_sg_x9, clk => clk_1_sg_x9, d => reinterpret3_output_port_net, en(0) => rect2pol_m_axis_dout_tvalid_net, rst => "0", q => register5_q_net ); reinterpret2: entity work.reinterpret_b62f4240f0 port map ( ce => '0', clk => '0', clr => '0', input_port => rect2pol_m_axis_dout_tdata_phase_net, output_port => reinterpret2_output_port_net ); reinterpret3: entity work.reinterpret_b62f4240f0 port map ( ce => '0', clk => '0', clr => '0', input_port => rect2pol_m_axis_dout_tdata_real_net, output_port => reinterpret3_output_port_net ); tddm_fofb_cordic1_77b64089dc: entity work.tddm_tbt_cordic0_entity_38de3613fe port map ( ce_1120 => ce_1120_sg_x20, ce_2240 => ce_2240_sg_x15, clk_1120 => clk_1120_sg_x20, clk_2240 => clk_2240_sg_x15, fofb_cordic_ch_in => assert3_dout_net_x7, fofb_cordic_din => assert2_dout_net_x6, fofb_cordic_pin => assert1_dout_net_x1, fofb_cordic_data0_out => down_sample2_q_net_x4, fofb_cordic_data1_out => down_sample1_q_net_x4, fofb_cordic_phase0_out => down_sample2_q_net_x5, fofb_cordic_phase1_out => down_sample1_q_net_x5 ); up_sample: entity work.xlusamp generic map ( copy_samples => 0, d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, latency => 0, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => register1_q_net_x1, dest_ce => ce_1_sg_x9, dest_clk => clk_1_sg_x9, dest_clr => '0', en => "1", src_ce => ce_1120_sg_x20, src_clk => clk_1120_sg_x20, src_clr => '0', q(0) => up_sample_q_net ); up_sample1: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 23, d_width => 25, latency => 0, q_arith => xlSigned, q_bin_pt => 23, q_width => 25 ) port map ( d => register_q_net_x1, dest_ce => ce_1_sg_x9, dest_clk => clk_1_sg_x9, dest_clr => '0', en => "1", src_ce => ce_1120_sg_x20, src_clk => clk_1120_sg_x20, src_clr => '0', q => up_sample1_q_net ); up_sample2: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 23, d_width => 25, latency => 0, q_arith => xlSigned, q_bin_pt => 23, q_width => 25 ) port map ( d => register_q_net_x2, dest_ce => ce_1_sg_x9, dest_clk => clk_1_sg_x9, dest_clr => '0', en => "1", src_ce => ce_1120_sg_x20, src_clk => clk_1120_sg_x20, src_clr => '0', q => up_sample2_q_net ); up_sample3: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, latency => 0, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => delay_q_net_x0, dest_ce => ce_1_sg_x9, dest_clk => clk_1_sg_x9, dest_clr => '0', en => "1", src_ce => ce_1120_sg_x20, src_clk => clk_1120_sg_x20, src_clr => '0', q(0) => up_sample3_q_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/FOFB_amp/fofb_amp1/FOFB_amp" entity fofb_amp_entity_f70fcc8ed9 is port ( ce_1: in std_logic; ce_1120: in std_logic; ce_2240: in std_logic; ce_logic_1: in std_logic; ch_in: in std_logic; clk_1: in std_logic; clk_1120: in std_logic; clk_2240: in std_logic; i_in: in std_logic_vector(23 downto 0); q_in: in std_logic_vector(23 downto 0); ch_out: out std_logic; cic_fofb: out std_logic; i_out: out std_logic_vector(24 downto 0); q_out: out std_logic_vector(24 downto 0); tddm_fofb_cic1: out std_logic_vector(23 downto 0); tddm_fofb_cic1_x0: out std_logic_vector(23 downto 0); tddm_fofb_cic1_x1: out std_logic_vector(23 downto 0); tddm_fofb_cic1_x2: out std_logic_vector(23 downto 0); valid_out: out std_logic ); end fofb_amp_entity_f70fcc8ed9; architecture structural of fofb_amp_entity_f70fcc8ed9 is signal ce_1120_sg_x29: std_logic; signal ce_1_sg_x11: std_logic; signal ce_2240_sg_x19: std_logic; signal ce_logic_1_sg_x9: std_logic; signal cic_fofb_q_event_tlast_missing_net_x1: std_logic; signal clk_1120_sg_x29: std_logic; signal clk_1_sg_x11: std_logic; signal clk_2240_sg_x19: std_logic; signal delay_q_net_x5: std_logic; signal down_sample1_q_net_x4: std_logic_vector(23 downto 0); signal down_sample1_q_net_x5: std_logic_vector(23 downto 0); signal down_sample2_q_net_x4: std_logic_vector(23 downto 0); signal down_sample2_q_net_x5: std_logic_vector(23 downto 0); signal register1_q_net_x4: std_logic; signal register3_q_net_x1: std_logic; signal register4_q_net_x1: std_logic_vector(23 downto 0); signal register5_q_net_x1: std_logic_vector(23 downto 0); signal register_q_net_x3: std_logic_vector(23 downto 0); signal register_q_net_x4: std_logic_vector(23 downto 0); signal register_q_net_x7: std_logic_vector(24 downto 0); signal register_q_net_x8: std_logic_vector(24 downto 0); begin ce_1_sg_x11 <= ce_1; ce_1120_sg_x29 <= ce_1120; ce_2240_sg_x19 <= ce_2240; ce_logic_1_sg_x9 <= ce_logic_1; register3_q_net_x1 <= ch_in; clk_1_sg_x11 <= clk_1; clk_1120_sg_x29 <= clk_1120; clk_2240_sg_x19 <= clk_2240; register4_q_net_x1 <= i_in; register5_q_net_x1 <= q_in; ch_out <= delay_q_net_x5; cic_fofb <= cic_fofb_q_event_tlast_missing_net_x1; i_out <= register_q_net_x8; q_out <= register_q_net_x7; tddm_fofb_cic1 <= down_sample1_q_net_x4; tddm_fofb_cic1_x0 <= down_sample2_q_net_x4; tddm_fofb_cic1_x1 <= down_sample1_q_net_x5; tddm_fofb_cic1_x2 <= down_sample2_q_net_x5; valid_out <= register1_q_net_x4; cic_fofb_579902476d: entity work.cic_fofb_entity_2ed6a6e00c port map ( ce_1 => ce_1_sg_x11, ce_1120 => ce_1120_sg_x29, ce_logic_1 => ce_logic_1_sg_x9, ch_in => register3_q_net_x1, clk_1 => clk_1_sg_x11, clk_1120 => clk_1120_sg_x29, i_in => register4_q_net_x1, q_in => register5_q_net_x1, ch_out => delay_q_net_x5, cic_fofb_q_x0 => cic_fofb_q_event_tlast_missing_net_x1, i_out => register_q_net_x8, q_out => register_q_net_x7, valid_out => register1_q_net_x4 ); reg1_a06a1c33b5: entity work.reg_entity_cf7aa296b2 port map ( ce_1120 => ce_1120_sg_x29, clk_1120 => clk_1120_sg_x29, din => register_q_net_x8, dout => register_q_net_x4 ); reg_b669a3b118: entity work.reg_entity_cf7aa296b2 port map ( ce_1120 => ce_1120_sg_x29, clk_1120 => clk_1120_sg_x29, din => register_q_net_x7, dout => register_q_net_x3 ); tddm_fofb_cic1_4a640315a5: entity work.tddm_fofb_cic0_entity_6b909292ff port map ( ce_1120 => ce_1120_sg_x29, ce_2240 => ce_2240_sg_x19, clk_1120 => clk_1120_sg_x29, clk_2240 => clk_2240_sg_x19, fofb_ch_in => delay_q_net_x5, fofb_i_in => register_q_net_x4, fofb_q_in => register_q_net_x3, cic_fofb_ch0_i_out => down_sample2_q_net_x4, cic_fofb_ch0_q_out => down_sample2_q_net_x5, cic_fofb_ch1_i_out => down_sample1_q_net_x4, cic_fofb_ch1_q_out => down_sample1_q_net_x5 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/FOFB_amp/fofb_amp1" entity fofb_amp1_entity_a049562dde is port ( ce_1: in std_logic; ce_1120: in std_logic; ce_2240: in std_logic; ce_logic_1: in std_logic; ch_in: in std_logic; clk_1: in std_logic; clk_1120: in std_logic; clk_2240: in std_logic; i_in: in std_logic_vector(23 downto 0); q_in: in std_logic_vector(23 downto 0); amp_out: out std_logic_vector(23 downto 0); ch_out: out std_logic; fofb_amp: out std_logic_vector(23 downto 0); fofb_amp_x0: out std_logic_vector(23 downto 0); fofb_amp_x1: out std_logic_vector(23 downto 0); fofb_amp_x2: out std_logic_vector(23 downto 0); fofb_amp_x3: out std_logic; fofb_cordic: out std_logic_vector(23 downto 0); fofb_cordic_x0: out std_logic_vector(23 downto 0); fofb_cordic_x1: out std_logic_vector(23 downto 0); fofb_cordic_x2: out std_logic_vector(23 downto 0) ); end fofb_amp1_entity_a049562dde; architecture structural of fofb_amp1_entity_a049562dde is signal assert2_dout_net_x7: std_logic_vector(23 downto 0); signal assert3_dout_net_x8: std_logic; signal ce_1120_sg_x30: std_logic; signal ce_1_sg_x12: std_logic; signal ce_2240_sg_x20: std_logic; signal ce_logic_1_sg_x10: std_logic; signal cic_fofb_q_event_tlast_missing_net_x2: std_logic; signal clk_1120_sg_x30: std_logic; signal clk_1_sg_x12: std_logic; signal clk_2240_sg_x20: std_logic; signal delay_q_net_x5: std_logic; signal down_sample1_q_net_x10: std_logic_vector(23 downto 0); signal down_sample1_q_net_x11: std_logic_vector(23 downto 0); signal down_sample1_q_net_x8: std_logic_vector(23 downto 0); signal down_sample1_q_net_x9: std_logic_vector(23 downto 0); signal down_sample2_q_net_x10: std_logic_vector(23 downto 0); signal down_sample2_q_net_x11: std_logic_vector(23 downto 0); signal down_sample2_q_net_x8: std_logic_vector(23 downto 0); signal down_sample2_q_net_x9: std_logic_vector(23 downto 0); signal register1_q_net_x4: std_logic; signal register3_q_net_x2: std_logic; signal register4_q_net_x2: std_logic_vector(23 downto 0); signal register5_q_net_x2: std_logic_vector(23 downto 0); signal register_q_net_x7: std_logic_vector(24 downto 0); signal register_q_net_x8: std_logic_vector(24 downto 0); begin ce_1_sg_x12 <= ce_1; ce_1120_sg_x30 <= ce_1120; ce_2240_sg_x20 <= ce_2240; ce_logic_1_sg_x10 <= ce_logic_1; register3_q_net_x2 <= ch_in; clk_1_sg_x12 <= clk_1; clk_1120_sg_x30 <= clk_1120; clk_2240_sg_x20 <= clk_2240; register4_q_net_x2 <= i_in; register5_q_net_x2 <= q_in; amp_out <= assert2_dout_net_x7; ch_out <= assert3_dout_net_x8; fofb_amp <= down_sample1_q_net_x10; fofb_amp_x0 <= down_sample2_q_net_x10; fofb_amp_x1 <= down_sample1_q_net_x11; fofb_amp_x2 <= down_sample2_q_net_x11; fofb_amp_x3 <= cic_fofb_q_event_tlast_missing_net_x2; fofb_cordic <= down_sample1_q_net_x8; fofb_cordic_x0 <= down_sample2_q_net_x8; fofb_cordic_x1 <= down_sample1_q_net_x9; fofb_cordic_x2 <= down_sample2_q_net_x9; fofb_amp_f70fcc8ed9: entity work.fofb_amp_entity_f70fcc8ed9 port map ( ce_1 => ce_1_sg_x12, ce_1120 => ce_1120_sg_x30, ce_2240 => ce_2240_sg_x20, ce_logic_1 => ce_logic_1_sg_x10, ch_in => register3_q_net_x2, clk_1 => clk_1_sg_x12, clk_1120 => clk_1120_sg_x30, clk_2240 => clk_2240_sg_x20, i_in => register4_q_net_x2, q_in => register5_q_net_x2, ch_out => delay_q_net_x5, cic_fofb => cic_fofb_q_event_tlast_missing_net_x2, i_out => register_q_net_x8, q_out => register_q_net_x7, tddm_fofb_cic1 => down_sample1_q_net_x10, tddm_fofb_cic1_x0 => down_sample2_q_net_x10, tddm_fofb_cic1_x1 => down_sample1_q_net_x11, tddm_fofb_cic1_x2 => down_sample2_q_net_x11, valid_out => register1_q_net_x4 ); fofb_cordic_e4c0810ec7: entity work.fofb_cordic_entity_e4c0810ec7 port map ( ce_1 => ce_1_sg_x12, ce_1120 => ce_1120_sg_x30, ce_2240 => ce_2240_sg_x20, ch_in => delay_q_net_x5, clk_1 => clk_1_sg_x12, clk_1120 => clk_1120_sg_x30, clk_2240 => clk_2240_sg_x20, i_in => register_q_net_x8, q_in => register_q_net_x7, valid_in => register1_q_net_x4, amp_out => assert2_dout_net_x7, ch_out => assert3_dout_net_x8, tddm_fofb_cordic1 => down_sample1_q_net_x8, tddm_fofb_cordic1_x0 => down_sample2_q_net_x8, tddm_fofb_cordic1_x1 => down_sample1_q_net_x9, tddm_fofb_cordic1_x2 => down_sample2_q_net_x9 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/FOFB_amp" entity fofb_amp_entity_8b25d4b0b6 is port ( ce_1: in std_logic; ce_1120: in std_logic; ce_2240: in std_logic; ce_logic_1: in std_logic; ch_in0: in std_logic; ch_in1: in std_logic; clk_1: in std_logic; clk_1120: in std_logic; clk_2240: in std_logic; i_in0: in std_logic_vector(23 downto 0); i_in1: in std_logic_vector(23 downto 0); q_in0: in std_logic_vector(23 downto 0); q_in1: in std_logic_vector(23 downto 0); amp_out0: out std_logic_vector(23 downto 0); amp_out1: out std_logic_vector(23 downto 0); amp_out2: out std_logic_vector(23 downto 0); amp_out3: out std_logic_vector(23 downto 0); fofb_amp0: out std_logic_vector(23 downto 0); fofb_amp0_x0: out std_logic_vector(23 downto 0); fofb_amp0_x1: out std_logic_vector(23 downto 0); fofb_amp0_x2: out std_logic_vector(23 downto 0); fofb_amp0_x3: out std_logic_vector(23 downto 0); fofb_amp0_x4: out std_logic_vector(23 downto 0); fofb_amp0_x5: out std_logic_vector(23 downto 0); fofb_amp0_x6: out std_logic_vector(23 downto 0); fofb_amp0_x7: out std_logic; fofb_amp1: out std_logic_vector(23 downto 0); fofb_amp1_x0: out std_logic_vector(23 downto 0); fofb_amp1_x1: out std_logic_vector(23 downto 0); fofb_amp1_x2: out std_logic_vector(23 downto 0); fofb_amp1_x3: out std_logic_vector(23 downto 0); fofb_amp1_x4: out std_logic_vector(23 downto 0); fofb_amp1_x5: out std_logic_vector(23 downto 0); fofb_amp1_x6: out std_logic_vector(23 downto 0); fofb_amp1_x7: out std_logic ); end fofb_amp_entity_8b25d4b0b6; architecture structural of fofb_amp_entity_8b25d4b0b6 is signal assert2_dout_net_x6: std_logic_vector(23 downto 0); signal assert2_dout_net_x7: std_logic_vector(23 downto 0); signal assert3_dout_net_x7: std_logic; signal assert3_dout_net_x8: std_logic; signal ce_1120_sg_x31: std_logic; signal ce_1_sg_x13: std_logic; signal ce_2240_sg_x21: std_logic; signal ce_logic_1_sg_x11: std_logic; signal cic_fofb_q_event_tlast_missing_net_x4: std_logic; signal cic_fofb_q_event_tlast_missing_net_x5: std_logic; signal clk_1120_sg_x31: std_logic; signal clk_1_sg_x13: std_logic; signal clk_2240_sg_x21: std_logic; signal down_sample1_q_net_x16: std_logic_vector(23 downto 0); signal down_sample1_q_net_x17: std_logic_vector(23 downto 0); signal down_sample1_q_net_x18: std_logic_vector(23 downto 0); signal down_sample1_q_net_x19: std_logic_vector(23 downto 0); signal down_sample1_q_net_x20: std_logic_vector(23 downto 0); signal down_sample1_q_net_x21: std_logic_vector(23 downto 0); signal down_sample1_q_net_x22: std_logic_vector(23 downto 0); signal down_sample1_q_net_x23: std_logic_vector(23 downto 0); signal down_sample1_q_net_x24: std_logic_vector(23 downto 0); signal down_sample1_q_net_x25: std_logic_vector(23 downto 0); signal down_sample2_q_net_x16: std_logic_vector(23 downto 0); signal down_sample2_q_net_x17: std_logic_vector(23 downto 0); signal down_sample2_q_net_x18: std_logic_vector(23 downto 0); signal down_sample2_q_net_x19: std_logic_vector(23 downto 0); signal down_sample2_q_net_x20: std_logic_vector(23 downto 0); signal down_sample2_q_net_x21: std_logic_vector(23 downto 0); signal down_sample2_q_net_x22: std_logic_vector(23 downto 0); signal down_sample2_q_net_x23: std_logic_vector(23 downto 0); signal down_sample2_q_net_x24: std_logic_vector(23 downto 0); signal down_sample2_q_net_x25: std_logic_vector(23 downto 0); signal register3_q_net_x4: std_logic; signal register3_q_net_x5: std_logic; signal register4_q_net_x4: std_logic_vector(23 downto 0); signal register4_q_net_x5: std_logic_vector(23 downto 0); signal register5_q_net_x4: std_logic_vector(23 downto 0); signal register5_q_net_x5: std_logic_vector(23 downto 0); begin ce_1_sg_x13 <= ce_1; ce_1120_sg_x31 <= ce_1120; ce_2240_sg_x21 <= ce_2240; ce_logic_1_sg_x11 <= ce_logic_1; register3_q_net_x4 <= ch_in0; register3_q_net_x5 <= ch_in1; clk_1_sg_x13 <= clk_1; clk_1120_sg_x31 <= clk_1120; clk_2240_sg_x21 <= clk_2240; register4_q_net_x4 <= i_in0; register4_q_net_x5 <= i_in1; register5_q_net_x4 <= q_in0; register5_q_net_x5 <= q_in1; amp_out0 <= down_sample2_q_net_x16; amp_out1 <= down_sample1_q_net_x16; amp_out2 <= down_sample2_q_net_x17; amp_out3 <= down_sample1_q_net_x17; fofb_amp0 <= down_sample1_q_net_x18; fofb_amp0_x0 <= down_sample2_q_net_x18; fofb_amp0_x1 <= down_sample1_q_net_x19; fofb_amp0_x2 <= down_sample2_q_net_x19; fofb_amp0_x3 <= down_sample1_q_net_x20; fofb_amp0_x4 <= down_sample2_q_net_x20; fofb_amp0_x5 <= down_sample1_q_net_x21; fofb_amp0_x6 <= down_sample2_q_net_x21; fofb_amp0_x7 <= cic_fofb_q_event_tlast_missing_net_x4; fofb_amp1 <= down_sample1_q_net_x22; fofb_amp1_x0 <= down_sample2_q_net_x22; fofb_amp1_x1 <= down_sample1_q_net_x23; fofb_amp1_x2 <= down_sample2_q_net_x23; fofb_amp1_x3 <= down_sample1_q_net_x24; fofb_amp1_x4 <= down_sample2_q_net_x24; fofb_amp1_x5 <= down_sample1_q_net_x25; fofb_amp1_x6 <= down_sample2_q_net_x25; fofb_amp1_x7 <= cic_fofb_q_event_tlast_missing_net_x5; fofb_amp0_95b23bfc2c: entity work.fofb_amp0_entity_95b23bfc2c port map ( ce_1 => ce_1_sg_x13, ce_1120 => ce_1120_sg_x31, ce_2240 => ce_2240_sg_x21, ce_logic_1 => ce_logic_1_sg_x11, ch_in => register3_q_net_x4, clk_1 => clk_1_sg_x13, clk_1120 => clk_1120_sg_x31, clk_2240 => clk_2240_sg_x21, i_in => register4_q_net_x4, q_in => register5_q_net_x4, amp_out => assert2_dout_net_x6, ch_out => assert3_dout_net_x7, fofb_amp => down_sample1_q_net_x20, fofb_amp_x0 => down_sample2_q_net_x20, fofb_amp_x1 => down_sample1_q_net_x21, fofb_amp_x2 => down_sample2_q_net_x21, fofb_amp_x3 => cic_fofb_q_event_tlast_missing_net_x4, fofb_cordic => down_sample1_q_net_x18, fofb_cordic_x0 => down_sample2_q_net_x18, fofb_cordic_x1 => down_sample1_q_net_x19, fofb_cordic_x2 => down_sample2_q_net_x19 ); fofb_amp1_a049562dde: entity work.fofb_amp1_entity_a049562dde port map ( ce_1 => ce_1_sg_x13, ce_1120 => ce_1120_sg_x31, ce_2240 => ce_2240_sg_x21, ce_logic_1 => ce_logic_1_sg_x11, ch_in => register3_q_net_x5, clk_1 => clk_1_sg_x13, clk_1120 => clk_1120_sg_x31, clk_2240 => clk_2240_sg_x21, i_in => register4_q_net_x5, q_in => register5_q_net_x5, amp_out => assert2_dout_net_x7, ch_out => assert3_dout_net_x8, fofb_amp => down_sample1_q_net_x24, fofb_amp_x0 => down_sample2_q_net_x24, fofb_amp_x1 => down_sample1_q_net_x25, fofb_amp_x2 => down_sample2_q_net_x25, fofb_amp_x3 => cic_fofb_q_event_tlast_missing_net_x5, fofb_cordic => down_sample1_q_net_x22, fofb_cordic_x0 => down_sample2_q_net_x22, fofb_cordic_x1 => down_sample1_q_net_x23, fofb_cordic_x2 => down_sample2_q_net_x23 ); tddm_fofb_amp_4ch_2cc521a83f: entity work.tddm_fofb_amp_4ch_entity_2cc521a83f port map ( amp_in0 => assert2_dout_net_x6, amp_in1 => assert2_dout_net_x7, ce_1120 => ce_1120_sg_x31, ce_2240 => ce_2240_sg_x21, ch_in0 => assert3_dout_net_x7, ch_in1 => assert3_dout_net_x8, clk_1120 => clk_1120_sg_x31, clk_2240 => clk_2240_sg_x21, amp_out0 => down_sample2_q_net_x16, amp_out1 => down_sample1_q_net_x16, amp_out2 => down_sample2_q_net_x17, amp_out3 => down_sample1_q_net_x17 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/K_fofb_mult3/Cast_truncate1" entity cast_truncate1_entity_56731b7870 is port ( in1: in std_logic_vector(49 downto 0); out1: out std_logic_vector(25 downto 0) ); end cast_truncate1_entity_56731b7870; architecture structural of cast_truncate1_entity_56731b7870 is signal kx_tbt_p_net_x0: std_logic_vector(49 downto 0); signal reinterpret_output_port_net_x0: std_logic_vector(25 downto 0); signal slice_y_net: std_logic_vector(25 downto 0); begin kx_tbt_p_net_x0 <= in1; out1 <= reinterpret_output_port_net_x0; reinterpret: entity work.reinterpret_9934b94a22 port map ( ce => '0', clk => '0', clr => '0', input_port => slice_y_net, output_port => reinterpret_output_port_net_x0 ); slice: entity work.xlslice generic map ( new_lsb => 24, new_msb => 49, x_width => 50, y_width => 26 ) port map ( x => kx_tbt_p_net_x0, y => slice_y_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/K_fofb_mult3" entity k_fofb_mult3_entity_697accc8e2 is port ( ce_2: in std_logic; ce_2240: in std_logic; clk_2: in std_logic; clk_2240: in std_logic; in1: in std_logic_vector(24 downto 0); in2: in std_logic_vector(24 downto 0); vld_in: in std_logic; out1: out std_logic_vector(25 downto 0); vld_out: out std_logic ); end k_fofb_mult3_entity_697accc8e2; architecture structural of k_fofb_mult3_entity_697accc8e2 is signal assert10_dout_net_x0: std_logic; signal assert5_dout_net_x0: std_logic_vector(24 downto 0); signal ce_2240_sg_x22: std_logic; signal ce_2_sg_x5: std_logic; signal clk_2240_sg_x22: std_logic; signal clk_2_sg_x5: std_logic; signal delay1_q_net_x0: std_logic; signal kx_i_net_x0: std_logic_vector(24 downto 0); signal kx_tbt_p_net_x0: std_logic_vector(49 downto 0); signal register_q_net_x0: std_logic_vector(25 downto 0); signal reinterpret_output_port_net_x0: std_logic_vector(25 downto 0); begin ce_2_sg_x5 <= ce_2; ce_2240_sg_x22 <= ce_2240; clk_2_sg_x5 <= clk_2; clk_2240_sg_x22 <= clk_2240; assert5_dout_net_x0 <= in1; kx_i_net_x0 <= in2; assert10_dout_net_x0 <= vld_in; out1 <= register_q_net_x0; vld_out <= delay1_q_net_x0; cast_truncate1_56731b7870: entity work.cast_truncate1_entity_56731b7870 port map ( in1 => kx_tbt_p_net_x0, out1 => reinterpret_output_port_net_x0 ); delay1: entity work.xldelay generic map ( latency => 9, reg_retiming => 0, reset => 0, width => 1 ) port map ( ce => ce_2240_sg_x22, clk => clk_2240_sg_x22, d(0) => assert10_dout_net_x0, en => '1', rst => '1', q(0) => delay1_q_net_x0 ); kx_tbt: entity work.xlmult generic map ( a_arith => xlSigned, a_bin_pt => 24, a_width => 25, b_arith => xlSigned, b_bin_pt => 0, b_width => 25, c_a_type => 0, c_a_width => 25, c_b_type => 0, c_b_width => 25, c_baat => 25, c_output_width => 50, c_type => 0, core_name0 => "mult_11_2_eb6becd4c4c6b065", extra_registers => 0, multsign => 2, overflow => 1, p_arith => xlSigned, p_bin_pt => 24, p_width => 50, quantization => 1 ) port map ( a => assert5_dout_net_x0, b => kx_i_net_x0, ce => ce_2_sg_x5, clk => clk_2_sg_x5, clr => '0', core_ce => ce_2_sg_x5, core_clk => clk_2_sg_x5, core_clr => '1', en => "1", rst => "0", p => kx_tbt_p_net_x0 ); register_x0: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_2_sg_x5, clk => clk_2_sg_x5, d => reinterpret_output_port_net_x0, en => "1", rst => "0", q => register_q_net_x0 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/K_monit_1_mult" entity k_monit_1_mult_entity_016885a3ac is port ( ce_2: in std_logic; ce_224000000: in std_logic; clk_2: in std_logic; clk_224000000: in std_logic; in1: in std_logic_vector(24 downto 0); in2: in std_logic_vector(24 downto 0); vld_in: in std_logic; out1: out std_logic_vector(25 downto 0); vld_out: out std_logic ); end k_monit_1_mult_entity_016885a3ac; architecture structural of k_monit_1_mult_entity_016885a3ac is signal ce_224000000_sg_x0: std_logic; signal ce_2_sg_x8: std_logic; signal clk_224000000_sg_x0: std_logic; signal clk_2_sg_x8: std_logic; signal delay1_q_net_x0: std_logic; signal kx_i_net_x2: std_logic_vector(24 downto 0); signal kx_tbt_p_net_x0: std_logic_vector(49 downto 0); signal register_q_net_x0: std_logic_vector(25 downto 0); signal reinterpret3_output_port_net_x0: std_logic_vector(24 downto 0); signal reinterpret_output_port_net_x0: std_logic_vector(25 downto 0); signal ufix_to_bool_dout_net_x0: std_logic; begin ce_2_sg_x8 <= ce_2; ce_224000000_sg_x0 <= ce_224000000; clk_2_sg_x8 <= clk_2; clk_224000000_sg_x0 <= clk_224000000; reinterpret3_output_port_net_x0 <= in1; kx_i_net_x2 <= in2; ufix_to_bool_dout_net_x0 <= vld_in; out1 <= register_q_net_x0; vld_out <= delay1_q_net_x0; cast_truncate1_fe5c8d5ea5: entity work.cast_truncate1_entity_56731b7870 port map ( in1 => kx_tbt_p_net_x0, out1 => reinterpret_output_port_net_x0 ); delay1: entity work.xldelay generic map ( latency => 9, reg_retiming => 0, reset => 0, width => 1 ) port map ( ce => ce_224000000_sg_x0, clk => clk_224000000_sg_x0, d(0) => ufix_to_bool_dout_net_x0, en => '1', rst => '1', q(0) => delay1_q_net_x0 ); kx_tbt: entity work.xlmult generic map ( a_arith => xlSigned, a_bin_pt => 24, a_width => 25, b_arith => xlSigned, b_bin_pt => 0, b_width => 25, c_a_type => 0, c_a_width => 25, c_b_type => 0, c_b_width => 25, c_baat => 25, c_output_width => 50, c_type => 0, core_name0 => "mult_11_2_eb6becd4c4c6b065", extra_registers => 0, multsign => 2, overflow => 1, p_arith => xlSigned, p_bin_pt => 24, p_width => 50, quantization => 1 ) port map ( a => reinterpret3_output_port_net_x0, b => kx_i_net_x2, ce => ce_2_sg_x8, clk => clk_2_sg_x8, clr => '0', core_ce => ce_2_sg_x8, core_clk => clk_2_sg_x8, core_clr => '1', en => "1", rst => "0", p => kx_tbt_p_net_x0 ); register_x0: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_2_sg_x8, clk => clk_2_sg_x8, d => reinterpret_output_port_net_x0, en => "1", rst => "0", q => register_q_net_x0 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/K_monit_mult3" entity k_monit_mult3_entity_8a778fb5f4 is port ( ce_2: in std_logic; ce_22400000: in std_logic; clk_2: in std_logic; clk_22400000: in std_logic; in1: in std_logic_vector(24 downto 0); in2: in std_logic_vector(24 downto 0); vld_in: in std_logic; out1: out std_logic_vector(25 downto 0); vld_out: out std_logic ); end k_monit_mult3_entity_8a778fb5f4; architecture structural of k_monit_mult3_entity_8a778fb5f4 is signal assert11_dout_net_x0: std_logic_vector(24 downto 0); signal assert12_dout_net_x0: std_logic; signal ce_22400000_sg_x0: std_logic; signal ce_2_sg_x11: std_logic; signal clk_22400000_sg_x0: std_logic; signal clk_2_sg_x11: std_logic; signal delay1_q_net_x0: std_logic; signal kx_i_net_x4: std_logic_vector(24 downto 0); signal kx_tbt_p_net_x0: std_logic_vector(49 downto 0); signal register_q_net_x0: std_logic_vector(25 downto 0); signal reinterpret_output_port_net_x0: std_logic_vector(25 downto 0); begin ce_2_sg_x11 <= ce_2; ce_22400000_sg_x0 <= ce_22400000; clk_2_sg_x11 <= clk_2; clk_22400000_sg_x0 <= clk_22400000; assert11_dout_net_x0 <= in1; kx_i_net_x4 <= in2; assert12_dout_net_x0 <= vld_in; out1 <= register_q_net_x0; vld_out <= delay1_q_net_x0; cast_truncate1_47fd83104e: entity work.cast_truncate1_entity_56731b7870 port map ( in1 => kx_tbt_p_net_x0, out1 => reinterpret_output_port_net_x0 ); delay1: entity work.xldelay generic map ( latency => 9, reg_retiming => 0, reset => 0, width => 1 ) port map ( ce => ce_22400000_sg_x0, clk => clk_22400000_sg_x0, d(0) => assert12_dout_net_x0, en => '1', rst => '1', q(0) => delay1_q_net_x0 ); kx_tbt: entity work.xlmult generic map ( a_arith => xlSigned, a_bin_pt => 24, a_width => 25, b_arith => xlSigned, b_bin_pt => 0, b_width => 25, c_a_type => 0, c_a_width => 25, c_b_type => 0, c_b_width => 25, c_baat => 25, c_output_width => 50, c_type => 0, core_name0 => "mult_11_2_eb6becd4c4c6b065", extra_registers => 0, multsign => 2, overflow => 1, p_arith => xlSigned, p_bin_pt => 24, p_width => 50, quantization => 1 ) port map ( a => assert11_dout_net_x0, b => kx_i_net_x4, ce => ce_2_sg_x11, clk => clk_2_sg_x11, clr => '0', core_ce => ce_2_sg_x11, core_clk => clk_2_sg_x11, core_clr => '1', en => "1", rst => "0", p => kx_tbt_p_net_x0 ); register_x0: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_2_sg_x11, clk => clk_2_sg_x11, d => reinterpret_output_port_net_x0, en => "1", rst => "0", q => register_q_net_x0 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/K_tbt_mult" entity k_tbt_mult_entity_b8fafff255 is port ( ce_2: in std_logic; ce_70: in std_logic; clk_2: in std_logic; clk_70: in std_logic; in1: in std_logic_vector(24 downto 0); in2: in std_logic_vector(24 downto 0); vld_in: in std_logic; out1: out std_logic_vector(25 downto 0); vld_out: out std_logic ); end k_tbt_mult_entity_b8fafff255; architecture structural of k_tbt_mult_entity_b8fafff255 is signal assert10_dout_net_x0: std_logic; signal assert5_dout_net_x0: std_logic_vector(24 downto 0); signal ce_2_sg_x14: std_logic; signal ce_70_sg_x0: std_logic; signal clk_2_sg_x14: std_logic; signal clk_70_sg_x0: std_logic; signal delay1_q_net_x0: std_logic; signal kx_i_net_x6: std_logic_vector(24 downto 0); signal kx_tbt_p_net_x0: std_logic_vector(49 downto 0); signal register_q_net_x0: std_logic_vector(25 downto 0); signal reinterpret_output_port_net_x0: std_logic_vector(25 downto 0); begin ce_2_sg_x14 <= ce_2; ce_70_sg_x0 <= ce_70; clk_2_sg_x14 <= clk_2; clk_70_sg_x0 <= clk_70; assert5_dout_net_x0 <= in1; kx_i_net_x6 <= in2; assert10_dout_net_x0 <= vld_in; out1 <= register_q_net_x0; vld_out <= delay1_q_net_x0; cast_truncate1_4592ea30ee: entity work.cast_truncate1_entity_56731b7870 port map ( in1 => kx_tbt_p_net_x0, out1 => reinterpret_output_port_net_x0 ); delay1: entity work.xldelay generic map ( latency => 9, reg_retiming => 0, reset => 0, width => 1 ) port map ( ce => ce_70_sg_x0, clk => clk_70_sg_x0, d(0) => assert10_dout_net_x0, en => '1', rst => '1', q(0) => delay1_q_net_x0 ); kx_tbt: entity work.xlmult generic map ( a_arith => xlSigned, a_bin_pt => 24, a_width => 25, b_arith => xlSigned, b_bin_pt => 0, b_width => 25, c_a_type => 0, c_a_width => 25, c_b_type => 0, c_b_width => 25, c_baat => 25, c_output_width => 50, c_type => 0, core_name0 => "mult_11_2_eb6becd4c4c6b065", extra_registers => 0, multsign => 2, overflow => 1, p_arith => xlSigned, p_bin_pt => 24, p_width => 50, quantization => 1 ) port map ( a => assert5_dout_net_x0, b => kx_i_net_x6, ce => ce_2_sg_x14, clk => clk_2_sg_x14, clr => '0', core_ce => ce_2_sg_x14, core_clk => clk_2_sg_x14, core_clr => '1', en => "1", rst => "0", p => kx_tbt_p_net_x0 ); register_x0: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_2_sg_x14, clk => clk_2_sg_x14, d => reinterpret_output_port_net_x0, en => "1", rst => "0", q => register_q_net_x0 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/Ksum_fofb_mult4/Cast_truncate1" entity cast_truncate1_entity_18a9b21a64 is port ( in1: in std_logic_vector(49 downto 0); out1: out std_logic_vector(25 downto 0) ); end cast_truncate1_entity_18a9b21a64; architecture structural of cast_truncate1_entity_18a9b21a64 is signal kx_tbt_p_net_x0: std_logic_vector(49 downto 0); signal reinterpret_output_port_net_x0: std_logic_vector(25 downto 0); signal slice_y_net: std_logic_vector(25 downto 0); begin kx_tbt_p_net_x0 <= in1; out1 <= reinterpret_output_port_net_x0; reinterpret: entity work.reinterpret_9934b94a22 port map ( ce => '0', clk => '0', clr => '0', input_port => slice_y_net, output_port => reinterpret_output_port_net_x0 ); slice: entity work.xlslice generic map ( new_lsb => 24, new_msb => 49, x_width => 50, y_width => 26 ) port map ( x => kx_tbt_p_net_x0, y => slice_y_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/Ksum_fofb_mult4" entity ksum_fofb_mult4_entity_ac3ed97096 is port ( ce_2: in std_logic; ce_2240: in std_logic; clk_2: in std_logic; clk_2240: in std_logic; in1: in std_logic_vector(24 downto 0); in2: in std_logic_vector(24 downto 0); vld_in: in std_logic; out1: out std_logic_vector(25 downto 0); vld_out: out std_logic ); end ksum_fofb_mult4_entity_ac3ed97096; architecture structural of ksum_fofb_mult4_entity_ac3ed97096 is signal assert11_dout_net_x0: std_logic_vector(24 downto 0); signal assert12_dout_net_x0: std_logic; signal ce_2240_sg_x25: std_logic; signal ce_2_sg_x17: std_logic; signal clk_2240_sg_x25: std_logic; signal clk_2_sg_x17: std_logic; signal delay1_q_net_x0: std_logic; signal ksum_i_net_x0: std_logic_vector(24 downto 0); signal kx_tbt_p_net_x0: std_logic_vector(49 downto 0); signal register_q_net_x0: std_logic_vector(25 downto 0); signal reinterpret_output_port_net_x0: std_logic_vector(25 downto 0); begin ce_2_sg_x17 <= ce_2; ce_2240_sg_x25 <= ce_2240; clk_2_sg_x17 <= clk_2; clk_2240_sg_x25 <= clk_2240; assert11_dout_net_x0 <= in1; ksum_i_net_x0 <= in2; assert12_dout_net_x0 <= vld_in; out1 <= register_q_net_x0; vld_out <= delay1_q_net_x0; cast_truncate1_18a9b21a64: entity work.cast_truncate1_entity_18a9b21a64 port map ( in1 => kx_tbt_p_net_x0, out1 => reinterpret_output_port_net_x0 ); delay1: entity work.xldelay generic map ( latency => 9, reg_retiming => 0, reset => 0, width => 1 ) port map ( ce => ce_2240_sg_x25, clk => clk_2240_sg_x25, d(0) => assert12_dout_net_x0, en => '1', rst => '1', q(0) => delay1_q_net_x0 ); kx_tbt: entity work.xlmult generic map ( a_arith => xlSigned, a_bin_pt => 21, a_width => 25, b_arith => xlSigned, b_bin_pt => 23, b_width => 25, c_a_type => 0, c_a_width => 25, c_b_type => 0, c_b_width => 25, c_baat => 25, c_output_width => 50, c_type => 0, core_name0 => "mult_11_2_eb6becd4c4c6b065", extra_registers => 0, multsign => 2, overflow => 1, p_arith => xlSigned, p_bin_pt => 44, p_width => 50, quantization => 1 ) port map ( a => assert11_dout_net_x0, b => ksum_i_net_x0, ce => ce_2_sg_x17, clk => clk_2_sg_x17, clr => '0', core_ce => ce_2_sg_x17, core_clk => clk_2_sg_x17, core_clr => '1', en => "1", rst => "0", p => kx_tbt_p_net_x0 ); register_x0: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_2_sg_x17, clk => clk_2_sg_x17, d => reinterpret_output_port_net_x0, en => "1", rst => "0", q => register_q_net_x0 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/Ksum_monit_1_mult1" entity ksum_monit_1_mult1_entity_c66dc07078 is port ( ce_2: in std_logic; ce_224000000: in std_logic; clk_2: in std_logic; clk_224000000: in std_logic; in1: in std_logic_vector(24 downto 0); in2: in std_logic_vector(24 downto 0); vld_in: in std_logic; out1: out std_logic_vector(25 downto 0); vld_out: out std_logic ); end ksum_monit_1_mult1_entity_c66dc07078; architecture structural of ksum_monit_1_mult1_entity_c66dc07078 is signal ce_224000000_sg_x3: std_logic; signal ce_2_sg_x18: std_logic; signal clk_224000000_sg_x3: std_logic; signal clk_2_sg_x18: std_logic; signal delay1_q_net_x0: std_logic; signal ksum_i_net_x1: std_logic_vector(24 downto 0); signal kx_tbt_p_net_x0: std_logic_vector(49 downto 0); signal register_q_net_x0: std_logic_vector(25 downto 0); signal reinterpret4_output_port_net_x0: std_logic_vector(24 downto 0); signal reinterpret_output_port_net_x0: std_logic_vector(25 downto 0); signal ufix_to_bool3_dout_net_x0: std_logic; begin ce_2_sg_x18 <= ce_2; ce_224000000_sg_x3 <= ce_224000000; clk_2_sg_x18 <= clk_2; clk_224000000_sg_x3 <= clk_224000000; reinterpret4_output_port_net_x0 <= in1; ksum_i_net_x1 <= in2; ufix_to_bool3_dout_net_x0 <= vld_in; out1 <= register_q_net_x0; vld_out <= delay1_q_net_x0; cast_truncate1_92cc22397d: entity work.cast_truncate1_entity_18a9b21a64 port map ( in1 => kx_tbt_p_net_x0, out1 => reinterpret_output_port_net_x0 ); delay1: entity work.xldelay generic map ( latency => 9, reg_retiming => 0, reset => 0, width => 1 ) port map ( ce => ce_224000000_sg_x3, clk => clk_224000000_sg_x3, d(0) => ufix_to_bool3_dout_net_x0, en => '1', rst => '1', q(0) => delay1_q_net_x0 ); kx_tbt: entity work.xlmult generic map ( a_arith => xlSigned, a_bin_pt => 21, a_width => 25, b_arith => xlSigned, b_bin_pt => 23, b_width => 25, c_a_type => 0, c_a_width => 25, c_b_type => 0, c_b_width => 25, c_baat => 25, c_output_width => 50, c_type => 0, core_name0 => "mult_11_2_eb6becd4c4c6b065", extra_registers => 0, multsign => 2, overflow => 1, p_arith => xlSigned, p_bin_pt => 44, p_width => 50, quantization => 1 ) port map ( a => reinterpret4_output_port_net_x0, b => ksum_i_net_x1, ce => ce_2_sg_x18, clk => clk_2_sg_x18, clr => '0', core_ce => ce_2_sg_x18, core_clk => clk_2_sg_x18, core_clr => '1', en => "1", rst => "0", p => kx_tbt_p_net_x0 ); register_x0: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_2_sg_x18, clk => clk_2_sg_x18, d => reinterpret_output_port_net_x0, en => "1", rst => "0", q => register_q_net_x0 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/Ksum_monit_mult2" entity ksum_monit_mult2_entity_31877b6d2b is port ( ce_2: in std_logic; ce_22400000: in std_logic; clk_2: in std_logic; clk_22400000: in std_logic; in1: in std_logic_vector(24 downto 0); in2: in std_logic_vector(24 downto 0); vld_in: in std_logic; out1: out std_logic_vector(25 downto 0); vld_out: out std_logic ); end ksum_monit_mult2_entity_31877b6d2b; architecture structural of ksum_monit_mult2_entity_31877b6d2b is signal assert10_dout_net_x0: std_logic; signal assert5_dout_net_x0: std_logic_vector(24 downto 0); signal ce_22400000_sg_x3: std_logic; signal ce_2_sg_x19: std_logic; signal clk_22400000_sg_x3: std_logic; signal clk_2_sg_x19: std_logic; signal delay1_q_net_x0: std_logic; signal ksum_i_net_x2: std_logic_vector(24 downto 0); signal kx_tbt_p_net_x0: std_logic_vector(49 downto 0); signal register_q_net_x0: std_logic_vector(25 downto 0); signal reinterpret_output_port_net_x0: std_logic_vector(25 downto 0); begin ce_2_sg_x19 <= ce_2; ce_22400000_sg_x3 <= ce_22400000; clk_2_sg_x19 <= clk_2; clk_22400000_sg_x3 <= clk_22400000; assert5_dout_net_x0 <= in1; ksum_i_net_x2 <= in2; assert10_dout_net_x0 <= vld_in; out1 <= register_q_net_x0; vld_out <= delay1_q_net_x0; cast_truncate1_4c5b033963: entity work.cast_truncate1_entity_18a9b21a64 port map ( in1 => kx_tbt_p_net_x0, out1 => reinterpret_output_port_net_x0 ); delay1: entity work.xldelay generic map ( latency => 9, reg_retiming => 0, reset => 0, width => 1 ) port map ( ce => ce_22400000_sg_x3, clk => clk_22400000_sg_x3, d(0) => assert10_dout_net_x0, en => '1', rst => '1', q(0) => delay1_q_net_x0 ); kx_tbt: entity work.xlmult generic map ( a_arith => xlSigned, a_bin_pt => 21, a_width => 25, b_arith => xlSigned, b_bin_pt => 23, b_width => 25, c_a_type => 0, c_a_width => 25, c_b_type => 0, c_b_width => 25, c_baat => 25, c_output_width => 50, c_type => 0, core_name0 => "mult_11_2_eb6becd4c4c6b065", extra_registers => 0, multsign => 2, overflow => 1, p_arith => xlSigned, p_bin_pt => 44, p_width => 50, quantization => 1 ) port map ( a => assert5_dout_net_x0, b => ksum_i_net_x2, ce => ce_2_sg_x19, clk => clk_2_sg_x19, clr => '0', core_ce => ce_2_sg_x19, core_clk => clk_2_sg_x19, core_clr => '1', en => "1", rst => "0", p => kx_tbt_p_net_x0 ); register_x0: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_2_sg_x19, clk => clk_2_sg_x19, d => reinterpret_output_port_net_x0, en => "1", rst => "0", q => register_q_net_x0 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/Ksum_tbt_mult3" entity ksum_tbt_mult3_entity_e0be30d675 is port ( ce_2: in std_logic; ce_70: in std_logic; clk_2: in std_logic; clk_70: in std_logic; in1: in std_logic_vector(24 downto 0); in2: in std_logic_vector(24 downto 0); vld_in: in std_logic; out1: out std_logic_vector(25 downto 0); vld_out: out std_logic ); end ksum_tbt_mult3_entity_e0be30d675; architecture structural of ksum_tbt_mult3_entity_e0be30d675 is signal assert11_dout_net_x0: std_logic_vector(24 downto 0); signal assert12_dout_net_x0: std_logic; signal ce_2_sg_x20: std_logic; signal ce_70_sg_x3: std_logic; signal clk_2_sg_x20: std_logic; signal clk_70_sg_x3: std_logic; signal delay1_q_net_x0: std_logic; signal ksum_i_net_x3: std_logic_vector(24 downto 0); signal kx_tbt_p_net_x0: std_logic_vector(49 downto 0); signal register_q_net_x0: std_logic_vector(25 downto 0); signal reinterpret_output_port_net_x0: std_logic_vector(25 downto 0); begin ce_2_sg_x20 <= ce_2; ce_70_sg_x3 <= ce_70; clk_2_sg_x20 <= clk_2; clk_70_sg_x3 <= clk_70; assert11_dout_net_x0 <= in1; ksum_i_net_x3 <= in2; assert12_dout_net_x0 <= vld_in; out1 <= register_q_net_x0; vld_out <= delay1_q_net_x0; cast_truncate1_91bc0d396f: entity work.cast_truncate1_entity_18a9b21a64 port map ( in1 => kx_tbt_p_net_x0, out1 => reinterpret_output_port_net_x0 ); delay1: entity work.xldelay generic map ( latency => 9, reg_retiming => 0, reset => 0, width => 1 ) port map ( ce => ce_70_sg_x3, clk => clk_70_sg_x3, d(0) => assert12_dout_net_x0, en => '1', rst => '1', q(0) => delay1_q_net_x0 ); kx_tbt: entity work.xlmult generic map ( a_arith => xlSigned, a_bin_pt => 21, a_width => 25, b_arith => xlSigned, b_bin_pt => 23, b_width => 25, c_a_type => 0, c_a_width => 25, c_b_type => 0, c_b_width => 25, c_baat => 25, c_output_width => 50, c_type => 0, core_name0 => "mult_11_2_eb6becd4c4c6b065", extra_registers => 0, multsign => 2, overflow => 1, p_arith => xlSigned, p_bin_pt => 44, p_width => 50, quantization => 1 ) port map ( a => assert11_dout_net_x0, b => ksum_i_net_x3, ce => ce_2_sg_x20, clk => clk_2_sg_x20, clr => '0', core_ce => ce_2_sg_x20, core_clk => clk_2_sg_x20, core_clr => '1', en => "1", rst => "0", p => kx_tbt_p_net_x0 ); register_x0: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_2_sg_x20, clk => clk_2_sg_x20, d => reinterpret_output_port_net_x0, en => "1", rst => "0", q => register_q_net_x0 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/Mixer/CMixer_0/DataReg_En" entity datareg_en_entity_5c82ef2965 is port ( ce_2: in std_logic; clk_2: in std_logic; din: in std_logic_vector(23 downto 0); en: in std_logic; dout: out std_logic_vector(23 downto 0); valid: out std_logic ); end datareg_en_entity_5c82ef2965; architecture structural of datareg_en_entity_5c82ef2965 is signal ce_2_sg_x21: std_logic; signal clk_2_sg_x21: std_logic; signal constant11_op_net_x0: std_logic; signal constant12_op_net_x0: std_logic_vector(23 downto 0); signal register1_q_net_x0: std_logic; signal register_q_net_x0: std_logic_vector(23 downto 0); begin ce_2_sg_x21 <= ce_2; clk_2_sg_x21 <= clk_2; constant12_op_net_x0 <= din; constant11_op_net_x0 <= en; dout <= register_q_net_x0; valid <= register1_q_net_x0; register1: entity work.xlregister generic map ( d_width => 1, init_value => b"0" ) port map ( ce => ce_2_sg_x21, clk => clk_2_sg_x21, d(0) => constant11_op_net_x0, en => "1", rst => "0", q(0) => register1_q_net_x0 ); register_x0: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_2_sg_x21, clk => clk_2_sg_x21, d => constant12_op_net_x0, en(0) => constant11_op_net_x0, rst => "0", q => register_q_net_x0 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/Mixer/CMixer_0/DataReg_En1" entity datareg_en1_entity_8d533fde9e is port ( ce_1: in std_logic; clk_1: in std_logic; din: in std_logic_vector(23 downto 0); en: in std_logic; dout: out std_logic_vector(23 downto 0) ); end datareg_en1_entity_8d533fde9e; architecture structural of datareg_en1_entity_8d533fde9e is signal ce_1_sg_x14: std_logic; signal clk_1_sg_x14: std_logic; signal constant11_op_net_x1: std_logic; signal register_q_net_x1: std_logic_vector(23 downto 0); signal register_q_net_x2: std_logic_vector(23 downto 0); begin ce_1_sg_x14 <= ce_1; clk_1_sg_x14 <= clk_1; register_q_net_x1 <= din; constant11_op_net_x1 <= en; dout <= register_q_net_x2; register_x0: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_1_sg_x14, clk => clk_1_sg_x14, d => register_q_net_x1, en(0) => constant11_op_net_x1, rst => "0", q => register_q_net_x2 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/Mixer/CMixer_0" entity cmixer_0_entity_f630e8d7ec is port ( ce_1: in std_logic; ce_2: in std_logic; ch_in: in std_logic; clk_1: in std_logic; clk_2: in std_logic; dds_cosine: in std_logic_vector(23 downto 0); dds_msine: in std_logic_vector(23 downto 0); dds_valid: in std_logic; din_i: in std_logic_vector(23 downto 0); din_q: in std_logic_vector(23 downto 0); en: in std_logic; ch_out: out std_logic; i_out: out std_logic_vector(23 downto 0); q_out: out std_logic_vector(23 downto 0) ); end cmixer_0_entity_f630e8d7ec; architecture structural of cmixer_0_entity_f630e8d7ec is signal a_i: std_logic_vector(23 downto 0); signal a_r: std_logic_vector(23 downto 0); signal b_i: std_logic_vector(23 downto 0); signal b_r: std_logic_vector(23 downto 0); signal ce_1_sg_x15: std_logic; signal ce_2_sg_x22: std_logic; signal clk_1_sg_x15: std_logic; signal clk_2_sg_x22: std_logic; signal complexmult_m_axis_dout_tdata_imag_net: std_logic_vector(23 downto 0); signal complexmult_m_axis_dout_tdata_real_net: std_logic_vector(23 downto 0); signal complexmult_m_axis_dout_tuser_net: std_logic; signal complexmult_m_axis_dout_tvalid_net: std_logic; signal constant11_op_net_x2: std_logic; signal constant12_op_net_x1: std_logic_vector(23 downto 0); signal constant15_op_net_x0: std_logic; signal convert1_dout_net: std_logic_vector(23 downto 0); signal convert2_dout_net: std_logic_vector(23 downto 0); signal register1_q_net_x0: std_logic; signal register1_q_net_x1: std_logic; signal register3_q_net_x5: std_logic; signal register4_q_net_x5: std_logic_vector(23 downto 0); signal register5_q_net_x5: std_logic_vector(23 downto 0); signal register_q_net: std_logic; signal register_q_net_x0: std_logic_vector(23 downto 0); signal register_q_net_x2: std_logic_vector(23 downto 0); signal register_q_net_x6: std_logic_vector(23 downto 0); signal register_q_net_x7: std_logic_vector(23 downto 0); signal register_q_net_x8: std_logic_vector(23 downto 0); signal reinterpret1_output_port_net: std_logic_vector(23 downto 0); signal reinterpret_output_port_net: std_logic_vector(23 downto 0); begin ce_1_sg_x15 <= ce_1; ce_2_sg_x22 <= ce_2; register1_q_net_x1 <= ch_in; clk_1_sg_x15 <= clk_1; clk_2_sg_x22 <= clk_2; register_q_net_x6 <= dds_cosine; register_q_net_x7 <= dds_msine; constant15_op_net_x0 <= dds_valid; register_q_net_x8 <= din_i; constant12_op_net_x1 <= din_q; constant11_op_net_x2 <= en; ch_out <= register3_q_net_x5; i_out <= register4_q_net_x5; q_out <= register5_q_net_x5; complexmult: entity work.xlcomplex_multiplier_9420c9297365b1438cc1e8469b8205e1 port map ( ce => ce_1_sg_x15, clk => clk_1_sg_x15, s_axis_a_tdata_imag => a_i, s_axis_a_tdata_real => a_r, s_axis_a_tvalid => constant15_op_net_x0, s_axis_b_tdata_imag => b_i, s_axis_b_tdata_real => b_r, s_axis_b_tuser(0) => register_q_net, s_axis_b_tvalid => register1_q_net_x0, m_axis_dout_tdata_imag => complexmult_m_axis_dout_tdata_imag_net, m_axis_dout_tdata_real => complexmult_m_axis_dout_tdata_real_net, m_axis_dout_tuser(0) => complexmult_m_axis_dout_tuser_net, m_axis_dout_tvalid => complexmult_m_axis_dout_tvalid_net ); convert1: entity work.xlconvert generic map ( bool_conversion => 0, din_arith => 2, din_bin_pt => 19, din_width => 24, dout_arith => 2, dout_bin_pt => 22, dout_width => 24, latency => 0, overflow => xlWrap, quantization => xlTruncate ) port map ( ce => ce_1_sg_x15, clk => clk_1_sg_x15, clr => '0', din => reinterpret1_output_port_net, en => "1", dout => convert1_dout_net ); convert2: entity work.xlconvert generic map ( bool_conversion => 0, din_arith => 2, din_bin_pt => 19, din_width => 24, dout_arith => 2, dout_bin_pt => 22, dout_width => 24, latency => 0, overflow => xlWrap, quantization => xlTruncate ) port map ( ce => ce_1_sg_x15, clk => clk_1_sg_x15, clr => '0', din => reinterpret_output_port_net, en => "1", dout => convert2_dout_net ); datareg_en1_8d533fde9e: entity work.datareg_en1_entity_8d533fde9e port map ( ce_1 => ce_1_sg_x15, clk_1 => clk_1_sg_x15, din => register_q_net_x8, en => constant11_op_net_x2, dout => register_q_net_x2 ); datareg_en_5c82ef2965: entity work.datareg_en_entity_5c82ef2965 port map ( ce_2 => ce_2_sg_x22, clk_2 => clk_2_sg_x22, din => constant12_op_net_x1, en => constant11_op_net_x2, dout => register_q_net_x0, valid => register1_q_net_x0 ); delay: entity work.delay_961b43f67a port map ( ce => '0', clk => '0', clr => '0', d => register_q_net_x0, q => b_i ); delay1: entity work.delay_961b43f67a port map ( ce => '0', clk => '0', clr => '0', d => register_q_net_x2, q => b_r ); register1: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_1_sg_x15, clk => clk_1_sg_x15, d => register_q_net_x6, en(0) => constant15_op_net_x0, rst => "0", q => a_r ); register2: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_1_sg_x15, clk => clk_1_sg_x15, d => register_q_net_x7, en(0) => constant15_op_net_x0, rst => "0", q => a_i ); register3: entity work.xlregister generic map ( d_width => 1, init_value => b"0" ) port map ( ce => ce_1_sg_x15, clk => clk_1_sg_x15, d(0) => complexmult_m_axis_dout_tuser_net, en(0) => complexmult_m_axis_dout_tvalid_net, rst => "0", q(0) => register3_q_net_x5 ); register4: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_1_sg_x15, clk => clk_1_sg_x15, d => convert1_dout_net, en(0) => complexmult_m_axis_dout_tvalid_net, rst => "0", q => register4_q_net_x5 ); register5: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_1_sg_x15, clk => clk_1_sg_x15, d => convert2_dout_net, en(0) => complexmult_m_axis_dout_tvalid_net, rst => "0", q => register5_q_net_x5 ); register_x0: entity work.xlregister generic map ( d_width => 1, init_value => b"0" ) port map ( ce => ce_1_sg_x15, clk => clk_1_sg_x15, d(0) => register1_q_net_x1, en(0) => constant11_op_net_x2, rst => "0", q(0) => register_q_net ); reinterpret: entity work.reinterpret_b62f4240f0 port map ( ce => '0', clk => '0', clr => '0', input_port => complexmult_m_axis_dout_tdata_imag_net, output_port => reinterpret_output_port_net ); reinterpret1: entity work.reinterpret_b62f4240f0 port map ( ce => '0', clk => '0', clr => '0', input_port => complexmult_m_axis_dout_tdata_real_net, output_port => reinterpret1_output_port_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/Mixer/TDDM_Mixer/TDDM_Mixer0_i" entity tddm_mixer0_i_entity_f95b8f24ad is port ( ce_1: in std_logic; ce_2: in std_logic; ch_in: in std_logic; clk_1: in std_logic; clk_2: in std_logic; din: in std_logic_vector(23 downto 0); dout_ch0: out std_logic_vector(23 downto 0); dout_ch1: out std_logic_vector(23 downto 0) ); end tddm_mixer0_i_entity_f95b8f24ad; architecture structural of tddm_mixer0_i_entity_f95b8f24ad is signal ce_1_sg_x18: std_logic; signal ce_2_sg_x25: std_logic; signal clk_1_sg_x18: std_logic; signal clk_2_sg_x25: std_logic; signal constant1_op_net: std_logic_vector(1 downto 0); signal constant_op_net: std_logic; signal down_sample1_q_net_x0: std_logic_vector(23 downto 0); signal down_sample2_q_net_x0: std_logic_vector(23 downto 0); signal register1_q_net: std_logic_vector(23 downto 0); signal register3_q_net_x6: std_logic; signal register4_q_net_x6: std_logic_vector(23 downto 0); signal register_q_net: std_logic_vector(23 downto 0); signal relational1_op_net: std_logic; signal relational_op_net: std_logic; begin ce_1_sg_x18 <= ce_1; ce_2_sg_x25 <= ce_2; register3_q_net_x6 <= ch_in; clk_1_sg_x18 <= clk_1; clk_2_sg_x25 <= clk_2; register4_q_net_x6 <= din; dout_ch0 <= down_sample2_q_net_x0; dout_ch1 <= down_sample1_q_net_x0; constant1: entity work.constant_cda50df78a port map ( ce => '0', clk => '0', clr => '0', op => constant1_op_net ); constant_x0: entity work.constant_6293007044 port map ( ce => '0', clk => '0', clr => '0', op(0) => constant_op_net ); down_sample1: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 22, d_width => 24, ds_ratio => 2, latency => 1, phase => 1, q_arith => xlSigned, q_bin_pt => 22, q_width => 24 ) port map ( d => register1_q_net, dest_ce => ce_2_sg_x25, dest_clk => clk_2_sg_x25, dest_clr => '0', en => "1", src_ce => ce_1_sg_x18, src_clk => clk_1_sg_x18, src_clr => '0', q => down_sample1_q_net_x0 ); down_sample2: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 22, d_width => 24, ds_ratio => 2, latency => 1, phase => 1, q_arith => xlSigned, q_bin_pt => 22, q_width => 24 ) port map ( d => register_q_net, dest_ce => ce_2_sg_x25, dest_clk => clk_2_sg_x25, dest_clr => '0', en => "1", src_ce => ce_1_sg_x18, src_clk => clk_1_sg_x18, src_clr => '0', q => down_sample2_q_net_x0 ); register1: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_1_sg_x18, clk => clk_1_sg_x18, d => register4_q_net_x6, en(0) => relational1_op_net, rst => "0", q => register1_q_net ); register_x0: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_1_sg_x18, clk => clk_1_sg_x18, d => register4_q_net_x6, en(0) => relational_op_net, rst => "0", q => register_q_net ); relational: entity work.relational_a892e1bf40 port map ( a(0) => register3_q_net_x6, b(0) => constant_op_net, ce => ce_1_sg_x18, clk => clk_1_sg_x18, clr => '0', op(0) => relational_op_net ); relational1: entity work.relational_d29d27b7b3 port map ( a(0) => register3_q_net_x6, b => constant1_op_net, ce => ce_1_sg_x18, clk => clk_1_sg_x18, clr => '0', op(0) => relational1_op_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/Mixer/TDDM_Mixer" entity tddm_mixer_entity_8537ade7b6 is port ( ce_1: in std_logic; ce_2: in std_logic; clk_1: in std_logic; clk_2: in std_logic; mix0_ch_in: in std_logic; mix0_i_in: in std_logic_vector(23 downto 0); mix0_q_in: in std_logic_vector(23 downto 0); mix1_ch_in: in std_logic; mix1_i_in: in std_logic_vector(23 downto 0); mix1_q_in: in std_logic_vector(23 downto 0); mix_ch0_i_out: out std_logic_vector(23 downto 0); mix_ch0_q_out: out std_logic_vector(23 downto 0); mix_ch1_i_out: out std_logic_vector(23 downto 0); mix_ch1_q_out: out std_logic_vector(23 downto 0); mix_ch2_i_out: out std_logic_vector(23 downto 0); mix_ch2_q_out: out std_logic_vector(23 downto 0); mix_ch3_i_out: out std_logic_vector(23 downto 0); mix_ch3_q_out: out std_logic_vector(23 downto 0) ); end tddm_mixer_entity_8537ade7b6; architecture structural of tddm_mixer_entity_8537ade7b6 is signal ce_1_sg_x22: std_logic; signal ce_2_sg_x29: std_logic; signal clk_1_sg_x22: std_logic; signal clk_2_sg_x29: std_logic; signal down_sample1_q_net_x4: std_logic_vector(23 downto 0); signal down_sample1_q_net_x5: std_logic_vector(23 downto 0); signal down_sample1_q_net_x6: std_logic_vector(23 downto 0); signal down_sample1_q_net_x7: std_logic_vector(23 downto 0); signal down_sample2_q_net_x4: std_logic_vector(23 downto 0); signal down_sample2_q_net_x5: std_logic_vector(23 downto 0); signal down_sample2_q_net_x6: std_logic_vector(23 downto 0); signal down_sample2_q_net_x7: std_logic_vector(23 downto 0); signal register3_q_net_x10: std_logic; signal register3_q_net_x9: std_logic; signal register4_q_net_x8: std_logic_vector(23 downto 0); signal register4_q_net_x9: std_logic_vector(23 downto 0); signal register5_q_net_x8: std_logic_vector(23 downto 0); signal register5_q_net_x9: std_logic_vector(23 downto 0); begin ce_1_sg_x22 <= ce_1; ce_2_sg_x29 <= ce_2; clk_1_sg_x22 <= clk_1; clk_2_sg_x29 <= clk_2; register3_q_net_x9 <= mix0_ch_in; register4_q_net_x8 <= mix0_i_in; register5_q_net_x8 <= mix0_q_in; register3_q_net_x10 <= mix1_ch_in; register4_q_net_x9 <= mix1_i_in; register5_q_net_x9 <= mix1_q_in; mix_ch0_i_out <= down_sample2_q_net_x4; mix_ch0_q_out <= down_sample2_q_net_x5; mix_ch1_i_out <= down_sample1_q_net_x4; mix_ch1_q_out <= down_sample1_q_net_x5; mix_ch2_i_out <= down_sample2_q_net_x6; mix_ch2_q_out <= down_sample2_q_net_x7; mix_ch3_i_out <= down_sample1_q_net_x6; mix_ch3_q_out <= down_sample1_q_net_x7; tddm_mixer0_i_f95b8f24ad: entity work.tddm_mixer0_i_entity_f95b8f24ad port map ( ce_1 => ce_1_sg_x22, ce_2 => ce_2_sg_x29, ch_in => register3_q_net_x9, clk_1 => clk_1_sg_x22, clk_2 => clk_2_sg_x29, din => register4_q_net_x8, dout_ch0 => down_sample2_q_net_x4, dout_ch1 => down_sample1_q_net_x4 ); tddm_mixer0_q_2c5e18f496: entity work.tddm_mixer0_i_entity_f95b8f24ad port map ( ce_1 => ce_1_sg_x22, ce_2 => ce_2_sg_x29, ch_in => register3_q_net_x9, clk_1 => clk_1_sg_x22, clk_2 => clk_2_sg_x29, din => register5_q_net_x8, dout_ch0 => down_sample2_q_net_x5, dout_ch1 => down_sample1_q_net_x5 ); tddm_mixer1_i_1afc4ccdba: entity work.tddm_mixer0_i_entity_f95b8f24ad port map ( ce_1 => ce_1_sg_x22, ce_2 => ce_2_sg_x29, ch_in => register3_q_net_x10, clk_1 => clk_1_sg_x22, clk_2 => clk_2_sg_x29, din => register4_q_net_x9, dout_ch0 => down_sample2_q_net_x6, dout_ch1 => down_sample1_q_net_x6 ); tddm_mixer1_q_ee4acbed30: entity work.tddm_mixer0_i_entity_f95b8f24ad port map ( ce_1 => ce_1_sg_x22, ce_2 => ce_2_sg_x29, ch_in => register3_q_net_x10, clk_1 => clk_1_sg_x22, clk_2 => clk_2_sg_x29, din => register5_q_net_x9, dout_ch0 => down_sample2_q_net_x7, dout_ch1 => down_sample1_q_net_x7 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/Mixer" entity mixer_entity_a1cd828545 is port ( ce_1: in std_logic; ce_2: in std_logic; ch_in0: in std_logic; ch_in1: in std_logic; clk_1: in std_logic; clk_2: in std_logic; dds_cosine_0: in std_logic_vector(23 downto 0); dds_cosine_1: in std_logic_vector(23 downto 0); dds_msine_0: in std_logic_vector(23 downto 0); dds_msine_1: in std_logic_vector(23 downto 0); dds_valid_0: in std_logic; dds_valid_1: in std_logic; din0: in std_logic_vector(23 downto 0); din1: in std_logic_vector(23 downto 0); ch_out0: out std_logic; ch_out1: out std_logic; i_out0: out std_logic_vector(23 downto 0); i_out1: out std_logic_vector(23 downto 0); q_out0: out std_logic_vector(23 downto 0); q_out1: out std_logic_vector(23 downto 0); tddm_mixer: out std_logic_vector(23 downto 0); tddm_mixer_x0: out std_logic_vector(23 downto 0); tddm_mixer_x1: out std_logic_vector(23 downto 0); tddm_mixer_x2: out std_logic_vector(23 downto 0); tddm_mixer_x3: out std_logic_vector(23 downto 0); tddm_mixer_x4: out std_logic_vector(23 downto 0); tddm_mixer_x5: out std_logic_vector(23 downto 0); tddm_mixer_x6: out std_logic_vector(23 downto 0) ); end mixer_entity_a1cd828545; architecture structural of mixer_entity_a1cd828545 is signal ce_1_sg_x23: std_logic; signal ce_2_sg_x30: std_logic; signal clk_1_sg_x23: std_logic; signal clk_2_sg_x30: std_logic; signal constant11_op_net_x2: std_logic; signal constant12_op_net_x1: std_logic_vector(23 downto 0); signal constant15_op_net_x1: std_logic; signal constant1_op_net_x2: std_logic; signal constant2_op_net_x1: std_logic_vector(23 downto 0); signal constant3_op_net_x1: std_logic; signal down_sample1_q_net_x10: std_logic_vector(23 downto 0); signal down_sample1_q_net_x11: std_logic_vector(23 downto 0); signal down_sample1_q_net_x8: std_logic_vector(23 downto 0); signal down_sample1_q_net_x9: std_logic_vector(23 downto 0); signal down_sample2_q_net_x10: std_logic_vector(23 downto 0); signal down_sample2_q_net_x11: std_logic_vector(23 downto 0); signal down_sample2_q_net_x8: std_logic_vector(23 downto 0); signal down_sample2_q_net_x9: std_logic_vector(23 downto 0); signal register1_q_net_x3: std_logic; signal register1_q_net_x4: std_logic; signal register3_q_net_x11: std_logic; signal register3_q_net_x12: std_logic; signal register4_q_net_x10: std_logic_vector(23 downto 0); signal register4_q_net_x11: std_logic_vector(23 downto 0); signal register5_q_net_x10: std_logic_vector(23 downto 0); signal register5_q_net_x11: std_logic_vector(23 downto 0); signal register_q_net_x12: std_logic_vector(23 downto 0); signal register_q_net_x13: std_logic_vector(23 downto 0); signal register_q_net_x14: std_logic_vector(23 downto 0); signal register_q_net_x15: std_logic_vector(23 downto 0); signal register_q_net_x16: std_logic_vector(23 downto 0); signal register_q_net_x17: std_logic_vector(23 downto 0); begin ce_1_sg_x23 <= ce_1; ce_2_sg_x30 <= ce_2; register1_q_net_x3 <= ch_in0; register1_q_net_x4 <= ch_in1; clk_1_sg_x23 <= clk_1; clk_2_sg_x30 <= clk_2; register_q_net_x12 <= dds_cosine_0; register_q_net_x14 <= dds_cosine_1; register_q_net_x13 <= dds_msine_0; register_q_net_x15 <= dds_msine_1; constant15_op_net_x1 <= dds_valid_0; constant3_op_net_x1 <= dds_valid_1; register_q_net_x16 <= din0; register_q_net_x17 <= din1; ch_out0 <= register3_q_net_x11; ch_out1 <= register3_q_net_x12; i_out0 <= register4_q_net_x10; i_out1 <= register4_q_net_x11; q_out0 <= register5_q_net_x10; q_out1 <= register5_q_net_x11; tddm_mixer <= down_sample1_q_net_x8; tddm_mixer_x0 <= down_sample2_q_net_x8; tddm_mixer_x1 <= down_sample1_q_net_x9; tddm_mixer_x2 <= down_sample2_q_net_x9; tddm_mixer_x3 <= down_sample1_q_net_x10; tddm_mixer_x4 <= down_sample2_q_net_x10; tddm_mixer_x5 <= down_sample1_q_net_x11; tddm_mixer_x6 <= down_sample2_q_net_x11; cmixer_0_f630e8d7ec: entity work.cmixer_0_entity_f630e8d7ec port map ( ce_1 => ce_1_sg_x23, ce_2 => ce_2_sg_x30, ch_in => register1_q_net_x3, clk_1 => clk_1_sg_x23, clk_2 => clk_2_sg_x30, dds_cosine => register_q_net_x12, dds_msine => register_q_net_x13, dds_valid => constant15_op_net_x1, din_i => register_q_net_x16, din_q => constant12_op_net_x1, en => constant11_op_net_x2, ch_out => register3_q_net_x11, i_out => register4_q_net_x10, q_out => register5_q_net_x10 ); cmixer_1_61bfc18f90: entity work.cmixer_0_entity_f630e8d7ec port map ( ce_1 => ce_1_sg_x23, ce_2 => ce_2_sg_x30, ch_in => register1_q_net_x4, clk_1 => clk_1_sg_x23, clk_2 => clk_2_sg_x30, dds_cosine => register_q_net_x14, dds_msine => register_q_net_x15, dds_valid => constant3_op_net_x1, din_i => register_q_net_x17, din_q => constant2_op_net_x1, en => constant1_op_net_x2, ch_out => register3_q_net_x12, i_out => register4_q_net_x11, q_out => register5_q_net_x11 ); constant1: entity work.constant_6293007044 port map ( ce => '0', clk => '0', clr => '0', op(0) => constant1_op_net_x2 ); constant11: entity work.constant_6293007044 port map ( ce => '0', clk => '0', clr => '0', op(0) => constant11_op_net_x2 ); constant12: entity work.constant_f394f3309c port map ( ce => '0', clk => '0', clr => '0', op => constant12_op_net_x1 ); constant2: entity work.constant_f394f3309c port map ( ce => '0', clk => '0', clr => '0', op => constant2_op_net_x1 ); tddm_mixer_8537ade7b6: entity work.tddm_mixer_entity_8537ade7b6 port map ( ce_1 => ce_1_sg_x23, ce_2 => ce_2_sg_x30, clk_1 => clk_1_sg_x23, clk_2 => clk_2_sg_x30, mix0_ch_in => register3_q_net_x11, mix0_i_in => register4_q_net_x10, mix0_q_in => register5_q_net_x10, mix1_ch_in => register3_q_net_x12, mix1_i_in => register4_q_net_x11, mix1_q_in => register5_q_net_x11, mix_ch0_i_out => down_sample2_q_net_x8, mix_ch0_q_out => down_sample2_q_net_x9, mix_ch1_i_out => down_sample1_q_net_x8, mix_ch1_q_out => down_sample1_q_net_x9, mix_ch2_i_out => down_sample2_q_net_x10, mix_ch2_q_out => down_sample2_q_net_x11, mix_ch3_i_out => down_sample1_q_net_x10, mix_ch3_q_out => down_sample1_q_net_x11 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/Monit_amp/Monit_amp_c/Cast2/format1" entity format1_entity_4e0a69646b is port ( ce_5600000: in std_logic; clk_5600000: in std_logic; din: in std_logic_vector(24 downto 0); dout: out std_logic_vector(23 downto 0) ); end format1_entity_4e0a69646b; architecture structural of format1_entity_4e0a69646b is signal ce_5600000_sg_x0: std_logic; signal clk_5600000_sg_x0: std_logic; signal convert_dout_net_x0: std_logic_vector(23 downto 0); signal monit_pfir_m_axis_data_tdata_net_x0: std_logic_vector(24 downto 0); signal reinterpret_output_port_net: std_logic_vector(24 downto 0); begin ce_5600000_sg_x0 <= ce_5600000; clk_5600000_sg_x0 <= clk_5600000; monit_pfir_m_axis_data_tdata_net_x0 <= din; dout <= convert_dout_net_x0; convert: entity work.xlconvert generic map ( bool_conversion => 0, din_arith => 2, din_bin_pt => 21, din_width => 25, dout_arith => 2, dout_bin_pt => 22, dout_width => 24, latency => 0, overflow => xlWrap, quantization => xlRound ) port map ( ce => ce_5600000_sg_x0, clk => clk_5600000_sg_x0, clr => '0', din => reinterpret_output_port_net, en => "1", dout => convert_dout_net_x0 ); reinterpret: entity work.reinterpret_31a4235b32 port map ( ce => '0', clk => '0', clr => '0', input_port => monit_pfir_m_axis_data_tdata_net_x0, output_port => reinterpret_output_port_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/Monit_amp/Monit_amp_c/Cast2" entity cast2_entity_4b7421c7c9 is port ( ce_5600000: in std_logic; clk_5600000: in std_logic; data_in: in std_logic_vector(24 downto 0); en: in std_logic; out_x0: out std_logic_vector(23 downto 0) ); end cast2_entity_4b7421c7c9; architecture structural of cast2_entity_4b7421c7c9 is signal ce_5600000_sg_x1: std_logic; signal clk_5600000_sg_x1: std_logic; signal convert_dout_net_x0: std_logic_vector(23 downto 0); signal monit_pfir_m_axis_data_tdata_net_x1: std_logic_vector(24 downto 0); signal monit_pfir_m_axis_data_tvalid_net_x0: std_logic; signal register_q_net_x0: std_logic_vector(23 downto 0); begin ce_5600000_sg_x1 <= ce_5600000; clk_5600000_sg_x1 <= clk_5600000; monit_pfir_m_axis_data_tdata_net_x1 <= data_in; monit_pfir_m_axis_data_tvalid_net_x0 <= en; out_x0 <= register_q_net_x0; format1_4e0a69646b: entity work.format1_entity_4e0a69646b port map ( ce_5600000 => ce_5600000_sg_x1, clk_5600000 => clk_5600000_sg_x1, din => monit_pfir_m_axis_data_tdata_net_x1, dout => convert_dout_net_x0 ); register_x0: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_5600000_sg_x1, clk => clk_5600000_sg_x1, d => convert_dout_net_x0, en(0) => monit_pfir_m_axis_data_tvalid_net_x0, rst => "0", q => register_q_net_x0 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/Monit_amp/Monit_amp_c/Cast4/format1" entity format1_entity_3cf61b0d44 is port ( ce_2800000: in std_logic; clk_2800000: in std_logic; din: in std_logic_vector(24 downto 0); dout: out std_logic_vector(23 downto 0) ); end format1_entity_3cf61b0d44; architecture structural of format1_entity_3cf61b0d44 is signal ce_2800000_sg_x0: std_logic; signal clk_2800000_sg_x0: std_logic; signal convert_dout_net_x0: std_logic_vector(23 downto 0); signal monit_cfir_m_axis_data_tdata_net_x0: std_logic_vector(24 downto 0); signal reinterpret_output_port_net: std_logic_vector(24 downto 0); begin ce_2800000_sg_x0 <= ce_2800000; clk_2800000_sg_x0 <= clk_2800000; monit_cfir_m_axis_data_tdata_net_x0 <= din; dout <= convert_dout_net_x0; convert: entity work.xlconvert generic map ( bool_conversion => 0, din_arith => 2, din_bin_pt => 21, din_width => 25, dout_arith => 2, dout_bin_pt => 22, dout_width => 24, latency => 0, overflow => xlWrap, quantization => xlRound ) port map ( ce => ce_2800000_sg_x0, clk => clk_2800000_sg_x0, clr => '0', din => reinterpret_output_port_net, en => "1", dout => convert_dout_net_x0 ); reinterpret: entity work.reinterpret_31a4235b32 port map ( ce => '0', clk => '0', clr => '0', input_port => monit_cfir_m_axis_data_tdata_net_x0, output_port => reinterpret_output_port_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/Monit_amp/Monit_amp_c/Cast4" entity cast4_entity_4ed908d7fc is port ( ce_2800000: in std_logic; clk_2800000: in std_logic; data_in: in std_logic_vector(24 downto 0); en: in std_logic; out_x0: out std_logic_vector(23 downto 0) ); end cast4_entity_4ed908d7fc; architecture structural of cast4_entity_4ed908d7fc is signal ce_2800000_sg_x1: std_logic; signal clk_2800000_sg_x1: std_logic; signal convert_dout_net_x0: std_logic_vector(23 downto 0); signal monit_cfir_m_axis_data_tdata_net_x1: std_logic_vector(24 downto 0); signal monit_cfir_m_axis_data_tvalid_net_x0: std_logic; signal register_q_net_x0: std_logic_vector(23 downto 0); begin ce_2800000_sg_x1 <= ce_2800000; clk_2800000_sg_x1 <= clk_2800000; monit_cfir_m_axis_data_tdata_net_x1 <= data_in; monit_cfir_m_axis_data_tvalid_net_x0 <= en; out_x0 <= register_q_net_x0; format1_3cf61b0d44: entity work.format1_entity_3cf61b0d44 port map ( ce_2800000 => ce_2800000_sg_x1, clk_2800000 => clk_2800000_sg_x1, din => monit_cfir_m_axis_data_tdata_net_x1, dout => convert_dout_net_x0 ); register_x0: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_2800000_sg_x1, clk => clk_2800000_sg_x1, d => convert_dout_net_x0, en(0) => monit_cfir_m_axis_data_tvalid_net_x0, rst => "0", q => register_q_net_x0 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/Monit_amp/Monit_amp_c/Reg1" entity reg1_entity_8661a44192 is port ( ce_1400000: in std_logic; clk_1400000: in std_logic; din: in std_logic_vector(60 downto 0); en: in std_logic; dout: out std_logic_vector(23 downto 0) ); end reg1_entity_8661a44192; architecture structural of reg1_entity_8661a44192 is signal ce_1400000_sg_x0: std_logic; signal clk_1400000_sg_x0: std_logic; signal convert_dout_net: std_logic_vector(23 downto 0); signal monit_cic_m_axis_data_tdata_data_net_x0: std_logic_vector(60 downto 0); signal monit_cic_m_axis_data_tvalid_net_x0: std_logic; signal register_q_net_x0: std_logic_vector(23 downto 0); signal reinterpret2_output_port_net: std_logic_vector(60 downto 0); begin ce_1400000_sg_x0 <= ce_1400000; clk_1400000_sg_x0 <= clk_1400000; monit_cic_m_axis_data_tdata_data_net_x0 <= din; monit_cic_m_axis_data_tvalid_net_x0 <= en; dout <= register_q_net_x0; convert: entity work.xlconvert generic map ( bool_conversion => 0, din_arith => 2, din_bin_pt => 59, din_width => 61, dout_arith => 2, dout_bin_pt => 22, dout_width => 24, latency => 0, overflow => xlWrap, quantization => xlRound ) port map ( ce => ce_1400000_sg_x0, clk => clk_1400000_sg_x0, clr => '0', din => reinterpret2_output_port_net, en => "1", dout => convert_dout_net ); register_x0: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_1400000_sg_x0, clk => clk_1400000_sg_x0, d => convert_dout_net, en(0) => monit_cic_m_axis_data_tvalid_net_x0, rst => "0", q => register_q_net_x0 ); reinterpret2: entity work.reinterpret_c88e29aa6b port map ( ce => '0', clk => '0', clr => '0', input_port => monit_cic_m_axis_data_tdata_data_net_x0, output_port => reinterpret2_output_port_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/Monit_amp/Monit_amp_c/TDDM_monit_amp_c/TDDM_monit_amp_c_int" entity tddm_monit_amp_c_int_entity_554a834349 is port ( ce_22400000: in std_logic; ce_5600000: in std_logic; ch_in: in std_logic_vector(1 downto 0); clk_22400000: in std_logic; clk_5600000: in std_logic; din: in std_logic_vector(23 downto 0); dout_ch0: out std_logic_vector(23 downto 0); dout_ch1: out std_logic_vector(23 downto 0); dout_ch2: out std_logic_vector(23 downto 0); dout_ch3: out std_logic_vector(23 downto 0) ); end tddm_monit_amp_c_int_entity_554a834349; architecture structural of tddm_monit_amp_c_int_entity_554a834349 is signal ce_22400000_sg_x4: std_logic; signal ce_5600000_sg_x2: std_logic; signal clk_22400000_sg_x4: std_logic; signal clk_5600000_sg_x2: std_logic; signal constant1_op_net: std_logic_vector(1 downto 0); signal constant3_op_net: std_logic_vector(1 downto 0); signal constant4_op_net: std_logic_vector(1 downto 0); signal constant_op_net: std_logic_vector(1 downto 0); signal delay2_q_net_x0: std_logic_vector(1 downto 0); signal down_sample1_q_net_x0: std_logic_vector(23 downto 0); signal down_sample2_q_net_x0: std_logic_vector(23 downto 0); signal down_sample3_q_net_x0: std_logic_vector(23 downto 0); signal down_sample4_q_net_x0: std_logic_vector(23 downto 0); signal register1_q_net: std_logic_vector(23 downto 0); signal register2_q_net: std_logic_vector(23 downto 0); signal register3_q_net: std_logic_vector(23 downto 0); signal register_q_net: std_logic_vector(23 downto 0); signal register_q_net_x1: std_logic_vector(23 downto 0); signal relational1_op_net: std_logic; signal relational2_op_net: std_logic; signal relational3_op_net: std_logic; signal relational_op_net: std_logic; begin ce_22400000_sg_x4 <= ce_22400000; ce_5600000_sg_x2 <= ce_5600000; delay2_q_net_x0 <= ch_in; clk_22400000_sg_x4 <= clk_22400000; clk_5600000_sg_x2 <= clk_5600000; register_q_net_x1 <= din; dout_ch0 <= down_sample2_q_net_x0; dout_ch1 <= down_sample1_q_net_x0; dout_ch2 <= down_sample3_q_net_x0; dout_ch3 <= down_sample4_q_net_x0; constant1: entity work.constant_cda50df78a port map ( ce => '0', clk => '0', clr => '0', op => constant1_op_net ); constant3: entity work.constant_a7e2bb9e12 port map ( ce => '0', clk => '0', clr => '0', op => constant3_op_net ); constant4: entity work.constant_e8ddc079e9 port map ( ce => '0', clk => '0', clr => '0', op => constant4_op_net ); constant_x0: entity work.constant_3a9a3daeb9 port map ( ce => '0', clk => '0', clr => '0', op => constant_op_net ); down_sample1: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 22, d_width => 24, ds_ratio => 4, latency => 1, phase => 3, q_arith => xlSigned, q_bin_pt => 22, q_width => 24 ) port map ( d => register1_q_net, dest_ce => ce_22400000_sg_x4, dest_clk => clk_22400000_sg_x4, dest_clr => '0', en => "1", src_ce => ce_5600000_sg_x2, src_clk => clk_5600000_sg_x2, src_clr => '0', q => down_sample1_q_net_x0 ); down_sample2: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 22, d_width => 24, ds_ratio => 4, latency => 1, phase => 3, q_arith => xlSigned, q_bin_pt => 22, q_width => 24 ) port map ( d => register_q_net, dest_ce => ce_22400000_sg_x4, dest_clk => clk_22400000_sg_x4, dest_clr => '0', en => "1", src_ce => ce_5600000_sg_x2, src_clk => clk_5600000_sg_x2, src_clr => '0', q => down_sample2_q_net_x0 ); down_sample3: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 22, d_width => 24, ds_ratio => 4, latency => 1, phase => 3, q_arith => xlSigned, q_bin_pt => 22, q_width => 24 ) port map ( d => register2_q_net, dest_ce => ce_22400000_sg_x4, dest_clk => clk_22400000_sg_x4, dest_clr => '0', en => "1", src_ce => ce_5600000_sg_x2, src_clk => clk_5600000_sg_x2, src_clr => '0', q => down_sample3_q_net_x0 ); down_sample4: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 22, d_width => 24, ds_ratio => 4, latency => 1, phase => 3, q_arith => xlSigned, q_bin_pt => 22, q_width => 24 ) port map ( d => register3_q_net, dest_ce => ce_22400000_sg_x4, dest_clk => clk_22400000_sg_x4, dest_clr => '0', en => "1", src_ce => ce_5600000_sg_x2, src_clk => clk_5600000_sg_x2, src_clr => '0', q => down_sample4_q_net_x0 ); register1: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_5600000_sg_x2, clk => clk_5600000_sg_x2, d => register_q_net_x1, en(0) => relational1_op_net, rst => "0", q => register1_q_net ); register2: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_5600000_sg_x2, clk => clk_5600000_sg_x2, d => register_q_net_x1, en(0) => relational2_op_net, rst => "0", q => register2_q_net ); register3: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_5600000_sg_x2, clk => clk_5600000_sg_x2, d => register_q_net_x1, en(0) => relational3_op_net, rst => "0", q => register3_q_net ); register_x0: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_5600000_sg_x2, clk => clk_5600000_sg_x2, d => register_q_net_x1, en(0) => relational_op_net, rst => "0", q => register_q_net ); relational: entity work.relational_367321bc0c port map ( a => delay2_q_net_x0, b => constant_op_net, ce => ce_5600000_sg_x2, clk => clk_5600000_sg_x2, clr => '0', op(0) => relational_op_net ); relational1: entity work.relational_367321bc0c port map ( a => delay2_q_net_x0, b => constant1_op_net, ce => ce_5600000_sg_x2, clk => clk_5600000_sg_x2, clr => '0', op(0) => relational1_op_net ); relational2: entity work.relational_367321bc0c port map ( a => delay2_q_net_x0, b => constant3_op_net, ce => ce_5600000_sg_x2, clk => clk_5600000_sg_x2, clr => '0', op(0) => relational2_op_net ); relational3: entity work.relational_367321bc0c port map ( a => delay2_q_net_x0, b => constant4_op_net, ce => ce_5600000_sg_x2, clk => clk_5600000_sg_x2, clr => '0', op(0) => relational3_op_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/Monit_amp/Monit_amp_c/TDDM_monit_amp_c" entity tddm_monit_amp_c_entity_5b2613eff7 is port ( ce_22400000: in std_logic; ce_5600000: in std_logic; clk_22400000: in std_logic; clk_5600000: in std_logic; monit_ch_in: in std_logic_vector(1 downto 0); monit_din: in std_logic_vector(23 downto 0); monit_ch0_out: out std_logic_vector(23 downto 0); monit_ch1_out: out std_logic_vector(23 downto 0); monit_ch2_out: out std_logic_vector(23 downto 0); monit_ch3_out: out std_logic_vector(23 downto 0) ); end tddm_monit_amp_c_entity_5b2613eff7; architecture structural of tddm_monit_amp_c_entity_5b2613eff7 is signal ce_22400000_sg_x5: std_logic; signal ce_5600000_sg_x3: std_logic; signal clk_22400000_sg_x5: std_logic; signal clk_5600000_sg_x3: std_logic; signal delay2_q_net_x1: std_logic_vector(1 downto 0); signal down_sample1_q_net_x1: std_logic_vector(23 downto 0); signal down_sample2_q_net_x1: std_logic_vector(23 downto 0); signal down_sample3_q_net_x1: std_logic_vector(23 downto 0); signal down_sample4_q_net_x1: std_logic_vector(23 downto 0); signal register_q_net_x2: std_logic_vector(23 downto 0); begin ce_22400000_sg_x5 <= ce_22400000; ce_5600000_sg_x3 <= ce_5600000; clk_22400000_sg_x5 <= clk_22400000; clk_5600000_sg_x3 <= clk_5600000; delay2_q_net_x1 <= monit_ch_in; register_q_net_x2 <= monit_din; monit_ch0_out <= down_sample2_q_net_x1; monit_ch1_out <= down_sample1_q_net_x1; monit_ch2_out <= down_sample3_q_net_x1; monit_ch3_out <= down_sample4_q_net_x1; tddm_monit_amp_c_int_554a834349: entity work.tddm_monit_amp_c_int_entity_554a834349 port map ( ce_22400000 => ce_22400000_sg_x5, ce_5600000 => ce_5600000_sg_x3, ch_in => delay2_q_net_x1, clk_22400000 => clk_22400000_sg_x5, clk_5600000 => clk_5600000_sg_x3, din => register_q_net_x2, dout_ch0 => down_sample2_q_net_x1, dout_ch1 => down_sample1_q_net_x1, dout_ch2 => down_sample3_q_net_x1, dout_ch3 => down_sample4_q_net_x1 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/Monit_amp/Monit_amp_c" entity monit_amp_c_entity_c83793ea71 is port ( ce_1: in std_logic; ce_1400000: in std_logic; ce_22400000: in std_logic; ce_2800000: in std_logic; ce_560: in std_logic; ce_5600000: in std_logic; ce_logic_1400000: in std_logic; ce_logic_2800000: in std_logic; ce_logic_560: in std_logic; ch_in: in std_logic_vector(1 downto 0); clk_1: in std_logic; clk_1400000: in std_logic; clk_22400000: in std_logic; clk_2800000: in std_logic; clk_560: in std_logic; clk_5600000: in std_logic; din: in std_logic_vector(23 downto 0); amp_out: out std_logic_vector(23 downto 0); ch_out_x1: out std_logic_vector(1 downto 0); monit_cfir_x0: out std_logic; monit_cic_x0: out std_logic; monit_pfir_x0: out std_logic; tddm_monit_amp_c: out std_logic_vector(23 downto 0); tddm_monit_amp_c_x0: out std_logic_vector(23 downto 0); tddm_monit_amp_c_x1: out std_logic_vector(23 downto 0); tddm_monit_amp_c_x2: out std_logic_vector(23 downto 0) ); end monit_amp_c_entity_c83793ea71; architecture structural of monit_amp_c_entity_c83793ea71 is signal ce_1400000_sg_x1: std_logic; signal ce_1_sg_x24: std_logic; signal ce_22400000_sg_x6: std_logic; signal ce_2800000_sg_x2: std_logic; signal ce_5600000_sg_x4: std_logic; signal ce_560_sg_x0: std_logic; signal ce_logic_1400000_sg_x0: std_logic; signal ce_logic_2800000_sg_x0: std_logic; signal ce_logic_560_sg_x0: std_logic; signal ch_out_x0: std_logic_vector(1 downto 0); signal clk_1400000_sg_x1: std_logic; signal clk_1_sg_x24: std_logic; signal clk_22400000_sg_x6: std_logic; signal clk_2800000_sg_x2: std_logic; signal clk_5600000_sg_x4: std_logic; signal clk_560_sg_x0: std_logic; signal constant1_op_net: std_logic_vector(1 downto 0); signal delay1_q_net: std_logic_vector(23 downto 0); signal delay2_q_net_x2: std_logic_vector(1 downto 0); signal delay3_q_net: std_logic_vector(23 downto 0); signal delay_q_net: std_logic_vector(1 downto 0); signal dout_x0: std_logic_vector(23 downto 0); signal down_sample1_q_net_x2: std_logic_vector(23 downto 0); signal down_sample2_q_net_x2: std_logic_vector(23 downto 0); signal down_sample3_q_net_x2: std_logic_vector(23 downto 0); signal down_sample4_q_net_x2: std_logic_vector(23 downto 0); signal monit_cfir_event_s_data_chanid_incorrect_net_x0: std_logic; signal monit_cfir_m_axis_data_tdata_net_x1: std_logic_vector(24 downto 0); signal monit_cfir_m_axis_data_tuser_chanid_net: std_logic_vector(1 downto 0); signal monit_cfir_m_axis_data_tvalid_net_x0: std_logic; signal monit_cic_event_tlast_unexpected_net_x0: std_logic; signal monit_cic_m_axis_data_tdata_data_net_x0: std_logic_vector(60 downto 0); signal monit_cic_m_axis_data_tuser_chan_out_net: std_logic_vector(1 downto 0); signal monit_cic_m_axis_data_tvalid_net_x0: std_logic; signal monit_pfir_event_s_data_chanid_incorrect_net_x0: std_logic; signal monit_pfir_m_axis_data_tdata_net_x1: std_logic_vector(24 downto 0); signal monit_pfir_m_axis_data_tuser_chanid_net: std_logic_vector(1 downto 0); signal monit_pfir_m_axis_data_tvalid_net_x0: std_logic; signal register3_q_net: std_logic_vector(1 downto 0); signal register_q_net_x0: std_logic_vector(23 downto 0); signal register_q_net_x1: std_logic_vector(23 downto 0); signal register_q_net_x3: std_logic_vector(23 downto 0); signal relational2_op_net: std_logic; begin ce_1_sg_x24 <= ce_1; ce_1400000_sg_x1 <= ce_1400000; ce_22400000_sg_x6 <= ce_22400000; ce_2800000_sg_x2 <= ce_2800000; ce_560_sg_x0 <= ce_560; ce_5600000_sg_x4 <= ce_5600000; ce_logic_1400000_sg_x0 <= ce_logic_1400000; ce_logic_2800000_sg_x0 <= ce_logic_2800000; ce_logic_560_sg_x0 <= ce_logic_560; ch_out_x0 <= ch_in; clk_1_sg_x24 <= clk_1; clk_1400000_sg_x1 <= clk_1400000; clk_22400000_sg_x6 <= clk_22400000; clk_2800000_sg_x2 <= clk_2800000; clk_560_sg_x0 <= clk_560; clk_5600000_sg_x4 <= clk_5600000; dout_x0 <= din; amp_out <= register_q_net_x3; ch_out_x1 <= delay2_q_net_x2; monit_cfir_x0 <= monit_cfir_event_s_data_chanid_incorrect_net_x0; monit_cic_x0 <= monit_cic_event_tlast_unexpected_net_x0; monit_pfir_x0 <= monit_pfir_event_s_data_chanid_incorrect_net_x0; tddm_monit_amp_c <= down_sample1_q_net_x2; tddm_monit_amp_c_x0 <= down_sample2_q_net_x2; tddm_monit_amp_c_x1 <= down_sample3_q_net_x2; tddm_monit_amp_c_x2 <= down_sample4_q_net_x2; cast2_4b7421c7c9: entity work.cast2_entity_4b7421c7c9 port map ( ce_5600000 => ce_5600000_sg_x4, clk_5600000 => clk_5600000_sg_x4, data_in => monit_pfir_m_axis_data_tdata_net_x1, en => monit_pfir_m_axis_data_tvalid_net_x0, out_x0 => register_q_net_x3 ); cast4_4ed908d7fc: entity work.cast4_entity_4ed908d7fc port map ( ce_2800000 => ce_2800000_sg_x2, clk_2800000 => clk_2800000_sg_x2, data_in => monit_cfir_m_axis_data_tdata_net_x1, en => monit_cfir_m_axis_data_tvalid_net_x0, out_x0 => register_q_net_x0 ); constant1: entity work.constant_e8ddc079e9 port map ( ce => '0', clk => '0', clr => '0', op => constant1_op_net ); delay: entity work.xldelay generic map ( latency => 3, reg_retiming => 0, reset => 0, width => 2 ) port map ( ce => ce_1400000_sg_x1, clk => clk_1400000_sg_x1, d => monit_cic_m_axis_data_tuser_chan_out_net, en => '1', rst => '1', q => delay_q_net ); delay1: entity work.xldelay generic map ( latency => 3, reg_retiming => 0, reset => 0, width => 24 ) port map ( ce => ce_560_sg_x0, clk => clk_560_sg_x0, d => dout_x0, en => '1', rst => '1', q => delay1_q_net ); delay2: entity work.xldelay generic map ( latency => 1, reg_retiming => 0, reset => 0, width => 2 ) port map ( ce => ce_5600000_sg_x4, clk => clk_5600000_sg_x4, d => monit_pfir_m_axis_data_tuser_chanid_net, en => '1', rst => '1', q => delay2_q_net_x2 ); delay3: entity work.xldelay generic map ( latency => 2, reg_retiming => 0, reset => 0, width => 24 ) port map ( ce => ce_1400000_sg_x1, clk => clk_1400000_sg_x1, d => register_q_net_x1, en => '1', rst => '1', q => delay3_q_net ); monit_cfir: entity work.xlfir_compiler_9c8746ef58b9fecaf8fa2bea81370554 port map ( ce => ce_1_sg_x24, ce_1400000 => ce_1400000_sg_x1, ce_2800000 => ce_2800000_sg_x2, ce_logic_1400000 => ce_logic_1400000_sg_x0, clk => clk_1_sg_x24, clk_1400000 => clk_1400000_sg_x1, clk_2800000 => clk_2800000_sg_x2, clk_logic_1400000 => clk_1400000_sg_x1, s_axis_data_tdata => delay3_q_net, s_axis_data_tuser_chanid => delay_q_net, src_ce => ce_1400000_sg_x1, src_clk => clk_1400000_sg_x1, event_s_data_chanid_incorrect => monit_cfir_event_s_data_chanid_incorrect_net_x0, m_axis_data_tdata => monit_cfir_m_axis_data_tdata_net_x1, m_axis_data_tuser_chanid => monit_cfir_m_axis_data_tuser_chanid_net, m_axis_data_tvalid => monit_cfir_m_axis_data_tvalid_net_x0 ); monit_cic: entity work.xlcic_compiler_1c97a249b004729f66738a648c4f9593 port map ( ce => ce_1_sg_x24, ce_1400000 => ce_1400000_sg_x1, ce_560 => ce_560_sg_x0, ce_logic_560 => ce_logic_560_sg_x0, clk => clk_1_sg_x24, clk_1400000 => clk_1400000_sg_x1, clk_560 => clk_560_sg_x0, clk_logic_560 => clk_560_sg_x0, s_axis_data_tdata_data => delay1_q_net, s_axis_data_tlast => relational2_op_net, event_tlast_unexpected => monit_cic_event_tlast_unexpected_net_x0, m_axis_data_tdata_data => monit_cic_m_axis_data_tdata_data_net_x0, m_axis_data_tuser_chan_out => monit_cic_m_axis_data_tuser_chan_out_net, m_axis_data_tvalid => monit_cic_m_axis_data_tvalid_net_x0 ); monit_pfir: entity work.xlfir_compiler_ef89cacae87a636bad21e5ee1476453a port map ( ce => ce_1_sg_x24, ce_2800000 => ce_2800000_sg_x2, ce_5600000 => ce_5600000_sg_x4, ce_logic_2800000 => ce_logic_2800000_sg_x0, clk => clk_1_sg_x24, clk_2800000 => clk_2800000_sg_x2, clk_5600000 => clk_5600000_sg_x4, clk_logic_2800000 => clk_2800000_sg_x2, s_axis_data_tdata => register_q_net_x0, s_axis_data_tuser_chanid => register3_q_net, src_ce => ce_2800000_sg_x2, src_clk => clk_2800000_sg_x2, event_s_data_chanid_incorrect => monit_pfir_event_s_data_chanid_incorrect_net_x0, m_axis_data_tdata => monit_pfir_m_axis_data_tdata_net_x1, m_axis_data_tuser_chanid => monit_pfir_m_axis_data_tuser_chanid_net, m_axis_data_tvalid => monit_pfir_m_axis_data_tvalid_net_x0 ); reg1_8661a44192: entity work.reg1_entity_8661a44192 port map ( ce_1400000 => ce_1400000_sg_x1, clk_1400000 => clk_1400000_sg_x1, din => monit_cic_m_axis_data_tdata_data_net_x0, en => monit_cic_m_axis_data_tvalid_net_x0, dout => register_q_net_x1 ); register3: entity work.xlregister generic map ( d_width => 2, init_value => b"00" ) port map ( ce => ce_2800000_sg_x2, clk => clk_2800000_sg_x2, d => monit_cfir_m_axis_data_tuser_chanid_net, en => "1", rst => "0", q => register3_q_net ); relational2: entity work.relational_83ca2c6a3c port map ( a => ch_out_x0, b => constant1_op_net, ce => ce_560_sg_x0, clk => clk_560_sg_x0, clr => '0', op(0) => relational2_op_net ); tddm_monit_amp_c_5b2613eff7: entity work.tddm_monit_amp_c_entity_5b2613eff7 port map ( ce_22400000 => ce_22400000_sg_x6, ce_5600000 => ce_5600000_sg_x4, clk_22400000 => clk_22400000_sg_x6, clk_5600000 => clk_5600000_sg_x4, monit_ch_in => delay2_q_net_x2, monit_din => register_q_net_x3, monit_ch0_out => down_sample2_q_net_x2, monit_ch1_out => down_sample1_q_net_x2, monit_ch2_out => down_sample3_q_net_x2, monit_ch3_out => down_sample4_q_net_x2 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/Monit_amp/TDDM_monit_amp_out" entity tddm_monit_amp_out_entity_521eb373cc is port ( ce_22400000: in std_logic; ce_5600000: in std_logic; clk_22400000: in std_logic; clk_5600000: in std_logic; monit_amp_ch_in: in std_logic_vector(1 downto 0); monit_amp_din: in std_logic_vector(23 downto 0); monit_amp_data0_out: out std_logic_vector(23 downto 0); monit_amp_data1_out: out std_logic_vector(23 downto 0); monit_amp_data2_out: out std_logic_vector(23 downto 0); monit_amp_data3_out: out std_logic_vector(23 downto 0) ); end tddm_monit_amp_out_entity_521eb373cc; architecture structural of tddm_monit_amp_out_entity_521eb373cc is signal ce_22400000_sg_x8: std_logic; signal ce_5600000_sg_x6: std_logic; signal clk_22400000_sg_x8: std_logic; signal clk_5600000_sg_x6: std_logic; signal delay2_q_net_x4: std_logic_vector(1 downto 0); signal down_sample1_q_net_x1: std_logic_vector(23 downto 0); signal down_sample2_q_net_x1: std_logic_vector(23 downto 0); signal down_sample3_q_net_x1: std_logic_vector(23 downto 0); signal down_sample4_q_net_x1: std_logic_vector(23 downto 0); signal register_q_net_x5: std_logic_vector(23 downto 0); begin ce_22400000_sg_x8 <= ce_22400000; ce_5600000_sg_x6 <= ce_5600000; clk_22400000_sg_x8 <= clk_22400000; clk_5600000_sg_x6 <= clk_5600000; delay2_q_net_x4 <= monit_amp_ch_in; register_q_net_x5 <= monit_amp_din; monit_amp_data0_out <= down_sample2_q_net_x1; monit_amp_data1_out <= down_sample1_q_net_x1; monit_amp_data2_out <= down_sample3_q_net_x1; monit_amp_data3_out <= down_sample4_q_net_x1; tddm_monit_amp_out_int_b60196c7a6: entity work.tddm_monit_amp_c_int_entity_554a834349 port map ( ce_22400000 => ce_22400000_sg_x8, ce_5600000 => ce_5600000_sg_x6, ch_in => delay2_q_net_x4, clk_22400000 => clk_22400000_sg_x8, clk_5600000 => clk_5600000_sg_x6, din => register_q_net_x5, dout_ch0 => down_sample2_q_net_x1, dout_ch1 => down_sample1_q_net_x1, dout_ch2 => down_sample3_q_net_x1, dout_ch3 => down_sample4_q_net_x1 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/Monit_amp" entity monit_amp_entity_44da74e268 is port ( ce_1: in std_logic; ce_1400000: in std_logic; ce_22400000: in std_logic; ce_2800000: in std_logic; ce_560: in std_logic; ce_5600000: in std_logic; ce_logic_1400000: in std_logic; ce_logic_2800000: in std_logic; ce_logic_560: in std_logic; ch_in: in std_logic_vector(1 downto 0); clk_1: in std_logic; clk_1400000: in std_logic; clk_22400000: in std_logic; clk_2800000: in std_logic; clk_560: in std_logic; clk_5600000: in std_logic; din: in std_logic_vector(23 downto 0); amp_out0: out std_logic_vector(23 downto 0); amp_out1: out std_logic_vector(23 downto 0); amp_out2: out std_logic_vector(23 downto 0); amp_out3: out std_logic_vector(23 downto 0); monit_amp_c: out std_logic_vector(23 downto 0); monit_amp_c_x0: out std_logic_vector(23 downto 0); monit_amp_c_x1: out std_logic_vector(23 downto 0); monit_amp_c_x2: out std_logic_vector(23 downto 0); monit_amp_c_x3: out std_logic; monit_amp_c_x4: out std_logic; monit_amp_c_x5: out std_logic ); end monit_amp_entity_44da74e268; architecture structural of monit_amp_entity_44da74e268 is signal ce_1400000_sg_x2: std_logic; signal ce_1_sg_x25: std_logic; signal ce_22400000_sg_x9: std_logic; signal ce_2800000_sg_x3: std_logic; signal ce_5600000_sg_x7: std_logic; signal ce_560_sg_x1: std_logic; signal ce_logic_1400000_sg_x1: std_logic; signal ce_logic_2800000_sg_x1: std_logic; signal ce_logic_560_sg_x1: std_logic; signal ch_out_x1: std_logic_vector(1 downto 0); signal clk_1400000_sg_x2: std_logic; signal clk_1_sg_x25: std_logic; signal clk_22400000_sg_x9: std_logic; signal clk_2800000_sg_x3: std_logic; signal clk_5600000_sg_x7: std_logic; signal clk_560_sg_x1: std_logic; signal delay2_q_net_x4: std_logic_vector(1 downto 0); signal dout_x1: std_logic_vector(23 downto 0); signal down_sample1_q_net_x3: std_logic_vector(23 downto 0); signal down_sample1_q_net_x4: std_logic_vector(23 downto 0); signal down_sample2_q_net_x3: std_logic_vector(23 downto 0); signal down_sample2_q_net_x4: std_logic_vector(23 downto 0); signal down_sample3_q_net_x3: std_logic_vector(23 downto 0); signal down_sample3_q_net_x4: std_logic_vector(23 downto 0); signal down_sample4_q_net_x3: std_logic_vector(23 downto 0); signal down_sample4_q_net_x4: std_logic_vector(23 downto 0); signal monit_cfir_event_s_data_chanid_incorrect_net_x1: std_logic; signal monit_cic_event_tlast_unexpected_net_x1: std_logic; signal monit_pfir_event_s_data_chanid_incorrect_net_x1: std_logic; signal register_q_net_x5: std_logic_vector(23 downto 0); begin ce_1_sg_x25 <= ce_1; ce_1400000_sg_x2 <= ce_1400000; ce_22400000_sg_x9 <= ce_22400000; ce_2800000_sg_x3 <= ce_2800000; ce_560_sg_x1 <= ce_560; ce_5600000_sg_x7 <= ce_5600000; ce_logic_1400000_sg_x1 <= ce_logic_1400000; ce_logic_2800000_sg_x1 <= ce_logic_2800000; ce_logic_560_sg_x1 <= ce_logic_560; ch_out_x1 <= ch_in; clk_1_sg_x25 <= clk_1; clk_1400000_sg_x2 <= clk_1400000; clk_22400000_sg_x9 <= clk_22400000; clk_2800000_sg_x3 <= clk_2800000; clk_560_sg_x1 <= clk_560; clk_5600000_sg_x7 <= clk_5600000; dout_x1 <= din; amp_out0 <= down_sample2_q_net_x4; amp_out1 <= down_sample1_q_net_x4; amp_out2 <= down_sample3_q_net_x4; amp_out3 <= down_sample4_q_net_x4; monit_amp_c <= down_sample1_q_net_x3; monit_amp_c_x0 <= down_sample2_q_net_x3; monit_amp_c_x1 <= down_sample3_q_net_x3; monit_amp_c_x2 <= down_sample4_q_net_x3; monit_amp_c_x3 <= monit_cfir_event_s_data_chanid_incorrect_net_x1; monit_amp_c_x4 <= monit_cic_event_tlast_unexpected_net_x1; monit_amp_c_x5 <= monit_pfir_event_s_data_chanid_incorrect_net_x1; monit_amp_c_c83793ea71: entity work.monit_amp_c_entity_c83793ea71 port map ( ce_1 => ce_1_sg_x25, ce_1400000 => ce_1400000_sg_x2, ce_22400000 => ce_22400000_sg_x9, ce_2800000 => ce_2800000_sg_x3, ce_560 => ce_560_sg_x1, ce_5600000 => ce_5600000_sg_x7, ce_logic_1400000 => ce_logic_1400000_sg_x1, ce_logic_2800000 => ce_logic_2800000_sg_x1, ce_logic_560 => ce_logic_560_sg_x1, ch_in => ch_out_x1, clk_1 => clk_1_sg_x25, clk_1400000 => clk_1400000_sg_x2, clk_22400000 => clk_22400000_sg_x9, clk_2800000 => clk_2800000_sg_x3, clk_560 => clk_560_sg_x1, clk_5600000 => clk_5600000_sg_x7, din => dout_x1, amp_out => register_q_net_x5, ch_out_x1 => delay2_q_net_x4, monit_cfir_x0 => monit_cfir_event_s_data_chanid_incorrect_net_x1, monit_cic_x0 => monit_cic_event_tlast_unexpected_net_x1, monit_pfir_x0 => monit_pfir_event_s_data_chanid_incorrect_net_x1, tddm_monit_amp_c => down_sample1_q_net_x3, tddm_monit_amp_c_x0 => down_sample2_q_net_x3, tddm_monit_amp_c_x1 => down_sample3_q_net_x3, tddm_monit_amp_c_x2 => down_sample4_q_net_x3 ); tddm_monit_amp_out_521eb373cc: entity work.tddm_monit_amp_out_entity_521eb373cc port map ( ce_22400000 => ce_22400000_sg_x9, ce_5600000 => ce_5600000_sg_x7, clk_22400000 => clk_22400000_sg_x9, clk_5600000 => clk_5600000_sg_x7, monit_amp_ch_in => delay2_q_net_x4, monit_amp_din => register_q_net_x5, monit_amp_data0_out => down_sample2_q_net_x4, monit_amp_data1_out => down_sample1_q_net_x4, monit_amp_data2_out => down_sample3_q_net_x4, monit_amp_data3_out => down_sample4_q_net_x4 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/TBT_amp/TBT_amp0/TBT_CORDIC/TDDM_tbt_cordic/TDDM_tbt_cordic" entity tddm_tbt_cordic_entity_5b94be40c5 is port ( ce_35: in std_logic; ce_70: in std_logic; ch_in: in std_logic; clk_35: in std_logic; clk_70: in std_logic; din: in std_logic_vector(23 downto 0); dout_ch0: out std_logic_vector(23 downto 0); dout_ch1: out std_logic_vector(23 downto 0) ); end tddm_tbt_cordic_entity_5b94be40c5; architecture structural of tddm_tbt_cordic_entity_5b94be40c5 is signal ce_35_sg_x0: std_logic; signal ce_70_sg_x4: std_logic; signal clk_35_sg_x0: std_logic; signal clk_70_sg_x4: std_logic; signal constant1_op_net: std_logic; signal constant_op_net: std_logic; signal down_sample1_q_net_x0: std_logic_vector(23 downto 0); signal down_sample2_q_net_x0: std_logic_vector(23 downto 0); signal p_amp_out_x0: std_logic_vector(23 downto 0); signal p_ch_out_x0: std_logic; signal register1_q_net: std_logic_vector(23 downto 0); signal register_q_net: std_logic_vector(23 downto 0); signal relational1_op_net: std_logic; signal relational_op_net: std_logic; begin ce_35_sg_x0 <= ce_35; ce_70_sg_x4 <= ce_70; p_ch_out_x0 <= ch_in; clk_35_sg_x0 <= clk_35; clk_70_sg_x4 <= clk_70; p_amp_out_x0 <= din; dout_ch0 <= down_sample2_q_net_x0; dout_ch1 <= down_sample1_q_net_x0; constant1: entity work.constant_963ed6358a port map ( ce => '0', clk => '0', clr => '0', op(0) => constant1_op_net ); constant_x0: entity work.constant_6293007044 port map ( ce => '0', clk => '0', clr => '0', op(0) => constant_op_net ); down_sample1: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 22, d_width => 24, ds_ratio => 2, latency => 1, phase => 1, q_arith => xlSigned, q_bin_pt => 22, q_width => 24 ) port map ( d => register1_q_net, dest_ce => ce_70_sg_x4, dest_clk => clk_70_sg_x4, dest_clr => '0', en => "1", src_ce => ce_35_sg_x0, src_clk => clk_35_sg_x0, src_clr => '0', q => down_sample1_q_net_x0 ); down_sample2: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 22, d_width => 24, ds_ratio => 2, latency => 1, phase => 1, q_arith => xlSigned, q_bin_pt => 22, q_width => 24 ) port map ( d => register_q_net, dest_ce => ce_70_sg_x4, dest_clk => clk_70_sg_x4, dest_clr => '0', en => "1", src_ce => ce_35_sg_x0, src_clk => clk_35_sg_x0, src_clr => '0', q => down_sample2_q_net_x0 ); register1: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_35_sg_x0, clk => clk_35_sg_x0, d => p_amp_out_x0, en(0) => relational1_op_net, rst => "0", q => register1_q_net ); register_x0: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_35_sg_x0, clk => clk_35_sg_x0, d => p_amp_out_x0, en(0) => relational_op_net, rst => "0", q => register_q_net ); relational: entity work.relational_a892e1bf40 port map ( a(0) => p_ch_out_x0, b(0) => constant_op_net, ce => ce_35_sg_x0, clk => clk_35_sg_x0, clr => '0', op(0) => relational_op_net ); relational1: entity work.relational_a892e1bf40 port map ( a(0) => p_ch_out_x0, b(0) => constant1_op_net, ce => ce_35_sg_x0, clk => clk_35_sg_x0, clr => '0', op(0) => relational1_op_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/TBT_amp/TBT_amp0/TBT_CORDIC/TDDM_tbt_cordic/TDDM_tbt_cordic1" entity tddm_tbt_cordic1_entity_d3f44a687c is port ( ce_35: in std_logic; ce_70: in std_logic; ch_in: in std_logic; clk_35: in std_logic; clk_70: in std_logic; din: in std_logic_vector(23 downto 0); dout_ch0: out std_logic_vector(23 downto 0); dout_ch1: out std_logic_vector(23 downto 0) ); end tddm_tbt_cordic1_entity_d3f44a687c; architecture structural of tddm_tbt_cordic1_entity_d3f44a687c is signal ce_35_sg_x1: std_logic; signal ce_70_sg_x5: std_logic; signal clk_35_sg_x1: std_logic; signal clk_70_sg_x5: std_logic; signal constant1_op_net: std_logic; signal constant_op_net: std_logic; signal down_sample1_q_net_x0: std_logic_vector(23 downto 0); signal down_sample2_q_net_x0: std_logic_vector(23 downto 0); signal p_ch_out_x1: std_logic; signal p_phase_out_x0: std_logic_vector(23 downto 0); signal register1_q_net: std_logic_vector(23 downto 0); signal register_q_net: std_logic_vector(23 downto 0); signal relational1_op_net: std_logic; signal relational_op_net: std_logic; begin ce_35_sg_x1 <= ce_35; ce_70_sg_x5 <= ce_70; p_ch_out_x1 <= ch_in; clk_35_sg_x1 <= clk_35; clk_70_sg_x5 <= clk_70; p_phase_out_x0 <= din; dout_ch0 <= down_sample2_q_net_x0; dout_ch1 <= down_sample1_q_net_x0; constant1: entity work.constant_963ed6358a port map ( ce => '0', clk => '0', clr => '0', op(0) => constant1_op_net ); constant_x0: entity work.constant_6293007044 port map ( ce => '0', clk => '0', clr => '0', op(0) => constant_op_net ); down_sample1: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 21, d_width => 24, ds_ratio => 2, latency => 1, phase => 1, q_arith => xlSigned, q_bin_pt => 21, q_width => 24 ) port map ( d => register1_q_net, dest_ce => ce_70_sg_x5, dest_clk => clk_70_sg_x5, dest_clr => '0', en => "1", src_ce => ce_35_sg_x1, src_clk => clk_35_sg_x1, src_clr => '0', q => down_sample1_q_net_x0 ); down_sample2: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 21, d_width => 24, ds_ratio => 2, latency => 1, phase => 1, q_arith => xlSigned, q_bin_pt => 21, q_width => 24 ) port map ( d => register_q_net, dest_ce => ce_70_sg_x5, dest_clk => clk_70_sg_x5, dest_clr => '0', en => "1", src_ce => ce_35_sg_x1, src_clk => clk_35_sg_x1, src_clr => '0', q => down_sample2_q_net_x0 ); register1: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_35_sg_x1, clk => clk_35_sg_x1, d => p_phase_out_x0, en(0) => relational1_op_net, rst => "0", q => register1_q_net ); register_x0: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_35_sg_x1, clk => clk_35_sg_x1, d => p_phase_out_x0, en(0) => relational_op_net, rst => "0", q => register_q_net ); relational: entity work.relational_a892e1bf40 port map ( a(0) => p_ch_out_x1, b(0) => constant_op_net, ce => ce_35_sg_x1, clk => clk_35_sg_x1, clr => '0', op(0) => relational_op_net ); relational1: entity work.relational_a892e1bf40 port map ( a(0) => p_ch_out_x1, b(0) => constant1_op_net, ce => ce_35_sg_x1, clk => clk_35_sg_x1, clr => '0', op(0) => relational1_op_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/TBT_amp/TBT_amp0/TBT_CORDIC/TDDM_tbt_cordic" entity tddm_tbt_cordic_entity_18d3979a26 is port ( ce_35: in std_logic; ce_70: in std_logic; clk_35: in std_logic; clk_70: in std_logic; tbt_cordic_ch_in: in std_logic; tbt_cordic_din: in std_logic_vector(23 downto 0); tbt_cordic_pin: in std_logic_vector(23 downto 0); tbt_cordic_data0_out: out std_logic_vector(23 downto 0); tbt_cordic_data1_out: out std_logic_vector(23 downto 0); tbt_cordic_phase0_out: out std_logic_vector(23 downto 0); tbt_cordic_phase1_out: out std_logic_vector(23 downto 0) ); end tddm_tbt_cordic_entity_18d3979a26; architecture structural of tddm_tbt_cordic_entity_18d3979a26 is signal ce_35_sg_x2: std_logic; signal ce_70_sg_x6: std_logic; signal clk_35_sg_x2: std_logic; signal clk_70_sg_x6: std_logic; signal down_sample1_q_net_x2: std_logic_vector(23 downto 0); signal down_sample1_q_net_x3: std_logic_vector(23 downto 0); signal down_sample2_q_net_x2: std_logic_vector(23 downto 0); signal down_sample2_q_net_x3: std_logic_vector(23 downto 0); signal p_amp_out_x1: std_logic_vector(23 downto 0); signal p_ch_out_x2: std_logic; signal p_phase_out_x1: std_logic_vector(23 downto 0); begin ce_35_sg_x2 <= ce_35; ce_70_sg_x6 <= ce_70; clk_35_sg_x2 <= clk_35; clk_70_sg_x6 <= clk_70; p_ch_out_x2 <= tbt_cordic_ch_in; p_amp_out_x1 <= tbt_cordic_din; p_phase_out_x1 <= tbt_cordic_pin; tbt_cordic_data0_out <= down_sample2_q_net_x2; tbt_cordic_data1_out <= down_sample1_q_net_x2; tbt_cordic_phase0_out <= down_sample2_q_net_x3; tbt_cordic_phase1_out <= down_sample1_q_net_x3; tddm_tbt_cordic1_d3f44a687c: entity work.tddm_tbt_cordic1_entity_d3f44a687c port map ( ce_35 => ce_35_sg_x2, ce_70 => ce_70_sg_x6, ch_in => p_ch_out_x2, clk_35 => clk_35_sg_x2, clk_70 => clk_70_sg_x6, din => p_phase_out_x1, dout_ch0 => down_sample2_q_net_x3, dout_ch1 => down_sample1_q_net_x3 ); tddm_tbt_cordic_5b94be40c5: entity work.tddm_tbt_cordic_entity_5b94be40c5 port map ( ce_35 => ce_35_sg_x2, ce_70 => ce_70_sg_x6, ch_in => p_ch_out_x2, clk_35 => clk_35_sg_x2, clk_70 => clk_70_sg_x6, din => p_amp_out_x1, dout_ch0 => down_sample2_q_net_x2, dout_ch1 => down_sample1_q_net_x2 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/TBT_amp/TBT_amp0/TBT_CORDIC" entity tbt_cordic_entity_232cb2e43e is port ( ce_1: in std_logic; ce_35: in std_logic; ce_70: in std_logic; ch_in_x0: in std_logic; clk_1: in std_logic; clk_35: in std_logic; clk_70: in std_logic; i_in: in std_logic_vector(24 downto 0); q_in: in std_logic_vector(24 downto 0); valid_in_x0: in std_logic; amp_out: out std_logic_vector(23 downto 0); ch_out_x0: out std_logic; tddm_tbt_cordic: out std_logic_vector(23 downto 0); tddm_tbt_cordic_x0: out std_logic_vector(23 downto 0); tddm_tbt_cordic_x1: out std_logic_vector(23 downto 0); tddm_tbt_cordic_x2: out std_logic_vector(23 downto 0) ); end tbt_cordic_entity_232cb2e43e; architecture structural of tbt_cordic_entity_232cb2e43e is signal ce_1_sg_x26: std_logic; signal ce_35_sg_x3: std_logic; signal ce_70_sg_x7: std_logic; signal ch_in: std_logic; signal ch_out: std_logic; signal clk_1_sg_x26: std_logic; signal clk_35_sg_x3: std_logic; signal clk_70_sg_x7: std_logic; signal down_sample1_q_net: std_logic_vector(23 downto 0); signal down_sample1_q_net_x4: std_logic_vector(23 downto 0); signal down_sample1_q_net_x5: std_logic_vector(23 downto 0); signal down_sample2_q_net: std_logic_vector(23 downto 0); signal down_sample2_q_net_x4: std_logic_vector(23 downto 0); signal down_sample2_q_net_x5: std_logic_vector(23 downto 0); signal down_sample4_q_net: std_logic; signal i: std_logic_vector(24 downto 0); signal p_amp_out_x2: std_logic_vector(23 downto 0); signal p_ch_out_x3: std_logic; signal p_phase_out_x1: std_logic_vector(23 downto 0); signal phase: std_logic_vector(23 downto 0); signal q: std_logic_vector(24 downto 0); signal real_x0: std_logic_vector(23 downto 0); signal register1_q_net: std_logic; signal register1_q_net_x1: std_logic_vector(24 downto 0); signal register2_q_net_x0: std_logic; signal register3_q_net_x0: std_logic_vector(24 downto 0); signal register4_q_net: std_logic_vector(23 downto 0); signal register5_q_net: std_logic_vector(23 downto 0); signal register6_q_net_x0: std_logic; signal reinterpret2_output_port_net: std_logic_vector(23 downto 0); signal reinterpret3_output_port_net: std_logic_vector(23 downto 0); signal valid_in: std_logic; signal valid_out: std_logic; begin ce_1_sg_x26 <= ce_1; ce_35_sg_x3 <= ce_35; ce_70_sg_x7 <= ce_70; register2_q_net_x0 <= ch_in_x0; clk_1_sg_x26 <= clk_1; clk_35_sg_x3 <= clk_35; clk_70_sg_x7 <= clk_70; register3_q_net_x0 <= i_in; register1_q_net_x1 <= q_in; register6_q_net_x0 <= valid_in_x0; amp_out <= p_amp_out_x2; ch_out_x0 <= p_ch_out_x3; tddm_tbt_cordic <= down_sample1_q_net_x4; tddm_tbt_cordic_x0 <= down_sample2_q_net_x4; tddm_tbt_cordic_x1 <= down_sample1_q_net_x5; tddm_tbt_cordic_x2 <= down_sample2_q_net_x5; assert1: entity work.xlpassthrough generic map ( din_width => 24, dout_width => 24 ) port map ( din => down_sample1_q_net, dout => p_phase_out_x1 ); assert2: entity work.xlpassthrough generic map ( din_width => 24, dout_width => 24 ) port map ( din => down_sample2_q_net, dout => p_amp_out_x2 ); assert3: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => down_sample4_q_net, dout(0) => p_ch_out_x3 ); down_sample1: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 21, d_width => 24, ds_ratio => 35, latency => 1, phase => 34, q_arith => xlSigned, q_bin_pt => 21, q_width => 24 ) port map ( d => register4_q_net, dest_ce => ce_35_sg_x3, dest_clk => clk_35_sg_x3, dest_clr => '0', en => "1", src_ce => ce_1_sg_x26, src_clk => clk_1_sg_x26, src_clr => '0', q => down_sample1_q_net ); down_sample2: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 22, d_width => 24, ds_ratio => 35, latency => 1, phase => 34, q_arith => xlSigned, q_bin_pt => 22, q_width => 24 ) port map ( d => register5_q_net, dest_ce => ce_35_sg_x3, dest_clk => clk_35_sg_x3, dest_clr => '0', en => "1", src_ce => ce_1_sg_x26, src_clk => clk_1_sg_x26, src_clr => '0', q => down_sample2_q_net ); down_sample4: entity work.xldsamp generic map ( d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, ds_ratio => 35, latency => 1, phase => 34, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => register1_q_net, dest_ce => ce_35_sg_x3, dest_clk => clk_35_sg_x3, dest_clr => '0', en => "1", src_ce => ce_1_sg_x26, src_clk => clk_1_sg_x26, src_clr => '0', q(0) => down_sample4_q_net ); rect2pol: entity work.xlcordic_1700ad0af26476326977e0830172a2c4 port map ( ce => ce_1_sg_x26, clk => clk_1_sg_x26, s_axis_cartesian_tdata_imag => q, s_axis_cartesian_tdata_real => i, s_axis_cartesian_tuser_user(0) => ch_in, s_axis_cartesian_tvalid => valid_in, m_axis_dout_tdata_phase => phase, m_axis_dout_tdata_real => real_x0, m_axis_dout_tuser_cartesian_tuser(0) => ch_out, m_axis_dout_tvalid => valid_out ); register1: entity work.xlregister generic map ( d_width => 1, init_value => b"0" ) port map ( ce => ce_1_sg_x26, clk => clk_1_sg_x26, d(0) => ch_out, en(0) => valid_out, rst => "0", q(0) => register1_q_net ); register4: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_1_sg_x26, clk => clk_1_sg_x26, d => reinterpret2_output_port_net, en(0) => valid_out, rst => "0", q => register4_q_net ); register5: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_1_sg_x26, clk => clk_1_sg_x26, d => reinterpret3_output_port_net, en(0) => valid_out, rst => "0", q => register5_q_net ); reinterpret2: entity work.reinterpret_b62f4240f0 port map ( ce => '0', clk => '0', clr => '0', input_port => phase, output_port => reinterpret2_output_port_net ); reinterpret3: entity work.reinterpret_b62f4240f0 port map ( ce => '0', clk => '0', clr => '0', input_port => real_x0, output_port => reinterpret3_output_port_net ); tddm_tbt_cordic_18d3979a26: entity work.tddm_tbt_cordic_entity_18d3979a26 port map ( ce_35 => ce_35_sg_x3, ce_70 => ce_70_sg_x7, clk_35 => clk_35_sg_x3, clk_70 => clk_70_sg_x7, tbt_cordic_ch_in => p_ch_out_x3, tbt_cordic_din => p_amp_out_x2, tbt_cordic_pin => p_phase_out_x1, tbt_cordic_data0_out => down_sample2_q_net_x4, tbt_cordic_data1_out => down_sample1_q_net_x4, tbt_cordic_phase0_out => down_sample2_q_net_x5, tbt_cordic_phase1_out => down_sample1_q_net_x5 ); up_sample: entity work.xlusamp generic map ( copy_samples => 0, d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, latency => 0, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => register6_q_net_x0, dest_ce => ce_1_sg_x26, dest_clk => clk_1_sg_x26, dest_clr => '0', en => "1", src_ce => ce_35_sg_x3, src_clk => clk_35_sg_x3, src_clr => '0', q(0) => valid_in ); up_sample1: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 23, d_width => 25, latency => 0, q_arith => xlSigned, q_bin_pt => 23, q_width => 25 ) port map ( d => register1_q_net_x1, dest_ce => ce_1_sg_x26, dest_clk => clk_1_sg_x26, dest_clr => '0', en => "1", src_ce => ce_35_sg_x3, src_clk => clk_35_sg_x3, src_clr => '0', q => q ); up_sample2: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 23, d_width => 25, latency => 0, q_arith => xlSigned, q_bin_pt => 23, q_width => 25 ) port map ( d => register3_q_net_x0, dest_ce => ce_1_sg_x26, dest_clk => clk_1_sg_x26, dest_clr => '0', en => "1", src_ce => ce_35_sg_x3, src_clk => clk_35_sg_x3, src_clr => '0', q => i ); up_sample3: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, latency => 0, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => register2_q_net_x0, dest_ce => ce_1_sg_x26, dest_clk => clk_1_sg_x26, dest_clr => '0', en => "1", src_ce => ce_35_sg_x3, src_clk => clk_35_sg_x3, src_clr => '0', q(0) => ch_in ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/TBT_amp/TBT_amp0/TBT_poly_decim/TDDM_TBT/TDDM_tbt_poly_i" entity tddm_tbt_poly_i_entity_469601736c is port ( ce_35: in std_logic; ce_70: in std_logic; ch_in: in std_logic; clk_35: in std_logic; clk_70: in std_logic; din: in std_logic_vector(23 downto 0); dout_ch0: out std_logic_vector(23 downto 0); dout_ch1: out std_logic_vector(23 downto 0) ); end tddm_tbt_poly_i_entity_469601736c; architecture structural of tddm_tbt_poly_i_entity_469601736c is signal ce_35_sg_x4: std_logic; signal ce_70_sg_x8: std_logic; signal clk_35_sg_x4: std_logic; signal clk_70_sg_x8: std_logic; signal constant1_op_net: std_logic_vector(1 downto 0); signal constant_op_net: std_logic; signal down_sample1_q_net_x0: std_logic_vector(23 downto 0); signal down_sample2_q_net_x0: std_logic_vector(23 downto 0); signal register1_q_net: std_logic_vector(23 downto 0); signal register2_q_net_x1: std_logic; signal register_q_net: std_logic_vector(23 downto 0); signal reinterpret_output_port_net_x0: std_logic_vector(23 downto 0); signal relational1_op_net: std_logic; signal relational_op_net: std_logic; begin ce_35_sg_x4 <= ce_35; ce_70_sg_x8 <= ce_70; register2_q_net_x1 <= ch_in; clk_35_sg_x4 <= clk_35; clk_70_sg_x8 <= clk_70; reinterpret_output_port_net_x0 <= din; dout_ch0 <= down_sample2_q_net_x0; dout_ch1 <= down_sample1_q_net_x0; constant1: entity work.constant_cda50df78a port map ( ce => '0', clk => '0', clr => '0', op => constant1_op_net ); constant_x0: entity work.constant_6293007044 port map ( ce => '0', clk => '0', clr => '0', op(0) => constant_op_net ); down_sample1: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 22, d_width => 24, ds_ratio => 2, latency => 1, phase => 1, q_arith => xlSigned, q_bin_pt => 22, q_width => 24 ) port map ( d => register1_q_net, dest_ce => ce_70_sg_x8, dest_clk => clk_70_sg_x8, dest_clr => '0', en => "1", src_ce => ce_35_sg_x4, src_clk => clk_35_sg_x4, src_clr => '0', q => down_sample1_q_net_x0 ); down_sample2: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 22, d_width => 24, ds_ratio => 2, latency => 1, phase => 1, q_arith => xlSigned, q_bin_pt => 22, q_width => 24 ) port map ( d => register_q_net, dest_ce => ce_70_sg_x8, dest_clk => clk_70_sg_x8, dest_clr => '0', en => "1", src_ce => ce_35_sg_x4, src_clk => clk_35_sg_x4, src_clr => '0', q => down_sample2_q_net_x0 ); register1: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_35_sg_x4, clk => clk_35_sg_x4, d => reinterpret_output_port_net_x0, en(0) => relational1_op_net, rst => "0", q => register1_q_net ); register_x0: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_35_sg_x4, clk => clk_35_sg_x4, d => reinterpret_output_port_net_x0, en(0) => relational_op_net, rst => "0", q => register_q_net ); relational: entity work.relational_a892e1bf40 port map ( a(0) => register2_q_net_x1, b(0) => constant_op_net, ce => ce_35_sg_x4, clk => clk_35_sg_x4, clr => '0', op(0) => relational_op_net ); relational1: entity work.relational_d29d27b7b3 port map ( a(0) => register2_q_net_x1, b => constant1_op_net, ce => ce_35_sg_x4, clk => clk_35_sg_x4, clr => '0', op(0) => relational1_op_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/TBT_amp/TBT_amp0/TBT_poly_decim/TDDM_TBT" entity tddm_tbt_entity_9ac9f65b0b is port ( ce_35: in std_logic; ce_70: in std_logic; clk_35: in std_logic; clk_70: in std_logic; tbt_ch_in: in std_logic; tbt_i_in: in std_logic_vector(23 downto 0); tbt_q_in: in std_logic_vector(23 downto 0); poly35_ch0_i_out: out std_logic_vector(23 downto 0); poly35_ch0_q_out: out std_logic_vector(23 downto 0); poly35_ch1_i_out: out std_logic_vector(23 downto 0); poly35_ch1_q_out: out std_logic_vector(23 downto 0) ); end tddm_tbt_entity_9ac9f65b0b; architecture structural of tddm_tbt_entity_9ac9f65b0b is signal ce_35_sg_x6: std_logic; signal ce_70_sg_x10: std_logic; signal clk_35_sg_x6: std_logic; signal clk_70_sg_x10: std_logic; signal down_sample1_q_net_x2: std_logic_vector(23 downto 0); signal down_sample1_q_net_x3: std_logic_vector(23 downto 0); signal down_sample2_q_net_x2: std_logic_vector(23 downto 0); signal down_sample2_q_net_x3: std_logic_vector(23 downto 0); signal register2_q_net_x3: std_logic; signal reinterpret_output_port_net_x2: std_logic_vector(23 downto 0); signal reinterpret_output_port_net_x3: std_logic_vector(23 downto 0); begin ce_35_sg_x6 <= ce_35; ce_70_sg_x10 <= ce_70; clk_35_sg_x6 <= clk_35; clk_70_sg_x10 <= clk_70; register2_q_net_x3 <= tbt_ch_in; reinterpret_output_port_net_x3 <= tbt_i_in; reinterpret_output_port_net_x2 <= tbt_q_in; poly35_ch0_i_out <= down_sample2_q_net_x2; poly35_ch0_q_out <= down_sample2_q_net_x3; poly35_ch1_i_out <= down_sample1_q_net_x2; poly35_ch1_q_out <= down_sample1_q_net_x3; tddm_tbt_poly_i_469601736c: entity work.tddm_tbt_poly_i_entity_469601736c port map ( ce_35 => ce_35_sg_x6, ce_70 => ce_70_sg_x10, ch_in => register2_q_net_x3, clk_35 => clk_35_sg_x6, clk_70 => clk_70_sg_x10, din => reinterpret_output_port_net_x3, dout_ch0 => down_sample2_q_net_x2, dout_ch1 => down_sample1_q_net_x2 ); tddm_tbt_poly_q_8011b4e29e: entity work.tddm_tbt_poly_i_entity_469601736c port map ( ce_35 => ce_35_sg_x6, ce_70 => ce_70_sg_x10, ch_in => register2_q_net_x3, clk_35 => clk_35_sg_x6, clk_70 => clk_70_sg_x10, din => reinterpret_output_port_net_x2, dout_ch0 => down_sample2_q_net_x3, dout_ch1 => down_sample1_q_net_x3 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/TBT_amp/TBT_amp0/TBT_poly_decim/Trunc" entity trunc_entity_e5eda8a5ac is port ( din: in std_logic_vector(24 downto 0); dout: out std_logic_vector(23 downto 0) ); end trunc_entity_e5eda8a5ac; architecture structural of trunc_entity_e5eda8a5ac is signal register1_q_net_x2: std_logic_vector(24 downto 0); signal reinterpret_output_port_net_x3: std_logic_vector(23 downto 0); signal slice_y_net: std_logic_vector(23 downto 0); begin register1_q_net_x2 <= din; dout <= reinterpret_output_port_net_x3; reinterpret: entity work.reinterpret_4bf1ad328a port map ( ce => '0', clk => '0', clr => '0', input_port => slice_y_net, output_port => reinterpret_output_port_net_x3 ); slice: entity work.xlslice generic map ( new_lsb => 1, new_msb => 24, x_width => 25, y_width => 24 ) port map ( x => register1_q_net_x2, y => slice_y_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/TBT_amp/TBT_amp0/TBT_poly_decim" entity tbt_poly_decim_entity_4477ec06c2 is port ( ce_1: in std_logic; ce_35: in std_logic; ce_70: in std_logic; ce_logic_1: in std_logic; ch_in: in std_logic; clk_1: in std_logic; clk_35: in std_logic; clk_70: in std_logic; i_in: in std_logic_vector(23 downto 0); q_in: in std_logic_vector(23 downto 0); ch_out: out std_logic; i_out: out std_logic_vector(24 downto 0); q_out: out std_logic_vector(24 downto 0); tbt_poly_x0: out std_logic; tddm_tbt: out std_logic_vector(23 downto 0); tddm_tbt_x0: out std_logic_vector(23 downto 0); tddm_tbt_x1: out std_logic_vector(23 downto 0); tddm_tbt_x2: out std_logic_vector(23 downto 0); valid_out: out std_logic ); end tbt_poly_decim_entity_4477ec06c2; architecture structural of tbt_poly_decim_entity_4477ec06c2 is signal ce_1_sg_x27: std_logic; signal ce_35_sg_x7: std_logic; signal ce_70_sg_x11: std_logic; signal ce_logic_1_sg_x12: std_logic; signal clk_1_sg_x27: std_logic; signal clk_35_sg_x7: std_logic; signal clk_70_sg_x11: std_logic; signal down_sample1_q_net_x4: std_logic_vector(23 downto 0); signal down_sample1_q_net_x5: std_logic_vector(23 downto 0); signal down_sample2_q_net_x4: std_logic_vector(23 downto 0); signal down_sample2_q_net_x5: std_logic_vector(23 downto 0); signal register1_q_net_x3: std_logic_vector(24 downto 0); signal register2_q_net_x4: std_logic; signal register3_q_net_x12: std_logic; signal register3_q_net_x2: std_logic_vector(24 downto 0); signal register4_q_net_x11: std_logic_vector(23 downto 0); signal register5_q_net_x11: std_logic_vector(23 downto 0); signal register6_q_net_x1: std_logic; signal reinterpret1_output_port_net: std_logic_vector(24 downto 0); signal reinterpret_output_port_net: std_logic_vector(24 downto 0); signal reinterpret_output_port_net_x3: std_logic_vector(23 downto 0); signal reinterpret_output_port_net_x4: std_logic_vector(23 downto 0); signal tbt_poly_event_s_data_chanid_incorrect_net_x0: std_logic; signal tbt_poly_m_axis_data_tdata_path0_net: std_logic_vector(24 downto 0); signal tbt_poly_m_axis_data_tdata_path1_net: std_logic_vector(24 downto 0); signal tbt_poly_m_axis_data_tuser_chanid_net: std_logic; signal tbt_poly_m_axis_data_tvalid_net: std_logic; begin ce_1_sg_x27 <= ce_1; ce_35_sg_x7 <= ce_35; ce_70_sg_x11 <= ce_70; ce_logic_1_sg_x12 <= ce_logic_1; register3_q_net_x12 <= ch_in; clk_1_sg_x27 <= clk_1; clk_35_sg_x7 <= clk_35; clk_70_sg_x11 <= clk_70; register4_q_net_x11 <= i_in; register5_q_net_x11 <= q_in; ch_out <= register2_q_net_x4; i_out <= register3_q_net_x2; q_out <= register1_q_net_x3; tbt_poly_x0 <= tbt_poly_event_s_data_chanid_incorrect_net_x0; tddm_tbt <= down_sample1_q_net_x4; tddm_tbt_x0 <= down_sample2_q_net_x4; tddm_tbt_x1 <= down_sample1_q_net_x5; tddm_tbt_x2 <= down_sample2_q_net_x5; valid_out <= register6_q_net_x1; register1: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_35_sg_x7, clk => clk_35_sg_x7, d => reinterpret_output_port_net, en(0) => tbt_poly_m_axis_data_tvalid_net, rst => "0", q => register1_q_net_x3 ); register2: entity work.xlregister generic map ( d_width => 1, init_value => b"0" ) port map ( ce => ce_35_sg_x7, clk => clk_35_sg_x7, d(0) => tbt_poly_m_axis_data_tuser_chanid_net, en => "1", rst => "0", q(0) => register2_q_net_x4 ); register3: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_35_sg_x7, clk => clk_35_sg_x7, d => reinterpret1_output_port_net, en(0) => tbt_poly_m_axis_data_tvalid_net, rst => "0", q => register3_q_net_x2 ); register6: entity work.xlregister generic map ( d_width => 1, init_value => b"0" ) port map ( ce => ce_35_sg_x7, clk => clk_35_sg_x7, d(0) => tbt_poly_m_axis_data_tvalid_net, en => "1", rst => "0", q(0) => register6_q_net_x1 ); reinterpret: entity work.reinterpret_31a4235b32 port map ( ce => '0', clk => '0', clr => '0', input_port => tbt_poly_m_axis_data_tdata_path1_net, output_port => reinterpret_output_port_net ); reinterpret1: entity work.reinterpret_31a4235b32 port map ( ce => '0', clk => '0', clr => '0', input_port => tbt_poly_m_axis_data_tdata_path0_net, output_port => reinterpret1_output_port_net ); tbt_poly: entity work.xlfir_compiler_516bd78992d05073446d2f0e193ec7f1 port map ( ce => ce_1_sg_x27, ce_35 => ce_35_sg_x7, ce_logic_1 => ce_logic_1_sg_x12, clk => clk_1_sg_x27, clk_35 => clk_35_sg_x7, clk_logic_1 => clk_1_sg_x27, s_axis_data_tdata_path0 => register4_q_net_x11, s_axis_data_tdata_path1 => register5_q_net_x11, s_axis_data_tuser_chanid(0) => register3_q_net_x12, src_ce => ce_1_sg_x27, src_clk => clk_1_sg_x27, event_s_data_chanid_incorrect => tbt_poly_event_s_data_chanid_incorrect_net_x0, m_axis_data_tdata_path0 => tbt_poly_m_axis_data_tdata_path0_net, m_axis_data_tdata_path1 => tbt_poly_m_axis_data_tdata_path1_net, m_axis_data_tuser_chanid(0) => tbt_poly_m_axis_data_tuser_chanid_net, m_axis_data_tvalid => tbt_poly_m_axis_data_tvalid_net ); tddm_tbt_9ac9f65b0b: entity work.tddm_tbt_entity_9ac9f65b0b port map ( ce_35 => ce_35_sg_x7, ce_70 => ce_70_sg_x11, clk_35 => clk_35_sg_x7, clk_70 => clk_70_sg_x11, tbt_ch_in => register2_q_net_x4, tbt_i_in => reinterpret_output_port_net_x4, tbt_q_in => reinterpret_output_port_net_x3, poly35_ch0_i_out => down_sample2_q_net_x4, poly35_ch0_q_out => down_sample2_q_net_x5, poly35_ch1_i_out => down_sample1_q_net_x4, poly35_ch1_q_out => down_sample1_q_net_x5 ); trunc1_841a61ebcc: entity work.trunc_entity_e5eda8a5ac port map ( din => register3_q_net_x2, dout => reinterpret_output_port_net_x4 ); trunc_e5eda8a5ac: entity work.trunc_entity_e5eda8a5ac port map ( din => register1_q_net_x3, dout => reinterpret_output_port_net_x3 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/TBT_amp/TBT_amp0" entity tbt_amp0_entity_88b1c45f0e is port ( ce_1: in std_logic; ce_35: in std_logic; ce_70: in std_logic; ce_logic_1: in std_logic; ch_in: in std_logic; clk_1: in std_logic; clk_35: in std_logic; clk_70: in std_logic; i_in: in std_logic_vector(23 downto 0); q_in: in std_logic_vector(23 downto 0); amp_out: out std_logic_vector(23 downto 0); ch_out: out std_logic; tbt_cordic: out std_logic_vector(23 downto 0); tbt_cordic_x0: out std_logic_vector(23 downto 0); tbt_cordic_x1: out std_logic_vector(23 downto 0); tbt_cordic_x2: out std_logic_vector(23 downto 0); tbt_poly_decim: out std_logic; tbt_poly_decim_x0: out std_logic_vector(23 downto 0); tbt_poly_decim_x1: out std_logic_vector(23 downto 0); tbt_poly_decim_x2: out std_logic_vector(23 downto 0); tbt_poly_decim_x3: out std_logic_vector(23 downto 0) ); end tbt_amp0_entity_88b1c45f0e; architecture structural of tbt_amp0_entity_88b1c45f0e is signal ce_1_sg_x28: std_logic; signal ce_35_sg_x8: std_logic; signal ce_70_sg_x12: std_logic; signal ce_logic_1_sg_x13: std_logic; signal clk_1_sg_x28: std_logic; signal clk_35_sg_x8: std_logic; signal clk_70_sg_x12: std_logic; signal down_sample1_q_net_x10: std_logic_vector(23 downto 0); signal down_sample1_q_net_x11: std_logic_vector(23 downto 0); signal down_sample1_q_net_x8: std_logic_vector(23 downto 0); signal down_sample1_q_net_x9: std_logic_vector(23 downto 0); signal down_sample2_q_net_x10: std_logic_vector(23 downto 0); signal down_sample2_q_net_x11: std_logic_vector(23 downto 0); signal down_sample2_q_net_x8: std_logic_vector(23 downto 0); signal down_sample2_q_net_x9: std_logic_vector(23 downto 0); signal p_amp_out_x3: std_logic_vector(23 downto 0); signal p_ch_out_x4: std_logic; signal register1_q_net_x3: std_logic_vector(24 downto 0); signal register2_q_net_x4: std_logic; signal register3_q_net_x13: std_logic; signal register3_q_net_x2: std_logic_vector(24 downto 0); signal register4_q_net_x12: std_logic_vector(23 downto 0); signal register5_q_net_x12: std_logic_vector(23 downto 0); signal register6_q_net_x1: std_logic; signal tbt_poly_event_s_data_chanid_incorrect_net_x1: std_logic; begin ce_1_sg_x28 <= ce_1; ce_35_sg_x8 <= ce_35; ce_70_sg_x12 <= ce_70; ce_logic_1_sg_x13 <= ce_logic_1; register3_q_net_x13 <= ch_in; clk_1_sg_x28 <= clk_1; clk_35_sg_x8 <= clk_35; clk_70_sg_x12 <= clk_70; register4_q_net_x12 <= i_in; register5_q_net_x12 <= q_in; amp_out <= p_amp_out_x3; ch_out <= p_ch_out_x4; tbt_cordic <= down_sample1_q_net_x8; tbt_cordic_x0 <= down_sample2_q_net_x8; tbt_cordic_x1 <= down_sample1_q_net_x9; tbt_cordic_x2 <= down_sample2_q_net_x9; tbt_poly_decim <= tbt_poly_event_s_data_chanid_incorrect_net_x1; tbt_poly_decim_x0 <= down_sample1_q_net_x10; tbt_poly_decim_x1 <= down_sample2_q_net_x10; tbt_poly_decim_x2 <= down_sample1_q_net_x11; tbt_poly_decim_x3 <= down_sample2_q_net_x11; tbt_cordic_232cb2e43e: entity work.tbt_cordic_entity_232cb2e43e port map ( ce_1 => ce_1_sg_x28, ce_35 => ce_35_sg_x8, ce_70 => ce_70_sg_x12, ch_in_x0 => register2_q_net_x4, clk_1 => clk_1_sg_x28, clk_35 => clk_35_sg_x8, clk_70 => clk_70_sg_x12, i_in => register3_q_net_x2, q_in => register1_q_net_x3, valid_in_x0 => register6_q_net_x1, amp_out => p_amp_out_x3, ch_out_x0 => p_ch_out_x4, tddm_tbt_cordic => down_sample1_q_net_x8, tddm_tbt_cordic_x0 => down_sample2_q_net_x8, tddm_tbt_cordic_x1 => down_sample1_q_net_x9, tddm_tbt_cordic_x2 => down_sample2_q_net_x9 ); tbt_poly_decim_4477ec06c2: entity work.tbt_poly_decim_entity_4477ec06c2 port map ( ce_1 => ce_1_sg_x28, ce_35 => ce_35_sg_x8, ce_70 => ce_70_sg_x12, ce_logic_1 => ce_logic_1_sg_x13, ch_in => register3_q_net_x13, clk_1 => clk_1_sg_x28, clk_35 => clk_35_sg_x8, clk_70 => clk_70_sg_x12, i_in => register4_q_net_x12, q_in => register5_q_net_x12, ch_out => register2_q_net_x4, i_out => register3_q_net_x2, q_out => register1_q_net_x3, tbt_poly_x0 => tbt_poly_event_s_data_chanid_incorrect_net_x1, tddm_tbt => down_sample1_q_net_x10, tddm_tbt_x0 => down_sample2_q_net_x10, tddm_tbt_x1 => down_sample1_q_net_x11, tddm_tbt_x2 => down_sample2_q_net_x11, valid_out => register6_q_net_x1 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/TBT_amp/TBT_amp1/TBT_CORDIC/TDDM_tbt_cordic" entity tddm_tbt_cordic_entity_9e99bd206d is port ( ce_35: in std_logic; ce_70: in std_logic; clk_35: in std_logic; clk_70: in std_logic; tbt_cordic_ch_in: in std_logic; tbt_cordic_din: in std_logic_vector(23 downto 0); tbt_cordic_pin: in std_logic_vector(23 downto 0); tbt_cordic_ch2_out: out std_logic_vector(23 downto 0); tbt_cordic_ch3_out: out std_logic_vector(23 downto 0); tbt_cordic_phase0_out: out std_logic_vector(23 downto 0); tbt_cordic_phase1_out: out std_logic_vector(23 downto 0) ); end tddm_tbt_cordic_entity_9e99bd206d; architecture structural of tddm_tbt_cordic_entity_9e99bd206d is signal ce_35_sg_x11: std_logic; signal ce_70_sg_x15: std_logic; signal clk_35_sg_x11: std_logic; signal clk_70_sg_x15: std_logic; signal down_sample1_q_net_x2: std_logic_vector(23 downto 0); signal down_sample1_q_net_x3: std_logic_vector(23 downto 0); signal down_sample2_q_net_x2: std_logic_vector(23 downto 0); signal down_sample2_q_net_x3: std_logic_vector(23 downto 0); signal p_amp_out_x1: std_logic_vector(23 downto 0); signal p_ch_out_x2: std_logic; signal p_phase_out_x1: std_logic_vector(23 downto 0); begin ce_35_sg_x11 <= ce_35; ce_70_sg_x15 <= ce_70; clk_35_sg_x11 <= clk_35; clk_70_sg_x15 <= clk_70; p_ch_out_x2 <= tbt_cordic_ch_in; p_amp_out_x1 <= tbt_cordic_din; p_phase_out_x1 <= tbt_cordic_pin; tbt_cordic_ch2_out <= down_sample2_q_net_x2; tbt_cordic_ch3_out <= down_sample1_q_net_x2; tbt_cordic_phase0_out <= down_sample2_q_net_x3; tbt_cordic_phase1_out <= down_sample1_q_net_x3; tddm_tbt_cordic1_d22fbdac88: entity work.tddm_tbt_cordic1_entity_d3f44a687c port map ( ce_35 => ce_35_sg_x11, ce_70 => ce_70_sg_x15, ch_in => p_ch_out_x2, clk_35 => clk_35_sg_x11, clk_70 => clk_70_sg_x15, din => p_phase_out_x1, dout_ch0 => down_sample2_q_net_x3, dout_ch1 => down_sample1_q_net_x3 ); tddm_tbt_cordic_f04a48283a: entity work.tddm_tbt_cordic_entity_5b94be40c5 port map ( ce_35 => ce_35_sg_x11, ce_70 => ce_70_sg_x15, ch_in => p_ch_out_x2, clk_35 => clk_35_sg_x11, clk_70 => clk_70_sg_x15, din => p_amp_out_x1, dout_ch0 => down_sample2_q_net_x2, dout_ch1 => down_sample1_q_net_x2 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/TBT_amp/TBT_amp1/TBT_CORDIC" entity tbt_cordic_entity_9dc3371de2 is port ( ce_1: in std_logic; ce_35: in std_logic; ce_70: in std_logic; ch_in_x0: in std_logic; clk_1: in std_logic; clk_35: in std_logic; clk_70: in std_logic; i_in: in std_logic_vector(24 downto 0); q_in: in std_logic_vector(24 downto 0); valid_in_x0: in std_logic; amp_out: out std_logic_vector(23 downto 0); ch_out_x0: out std_logic; tddm_tbt_cordic: out std_logic_vector(23 downto 0); tddm_tbt_cordic_x0: out std_logic_vector(23 downto 0); tddm_tbt_cordic_x1: out std_logic_vector(23 downto 0); tddm_tbt_cordic_x2: out std_logic_vector(23 downto 0) ); end tbt_cordic_entity_9dc3371de2; architecture structural of tbt_cordic_entity_9dc3371de2 is signal ce_1_sg_x29: std_logic; signal ce_35_sg_x12: std_logic; signal ce_70_sg_x16: std_logic; signal ch_in: std_logic; signal ch_out: std_logic; signal clk_1_sg_x29: std_logic; signal clk_35_sg_x12: std_logic; signal clk_70_sg_x16: std_logic; signal down_sample1_q_net: std_logic_vector(23 downto 0); signal down_sample1_q_net_x4: std_logic_vector(23 downto 0); signal down_sample1_q_net_x5: std_logic_vector(23 downto 0); signal down_sample2_q_net: std_logic_vector(23 downto 0); signal down_sample2_q_net_x4: std_logic_vector(23 downto 0); signal down_sample2_q_net_x5: std_logic_vector(23 downto 0); signal down_sample4_q_net: std_logic; signal i: std_logic_vector(24 downto 0); signal p_amp_out_x2: std_logic_vector(23 downto 0); signal p_ch_out_x3: std_logic; signal p_phase_out_x1: std_logic_vector(23 downto 0); signal phase: std_logic_vector(23 downto 0); signal q: std_logic_vector(24 downto 0); signal real_x0: std_logic_vector(23 downto 0); signal register1_q_net: std_logic; signal register1_q_net_x1: std_logic_vector(24 downto 0); signal register2_q_net_x0: std_logic; signal register3_q_net_x0: std_logic_vector(24 downto 0); signal register4_q_net: std_logic_vector(23 downto 0); signal register5_q_net: std_logic_vector(23 downto 0); signal register6_q_net_x0: std_logic; signal reinterpret2_output_port_net: std_logic_vector(23 downto 0); signal reinterpret3_output_port_net: std_logic_vector(23 downto 0); signal valid_in: std_logic; signal valid_out: std_logic; begin ce_1_sg_x29 <= ce_1; ce_35_sg_x12 <= ce_35; ce_70_sg_x16 <= ce_70; register2_q_net_x0 <= ch_in_x0; clk_1_sg_x29 <= clk_1; clk_35_sg_x12 <= clk_35; clk_70_sg_x16 <= clk_70; register3_q_net_x0 <= i_in; register1_q_net_x1 <= q_in; register6_q_net_x0 <= valid_in_x0; amp_out <= p_amp_out_x2; ch_out_x0 <= p_ch_out_x3; tddm_tbt_cordic <= down_sample1_q_net_x4; tddm_tbt_cordic_x0 <= down_sample2_q_net_x4; tddm_tbt_cordic_x1 <= down_sample1_q_net_x5; tddm_tbt_cordic_x2 <= down_sample2_q_net_x5; assert1: entity work.xlpassthrough generic map ( din_width => 24, dout_width => 24 ) port map ( din => down_sample1_q_net, dout => p_phase_out_x1 ); assert2: entity work.xlpassthrough generic map ( din_width => 24, dout_width => 24 ) port map ( din => down_sample2_q_net, dout => p_amp_out_x2 ); assert3: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => down_sample4_q_net, dout(0) => p_ch_out_x3 ); down_sample1: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 21, d_width => 24, ds_ratio => 35, latency => 1, phase => 34, q_arith => xlSigned, q_bin_pt => 21, q_width => 24 ) port map ( d => register4_q_net, dest_ce => ce_35_sg_x12, dest_clk => clk_35_sg_x12, dest_clr => '0', en => "1", src_ce => ce_1_sg_x29, src_clk => clk_1_sg_x29, src_clr => '0', q => down_sample1_q_net ); down_sample2: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 22, d_width => 24, ds_ratio => 35, latency => 1, phase => 34, q_arith => xlSigned, q_bin_pt => 22, q_width => 24 ) port map ( d => register5_q_net, dest_ce => ce_35_sg_x12, dest_clk => clk_35_sg_x12, dest_clr => '0', en => "1", src_ce => ce_1_sg_x29, src_clk => clk_1_sg_x29, src_clr => '0', q => down_sample2_q_net ); down_sample4: entity work.xldsamp generic map ( d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, ds_ratio => 35, latency => 1, phase => 34, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => register1_q_net, dest_ce => ce_35_sg_x12, dest_clk => clk_35_sg_x12, dest_clr => '0', en => "1", src_ce => ce_1_sg_x29, src_clk => clk_1_sg_x29, src_clr => '0', q(0) => down_sample4_q_net ); rect2pol: entity work.xlcordic_1700ad0af26476326977e0830172a2c4 port map ( ce => ce_1_sg_x29, clk => clk_1_sg_x29, s_axis_cartesian_tdata_imag => q, s_axis_cartesian_tdata_real => i, s_axis_cartesian_tuser_user(0) => ch_in, s_axis_cartesian_tvalid => valid_in, m_axis_dout_tdata_phase => phase, m_axis_dout_tdata_real => real_x0, m_axis_dout_tuser_cartesian_tuser(0) => ch_out, m_axis_dout_tvalid => valid_out ); register1: entity work.xlregister generic map ( d_width => 1, init_value => b"0" ) port map ( ce => ce_1_sg_x29, clk => clk_1_sg_x29, d(0) => ch_out, en(0) => valid_out, rst => "0", q(0) => register1_q_net ); register4: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_1_sg_x29, clk => clk_1_sg_x29, d => reinterpret2_output_port_net, en(0) => valid_out, rst => "0", q => register4_q_net ); register5: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_1_sg_x29, clk => clk_1_sg_x29, d => reinterpret3_output_port_net, en(0) => valid_out, rst => "0", q => register5_q_net ); reinterpret2: entity work.reinterpret_b62f4240f0 port map ( ce => '0', clk => '0', clr => '0', input_port => phase, output_port => reinterpret2_output_port_net ); reinterpret3: entity work.reinterpret_b62f4240f0 port map ( ce => '0', clk => '0', clr => '0', input_port => real_x0, output_port => reinterpret3_output_port_net ); tddm_tbt_cordic_9e99bd206d: entity work.tddm_tbt_cordic_entity_9e99bd206d port map ( ce_35 => ce_35_sg_x12, ce_70 => ce_70_sg_x16, clk_35 => clk_35_sg_x12, clk_70 => clk_70_sg_x16, tbt_cordic_ch_in => p_ch_out_x3, tbt_cordic_din => p_amp_out_x2, tbt_cordic_pin => p_phase_out_x1, tbt_cordic_ch2_out => down_sample2_q_net_x4, tbt_cordic_ch3_out => down_sample1_q_net_x4, tbt_cordic_phase0_out => down_sample2_q_net_x5, tbt_cordic_phase1_out => down_sample1_q_net_x5 ); up_sample: entity work.xlusamp generic map ( copy_samples => 0, d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, latency => 0, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => register6_q_net_x0, dest_ce => ce_1_sg_x29, dest_clk => clk_1_sg_x29, dest_clr => '0', en => "1", src_ce => ce_35_sg_x12, src_clk => clk_35_sg_x12, src_clr => '0', q(0) => valid_in ); up_sample1: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 23, d_width => 25, latency => 0, q_arith => xlSigned, q_bin_pt => 23, q_width => 25 ) port map ( d => register1_q_net_x1, dest_ce => ce_1_sg_x29, dest_clk => clk_1_sg_x29, dest_clr => '0', en => "1", src_ce => ce_35_sg_x12, src_clk => clk_35_sg_x12, src_clr => '0', q => q ); up_sample2: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 23, d_width => 25, latency => 0, q_arith => xlSigned, q_bin_pt => 23, q_width => 25 ) port map ( d => register3_q_net_x0, dest_ce => ce_1_sg_x29, dest_clk => clk_1_sg_x29, dest_clr => '0', en => "1", src_ce => ce_35_sg_x12, src_clk => clk_35_sg_x12, src_clr => '0', q => i ); up_sample3: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, latency => 0, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => register2_q_net_x0, dest_ce => ce_1_sg_x29, dest_clk => clk_1_sg_x29, dest_clr => '0', en => "1", src_ce => ce_35_sg_x12, src_clk => clk_35_sg_x12, src_clr => '0', q(0) => ch_in ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/TBT_amp/TBT_amp1/TBT_poly_decim/TDDM_TBT" entity tddm_tbt_entity_1f4b61e651 is port ( ce_35: in std_logic; ce_70: in std_logic; clk_35: in std_logic; clk_70: in std_logic; tbt_ch_in: in std_logic; tbt_i_in: in std_logic_vector(23 downto 0); tbt_q_in: in std_logic_vector(23 downto 0); poly35_ch2_i_out: out std_logic_vector(23 downto 0); poly35_ch2_q_out: out std_logic_vector(23 downto 0); poly35_ch3_i_out: out std_logic_vector(23 downto 0); poly35_ch3_q_out: out std_logic_vector(23 downto 0) ); end tddm_tbt_entity_1f4b61e651; architecture structural of tddm_tbt_entity_1f4b61e651 is signal ce_35_sg_x15: std_logic; signal ce_70_sg_x19: std_logic; signal clk_35_sg_x15: std_logic; signal clk_70_sg_x19: std_logic; signal down_sample1_q_net_x2: std_logic_vector(23 downto 0); signal down_sample1_q_net_x3: std_logic_vector(23 downto 0); signal down_sample2_q_net_x2: std_logic_vector(23 downto 0); signal down_sample2_q_net_x3: std_logic_vector(23 downto 0); signal register2_q_net_x3: std_logic; signal reinterpret_output_port_net_x2: std_logic_vector(23 downto 0); signal reinterpret_output_port_net_x3: std_logic_vector(23 downto 0); begin ce_35_sg_x15 <= ce_35; ce_70_sg_x19 <= ce_70; clk_35_sg_x15 <= clk_35; clk_70_sg_x19 <= clk_70; register2_q_net_x3 <= tbt_ch_in; reinterpret_output_port_net_x3 <= tbt_i_in; reinterpret_output_port_net_x2 <= tbt_q_in; poly35_ch2_i_out <= down_sample2_q_net_x2; poly35_ch2_q_out <= down_sample2_q_net_x3; poly35_ch3_i_out <= down_sample1_q_net_x2; poly35_ch3_q_out <= down_sample1_q_net_x3; tddm_tbt_poly_i_b74b709553: entity work.tddm_tbt_cordic_entity_5b94be40c5 port map ( ce_35 => ce_35_sg_x15, ce_70 => ce_70_sg_x19, ch_in => register2_q_net_x3, clk_35 => clk_35_sg_x15, clk_70 => clk_70_sg_x19, din => reinterpret_output_port_net_x3, dout_ch0 => down_sample2_q_net_x2, dout_ch1 => down_sample1_q_net_x2 ); tddm_tbt_poly_q_4f85d7362a: entity work.tddm_tbt_cordic_entity_5b94be40c5 port map ( ce_35 => ce_35_sg_x15, ce_70 => ce_70_sg_x19, ch_in => register2_q_net_x3, clk_35 => clk_35_sg_x15, clk_70 => clk_70_sg_x19, din => reinterpret_output_port_net_x2, dout_ch0 => down_sample2_q_net_x3, dout_ch1 => down_sample1_q_net_x3 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/TBT_amp/TBT_amp1/TBT_poly_decim" entity tbt_poly_decim_entity_bb6f6b5b6a is port ( ce_1: in std_logic; ce_35: in std_logic; ce_70: in std_logic; ce_logic_1: in std_logic; ch_in: in std_logic; clk_1: in std_logic; clk_35: in std_logic; clk_70: in std_logic; i_in: in std_logic_vector(23 downto 0); q_in: in std_logic_vector(23 downto 0); ch_out: out std_logic; i_out: out std_logic_vector(24 downto 0); q_out: out std_logic_vector(24 downto 0); tbt_poly_x0: out std_logic; tddm_tbt: out std_logic_vector(23 downto 0); tddm_tbt_x0: out std_logic_vector(23 downto 0); tddm_tbt_x1: out std_logic_vector(23 downto 0); tddm_tbt_x2: out std_logic_vector(23 downto 0); valid_out: out std_logic ); end tbt_poly_decim_entity_bb6f6b5b6a; architecture structural of tbt_poly_decim_entity_bb6f6b5b6a is signal ce_1_sg_x30: std_logic; signal ce_35_sg_x16: std_logic; signal ce_70_sg_x20: std_logic; signal ce_logic_1_sg_x14: std_logic; signal clk_1_sg_x30: std_logic; signal clk_35_sg_x16: std_logic; signal clk_70_sg_x20: std_logic; signal down_sample1_q_net_x4: std_logic_vector(23 downto 0); signal down_sample1_q_net_x5: std_logic_vector(23 downto 0); signal down_sample2_q_net_x4: std_logic_vector(23 downto 0); signal down_sample2_q_net_x5: std_logic_vector(23 downto 0); signal register1_q_net_x3: std_logic_vector(24 downto 0); signal register2_q_net_x4: std_logic; signal register3_q_net_x13: std_logic; signal register3_q_net_x2: std_logic_vector(24 downto 0); signal register4_q_net_x12: std_logic_vector(23 downto 0); signal register5_q_net_x12: std_logic_vector(23 downto 0); signal register6_q_net_x1: std_logic; signal reinterpret1_output_port_net: std_logic_vector(24 downto 0); signal reinterpret_output_port_net: std_logic_vector(24 downto 0); signal reinterpret_output_port_net_x3: std_logic_vector(23 downto 0); signal reinterpret_output_port_net_x4: std_logic_vector(23 downto 0); signal tbt_poly_event_s_data_chanid_incorrect_net_x0: std_logic; signal tbt_poly_m_axis_data_tdata_path0_net: std_logic_vector(24 downto 0); signal tbt_poly_m_axis_data_tdata_path1_net: std_logic_vector(24 downto 0); signal tbt_poly_m_axis_data_tuser_chanid_net: std_logic; signal tbt_poly_m_axis_data_tvalid_net: std_logic; begin ce_1_sg_x30 <= ce_1; ce_35_sg_x16 <= ce_35; ce_70_sg_x20 <= ce_70; ce_logic_1_sg_x14 <= ce_logic_1; register3_q_net_x13 <= ch_in; clk_1_sg_x30 <= clk_1; clk_35_sg_x16 <= clk_35; clk_70_sg_x20 <= clk_70; register4_q_net_x12 <= i_in; register5_q_net_x12 <= q_in; ch_out <= register2_q_net_x4; i_out <= register3_q_net_x2; q_out <= register1_q_net_x3; tbt_poly_x0 <= tbt_poly_event_s_data_chanid_incorrect_net_x0; tddm_tbt <= down_sample1_q_net_x4; tddm_tbt_x0 <= down_sample2_q_net_x4; tddm_tbt_x1 <= down_sample1_q_net_x5; tddm_tbt_x2 <= down_sample2_q_net_x5; valid_out <= register6_q_net_x1; register1: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_35_sg_x16, clk => clk_35_sg_x16, d => reinterpret_output_port_net, en(0) => tbt_poly_m_axis_data_tvalid_net, rst => "0", q => register1_q_net_x3 ); register2: entity work.xlregister generic map ( d_width => 1, init_value => b"0" ) port map ( ce => ce_35_sg_x16, clk => clk_35_sg_x16, d(0) => tbt_poly_m_axis_data_tuser_chanid_net, en => "1", rst => "0", q(0) => register2_q_net_x4 ); register3: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_35_sg_x16, clk => clk_35_sg_x16, d => reinterpret1_output_port_net, en(0) => tbt_poly_m_axis_data_tvalid_net, rst => "0", q => register3_q_net_x2 ); register6: entity work.xlregister generic map ( d_width => 1, init_value => b"0" ) port map ( ce => ce_35_sg_x16, clk => clk_35_sg_x16, d(0) => tbt_poly_m_axis_data_tvalid_net, en => "1", rst => "0", q(0) => register6_q_net_x1 ); reinterpret: entity work.reinterpret_31a4235b32 port map ( ce => '0', clk => '0', clr => '0', input_port => tbt_poly_m_axis_data_tdata_path1_net, output_port => reinterpret_output_port_net ); reinterpret1: entity work.reinterpret_31a4235b32 port map ( ce => '0', clk => '0', clr => '0', input_port => tbt_poly_m_axis_data_tdata_path0_net, output_port => reinterpret1_output_port_net ); tbt_poly: entity work.xlfir_compiler_dadbc7b58cb62c04fef420f4c58ee0d3 port map ( ce => ce_1_sg_x30, ce_35 => ce_35_sg_x16, ce_logic_1 => ce_logic_1_sg_x14, clk => clk_1_sg_x30, clk_35 => clk_35_sg_x16, clk_logic_1 => clk_1_sg_x30, s_axis_data_tdata_path0 => register4_q_net_x12, s_axis_data_tdata_path1 => register5_q_net_x12, s_axis_data_tuser_chanid(0) => register3_q_net_x13, src_ce => ce_1_sg_x30, src_clk => clk_1_sg_x30, event_s_data_chanid_incorrect => tbt_poly_event_s_data_chanid_incorrect_net_x0, m_axis_data_tdata_path0 => tbt_poly_m_axis_data_tdata_path0_net, m_axis_data_tdata_path1 => tbt_poly_m_axis_data_tdata_path1_net, m_axis_data_tuser_chanid(0) => tbt_poly_m_axis_data_tuser_chanid_net, m_axis_data_tvalid => tbt_poly_m_axis_data_tvalid_net ); tddm_tbt_1f4b61e651: entity work.tddm_tbt_entity_1f4b61e651 port map ( ce_35 => ce_35_sg_x16, ce_70 => ce_70_sg_x20, clk_35 => clk_35_sg_x16, clk_70 => clk_70_sg_x20, tbt_ch_in => register2_q_net_x4, tbt_i_in => reinterpret_output_port_net_x4, tbt_q_in => reinterpret_output_port_net_x3, poly35_ch2_i_out => down_sample2_q_net_x4, poly35_ch2_q_out => down_sample2_q_net_x5, poly35_ch3_i_out => down_sample1_q_net_x4, poly35_ch3_q_out => down_sample1_q_net_x5 ); trunc1_c3e3bdeec5: entity work.trunc_entity_e5eda8a5ac port map ( din => register3_q_net_x2, dout => reinterpret_output_port_net_x4 ); trunc_6a2a4db298: entity work.trunc_entity_e5eda8a5ac port map ( din => register1_q_net_x3, dout => reinterpret_output_port_net_x3 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/TBT_amp/TBT_amp1" entity tbt_amp1_entity_6e98f85f9f is port ( ce_1: in std_logic; ce_35: in std_logic; ce_70: in std_logic; ce_logic_1: in std_logic; ch_in: in std_logic; clk_1: in std_logic; clk_35: in std_logic; clk_70: in std_logic; i_in: in std_logic_vector(23 downto 0); q_in: in std_logic_vector(23 downto 0); amp_out: out std_logic_vector(23 downto 0); ch_out: out std_logic; tbt_cordic: out std_logic_vector(23 downto 0); tbt_cordic_x0: out std_logic_vector(23 downto 0); tbt_cordic_x1: out std_logic_vector(23 downto 0); tbt_cordic_x2: out std_logic_vector(23 downto 0); tbt_poly_decim: out std_logic; tbt_poly_decim_x0: out std_logic_vector(23 downto 0); tbt_poly_decim_x1: out std_logic_vector(23 downto 0); tbt_poly_decim_x2: out std_logic_vector(23 downto 0); tbt_poly_decim_x3: out std_logic_vector(23 downto 0) ); end tbt_amp1_entity_6e98f85f9f; architecture structural of tbt_amp1_entity_6e98f85f9f is signal ce_1_sg_x31: std_logic; signal ce_35_sg_x17: std_logic; signal ce_70_sg_x21: std_logic; signal ce_logic_1_sg_x15: std_logic; signal clk_1_sg_x31: std_logic; signal clk_35_sg_x17: std_logic; signal clk_70_sg_x21: std_logic; signal down_sample1_q_net_x10: std_logic_vector(23 downto 0); signal down_sample1_q_net_x11: std_logic_vector(23 downto 0); signal down_sample1_q_net_x8: std_logic_vector(23 downto 0); signal down_sample1_q_net_x9: std_logic_vector(23 downto 0); signal down_sample2_q_net_x10: std_logic_vector(23 downto 0); signal down_sample2_q_net_x11: std_logic_vector(23 downto 0); signal down_sample2_q_net_x8: std_logic_vector(23 downto 0); signal down_sample2_q_net_x9: std_logic_vector(23 downto 0); signal p_amp_out_x3: std_logic_vector(23 downto 0); signal p_ch_out_x4: std_logic; signal register1_q_net_x3: std_logic_vector(24 downto 0); signal register2_q_net_x4: std_logic; signal register3_q_net_x14: std_logic; signal register3_q_net_x2: std_logic_vector(24 downto 0); signal register4_q_net_x13: std_logic_vector(23 downto 0); signal register5_q_net_x13: std_logic_vector(23 downto 0); signal register6_q_net_x1: std_logic; signal tbt_poly_event_s_data_chanid_incorrect_net_x1: std_logic; begin ce_1_sg_x31 <= ce_1; ce_35_sg_x17 <= ce_35; ce_70_sg_x21 <= ce_70; ce_logic_1_sg_x15 <= ce_logic_1; register3_q_net_x14 <= ch_in; clk_1_sg_x31 <= clk_1; clk_35_sg_x17 <= clk_35; clk_70_sg_x21 <= clk_70; register4_q_net_x13 <= i_in; register5_q_net_x13 <= q_in; amp_out <= p_amp_out_x3; ch_out <= p_ch_out_x4; tbt_cordic <= down_sample1_q_net_x8; tbt_cordic_x0 <= down_sample2_q_net_x8; tbt_cordic_x1 <= down_sample1_q_net_x9; tbt_cordic_x2 <= down_sample2_q_net_x9; tbt_poly_decim <= tbt_poly_event_s_data_chanid_incorrect_net_x1; tbt_poly_decim_x0 <= down_sample1_q_net_x10; tbt_poly_decim_x1 <= down_sample2_q_net_x10; tbt_poly_decim_x2 <= down_sample1_q_net_x11; tbt_poly_decim_x3 <= down_sample2_q_net_x11; tbt_cordic_9dc3371de2: entity work.tbt_cordic_entity_9dc3371de2 port map ( ce_1 => ce_1_sg_x31, ce_35 => ce_35_sg_x17, ce_70 => ce_70_sg_x21, ch_in_x0 => register2_q_net_x4, clk_1 => clk_1_sg_x31, clk_35 => clk_35_sg_x17, clk_70 => clk_70_sg_x21, i_in => register3_q_net_x2, q_in => register1_q_net_x3, valid_in_x0 => register6_q_net_x1, amp_out => p_amp_out_x3, ch_out_x0 => p_ch_out_x4, tddm_tbt_cordic => down_sample1_q_net_x8, tddm_tbt_cordic_x0 => down_sample2_q_net_x8, tddm_tbt_cordic_x1 => down_sample1_q_net_x9, tddm_tbt_cordic_x2 => down_sample2_q_net_x9 ); tbt_poly_decim_bb6f6b5b6a: entity work.tbt_poly_decim_entity_bb6f6b5b6a port map ( ce_1 => ce_1_sg_x31, ce_35 => ce_35_sg_x17, ce_70 => ce_70_sg_x21, ce_logic_1 => ce_logic_1_sg_x15, ch_in => register3_q_net_x14, clk_1 => clk_1_sg_x31, clk_35 => clk_35_sg_x17, clk_70 => clk_70_sg_x21, i_in => register4_q_net_x13, q_in => register5_q_net_x13, ch_out => register2_q_net_x4, i_out => register3_q_net_x2, q_out => register1_q_net_x3, tbt_poly_x0 => tbt_poly_event_s_data_chanid_incorrect_net_x1, tddm_tbt => down_sample1_q_net_x10, tddm_tbt_x0 => down_sample2_q_net_x10, tddm_tbt_x1 => down_sample1_q_net_x11, tddm_tbt_x2 => down_sample2_q_net_x11, valid_out => register6_q_net_x1 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/TBT_amp/TDDM_tbt_amp_4ch" entity tddm_tbt_amp_4ch_entity_9f3ac0073e is port ( amp_in0: in std_logic_vector(23 downto 0); amp_in1: in std_logic_vector(23 downto 0); ce_35: in std_logic; ce_70: in std_logic; ch_in0: in std_logic; ch_in1: in std_logic; clk_35: in std_logic; clk_70: in std_logic; amp_out0: out std_logic_vector(23 downto 0); amp_out1: out std_logic_vector(23 downto 0); amp_out2: out std_logic_vector(23 downto 0); amp_out3: out std_logic_vector(23 downto 0) ); end tddm_tbt_amp_4ch_entity_9f3ac0073e; architecture structural of tddm_tbt_amp_4ch_entity_9f3ac0073e is signal ce_35_sg_x20: std_logic; signal ce_70_sg_x24: std_logic; signal clk_35_sg_x20: std_logic; signal clk_70_sg_x24: std_logic; signal down_sample1_q_net_x2: std_logic_vector(23 downto 0); signal down_sample1_q_net_x3: std_logic_vector(23 downto 0); signal down_sample2_q_net_x2: std_logic_vector(23 downto 0); signal down_sample2_q_net_x3: std_logic_vector(23 downto 0); signal p_amp_out_x6: std_logic_vector(23 downto 0); signal p_amp_out_x7: std_logic_vector(23 downto 0); signal p_ch_out_x7: std_logic; signal p_ch_out_x8: std_logic; begin p_amp_out_x6 <= amp_in0; p_amp_out_x7 <= amp_in1; ce_35_sg_x20 <= ce_35; ce_70_sg_x24 <= ce_70; p_ch_out_x7 <= ch_in0; p_ch_out_x8 <= ch_in1; clk_35_sg_x20 <= clk_35; clk_70_sg_x24 <= clk_70; amp_out0 <= down_sample2_q_net_x2; amp_out1 <= down_sample1_q_net_x2; amp_out2 <= down_sample2_q_net_x3; amp_out3 <= down_sample1_q_net_x3; tddm_tbt_amp0_8f2b25894a: entity work.tddm_tbt_cordic_entity_5b94be40c5 port map ( ce_35 => ce_35_sg_x20, ce_70 => ce_70_sg_x24, ch_in => p_ch_out_x7, clk_35 => clk_35_sg_x20, clk_70 => clk_70_sg_x24, din => p_amp_out_x6, dout_ch0 => down_sample2_q_net_x2, dout_ch1 => down_sample1_q_net_x2 ); tddm_tbt_amp1_0c4a2e4770: entity work.tddm_tbt_cordic_entity_5b94be40c5 port map ( ce_35 => ce_35_sg_x20, ce_70 => ce_70_sg_x24, ch_in => p_ch_out_x8, clk_35 => clk_35_sg_x20, clk_70 => clk_70_sg_x24, din => p_amp_out_x7, dout_ch0 => down_sample2_q_net_x3, dout_ch1 => down_sample1_q_net_x3 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/TBT_amp" entity tbt_amp_entity_cbd277bb0c is port ( ce_1: in std_logic; ce_35: in std_logic; ce_70: in std_logic; ce_logic_1: in std_logic; ch_in0: in std_logic; ch_in1: in std_logic; clk_1: in std_logic; clk_35: in std_logic; clk_70: in std_logic; i_in0: in std_logic_vector(23 downto 0); i_in1: in std_logic_vector(23 downto 0); q_in0: in std_logic_vector(23 downto 0); q_in1: in std_logic_vector(23 downto 0); amp_out0: out std_logic_vector(23 downto 0); amp_out1: out std_logic_vector(23 downto 0); amp_out2: out std_logic_vector(23 downto 0); amp_out3: out std_logic_vector(23 downto 0); tbt_amp0: out std_logic_vector(23 downto 0); tbt_amp0_x0: out std_logic_vector(23 downto 0); tbt_amp0_x1: out std_logic_vector(23 downto 0); tbt_amp0_x2: out std_logic_vector(23 downto 0); tbt_amp0_x3: out std_logic; tbt_amp0_x4: out std_logic_vector(23 downto 0); tbt_amp0_x5: out std_logic_vector(23 downto 0); tbt_amp0_x6: out std_logic_vector(23 downto 0); tbt_amp0_x7: out std_logic_vector(23 downto 0); tbt_amp1: out std_logic_vector(23 downto 0); tbt_amp1_x0: out std_logic_vector(23 downto 0); tbt_amp1_x1: out std_logic_vector(23 downto 0); tbt_amp1_x2: out std_logic_vector(23 downto 0); tbt_amp1_x3: out std_logic; tbt_amp1_x4: out std_logic_vector(23 downto 0); tbt_amp1_x5: out std_logic_vector(23 downto 0); tbt_amp1_x6: out std_logic_vector(23 downto 0); tbt_amp1_x7: out std_logic_vector(23 downto 0) ); end tbt_amp_entity_cbd277bb0c; architecture structural of tbt_amp_entity_cbd277bb0c is signal ce_1_sg_x32: std_logic; signal ce_35_sg_x21: std_logic; signal ce_70_sg_x25: std_logic; signal ce_logic_1_sg_x16: std_logic; signal clk_1_sg_x32: std_logic; signal clk_35_sg_x21: std_logic; signal clk_70_sg_x25: std_logic; signal down_sample1_q_net_x16: std_logic_vector(23 downto 0); signal down_sample1_q_net_x17: std_logic_vector(23 downto 0); signal down_sample1_q_net_x18: std_logic_vector(23 downto 0); signal down_sample1_q_net_x19: std_logic_vector(23 downto 0); signal down_sample1_q_net_x20: std_logic_vector(23 downto 0); signal down_sample1_q_net_x21: std_logic_vector(23 downto 0); signal down_sample1_q_net_x22: std_logic_vector(23 downto 0); signal down_sample1_q_net_x23: std_logic_vector(23 downto 0); signal down_sample1_q_net_x24: std_logic_vector(23 downto 0); signal down_sample1_q_net_x25: std_logic_vector(23 downto 0); signal down_sample2_q_net_x16: std_logic_vector(23 downto 0); signal down_sample2_q_net_x17: std_logic_vector(23 downto 0); signal down_sample2_q_net_x18: std_logic_vector(23 downto 0); signal down_sample2_q_net_x19: std_logic_vector(23 downto 0); signal down_sample2_q_net_x20: std_logic_vector(23 downto 0); signal down_sample2_q_net_x21: std_logic_vector(23 downto 0); signal down_sample2_q_net_x22: std_logic_vector(23 downto 0); signal down_sample2_q_net_x23: std_logic_vector(23 downto 0); signal down_sample2_q_net_x24: std_logic_vector(23 downto 0); signal down_sample2_q_net_x25: std_logic_vector(23 downto 0); signal p_amp_out_x6: std_logic_vector(23 downto 0); signal p_amp_out_x7: std_logic_vector(23 downto 0); signal p_ch_out_x7: std_logic; signal p_ch_out_x8: std_logic; signal register3_q_net_x15: std_logic; signal register3_q_net_x16: std_logic; signal register4_q_net_x14: std_logic_vector(23 downto 0); signal register4_q_net_x15: std_logic_vector(23 downto 0); signal register5_q_net_x14: std_logic_vector(23 downto 0); signal register5_q_net_x15: std_logic_vector(23 downto 0); signal tbt_poly_event_s_data_chanid_incorrect_net_x3: std_logic; signal tbt_poly_event_s_data_chanid_incorrect_net_x4: std_logic; begin ce_1_sg_x32 <= ce_1; ce_35_sg_x21 <= ce_35; ce_70_sg_x25 <= ce_70; ce_logic_1_sg_x16 <= ce_logic_1; register3_q_net_x15 <= ch_in0; register3_q_net_x16 <= ch_in1; clk_1_sg_x32 <= clk_1; clk_35_sg_x21 <= clk_35; clk_70_sg_x25 <= clk_70; register4_q_net_x14 <= i_in0; register4_q_net_x15 <= i_in1; register5_q_net_x14 <= q_in0; register5_q_net_x15 <= q_in1; amp_out0 <= down_sample2_q_net_x24; amp_out1 <= down_sample1_q_net_x24; amp_out2 <= down_sample2_q_net_x25; amp_out3 <= down_sample1_q_net_x25; tbt_amp0 <= down_sample1_q_net_x16; tbt_amp0_x0 <= down_sample2_q_net_x16; tbt_amp0_x1 <= down_sample1_q_net_x17; tbt_amp0_x2 <= down_sample2_q_net_x17; tbt_amp0_x3 <= tbt_poly_event_s_data_chanid_incorrect_net_x3; tbt_amp0_x4 <= down_sample1_q_net_x18; tbt_amp0_x5 <= down_sample2_q_net_x18; tbt_amp0_x6 <= down_sample1_q_net_x19; tbt_amp0_x7 <= down_sample2_q_net_x19; tbt_amp1 <= down_sample1_q_net_x20; tbt_amp1_x0 <= down_sample2_q_net_x20; tbt_amp1_x1 <= down_sample1_q_net_x21; tbt_amp1_x2 <= down_sample2_q_net_x21; tbt_amp1_x3 <= tbt_poly_event_s_data_chanid_incorrect_net_x4; tbt_amp1_x4 <= down_sample1_q_net_x22; tbt_amp1_x5 <= down_sample2_q_net_x22; tbt_amp1_x6 <= down_sample1_q_net_x23; tbt_amp1_x7 <= down_sample2_q_net_x23; tbt_amp0_88b1c45f0e: entity work.tbt_amp0_entity_88b1c45f0e port map ( ce_1 => ce_1_sg_x32, ce_35 => ce_35_sg_x21, ce_70 => ce_70_sg_x25, ce_logic_1 => ce_logic_1_sg_x16, ch_in => register3_q_net_x15, clk_1 => clk_1_sg_x32, clk_35 => clk_35_sg_x21, clk_70 => clk_70_sg_x25, i_in => register4_q_net_x14, q_in => register5_q_net_x14, amp_out => p_amp_out_x6, ch_out => p_ch_out_x7, tbt_cordic => down_sample1_q_net_x16, tbt_cordic_x0 => down_sample2_q_net_x16, tbt_cordic_x1 => down_sample1_q_net_x17, tbt_cordic_x2 => down_sample2_q_net_x17, tbt_poly_decim => tbt_poly_event_s_data_chanid_incorrect_net_x3, tbt_poly_decim_x0 => down_sample1_q_net_x18, tbt_poly_decim_x1 => down_sample2_q_net_x18, tbt_poly_decim_x2 => down_sample1_q_net_x19, tbt_poly_decim_x3 => down_sample2_q_net_x19 ); tbt_amp1_6e98f85f9f: entity work.tbt_amp1_entity_6e98f85f9f port map ( ce_1 => ce_1_sg_x32, ce_35 => ce_35_sg_x21, ce_70 => ce_70_sg_x25, ce_logic_1 => ce_logic_1_sg_x16, ch_in => register3_q_net_x16, clk_1 => clk_1_sg_x32, clk_35 => clk_35_sg_x21, clk_70 => clk_70_sg_x25, i_in => register4_q_net_x15, q_in => register5_q_net_x15, amp_out => p_amp_out_x7, ch_out => p_ch_out_x8, tbt_cordic => down_sample1_q_net_x20, tbt_cordic_x0 => down_sample2_q_net_x20, tbt_cordic_x1 => down_sample1_q_net_x21, tbt_cordic_x2 => down_sample2_q_net_x21, tbt_poly_decim => tbt_poly_event_s_data_chanid_incorrect_net_x4, tbt_poly_decim_x0 => down_sample1_q_net_x22, tbt_poly_decim_x1 => down_sample2_q_net_x22, tbt_poly_decim_x2 => down_sample1_q_net_x23, tbt_poly_decim_x3 => down_sample2_q_net_x23 ); tddm_tbt_amp_4ch_9f3ac0073e: entity work.tddm_tbt_amp_4ch_entity_9f3ac0073e port map ( amp_in0 => p_amp_out_x6, amp_in1 => p_amp_out_x7, ce_35 => ce_35_sg_x21, ce_70 => ce_70_sg_x25, ch_in0 => p_ch_out_x7, ch_in1 => p_ch_out_x8, clk_35 => clk_35_sg_x21, clk_70 => clk_70_sg_x25, amp_out0 => down_sample2_q_net_x24, amp_out1 => down_sample1_q_net_x24, amp_out2 => down_sample2_q_net_x25, amp_out3 => down_sample1_q_net_x25 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/TDM_mix/TDM_mix_ch0_1" entity tdm_mix_ch0_1_entity_b9bb73dd5f is port ( ce_1: in std_logic; ce_2: in std_logic; ce_logic_1: in std_logic; clk_1: in std_logic; clk_2: in std_logic; din_ch0: in std_logic_vector(23 downto 0); din_ch1: in std_logic_vector(23 downto 0); rst: in std_logic; ch_out: out std_logic; dout: out std_logic_vector(23 downto 0) ); end tdm_mix_ch0_1_entity_b9bb73dd5f; architecture structural of tdm_mix_ch0_1_entity_b9bb73dd5f is signal ce_1_sg_x33: std_logic; signal ce_2_sg_x31: std_logic; signal ce_logic_1_sg_x17: std_logic; signal clk_1_sg_x33: std_logic; signal clk_2_sg_x31: std_logic; signal clock_enable_probe_q_net: std_logic; signal constant10_op_net_x0: std_logic; signal mux_sel1_op_net: std_logic; signal mux_y_net: std_logic_vector(23 downto 0); signal register1_q_net_x4: std_logic; signal register_q_net_x17: std_logic_vector(23 downto 0); signal reinterpret2_output_port_net_x8: std_logic_vector(23 downto 0); signal reinterpret2_output_port_net_x9: std_logic_vector(23 downto 0); signal up_sample_ch0_q_net: std_logic_vector(23 downto 0); signal up_sample_ch1_q_net: std_logic_vector(23 downto 0); begin ce_1_sg_x33 <= ce_1; ce_2_sg_x31 <= ce_2; ce_logic_1_sg_x17 <= ce_logic_1; clk_1_sg_x33 <= clk_1; clk_2_sg_x31 <= clk_2; reinterpret2_output_port_net_x9 <= din_ch0; reinterpret2_output_port_net_x8 <= din_ch1; constant10_op_net_x0 <= rst; ch_out <= register1_q_net_x4; dout <= register_q_net_x17; clock_enable_probe: entity work.xlceprobe generic map ( d_width => 24, q_width => 1 ) port map ( ce => ce_logic_1_sg_x17, clk => clk_1_sg_x33, d => up_sample_ch0_q_net, q(0) => clock_enable_probe_q_net ); mux: entity work.mux_a2121d82da port map ( ce => '0', clk => '0', clr => '0', d0 => up_sample_ch0_q_net, d1 => up_sample_ch1_q_net, sel(0) => mux_sel1_op_net, y => mux_y_net ); mux_sel1: entity work.counter_41314d726b port map ( ce => ce_1_sg_x33, clk => clk_1_sg_x33, clr => '0', en(0) => clock_enable_probe_q_net, rst(0) => constant10_op_net_x0, op(0) => mux_sel1_op_net ); register1: entity work.xlregister generic map ( d_width => 1, init_value => b"0" ) port map ( ce => ce_1_sg_x33, clk => clk_1_sg_x33, d(0) => mux_sel1_op_net, en => "1", rst => "0", q(0) => register1_q_net_x4 ); register_x0: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_1_sg_x33, clk => clk_1_sg_x33, d => mux_y_net, en => "1", rst => "0", q => register_q_net_x17 ); up_sample_ch0: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 22, d_width => 24, latency => 0, q_arith => xlSigned, q_bin_pt => 22, q_width => 24 ) port map ( d => reinterpret2_output_port_net_x9, dest_ce => ce_1_sg_x33, dest_clk => clk_1_sg_x33, dest_clr => '0', en => "1", src_ce => ce_2_sg_x31, src_clk => clk_2_sg_x31, src_clr => '0', q => up_sample_ch0_q_net ); up_sample_ch1: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 22, d_width => 24, latency => 0, q_arith => xlSigned, q_bin_pt => 22, q_width => 24 ) port map ( d => reinterpret2_output_port_net_x8, dest_ce => ce_1_sg_x33, dest_clk => clk_1_sg_x33, dest_clr => '0', en => "1", src_ce => ce_2_sg_x31, src_clk => clk_2_sg_x31, src_clr => '0', q => up_sample_ch1_q_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/TDM_mix" entity tdm_mix_entity_54ce67e6e8 is port ( ce_1: in std_logic; ce_2: in std_logic; ce_logic_1: in std_logic; clk_1: in std_logic; clk_2: in std_logic; din_ch0: in std_logic_vector(23 downto 0); din_ch1: in std_logic_vector(23 downto 0); din_ch2: in std_logic_vector(23 downto 0); din_ch3: in std_logic_vector(23 downto 0); ch_out0: out std_logic; ch_out1: out std_logic; dout0: out std_logic_vector(23 downto 0); dout1: out std_logic_vector(23 downto 0) ); end tdm_mix_entity_54ce67e6e8; architecture structural of tdm_mix_entity_54ce67e6e8 is signal ce_1_sg_x35: std_logic; signal ce_2_sg_x33: std_logic; signal ce_logic_1_sg_x19: std_logic; signal clk_1_sg_x35: std_logic; signal clk_2_sg_x33: std_logic; signal constant10_op_net_x0: std_logic; signal constant11_op_net_x0: std_logic; signal register1_q_net_x6: std_logic; signal register1_q_net_x7: std_logic; signal register_q_net_x19: std_logic_vector(23 downto 0); signal register_q_net_x20: std_logic_vector(23 downto 0); signal reinterpret2_output_port_net_x11: std_logic_vector(23 downto 0); signal reinterpret2_output_port_net_x12: std_logic_vector(23 downto 0); signal reinterpret2_output_port_net_x13: std_logic_vector(23 downto 0); signal reinterpret2_output_port_net_x14: std_logic_vector(23 downto 0); begin ce_1_sg_x35 <= ce_1; ce_2_sg_x33 <= ce_2; ce_logic_1_sg_x19 <= ce_logic_1; clk_1_sg_x35 <= clk_1; clk_2_sg_x33 <= clk_2; reinterpret2_output_port_net_x14 <= din_ch0; reinterpret2_output_port_net_x11 <= din_ch1; reinterpret2_output_port_net_x12 <= din_ch2; reinterpret2_output_port_net_x13 <= din_ch3; ch_out0 <= register1_q_net_x6; ch_out1 <= register1_q_net_x7; dout0 <= register_q_net_x19; dout1 <= register_q_net_x20; constant10: entity work.constant_963ed6358a port map ( ce => '0', clk => '0', clr => '0', op(0) => constant10_op_net_x0 ); constant11: entity work.constant_963ed6358a port map ( ce => '0', clk => '0', clr => '0', op(0) => constant11_op_net_x0 ); tdm_mix_ch0_1_b9bb73dd5f: entity work.tdm_mix_ch0_1_entity_b9bb73dd5f port map ( ce_1 => ce_1_sg_x35, ce_2 => ce_2_sg_x33, ce_logic_1 => ce_logic_1_sg_x19, clk_1 => clk_1_sg_x35, clk_2 => clk_2_sg_x33, din_ch0 => reinterpret2_output_port_net_x14, din_ch1 => reinterpret2_output_port_net_x11, rst => constant10_op_net_x0, ch_out => register1_q_net_x6, dout => register_q_net_x19 ); tdm_mix_ch0_2_e9327141fc: entity work.tdm_mix_ch0_1_entity_b9bb73dd5f port map ( ce_1 => ce_1_sg_x35, ce_2 => ce_2_sg_x33, ce_logic_1 => ce_logic_1_sg_x19, clk_1 => clk_1_sg_x35, clk_2 => clk_2_sg_x33, din_ch0 => reinterpret2_output_port_net_x12, din_ch1 => reinterpret2_output_port_net_x13, rst => constant11_op_net_x0, ch_out => register1_q_net_x7, dout => register_q_net_x20 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/TDM_monit" entity tdm_monit_entity_6e38292ecb is port ( ce_1: in std_logic; ce_2240: in std_logic; ce_560: in std_logic; ce_logic_560: in std_logic; clk_1: in std_logic; clk_2240: in std_logic; clk_560: in std_logic; din_ch0: in std_logic_vector(23 downto 0); din_ch1: in std_logic_vector(23 downto 0); din_ch2: in std_logic_vector(23 downto 0); din_ch3: in std_logic_vector(23 downto 0); rst: in std_logic; ch_out: out std_logic_vector(1 downto 0); dout: out std_logic_vector(23 downto 0) ); end tdm_monit_entity_6e38292ecb; architecture structural of tdm_monit_entity_6e38292ecb is signal ce_1_sg_x36: std_logic; signal ce_2240_sg_x26: std_logic; signal ce_560_sg_x2: std_logic; signal ce_logic_560_sg_x2: std_logic; signal ch_out_x2: std_logic_vector(1 downto 0); signal clk_1_sg_x36: std_logic; signal clk_2240_sg_x26: std_logic; signal clk_560_sg_x2: std_logic; signal clock_enable_probe_q_net: std_logic; signal constant10_op_net_x0: std_logic; signal dout_x2: std_logic_vector(23 downto 0); signal down_sample1_q_net_x18: std_logic_vector(23 downto 0); signal down_sample1_q_net_x19: std_logic_vector(23 downto 0); signal down_sample2_q_net_x18: std_logic_vector(23 downto 0); signal down_sample2_q_net_x19: std_logic_vector(23 downto 0); signal mux_sel_op_net: std_logic_vector(1 downto 0); signal mux_y_net: std_logic_vector(23 downto 0); signal up_sample_ch0_q_net: std_logic_vector(23 downto 0); signal up_sample_ch1_q_net: std_logic_vector(23 downto 0); signal up_sample_ch2_q_net: std_logic_vector(23 downto 0); signal up_sample_ch3_q_net: std_logic_vector(23 downto 0); begin ce_1_sg_x36 <= ce_1; ce_2240_sg_x26 <= ce_2240; ce_560_sg_x2 <= ce_560; ce_logic_560_sg_x2 <= ce_logic_560; clk_1_sg_x36 <= clk_1; clk_2240_sg_x26 <= clk_2240; clk_560_sg_x2 <= clk_560; down_sample2_q_net_x18 <= din_ch0; down_sample1_q_net_x18 <= din_ch1; down_sample2_q_net_x19 <= din_ch2; down_sample1_q_net_x19 <= din_ch3; constant10_op_net_x0 <= rst; ch_out <= ch_out_x2; dout <= dout_x2; clock_enable_probe: entity work.xlceprobe generic map ( d_width => 24, q_width => 1 ) port map ( ce => ce_logic_560_sg_x2, clk => clk_560_sg_x2, d => up_sample_ch0_q_net, q(0) => clock_enable_probe_q_net ); down_sample: entity work.xldsamp generic map ( d_arith => xlUnsigned, d_bin_pt => 0, d_width => 2, ds_ratio => 560, latency => 1, phase => 559, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 2 ) port map ( d => mux_sel_op_net, dest_ce => ce_560_sg_x2, dest_clk => clk_560_sg_x2, dest_clr => '0', en => "1", src_ce => ce_1_sg_x36, src_clk => clk_1_sg_x36, src_clr => '0', q => ch_out_x2 ); down_sample1: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 22, d_width => 24, ds_ratio => 560, latency => 1, phase => 559, q_arith => xlSigned, q_bin_pt => 22, q_width => 24 ) port map ( d => mux_y_net, dest_ce => ce_560_sg_x2, dest_clk => clk_560_sg_x2, dest_clr => '0', en => "1", src_ce => ce_1_sg_x36, src_clk => clk_1_sg_x36, src_clr => '0', q => dout_x2 ); mux: entity work.mux_f062741975 port map ( ce => '0', clk => '0', clr => '0', d0 => up_sample_ch0_q_net, d1 => up_sample_ch1_q_net, d2 => up_sample_ch2_q_net, d3 => up_sample_ch3_q_net, sel => mux_sel_op_net, y => mux_y_net ); mux_sel: entity work.xlcounter_free generic map ( core_name0 => "cntr_11_0_3166d4cc5b09c744", op_arith => xlUnsigned, op_width => 2 ) port map ( ce => ce_1_sg_x36, clk => clk_1_sg_x36, clr => '0', en(0) => clock_enable_probe_q_net, rst(0) => constant10_op_net_x0, op => mux_sel_op_net ); up_sample_ch0: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 22, d_width => 24, latency => 0, q_arith => xlSigned, q_bin_pt => 22, q_width => 24 ) port map ( d => down_sample2_q_net_x18, dest_ce => ce_560_sg_x2, dest_clk => clk_560_sg_x2, dest_clr => '0', en => "1", src_ce => ce_2240_sg_x26, src_clk => clk_2240_sg_x26, src_clr => '0', q => up_sample_ch0_q_net ); up_sample_ch1: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 22, d_width => 24, latency => 0, q_arith => xlSigned, q_bin_pt => 22, q_width => 24 ) port map ( d => down_sample1_q_net_x18, dest_ce => ce_560_sg_x2, dest_clk => clk_560_sg_x2, dest_clr => '0', en => "1", src_ce => ce_2240_sg_x26, src_clk => clk_2240_sg_x26, src_clr => '0', q => up_sample_ch1_q_net ); up_sample_ch2: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 22, d_width => 24, latency => 0, q_arith => xlSigned, q_bin_pt => 22, q_width => 24 ) port map ( d => down_sample2_q_net_x19, dest_ce => ce_560_sg_x2, dest_clk => clk_560_sg_x2, dest_clr => '0', en => "1", src_ce => ce_2240_sg_x26, src_clk => clk_2240_sg_x26, src_clr => '0', q => up_sample_ch2_q_net ); up_sample_ch3: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 22, d_width => 24, latency => 0, q_arith => xlSigned, q_bin_pt => 22, q_width => 24 ) port map ( d => down_sample1_q_net_x19, dest_ce => ce_560_sg_x2, dest_clk => clk_560_sg_x2, dest_clr => '0', en => "1", src_ce => ce_2240_sg_x26, src_clk => clk_2240_sg_x26, src_clr => '0', q => up_sample_ch3_q_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/TDM_monit_1/downsample" entity downsample_entity_f33f90217c is port ( ce_1: in std_logic; ce_2500: in std_logic; ce_5600000: in std_logic; clk_1: in std_logic; clk_2500: in std_logic; clk_5600000: in std_logic; din: in std_logic_vector(1 downto 0); dout: out std_logic_vector(1 downto 0) ); end downsample_entity_f33f90217c; architecture structural of downsample_entity_f33f90217c is signal ce_1_sg_x37: std_logic; signal ce_2500_sg_x0: std_logic; signal ce_5600000_sg_x8: std_logic; signal clk_1_sg_x37: std_logic; signal clk_2500_sg_x0: std_logic; signal clk_5600000_sg_x8: std_logic; signal down_sample5_q_net: std_logic_vector(1 downto 0); signal down_sample_q_net_x0: std_logic_vector(1 downto 0); signal mux_sel_op_net_x0: std_logic_vector(1 downto 0); begin ce_1_sg_x37 <= ce_1; ce_2500_sg_x0 <= ce_2500; ce_5600000_sg_x8 <= ce_5600000; clk_1_sg_x37 <= clk_1; clk_2500_sg_x0 <= clk_2500; clk_5600000_sg_x8 <= clk_5600000; mux_sel_op_net_x0 <= din; dout <= down_sample_q_net_x0; down_sample: entity work.xldsamp generic map ( d_arith => xlUnsigned, d_bin_pt => 0, d_width => 2, ds_ratio => 2240, latency => 1, phase => 2239, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 2 ) port map ( d => down_sample5_q_net, dest_ce => ce_5600000_sg_x8, dest_clk => clk_5600000_sg_x8, dest_clr => '0', en => "1", src_ce => ce_2500_sg_x0, src_clk => clk_2500_sg_x0, src_clr => '0', q => down_sample_q_net_x0 ); down_sample5: entity work.xldsamp generic map ( d_arith => xlUnsigned, d_bin_pt => 0, d_width => 2, ds_ratio => 2500, latency => 1, phase => 2499, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 2 ) port map ( d => mux_sel_op_net_x0, dest_ce => ce_2500_sg_x0, dest_clk => clk_2500_sg_x0, dest_clr => '0', en => "1", src_ce => ce_1_sg_x37, src_clk => clk_1_sg_x37, src_clr => '0', q => down_sample5_q_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/TDM_monit_1/downsample1" entity downsample1_entity_312d531c6b is port ( ce_1: in std_logic; ce_2500: in std_logic; ce_5600000: in std_logic; clk_1: in std_logic; clk_2500: in std_logic; clk_5600000: in std_logic; din: in std_logic_vector(25 downto 0); dout: out std_logic_vector(25 downto 0) ); end downsample1_entity_312d531c6b; architecture structural of downsample1_entity_312d531c6b is signal ce_1_sg_x38: std_logic; signal ce_2500_sg_x1: std_logic; signal ce_5600000_sg_x9: std_logic; signal clk_1_sg_x38: std_logic; signal clk_2500_sg_x1: std_logic; signal clk_5600000_sg_x9: std_logic; signal down_sample5_q_net: std_logic_vector(25 downto 0); signal down_sample_q_net_x0: std_logic_vector(25 downto 0); signal mux_y_net_x0: std_logic_vector(25 downto 0); begin ce_1_sg_x38 <= ce_1; ce_2500_sg_x1 <= ce_2500; ce_5600000_sg_x9 <= ce_5600000; clk_1_sg_x38 <= clk_1; clk_2500_sg_x1 <= clk_2500; clk_5600000_sg_x9 <= clk_5600000; mux_y_net_x0 <= din; dout <= down_sample_q_net_x0; down_sample: entity work.xldsamp generic map ( d_arith => xlUnsigned, d_bin_pt => 0, d_width => 26, ds_ratio => 2240, latency => 1, phase => 2239, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 26 ) port map ( d => down_sample5_q_net, dest_ce => ce_5600000_sg_x9, dest_clk => clk_5600000_sg_x9, dest_clr => '0', en => "1", src_ce => ce_2500_sg_x1, src_clk => clk_2500_sg_x1, src_clr => '0', q => down_sample_q_net_x0 ); down_sample5: entity work.xldsamp generic map ( d_arith => xlUnsigned, d_bin_pt => 0, d_width => 26, ds_ratio => 2500, latency => 1, phase => 2499, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 26 ) port map ( d => mux_y_net_x0, dest_ce => ce_2500_sg_x1, dest_clk => clk_2500_sg_x1, dest_clr => '0', en => "1", src_ce => ce_1_sg_x38, src_clk => clk_1_sg_x38, src_clr => '0', q => down_sample5_q_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/TDM_monit_1" entity tdm_monit_1_entity_746ecf54b0 is port ( ce_1: in std_logic; ce_22400000: in std_logic; ce_2500: in std_logic; ce_5600000: in std_logic; ce_logic_5600000: in std_logic; clk_1: in std_logic; clk_22400000: in std_logic; clk_2500: in std_logic; clk_5600000: in std_logic; din_ch0: in std_logic_vector(25 downto 0); din_ch1: in std_logic_vector(25 downto 0); din_ch2: in std_logic_vector(25 downto 0); din_ch3: in std_logic_vector(25 downto 0); rst: in std_logic; ch_out: out std_logic_vector(1 downto 0); dout: out std_logic_vector(25 downto 0) ); end tdm_monit_1_entity_746ecf54b0; architecture structural of tdm_monit_1_entity_746ecf54b0 is signal ce_1_sg_x39: std_logic; signal ce_22400000_sg_x10: std_logic; signal ce_2500_sg_x2: std_logic; signal ce_5600000_sg_x10: std_logic; signal ce_logic_5600000_sg_x0: std_logic; signal clk_1_sg_x39: std_logic; signal clk_22400000_sg_x10: std_logic; signal clk_2500_sg_x2: std_logic; signal clk_5600000_sg_x10: std_logic; signal clock_enable_probe_q_net: std_logic; signal concat1_y_net_x0: std_logic_vector(25 downto 0); signal concat2_y_net_x0: std_logic_vector(25 downto 0); signal concat3_y_net_x0: std_logic_vector(25 downto 0); signal concat_y_net_x0: std_logic_vector(25 downto 0); signal constant11_op_net_x0: std_logic; signal down_sample_q_net_x2: std_logic_vector(1 downto 0); signal down_sample_q_net_x3: std_logic_vector(25 downto 0); signal mux_sel_op_net_x0: std_logic_vector(1 downto 0); signal mux_y_net_x0: std_logic_vector(25 downto 0); signal up_sample_ch0_q_net: std_logic_vector(25 downto 0); signal up_sample_ch1_q_net: std_logic_vector(25 downto 0); signal up_sample_ch2_q_net: std_logic_vector(25 downto 0); signal up_sample_ch3_q_net: std_logic_vector(25 downto 0); begin ce_1_sg_x39 <= ce_1; ce_22400000_sg_x10 <= ce_22400000; ce_2500_sg_x2 <= ce_2500; ce_5600000_sg_x10 <= ce_5600000; ce_logic_5600000_sg_x0 <= ce_logic_5600000; clk_1_sg_x39 <= clk_1; clk_22400000_sg_x10 <= clk_22400000; clk_2500_sg_x2 <= clk_2500; clk_5600000_sg_x10 <= clk_5600000; concat_y_net_x0 <= din_ch0; concat1_y_net_x0 <= din_ch1; concat2_y_net_x0 <= din_ch2; concat3_y_net_x0 <= din_ch3; constant11_op_net_x0 <= rst; ch_out <= down_sample_q_net_x2; dout <= down_sample_q_net_x3; clock_enable_probe: entity work.xlceprobe generic map ( d_width => 26, q_width => 1 ) port map ( ce => ce_logic_5600000_sg_x0, clk => clk_5600000_sg_x10, d => up_sample_ch0_q_net, q(0) => clock_enable_probe_q_net ); downsample1_312d531c6b: entity work.downsample1_entity_312d531c6b port map ( ce_1 => ce_1_sg_x39, ce_2500 => ce_2500_sg_x2, ce_5600000 => ce_5600000_sg_x10, clk_1 => clk_1_sg_x39, clk_2500 => clk_2500_sg_x2, clk_5600000 => clk_5600000_sg_x10, din => mux_y_net_x0, dout => down_sample_q_net_x3 ); downsample_f33f90217c: entity work.downsample_entity_f33f90217c port map ( ce_1 => ce_1_sg_x39, ce_2500 => ce_2500_sg_x2, ce_5600000 => ce_5600000_sg_x10, clk_1 => clk_1_sg_x39, clk_2500 => clk_2500_sg_x2, clk_5600000 => clk_5600000_sg_x10, din => mux_sel_op_net_x0, dout => down_sample_q_net_x2 ); mux: entity work.mux_187c900130 port map ( ce => '0', clk => '0', clr => '0', d0 => up_sample_ch0_q_net, d1 => up_sample_ch1_q_net, d2 => up_sample_ch2_q_net, d3 => up_sample_ch3_q_net, sel => mux_sel_op_net_x0, y => mux_y_net_x0 ); mux_sel: entity work.xlcounter_free generic map ( core_name0 => "cntr_11_0_3166d4cc5b09c744", op_arith => xlUnsigned, op_width => 2 ) port map ( ce => ce_1_sg_x39, clk => clk_1_sg_x39, clr => '0', en(0) => clock_enable_probe_q_net, rst(0) => constant11_op_net_x0, op => mux_sel_op_net_x0 ); up_sample_ch0: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlUnsigned, d_bin_pt => 0, d_width => 26, latency => 0, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 26 ) port map ( d => concat_y_net_x0, dest_ce => ce_5600000_sg_x10, dest_clk => clk_5600000_sg_x10, dest_clr => '0', en => "1", src_ce => ce_22400000_sg_x10, src_clk => clk_22400000_sg_x10, src_clr => '0', q => up_sample_ch0_q_net ); up_sample_ch1: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlUnsigned, d_bin_pt => 0, d_width => 26, latency => 0, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 26 ) port map ( d => concat1_y_net_x0, dest_ce => ce_5600000_sg_x10, dest_clk => clk_5600000_sg_x10, dest_clr => '0', en => "1", src_ce => ce_22400000_sg_x10, src_clk => clk_22400000_sg_x10, src_clr => '0', q => up_sample_ch1_q_net ); up_sample_ch2: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlUnsigned, d_bin_pt => 0, d_width => 26, latency => 0, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 26 ) port map ( d => concat2_y_net_x0, dest_ce => ce_5600000_sg_x10, dest_clk => clk_5600000_sg_x10, dest_clr => '0', en => "1", src_ce => ce_22400000_sg_x10, src_clk => clk_22400000_sg_x10, src_clr => '0', q => up_sample_ch2_q_net ); up_sample_ch3: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlUnsigned, d_bin_pt => 0, d_width => 26, latency => 0, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 26 ) port map ( d => concat3_y_net_x0, dest_ce => ce_5600000_sg_x10, dest_clk => clk_5600000_sg_x10, dest_clr => '0', en => "1", src_ce => ce_22400000_sg_x10, src_clk => clk_22400000_sg_x10, src_clr => '0', q => up_sample_ch3_q_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/convert_filt" entity convert_filt_entity_fda412c1bf is port ( din: in std_logic_vector(25 downto 0); dout: out std_logic_vector(24 downto 0) ); end convert_filt_entity_fda412c1bf; architecture structural of convert_filt_entity_fda412c1bf is signal down_sample_q_net_x4: std_logic_vector(25 downto 0); signal extractor1_dout_net: std_logic_vector(24 downto 0); signal reinterpret5_output_port_net_x0: std_logic_vector(24 downto 0); begin down_sample_q_net_x4 <= din; dout <= reinterpret5_output_port_net_x0; extractor1: entity work.bitbasher_a756ba0096 port map ( ce => '0', clk => '0', clr => '0', din => down_sample_q_net_x4, dout => extractor1_dout_net ); reinterpret5: entity work.reinterpret_60ea556961 port map ( ce => '0', clk => '0', clr => '0', input_port => extractor1_dout_net, output_port => reinterpret5_output_port_net_x0 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/delta-sigma_fofb/DataReg_En" entity datareg_en_entity_79473f9ed1 is port ( ce_1: in std_logic; clk_1: in std_logic; din: in std_logic_vector(24 downto 0); en: in std_logic; dout: out std_logic_vector(24 downto 0); valid: out std_logic ); end datareg_en_entity_79473f9ed1; architecture structural of datareg_en_entity_79473f9ed1 is signal ce_1_sg_x40: std_logic; signal clk_1_sg_x40: std_logic; signal divider_dout_valid_x0: std_logic; signal register1_q_net_x0: std_logic; signal register_q_net_x0: std_logic_vector(24 downto 0); signal reinterpret1_output_port_net_x0: std_logic_vector(24 downto 0); begin ce_1_sg_x40 <= ce_1; clk_1_sg_x40 <= clk_1; reinterpret1_output_port_net_x0 <= din; divider_dout_valid_x0 <= en; dout <= register_q_net_x0; valid <= register1_q_net_x0; register1: entity work.xlregister generic map ( d_width => 1, init_value => b"0" ) port map ( ce => ce_1_sg_x40, clk => clk_1_sg_x40, d(0) => divider_dout_valid_x0, en => "1", rst => "0", q(0) => register1_q_net_x0 ); register_x0: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_1_sg_x40, clk => clk_1_sg_x40, d => reinterpret1_output_port_net_x0, en(0) => divider_dout_valid_x0, rst => "0", q => register_q_net_x0 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/delta-sigma_fofb/DataReg_En3" entity datareg_en3_entity_6643090018 is port ( ce_1: in std_logic; clk_1: in std_logic; din: in std_logic_vector(24 downto 0); en: in std_logic; dout: out std_logic_vector(24 downto 0); valid: out std_logic ); end datareg_en3_entity_6643090018; architecture structural of datareg_en3_entity_6643090018 is signal ce_1_sg_x43: std_logic; signal clk_1_sg_x43: std_logic; signal convert_dout_net_x0: std_logic_vector(24 downto 0); signal delay1_q_net_x0: std_logic; signal register1_q_net_x0: std_logic; signal register_q_net_x0: std_logic_vector(24 downto 0); begin ce_1_sg_x43 <= ce_1; clk_1_sg_x43 <= clk_1; convert_dout_net_x0 <= din; delay1_q_net_x0 <= en; dout <= register_q_net_x0; valid <= register1_q_net_x0; register1: entity work.xlregister generic map ( d_width => 1, init_value => b"0" ) port map ( ce => ce_1_sg_x43, clk => clk_1_sg_x43, d(0) => delay1_q_net_x0, en => "1", rst => "0", q(0) => register1_q_net_x0 ); register_x0: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_1_sg_x43, clk => clk_1_sg_x43, d => convert_dout_net_x0, en(0) => delay1_q_net_x0, rst => "0", q => register_q_net_x0 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/delta-sigma_fofb/pulse_stretcher" entity pulse_stretcher_entity_9893378b63 is port ( ce_1: in std_logic; clk_1: in std_logic; clr: in std_logic; pulse_in: in std_logic; extd_out: out std_logic ); end pulse_stretcher_entity_9893378b63; architecture structural of pulse_stretcher_entity_9893378b63 is signal ce_1_sg_x44: std_logic; signal ce_70_x0: std_logic; signal clk_1_sg_x44: std_logic; signal inverter_op_net: std_logic; signal logical1_y_net: std_logic; signal logical2_y_net: std_logic; signal logical3_y_net_x0: std_logic; signal register1_q_net_x1: std_logic; signal register_q_net: std_logic; begin ce_1_sg_x44 <= ce_1; clk_1_sg_x44 <= clk_1; ce_70_x0 <= clr; register1_q_net_x1 <= pulse_in; extd_out <= logical3_y_net_x0; inverter: entity work.inverter_e5b38cca3b port map ( ce => ce_1_sg_x44, clk => clk_1_sg_x44, clr => '0', ip(0) => ce_70_x0, op(0) => inverter_op_net ); logical1: entity work.logical_80f90b97d0 port map ( ce => '0', clk => '0', clr => '0', d0(0) => register_q_net, d1(0) => inverter_op_net, y(0) => logical1_y_net ); logical2: entity work.logical_aacf6e1b0e port map ( ce => '0', clk => '0', clr => '0', d0(0) => register1_q_net_x1, d1(0) => logical1_y_net, y(0) => logical2_y_net ); logical3: entity work.logical_aacf6e1b0e port map ( ce => '0', clk => '0', clr => '0', d0(0) => register1_q_net_x1, d1(0) => register_q_net, y(0) => logical3_y_net_x0 ); register_x0: entity work.xlregister generic map ( d_width => 1, init_value => b"0" ) port map ( ce => ce_1_sg_x44, clk => clk_1_sg_x44, d(0) => logical2_y_net, en => "1", rst => "0", q(0) => register_q_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/delta-sigma_fofb" entity delta_sigma_fofb_entity_ee61e649ea is port ( a: in std_logic_vector(23 downto 0); b: in std_logic_vector(23 downto 0); c: in std_logic_vector(23 downto 0); ce_1: in std_logic; ce_2: in std_logic; ce_2240: in std_logic; ce_logic_2240: in std_logic; clk_1: in std_logic; clk_2: in std_logic; clk_2240: in std_logic; d: in std_logic_vector(23 downto 0); ds_thres: in std_logic_vector(25 downto 0); q: out std_logic_vector(24 downto 0); q_valid: out std_logic; sum_valid: out std_logic; sum_x0: out std_logic_vector(24 downto 0); x: out std_logic_vector(24 downto 0); x_valid: out std_logic; y: out std_logic_vector(24 downto 0); y_valid: out std_logic ); end delta_sigma_fofb_entity_ee61e649ea; architecture structural of delta_sigma_fofb_entity_ee61e649ea is signal a_plus_b_s_net: std_logic_vector(24 downto 0); signal a_plus_c_s_net: std_logic_vector(24 downto 0); signal a_plus_d_s_net: std_logic_vector(24 downto 0); signal assert10_dout_net_x1: std_logic; signal assert11_dout_net_x1: std_logic_vector(24 downto 0); signal assert12_dout_net_x1: std_logic; signal assert1_dout_net_x0: std_logic; signal assert5_dout_net_x1: std_logic_vector(24 downto 0); signal assert6_dout_net_x0: std_logic; signal assert8_dout_net_x1: std_logic_vector(24 downto 0); signal assert9_dout_net_x1: std_logic; signal assert_dout_net: std_logic; signal b_plus_c_s_net: std_logic_vector(24 downto 0); signal b_plus_d_s_net: std_logic_vector(24 downto 0); signal c_plus_d_s_net: std_logic_vector(24 downto 0); signal ce_1_sg_x52: std_logic; signal ce_2240_sg_x27: std_logic; signal ce_2_sg_x34: std_logic; signal ce_70_x3: std_logic; signal ce_logic_2240_sg_x0: std_logic; signal clk_1_sg_x52: std_logic; signal clk_2240_sg_x27: std_logic; signal clk_2_sg_x34: std_logic; signal convert_dout_net_x0: std_logic_vector(24 downto 0); signal del_sig_div_fofb_thres_i_net_x0: std_logic_vector(25 downto 0); signal delay1_q_net_x0: std_logic; signal delay_q_net: std_logic_vector(25 downto 0); signal delta_q_s_net: std_logic_vector(25 downto 0); signal delta_x_s_net: std_logic_vector(25 downto 0); signal delta_y_s_net: std_logic_vector(25 downto 0); signal din: std_logic_vector(25 downto 0); signal dividend_data: std_logic_vector(25 downto 0); signal dividend_ready: std_logic; signal dividend_ready_x0: std_logic; signal dividend_valid_x0: std_logic; signal dividend_valid_x1: std_logic; signal dividend_valid_x2: std_logic; signal divider_dout_fracc: std_logic_vector(24 downto 0); signal divider_dout_valid_x0: std_logic; signal divisor_data: std_logic_vector(25 downto 0); signal divisor_data_x0: std_logic_vector(25 downto 0); signal divisor_ready: std_logic; signal divisor_valid_x0: std_logic; signal dout_down_x1: std_logic_vector(24 downto 0); signal dout_stretch: std_logic_vector(24 downto 0); signal down_sample1_q_net: std_logic_vector(24 downto 0); signal down_sample1_q_net_x20: std_logic_vector(23 downto 0); signal down_sample1_q_net_x21: std_logic_vector(23 downto 0); signal down_sample2_q_net: std_logic; signal down_sample2_q_net_x20: std_logic_vector(23 downto 0); signal down_sample2_q_net_x21: std_logic_vector(23 downto 0); signal down_sample3_q_net: std_logic_vector(24 downto 0); signal down_sample4_q_net: std_logic; signal down_sample5_q_net: std_logic_vector(24 downto 0); signal down_sample6_q_net: std_logic; signal down_sample7_q_net: std_logic_vector(24 downto 0); signal down_sample8_q_net: std_logic; signal down_sample_q_net: std_logic_vector(25 downto 0); signal expression1_dout_net: std_logic; signal logical3_y_net_x0: std_logic; signal logical3_y_net_x1: std_logic; signal logical3_y_net_x2: std_logic; signal logical3_y_net_x3: std_logic; signal logical3_y_net_x4: std_logic; signal logical3_y_net_x5: std_logic; signal logical3_y_net_x6: std_logic; signal logical3_y_net_x7: std_logic; signal q_divider_m_axis_dout_tdata_fractional_net: std_logic_vector(24 downto 0); signal q_divider_m_axis_dout_tvalid_net_x0: std_logic; signal q_divider_s_axis_dividend_tready_net: std_logic; signal q_divider_s_axis_divisor_tready_net: std_logic; signal re_x0: std_logic; signal re_x1: std_logic; signal register10_q_net: std_logic_vector(25 downto 0); signal register11_q_net: std_logic_vector(24 downto 0); signal register12_q_net: std_logic_vector(24 downto 0); signal register13_q_net: std_logic_vector(24 downto 0); signal register14_q_net: std_logic_vector(25 downto 0); signal register1_q_net: std_logic_vector(24 downto 0); signal register1_q_net_x1: std_logic; signal register1_q_net_x2: std_logic; signal register1_q_net_x3: std_logic; signal register1_q_net_x4: std_logic; signal register2_q_net: std_logic_vector(24 downto 0); signal register3_q_net: std_logic_vector(24 downto 0); signal register4_q_net: std_logic_vector(24 downto 0); signal register5_q_net: std_logic_vector(24 downto 0); signal register6_q_net: std_logic_vector(24 downto 0); signal register7_q_net: std_logic_vector(25 downto 0); signal register_q_net_x0: std_logic_vector(24 downto 0); signal register_q_net_x1: std_logic_vector(24 downto 0); signal register_q_net_x2: std_logic_vector(24 downto 0); signal register_q_net_x3: std_logic_vector(24 downto 0); signal reinterpret1_output_port_net_x0: std_logic_vector(24 downto 0); signal reinterpret2_output_port_net_x0: std_logic_vector(24 downto 0); signal reinterpret3_output_port_net_x0: std_logic_vector(24 downto 0); signal reinterpret4_output_port_net: std_logic_vector(25 downto 0); signal reinterpret7_output_port_net: std_logic_vector(25 downto 0); signal reinterpret8_output_port_net: std_logic_vector(25 downto 0); signal relational_op_net: std_logic; signal sum_s_net: std_logic_vector(25 downto 0); signal up_sample2_q_net: std_logic_vector(25 downto 0); signal up_sample4_q_net: std_logic_vector(25 downto 0); signal up_sample6_q_net: std_logic_vector(25 downto 0); signal up_sample_q_net: std_logic_vector(25 downto 0); signal valid_ds_down_x1: std_logic; signal x_divider_m_axis_dout_tdata_fractional_net: std_logic_vector(24 downto 0); signal x_divider_m_axis_dout_tvalid_net_x0: std_logic; signal x_divider_s_axis_divisor_tready_net: std_logic; begin down_sample2_q_net_x20 <= a; down_sample1_q_net_x20 <= b; down_sample2_q_net_x21 <= c; ce_1_sg_x52 <= ce_1; ce_2_sg_x34 <= ce_2; ce_2240_sg_x27 <= ce_2240; ce_logic_2240_sg_x0 <= ce_logic_2240; clk_1_sg_x52 <= clk_1; clk_2_sg_x34 <= clk_2; clk_2240_sg_x27 <= clk_2240; down_sample1_q_net_x21 <= d; del_sig_div_fofb_thres_i_net_x0 <= ds_thres; q <= assert8_dout_net_x1; q_valid <= assert9_dout_net_x1; sum_valid <= assert12_dout_net_x1; sum_x0 <= assert11_dout_net_x1; x <= assert5_dout_net_x1; x_valid <= assert10_dout_net_x1; y <= dout_down_x1; y_valid <= valid_ds_down_x1; a_plus_b: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 24, b_arith => xlSigned, b_bin_pt => 22, b_width => 24, c_has_c_out => 0, c_latency => 0, c_output_width => 25, core_name0 => "addsb_11_0_26986301a9f671cd", extra_registers => 0, full_s_arith => 2, full_s_width => 25, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 25 ) port map ( a => down_sample2_q_net_x20, b => down_sample1_q_net_x20, ce => ce_2240_sg_x27, clk => clk_2240_sg_x27, clr => '0', en => "1", s => a_plus_b_s_net ); a_plus_c: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 24, b_arith => xlSigned, b_bin_pt => 22, b_width => 24, c_has_c_out => 0, c_latency => 0, c_output_width => 25, core_name0 => "addsb_11_0_26986301a9f671cd", extra_registers => 0, full_s_arith => 2, full_s_width => 25, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 25 ) port map ( a => down_sample2_q_net_x20, b => down_sample2_q_net_x21, ce => ce_2240_sg_x27, clk => clk_2240_sg_x27, clr => '0', en => "1", s => a_plus_c_s_net ); a_plus_d: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 24, b_arith => xlSigned, b_bin_pt => 22, b_width => 24, c_has_c_out => 0, c_latency => 0, c_output_width => 25, core_name0 => "addsb_11_0_26986301a9f671cd", extra_registers => 0, full_s_arith => 2, full_s_width => 25, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 25 ) port map ( a => down_sample2_q_net_x20, b => down_sample1_q_net_x21, ce => ce_2240_sg_x27, clk => clk_2240_sg_x27, clr => '0', en => "1", s => a_plus_d_s_net ); assert1: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => q_divider_s_axis_dividend_tready_net, dout(0) => assert1_dout_net_x0 ); assert10: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => down_sample6_q_net, dout(0) => assert10_dout_net_x1 ); assert11: entity work.xlpassthrough generic map ( din_width => 25, dout_width => 25 ) port map ( din => down_sample7_q_net, dout => assert11_dout_net_x1 ); assert12: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => down_sample8_q_net, dout(0) => assert12_dout_net_x1 ); assert2: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => dividend_ready_x0, dout(0) => re_x0 ); assert3: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => dividend_ready, dout(0) => re_x1 ); assert4: entity work.xlpassthrough generic map ( din_width => 25, dout_width => 25 ) port map ( din => down_sample1_q_net, dout => dout_down_x1 ); assert5: entity work.xlpassthrough generic map ( din_width => 25, dout_width => 25 ) port map ( din => down_sample5_q_net, dout => assert5_dout_net_x1 ); assert6: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => expression1_dout_net, dout(0) => assert6_dout_net_x0 ); assert7: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => down_sample2_q_net, dout(0) => valid_ds_down_x1 ); assert8: entity work.xlpassthrough generic map ( din_width => 25, dout_width => 25 ) port map ( din => down_sample3_q_net, dout => assert8_dout_net_x1 ); assert9: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => down_sample4_q_net, dout(0) => assert9_dout_net_x1 ); assert_x0: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => relational_op_net, dout(0) => assert_dout_net ); b_plus_c: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 24, b_arith => xlSigned, b_bin_pt => 22, b_width => 24, c_has_c_out => 0, c_latency => 0, c_output_width => 25, core_name0 => "addsb_11_0_26986301a9f671cd", extra_registers => 0, full_s_arith => 2, full_s_width => 25, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 25 ) port map ( a => down_sample1_q_net_x20, b => down_sample2_q_net_x21, ce => ce_2240_sg_x27, clk => clk_2240_sg_x27, clr => '0', en => "1", s => b_plus_c_s_net ); b_plus_d: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 24, b_arith => xlSigned, b_bin_pt => 22, b_width => 24, c_has_c_out => 0, c_latency => 0, c_output_width => 25, core_name0 => "addsb_11_0_26986301a9f671cd", extra_registers => 0, full_s_arith => 2, full_s_width => 25, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 25 ) port map ( a => down_sample1_q_net_x20, b => down_sample1_q_net_x21, ce => ce_2240_sg_x27, clk => clk_2240_sg_x27, clr => '0', en => "1", s => b_plus_d_s_net ); c_plus_d: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 24, b_arith => xlSigned, b_bin_pt => 22, b_width => 24, c_has_c_out => 0, c_latency => 0, c_output_width => 25, core_name0 => "addsb_11_0_26986301a9f671cd", extra_registers => 0, full_s_arith => 2, full_s_width => 25, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 25 ) port map ( a => down_sample2_q_net_x21, b => down_sample1_q_net_x21, ce => ce_2240_sg_x27, clk => clk_2240_sg_x27, clr => '0', en => "1", s => c_plus_d_s_net ); ce1: entity work.xlceprobe generic map ( d_width => 1, q_width => 1 ) port map ( ce => ce_logic_2240_sg_x0, clk => clk_2240_sg_x27, d(0) => assert_dout_net, q(0) => ce_70_x3 ); convert: entity work.xlconvert generic map ( bool_conversion => 0, din_arith => 2, din_bin_pt => 22, din_width => 26, dout_arith => 2, dout_bin_pt => 21, dout_width => 25, latency => 0, overflow => xlSaturate, quantization => xlRound ) port map ( ce => ce_1_sg_x52, clk => clk_1_sg_x52, clr => '0', din => delay_q_net, en => "1", dout => convert_dout_net_x0 ); datareg_en1_3225c09afc: entity work.datareg_en_entity_79473f9ed1 port map ( ce_1 => ce_1_sg_x52, clk_1 => clk_1_sg_x52, din => reinterpret2_output_port_net_x0, en => q_divider_m_axis_dout_tvalid_net_x0, dout => register_q_net_x1, valid => register1_q_net_x2 ); datareg_en2_5b5f4b61b7: entity work.datareg_en_entity_79473f9ed1 port map ( ce_1 => ce_1_sg_x52, clk_1 => clk_1_sg_x52, din => reinterpret3_output_port_net_x0, en => x_divider_m_axis_dout_tvalid_net_x0, dout => register_q_net_x2, valid => register1_q_net_x3 ); datareg_en3_6643090018: entity work.datareg_en3_entity_6643090018 port map ( ce_1 => ce_1_sg_x52, clk_1 => clk_1_sg_x52, din => convert_dout_net_x0, en => delay1_q_net_x0, dout => register_q_net_x3, valid => register1_q_net_x4 ); datareg_en_79473f9ed1: entity work.datareg_en_entity_79473f9ed1 port map ( ce_1 => ce_1_sg_x52, clk_1 => clk_1_sg_x52, din => reinterpret1_output_port_net_x0, en => divider_dout_valid_x0, dout => register_q_net_x0, valid => register1_q_net_x1 ); delay: entity work.xldelay generic map ( latency => 56, reg_retiming => 0, reset => 0, width => 26 ) port map ( ce => ce_1_sg_x52, clk => clk_1_sg_x52, d => reinterpret8_output_port_net, en => '1', rst => '1', q => delay_q_net ); delay1: entity work.xldelay generic map ( latency => 56, reg_retiming => 0, reset => 0, width => 1 ) port map ( ce => ce_1_sg_x52, clk => clk_1_sg_x52, d(0) => logical3_y_net_x4, en => '1', rst => '1', q(0) => delay1_q_net_x0 ); delta_q: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 25, b_arith => xlSigned, b_bin_pt => 22, b_width => 25, c_has_c_out => 0, c_latency => 0, c_output_width => 26, core_name0 => "addsb_11_0_8b0747970e52f130", extra_registers => 0, full_s_arith => 2, full_s_width => 26, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 26 ) port map ( a => register5_q_net, b => register6_q_net, ce => ce_2240_sg_x27, clk => clk_2240_sg_x27, clr => '0', en => "1", s => delta_q_s_net ); delta_x: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 25, b_arith => xlSigned, b_bin_pt => 22, b_width => 25, c_has_c_out => 0, c_latency => 0, c_output_width => 26, core_name0 => "addsb_11_0_8b0747970e52f130", extra_registers => 0, full_s_arith => 2, full_s_width => 26, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 26 ) port map ( a => register1_q_net, b => register3_q_net, ce => ce_2240_sg_x27, clk => clk_2240_sg_x27, clr => '0', en => "1", s => delta_x_s_net ); delta_y: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 25, b_arith => xlSigned, b_bin_pt => 22, b_width => 25, c_has_c_out => 0, c_latency => 0, c_output_width => 26, core_name0 => "addsb_11_0_8b0747970e52f130", extra_registers => 0, full_s_arith => 2, full_s_width => 26, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 26 ) port map ( a => register2_q_net, b => register4_q_net, ce => ce_2240_sg_x27, clk => clk_2240_sg_x27, clr => '0', en => "1", s => delta_y_s_net ); down_sample: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 22, d_width => 26, ds_ratio => 1120, latency => 1, phase => 1119, q_arith => xlSigned, q_bin_pt => 22, q_width => 26 ) port map ( d => register14_q_net, dest_ce => ce_2240_sg_x27, dest_clk => clk_2240_sg_x27, dest_clr => '0', en => "1", src_ce => ce_2_sg_x34, src_clk => clk_2_sg_x34, src_clr => '0', q => down_sample_q_net ); down_sample1: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 24, d_width => 25, ds_ratio => 2240, latency => 1, phase => 2239, q_arith => xlSigned, q_bin_pt => 24, q_width => 25 ) port map ( d => dout_stretch, dest_ce => ce_2240_sg_x27, dest_clk => clk_2240_sg_x27, dest_clr => '0', en => "1", src_ce => ce_1_sg_x52, src_clk => clk_1_sg_x52, src_clr => '0', q => down_sample1_q_net ); down_sample2: entity work.xldsamp generic map ( d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, ds_ratio => 2240, latency => 1, phase => 2239, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => logical3_y_net_x0, dest_ce => ce_2240_sg_x27, dest_clk => clk_2240_sg_x27, dest_clr => '0', en => "1", src_ce => ce_1_sg_x52, src_clk => clk_1_sg_x52, src_clr => '0', q(0) => down_sample2_q_net ); down_sample3: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 24, d_width => 25, ds_ratio => 2240, latency => 1, phase => 2239, q_arith => xlSigned, q_bin_pt => 24, q_width => 25 ) port map ( d => register11_q_net, dest_ce => ce_2240_sg_x27, dest_clk => clk_2240_sg_x27, dest_clr => '0', en => "1", src_ce => ce_1_sg_x52, src_clk => clk_1_sg_x52, src_clr => '0', q => down_sample3_q_net ); down_sample4: entity work.xldsamp generic map ( d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, ds_ratio => 2240, latency => 1, phase => 2239, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => logical3_y_net_x1, dest_ce => ce_2240_sg_x27, dest_clk => clk_2240_sg_x27, dest_clr => '0', en => "1", src_ce => ce_1_sg_x52, src_clk => clk_1_sg_x52, src_clr => '0', q(0) => down_sample4_q_net ); down_sample5: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 24, d_width => 25, ds_ratio => 2240, latency => 1, phase => 2239, q_arith => xlSigned, q_bin_pt => 24, q_width => 25 ) port map ( d => register12_q_net, dest_ce => ce_2240_sg_x27, dest_clk => clk_2240_sg_x27, dest_clr => '0', en => "1", src_ce => ce_1_sg_x52, src_clk => clk_1_sg_x52, src_clr => '0', q => down_sample5_q_net ); down_sample6: entity work.xldsamp generic map ( d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, ds_ratio => 2240, latency => 1, phase => 2239, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => logical3_y_net_x2, dest_ce => ce_2240_sg_x27, dest_clk => clk_2240_sg_x27, dest_clr => '0', en => "1", src_ce => ce_1_sg_x52, src_clk => clk_1_sg_x52, src_clr => '0', q(0) => down_sample6_q_net ); down_sample7: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 21, d_width => 25, ds_ratio => 2240, latency => 1, phase => 2239, q_arith => xlSigned, q_bin_pt => 21, q_width => 25 ) port map ( d => register13_q_net, dest_ce => ce_2240_sg_x27, dest_clk => clk_2240_sg_x27, dest_clr => '0', en => "1", src_ce => ce_1_sg_x52, src_clk => clk_1_sg_x52, src_clr => '0', q => down_sample7_q_net ); down_sample8: entity work.xldsamp generic map ( d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, ds_ratio => 2240, latency => 1, phase => 2239, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => logical3_y_net_x3, dest_ce => ce_2240_sg_x27, dest_clk => clk_2240_sg_x27, dest_clr => '0', en => "1", src_ce => ce_1_sg_x52, src_clk => clk_1_sg_x52, src_clr => '0', q(0) => down_sample8_q_net ); expression1: entity work.expr_375d7bbece port map ( a(0) => x_divider_s_axis_divisor_tready_net, b(0) => divisor_ready, c(0) => q_divider_s_axis_divisor_tready_net, ce => '0', clk => '0', clr => '0', dout(0) => expression1_dout_net ); pulse_stretcher1_f6401a1a3d: entity work.pulse_stretcher_entity_9893378b63 port map ( ce_1 => ce_1_sg_x52, clk_1 => clk_1_sg_x52, clr => ce_70_x3, pulse_in => register1_q_net_x2, extd_out => logical3_y_net_x1 ); pulse_stretcher2_38948aaba0: entity work.pulse_stretcher_entity_9893378b63 port map ( ce_1 => ce_1_sg_x52, clk_1 => clk_1_sg_x52, clr => ce_70_x3, pulse_in => register1_q_net_x3, extd_out => logical3_y_net_x2 ); pulse_stretcher3_816d954034: entity work.pulse_stretcher_entity_9893378b63 port map ( ce_1 => ce_1_sg_x52, clk_1 => clk_1_sg_x52, clr => ce_70_x3, pulse_in => register1_q_net_x4, extd_out => logical3_y_net_x3 ); pulse_stretcher4_5d505b900f: entity work.pulse_stretcher_entity_9893378b63 port map ( ce_1 => ce_1_sg_x52, clk_1 => clk_1_sg_x52, clr => assert6_dout_net_x0, pulse_in => divisor_valid_x0, extd_out => logical3_y_net_x4 ); pulse_stretcher5_bee4540339: entity work.pulse_stretcher_entity_9893378b63 port map ( ce_1 => ce_1_sg_x52, clk_1 => clk_1_sg_x52, clr => re_x0, pulse_in => dividend_valid_x0, extd_out => logical3_y_net_x5 ); pulse_stretcher6_f82d879b1c: entity work.pulse_stretcher_entity_9893378b63 port map ( ce_1 => ce_1_sg_x52, clk_1 => clk_1_sg_x52, clr => assert1_dout_net_x0, pulse_in => dividend_valid_x1, extd_out => logical3_y_net_x6 ); pulse_stretcher7_2406c4a105: entity work.pulse_stretcher_entity_9893378b63 port map ( ce_1 => ce_1_sg_x52, clk_1 => clk_1_sg_x52, clr => re_x1, pulse_in => dividend_valid_x2, extd_out => logical3_y_net_x7 ); pulse_stretcher_9893378b63: entity work.pulse_stretcher_entity_9893378b63 port map ( ce_1 => ce_1_sg_x52, clk_1 => clk_1_sg_x52, clr => ce_70_x3, pulse_in => register1_q_net_x1, extd_out => logical3_y_net_x0 ); q_divider: entity work.xldivider_generator_ee95dc360423b121d9ecd626691cc2ae port map ( ce => ce_1_sg_x52, clk => clk_1_sg_x52, s_axis_dividend_tdata_dividend => reinterpret7_output_port_net, s_axis_dividend_tvalid => logical3_y_net_x6, s_axis_divisor_tdata_divisor => divisor_data_x0, s_axis_divisor_tvalid => logical3_y_net_x4, m_axis_dout_tdata_fractional => q_divider_m_axis_dout_tdata_fractional_net, m_axis_dout_tvalid => q_divider_m_axis_dout_tvalid_net_x0, s_axis_dividend_tready => q_divider_s_axis_dividend_tready_net, s_axis_divisor_tready => q_divider_s_axis_divisor_tready_net ); register1: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_2240_sg_x27, clk => clk_2240_sg_x27, d => b_plus_c_s_net, en => "1", rst => "0", q => register1_q_net ); register10: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_2240_sg_x27, clk => clk_2240_sg_x27, d => delta_q_s_net, en => "1", rst => "0", q => register10_q_net ); register11: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_1_sg_x52, clk => clk_1_sg_x52, d => register_q_net_x1, en => "1", rst => "0", q => register11_q_net ); register12: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_1_sg_x52, clk => clk_1_sg_x52, d => register_q_net_x2, en => "1", rst => "0", q => register12_q_net ); register13: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_1_sg_x52, clk => clk_1_sg_x52, d => register_q_net_x3, en => "1", rst => "0", q => register13_q_net ); register14: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_2_sg_x34, clk => clk_2_sg_x34, d => del_sig_div_fofb_thres_i_net_x0, en => "1", rst => "0", q => register14_q_net ); register2: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_2240_sg_x27, clk => clk_2240_sg_x27, d => a_plus_b_s_net, en => "1", rst => "0", q => register2_q_net ); register3: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_2240_sg_x27, clk => clk_2240_sg_x27, d => a_plus_d_s_net, en => "1", rst => "0", q => register3_q_net ); register4: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_2240_sg_x27, clk => clk_2240_sg_x27, d => c_plus_d_s_net, en => "1", rst => "0", q => register4_q_net ); register5: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_2240_sg_x27, clk => clk_2240_sg_x27, d => a_plus_c_s_net, en => "1", rst => "0", q => register5_q_net ); register6: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_2240_sg_x27, clk => clk_2240_sg_x27, d => b_plus_d_s_net, en => "1", rst => "0", q => register6_q_net ); register7: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_2240_sg_x27, clk => clk_2240_sg_x27, d => delta_x_s_net, en => "1", rst => "0", q => register7_q_net ); register8: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_2240_sg_x27, clk => clk_2240_sg_x27, d => sum_s_net, en => "1", rst => "0", q => divisor_data ); register9: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_2240_sg_x27, clk => clk_2240_sg_x27, d => delta_y_s_net, en => "1", rst => "0", q => din ); register_x0: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_1_sg_x52, clk => clk_1_sg_x52, d => register_q_net_x0, en => "1", rst => "0", q => dout_stretch ); reinterpret1: entity work.reinterpret_31a4235b32 port map ( ce => '0', clk => '0', clr => '0', input_port => divider_dout_fracc, output_port => reinterpret1_output_port_net_x0 ); reinterpret2: entity work.reinterpret_31a4235b32 port map ( ce => '0', clk => '0', clr => '0', input_port => q_divider_m_axis_dout_tdata_fractional_net, output_port => reinterpret2_output_port_net_x0 ); reinterpret3: entity work.reinterpret_31a4235b32 port map ( ce => '0', clk => '0', clr => '0', input_port => x_divider_m_axis_dout_tdata_fractional_net, output_port => reinterpret3_output_port_net_x0 ); reinterpret4: entity work.reinterpret_040ef1b598 port map ( ce => '0', clk => '0', clr => '0', input_port => up_sample6_q_net, output_port => reinterpret4_output_port_net ); reinterpret5: entity work.reinterpret_040ef1b598 port map ( ce => '0', clk => '0', clr => '0', input_port => up_sample2_q_net, output_port => divisor_data_x0 ); reinterpret6: entity work.reinterpret_040ef1b598 port map ( ce => '0', clk => '0', clr => '0', input_port => up_sample_q_net, output_port => dividend_data ); reinterpret7: entity work.reinterpret_040ef1b598 port map ( ce => '0', clk => '0', clr => '0', input_port => up_sample4_q_net, output_port => reinterpret7_output_port_net ); reinterpret8: entity work.reinterpret_040ef1b598 port map ( ce => '0', clk => '0', clr => '0', input_port => divisor_data_x0, output_port => reinterpret8_output_port_net ); relational: entity work.relational_416cfcae1e port map ( a => divisor_data, b => down_sample_q_net, ce => ce_2240_sg_x27, clk => clk_2240_sg_x27, clr => '0', op(0) => relational_op_net ); sum: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 25, b_arith => xlSigned, b_bin_pt => 22, b_width => 25, c_has_c_out => 0, c_latency => 0, c_output_width => 26, core_name0 => "addsb_11_0_239e4f614ba09ab1", extra_registers => 0, full_s_arith => 2, full_s_width => 26, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 26 ) port map ( a => register3_q_net, b => register1_q_net, ce => ce_2240_sg_x27, clk => clk_2240_sg_x27, clr => '0', en => "1", s => sum_s_net ); up_sample: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 22, d_width => 26, latency => 0, q_arith => xlSigned, q_bin_pt => 22, q_width => 26 ) port map ( d => din, dest_ce => ce_1_sg_x52, dest_clk => clk_1_sg_x52, dest_clr => '0', en => "1", src_ce => ce_2240_sg_x27, src_clk => clk_2240_sg_x27, src_clr => '0', q => up_sample_q_net ); up_sample1: entity work.xlusamp generic map ( copy_samples => 0, d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, latency => 0, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => assert_dout_net, dest_ce => ce_1_sg_x52, dest_clk => clk_1_sg_x52, dest_clr => '0', en => "1", src_ce => ce_2240_sg_x27, src_clk => clk_2240_sg_x27, src_clr => '0', q(0) => dividend_valid_x0 ); up_sample2: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 22, d_width => 26, latency => 0, q_arith => xlSigned, q_bin_pt => 22, q_width => 26 ) port map ( d => divisor_data, dest_ce => ce_1_sg_x52, dest_clk => clk_1_sg_x52, dest_clr => '0', en => "1", src_ce => ce_2240_sg_x27, src_clk => clk_2240_sg_x27, src_clr => '0', q => up_sample2_q_net ); up_sample3: entity work.xlusamp generic map ( copy_samples => 0, d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, latency => 0, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => assert_dout_net, dest_ce => ce_1_sg_x52, dest_clk => clk_1_sg_x52, dest_clr => '0', en => "1", src_ce => ce_2240_sg_x27, src_clk => clk_2240_sg_x27, src_clr => '0', q(0) => divisor_valid_x0 ); up_sample4: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 22, d_width => 26, latency => 0, q_arith => xlSigned, q_bin_pt => 22, q_width => 26 ) port map ( d => register10_q_net, dest_ce => ce_1_sg_x52, dest_clk => clk_1_sg_x52, dest_clr => '0', en => "1", src_ce => ce_2240_sg_x27, src_clk => clk_2240_sg_x27, src_clr => '0', q => up_sample4_q_net ); up_sample5: entity work.xlusamp generic map ( copy_samples => 0, d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, latency => 0, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => assert_dout_net, dest_ce => ce_1_sg_x52, dest_clk => clk_1_sg_x52, dest_clr => '0', en => "1", src_ce => ce_2240_sg_x27, src_clk => clk_2240_sg_x27, src_clr => '0', q(0) => dividend_valid_x1 ); up_sample6: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 22, d_width => 26, latency => 0, q_arith => xlSigned, q_bin_pt => 22, q_width => 26 ) port map ( d => register7_q_net, dest_ce => ce_1_sg_x52, dest_clk => clk_1_sg_x52, dest_clr => '0', en => "1", src_ce => ce_2240_sg_x27, src_clk => clk_2240_sg_x27, src_clr => '0', q => up_sample6_q_net ); up_sample7: entity work.xlusamp generic map ( copy_samples => 0, d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, latency => 0, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => assert_dout_net, dest_ce => ce_1_sg_x52, dest_clk => clk_1_sg_x52, dest_clr => '0', en => "1", src_ce => ce_2240_sg_x27, src_clk => clk_2240_sg_x27, src_clr => '0', q(0) => dividend_valid_x2 ); x_divider: entity work.xldivider_generator_ee95dc360423b121d9ecd626691cc2ae port map ( ce => ce_1_sg_x52, clk => clk_1_sg_x52, s_axis_dividend_tdata_dividend => reinterpret4_output_port_net, s_axis_dividend_tvalid => logical3_y_net_x7, s_axis_divisor_tdata_divisor => divisor_data_x0, s_axis_divisor_tvalid => logical3_y_net_x4, m_axis_dout_tdata_fractional => x_divider_m_axis_dout_tdata_fractional_net, m_axis_dout_tvalid => x_divider_m_axis_dout_tvalid_net_x0, s_axis_dividend_tready => dividend_ready, s_axis_divisor_tready => x_divider_s_axis_divisor_tready_net ); y_divider: entity work.xldivider_generator_ee95dc360423b121d9ecd626691cc2ae port map ( ce => ce_1_sg_x52, clk => clk_1_sg_x52, s_axis_dividend_tdata_dividend => dividend_data, s_axis_dividend_tvalid => logical3_y_net_x5, s_axis_divisor_tdata_divisor => divisor_data_x0, s_axis_divisor_tvalid => logical3_y_net_x4, m_axis_dout_tdata_fractional => divider_dout_fracc, m_axis_dout_tvalid => divider_dout_valid_x0, s_axis_dividend_tready => dividend_ready_x0, s_axis_divisor_tready => divisor_ready ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/delta-sigma_monit/downsample1" entity downsample1_entity_4c88924603 is port ( ce_1: in std_logic; ce_22400000: in std_logic; ce_5000: in std_logic; clk_1: in std_logic; clk_22400000: in std_logic; clk_5000: in std_logic; din: in std_logic_vector(24 downto 0); dout: out std_logic_vector(24 downto 0) ); end downsample1_entity_4c88924603; architecture structural of downsample1_entity_4c88924603 is signal ce_1_sg_x57: std_logic; signal ce_22400000_sg_x11: std_logic; signal ce_5000_sg_x0: std_logic; signal clk_1_sg_x57: std_logic; signal clk_22400000_sg_x11: std_logic; signal clk_5000_sg_x0: std_logic; signal down_sample5_q_net: std_logic_vector(24 downto 0); signal down_sample_q_net_x0: std_logic_vector(24 downto 0); signal register13_q_net_x0: std_logic_vector(24 downto 0); begin ce_1_sg_x57 <= ce_1; ce_22400000_sg_x11 <= ce_22400000; ce_5000_sg_x0 <= ce_5000; clk_1_sg_x57 <= clk_1; clk_22400000_sg_x11 <= clk_22400000; clk_5000_sg_x0 <= clk_5000; register13_q_net_x0 <= din; dout <= down_sample_q_net_x0; down_sample: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 21, d_width => 25, ds_ratio => 4480, latency => 1, phase => 4479, q_arith => xlSigned, q_bin_pt => 21, q_width => 25 ) port map ( d => down_sample5_q_net, dest_ce => ce_22400000_sg_x11, dest_clk => clk_22400000_sg_x11, dest_clr => '0', en => "1", src_ce => ce_5000_sg_x0, src_clk => clk_5000_sg_x0, src_clr => '0', q => down_sample_q_net_x0 ); down_sample5: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 21, d_width => 25, ds_ratio => 5000, latency => 1, phase => 4999, q_arith => xlSigned, q_bin_pt => 21, q_width => 25 ) port map ( d => register13_q_net_x0, dest_ce => ce_5000_sg_x0, dest_clk => clk_5000_sg_x0, dest_clr => '0', en => "1", src_ce => ce_1_sg_x57, src_clk => clk_1_sg_x57, src_clr => '0', q => down_sample5_q_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/delta-sigma_monit/downsample2" entity downsample2_entity_891f07b1a7 is port ( ce_1: in std_logic; ce_22400000: in std_logic; ce_5000: in std_logic; clk_1: in std_logic; clk_22400000: in std_logic; clk_5000: in std_logic; din: in std_logic; dout: out std_logic ); end downsample2_entity_891f07b1a7; architecture structural of downsample2_entity_891f07b1a7 is signal ce_1_sg_x58: std_logic; signal ce_22400000_sg_x12: std_logic; signal ce_5000_sg_x1: std_logic; signal clk_1_sg_x58: std_logic; signal clk_22400000_sg_x12: std_logic; signal clk_5000_sg_x1: std_logic; signal down_sample5_q_net: std_logic; signal down_sample_q_net_x0: std_logic; signal logical3_y_net_x0: std_logic; begin ce_1_sg_x58 <= ce_1; ce_22400000_sg_x12 <= ce_22400000; ce_5000_sg_x1 <= ce_5000; clk_1_sg_x58 <= clk_1; clk_22400000_sg_x12 <= clk_22400000; clk_5000_sg_x1 <= clk_5000; logical3_y_net_x0 <= din; dout <= down_sample_q_net_x0; down_sample: entity work.xldsamp generic map ( d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, ds_ratio => 4480, latency => 1, phase => 4479, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => down_sample5_q_net, dest_ce => ce_22400000_sg_x12, dest_clk => clk_22400000_sg_x12, dest_clr => '0', en => "1", src_ce => ce_5000_sg_x1, src_clk => clk_5000_sg_x1, src_clr => '0', q(0) => down_sample_q_net_x0 ); down_sample5: entity work.xldsamp generic map ( d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, ds_ratio => 5000, latency => 1, phase => 4999, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => logical3_y_net_x0, dest_ce => ce_5000_sg_x1, dest_clk => clk_5000_sg_x1, dest_clr => '0', en => "1", src_ce => ce_1_sg_x58, src_clk => clk_1_sg_x58, src_clr => '0', q(0) => down_sample5_q_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/delta-sigma_monit/downsample3" entity downsample3_entity_dba589aaee is port ( ce_1: in std_logic; ce_22400000: in std_logic; ce_5000: in std_logic; clk_1: in std_logic; clk_22400000: in std_logic; clk_5000: in std_logic; din: in std_logic_vector(24 downto 0); dout: out std_logic_vector(24 downto 0) ); end downsample3_entity_dba589aaee; architecture structural of downsample3_entity_dba589aaee is signal ce_1_sg_x59: std_logic; signal ce_22400000_sg_x13: std_logic; signal ce_5000_sg_x2: std_logic; signal clk_1_sg_x59: std_logic; signal clk_22400000_sg_x13: std_logic; signal clk_5000_sg_x2: std_logic; signal down_sample5_q_net: std_logic_vector(24 downto 0); signal down_sample_q_net_x0: std_logic_vector(24 downto 0); signal register12_q_net_x0: std_logic_vector(24 downto 0); begin ce_1_sg_x59 <= ce_1; ce_22400000_sg_x13 <= ce_22400000; ce_5000_sg_x2 <= ce_5000; clk_1_sg_x59 <= clk_1; clk_22400000_sg_x13 <= clk_22400000; clk_5000_sg_x2 <= clk_5000; register12_q_net_x0 <= din; dout <= down_sample_q_net_x0; down_sample: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 24, d_width => 25, ds_ratio => 4480, latency => 1, phase => 4479, q_arith => xlSigned, q_bin_pt => 24, q_width => 25 ) port map ( d => down_sample5_q_net, dest_ce => ce_22400000_sg_x13, dest_clk => clk_22400000_sg_x13, dest_clr => '0', en => "1", src_ce => ce_5000_sg_x2, src_clk => clk_5000_sg_x2, src_clr => '0', q => down_sample_q_net_x0 ); down_sample5: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 24, d_width => 25, ds_ratio => 5000, latency => 1, phase => 4999, q_arith => xlSigned, q_bin_pt => 24, q_width => 25 ) port map ( d => register12_q_net_x0, dest_ce => ce_5000_sg_x2, dest_clk => clk_5000_sg_x2, dest_clr => '0', en => "1", src_ce => ce_1_sg_x59, src_clk => clk_1_sg_x59, src_clr => '0', q => down_sample5_q_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/delta-sigma_monit/downsample7" entity downsample7_entity_b85055cb62 is port ( ce_10000: in std_logic; ce_2: in std_logic; ce_44800000: in std_logic; clk_10000: in std_logic; clk_2: in std_logic; clk_44800000: in std_logic; din: in std_logic_vector(25 downto 0); dout: out std_logic_vector(25 downto 0) ); end downsample7_entity_b85055cb62; architecture structural of downsample7_entity_b85055cb62 is signal ce_10000_sg_x0: std_logic; signal ce_2_sg_x35: std_logic; signal ce_44800000_sg_x0: std_logic; signal clk_10000_sg_x0: std_logic; signal clk_2_sg_x35: std_logic; signal clk_44800000_sg_x0: std_logic; signal down_sample5_q_net: std_logic_vector(25 downto 0); signal down_sample_q_net_x0: std_logic_vector(25 downto 0); signal register14_q_net_x0: std_logic_vector(25 downto 0); begin ce_10000_sg_x0 <= ce_10000; ce_2_sg_x35 <= ce_2; ce_44800000_sg_x0 <= ce_44800000; clk_10000_sg_x0 <= clk_10000; clk_2_sg_x35 <= clk_2; clk_44800000_sg_x0 <= clk_44800000; register14_q_net_x0 <= din; dout <= down_sample_q_net_x0; down_sample: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 22, d_width => 26, ds_ratio => 4480, latency => 1, phase => 4479, q_arith => xlSigned, q_bin_pt => 22, q_width => 26 ) port map ( d => down_sample5_q_net, dest_ce => ce_44800000_sg_x0, dest_clk => clk_44800000_sg_x0, dest_clr => '0', en => "1", src_ce => ce_10000_sg_x0, src_clk => clk_10000_sg_x0, src_clr => '0', q => down_sample_q_net_x0 ); down_sample5: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 22, d_width => 26, ds_ratio => 5000, latency => 1, phase => 4999, q_arith => xlSigned, q_bin_pt => 22, q_width => 26 ) port map ( d => register14_q_net_x0, dest_ce => ce_10000_sg_x0, dest_clk => clk_10000_sg_x0, dest_clr => '0', en => "1", src_ce => ce_2_sg_x35, src_clk => clk_2_sg_x35, src_clr => '0', q => down_sample5_q_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/delta-sigma_monit/upsample_copy_pad" entity upsample_copy_pad_entity_86c97eac4f is port ( ce_1: in std_logic; ce_22400000: in std_logic; ce_4480: in std_logic; clk_1: in std_logic; clk_22400000: in std_logic; clk_4480: in std_logic; din: in std_logic_vector(25 downto 0); dout: out std_logic_vector(25 downto 0) ); end upsample_copy_pad_entity_86c97eac4f; architecture structural of upsample_copy_pad_entity_86c97eac4f is signal ce_1_sg_x73: std_logic; signal ce_22400000_sg_x19: std_logic; signal ce_4480_sg_x0: std_logic; signal clk_1_sg_x73: std_logic; signal clk_22400000_sg_x19: std_logic; signal clk_4480_sg_x0: std_logic; signal register10_q_net_x0: std_logic_vector(25 downto 0); signal up_sample1_q_net_x0: std_logic_vector(25 downto 0); signal up_sample5_q_net: std_logic_vector(25 downto 0); begin ce_1_sg_x73 <= ce_1; ce_22400000_sg_x19 <= ce_22400000; ce_4480_sg_x0 <= ce_4480; clk_1_sg_x73 <= clk_1; clk_22400000_sg_x19 <= clk_22400000; clk_4480_sg_x0 <= clk_4480; register10_q_net_x0 <= din; dout <= up_sample1_q_net_x0; up_sample1: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 22, d_width => 26, latency => 0, q_arith => xlSigned, q_bin_pt => 22, q_width => 26 ) port map ( d => up_sample5_q_net, dest_ce => ce_1_sg_x73, dest_clk => clk_1_sg_x73, dest_clr => '0', en => "1", src_ce => ce_4480_sg_x0, src_clk => clk_4480_sg_x0, src_clr => '0', q => up_sample1_q_net_x0 ); up_sample5: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 22, d_width => 26, latency => 0, q_arith => xlSigned, q_bin_pt => 22, q_width => 26 ) port map ( d => register10_q_net_x0, dest_ce => ce_4480_sg_x0, dest_clk => clk_4480_sg_x0, dest_clr => '0', en => "1", src_ce => ce_22400000_sg_x19, src_clk => clk_22400000_sg_x19, src_clr => '0', q => up_sample5_q_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/delta-sigma_monit/upsample_copy_pad1" entity upsample_copy_pad1_entity_edde199d79 is port ( ce_1: in std_logic; ce_22400000: in std_logic; ce_4480: in std_logic; clk_1: in std_logic; clk_22400000: in std_logic; clk_4480: in std_logic; din_x0: in std_logic_vector(25 downto 0); dout: out std_logic_vector(25 downto 0) ); end upsample_copy_pad1_entity_edde199d79; architecture structural of upsample_copy_pad1_entity_edde199d79 is signal ce_1_sg_x74: std_logic; signal ce_22400000_sg_x20: std_logic; signal ce_4480_sg_x1: std_logic; signal clk_1_sg_x74: std_logic; signal clk_22400000_sg_x20: std_logic; signal clk_4480_sg_x1: std_logic; signal din_x1: std_logic_vector(25 downto 0); signal up_sample1_q_net_x0: std_logic_vector(25 downto 0); signal up_sample5_q_net: std_logic_vector(25 downto 0); begin ce_1_sg_x74 <= ce_1; ce_22400000_sg_x20 <= ce_22400000; ce_4480_sg_x1 <= ce_4480; clk_1_sg_x74 <= clk_1; clk_22400000_sg_x20 <= clk_22400000; clk_4480_sg_x1 <= clk_4480; din_x1 <= din_x0; dout <= up_sample1_q_net_x0; up_sample1: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 22, d_width => 26, latency => 0, q_arith => xlSigned, q_bin_pt => 22, q_width => 26 ) port map ( d => up_sample5_q_net, dest_ce => ce_1_sg_x74, dest_clk => clk_1_sg_x74, dest_clr => '0', en => "1", src_ce => ce_4480_sg_x1, src_clk => clk_4480_sg_x1, src_clr => '0', q => up_sample1_q_net_x0 ); up_sample5: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 22, d_width => 26, latency => 0, q_arith => xlSigned, q_bin_pt => 22, q_width => 26 ) port map ( d => din_x1, dest_ce => ce_4480_sg_x1, dest_clk => clk_4480_sg_x1, dest_clr => '0', en => "1", src_ce => ce_22400000_sg_x20, src_clk => clk_22400000_sg_x20, src_clr => '0', q => up_sample5_q_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/delta-sigma_monit/upsample_zero_pad" entity upsample_zero_pad_entity_e334b63be9 is port ( ce_1: in std_logic; ce_22400000: in std_logic; ce_4480: in std_logic; clk_1: in std_logic; clk_22400000: in std_logic; clk_4480: in std_logic; din: in std_logic; dout: out std_logic ); end upsample_zero_pad_entity_e334b63be9; architecture structural of upsample_zero_pad_entity_e334b63be9 is signal assert13_dout_net_x0: std_logic; signal ce_1_sg_x77: std_logic; signal ce_22400000_sg_x23: std_logic; signal ce_4480_sg_x4: std_logic; signal clk_1_sg_x77: std_logic; signal clk_22400000_sg_x23: std_logic; signal clk_4480_sg_x4: std_logic; signal up_sample1_q_net_x1: std_logic; signal up_sample5_q_net: std_logic; begin ce_1_sg_x77 <= ce_1; ce_22400000_sg_x23 <= ce_22400000; ce_4480_sg_x4 <= ce_4480; clk_1_sg_x77 <= clk_1; clk_22400000_sg_x23 <= clk_22400000; clk_4480_sg_x4 <= clk_4480; assert13_dout_net_x0 <= din; dout <= up_sample1_q_net_x1; up_sample1: entity work.xlusamp generic map ( copy_samples => 0, d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, latency => 0, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => up_sample5_q_net, dest_ce => ce_1_sg_x77, dest_clk => clk_1_sg_x77, dest_clr => '0', en => "1", src_ce => ce_4480_sg_x4, src_clk => clk_4480_sg_x4, src_clr => '0', q(0) => up_sample1_q_net_x1 ); up_sample5: entity work.xlusamp generic map ( copy_samples => 0, d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, latency => 0, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => assert13_dout_net_x0, dest_ce => ce_4480_sg_x4, dest_clk => clk_4480_sg_x4, dest_clr => '0', en => "1", src_ce => ce_22400000_sg_x23, src_clk => clk_22400000_sg_x23, src_clr => '0', q(0) => up_sample5_q_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/delta-sigma_monit" entity delta_sigma_monit_entity_a8f8b81626 is port ( a: in std_logic_vector(23 downto 0); b: in std_logic_vector(23 downto 0); c: in std_logic_vector(23 downto 0); ce_1: in std_logic; ce_10000: in std_logic; ce_2: in std_logic; ce_22400000: in std_logic; ce_4480: in std_logic; ce_44800000: in std_logic; ce_5000: in std_logic; ce_logic_22400000: in std_logic; clk_1: in std_logic; clk_10000: in std_logic; clk_2: in std_logic; clk_22400000: in std_logic; clk_4480: in std_logic; clk_44800000: in std_logic; clk_5000: in std_logic; d: in std_logic_vector(23 downto 0); ds_thres: in std_logic_vector(25 downto 0); q: out std_logic_vector(24 downto 0); q_valid: out std_logic; sum_valid: out std_logic; sum_x0: out std_logic_vector(24 downto 0); x: out std_logic_vector(24 downto 0); x_valid: out std_logic; y: out std_logic_vector(24 downto 0); y_valid: out std_logic ); end delta_sigma_monit_entity_a8f8b81626; architecture structural of delta_sigma_monit_entity_a8f8b81626 is signal a_plus_b_s_net: std_logic_vector(24 downto 0); signal a_plus_c_s_net: std_logic_vector(24 downto 0); signal a_plus_d_s_net: std_logic_vector(24 downto 0); signal assert10_dout_net_x1: std_logic; signal assert11_dout_net_x1: std_logic_vector(24 downto 0); signal assert12_dout_net_x1: std_logic; signal assert13_dout_net_x3: std_logic; signal assert2_dout_net_x0: std_logic; signal assert4_dout_net_x1: std_logic_vector(24 downto 0); signal assert5_dout_net_x1: std_logic_vector(24 downto 0); signal assert6_dout_net_x0: std_logic; signal assert9_dout_net_x1: std_logic; signal b_plus_c_s_net: std_logic_vector(24 downto 0); signal b_plus_d_s_net: std_logic_vector(24 downto 0); signal c_plus_d_s_net: std_logic_vector(24 downto 0); signal ce_10000_sg_x1: std_logic; signal ce_1_sg_x81: std_logic; signal ce_22400000_sg_x27: std_logic; signal ce_2_sg_x36: std_logic; signal ce_44800000_sg_x1: std_logic; signal ce_4480_sg_x8: std_logic; signal ce_5000_sg_x8: std_logic; signal ce_70_x3: std_logic; signal ce_logic_22400000_sg_x0: std_logic; signal clk_10000_sg_x1: std_logic; signal clk_1_sg_x81: std_logic; signal clk_22400000_sg_x27: std_logic; signal clk_2_sg_x36: std_logic; signal clk_44800000_sg_x1: std_logic; signal clk_4480_sg_x8: std_logic; signal clk_5000_sg_x8: std_logic; signal convert_dout_net_x0: std_logic_vector(24 downto 0); signal del_sig_div_monit_thres_i_net_x0: std_logic_vector(25 downto 0); signal delay1_q_net_x0: std_logic; signal delay_q_net: std_logic_vector(25 downto 0); signal delta_q_s_net: std_logic_vector(25 downto 0); signal delta_x_s_net: std_logic_vector(25 downto 0); signal delta_y_s_net: std_logic_vector(25 downto 0); signal din_x1: std_logic_vector(25 downto 0); signal dividend_data: std_logic_vector(25 downto 0); signal dividend_ready: std_logic; signal dividend_ready_x0: std_logic; signal divider_dout_fracc: std_logic_vector(24 downto 0); signal divider_dout_valid_x0: std_logic; signal divisor_data: std_logic_vector(25 downto 0); signal divisor_data_x0: std_logic_vector(25 downto 0); signal divisor_ready: std_logic; signal dout_down_x1: std_logic_vector(24 downto 0); signal dout_stretch_x0: std_logic_vector(24 downto 0); signal down_sample1_q_net_x5: std_logic_vector(23 downto 0); signal down_sample2_q_net_x5: std_logic_vector(23 downto 0); signal down_sample3_q_net_x5: std_logic_vector(23 downto 0); signal down_sample4_q_net_x5: std_logic_vector(23 downto 0); signal down_sample_q_net_x0: std_logic_vector(24 downto 0); signal down_sample_q_net_x1: std_logic; signal down_sample_q_net_x2: std_logic_vector(24 downto 0); signal down_sample_q_net_x3: std_logic; signal down_sample_q_net_x4: std_logic_vector(24 downto 0); signal down_sample_q_net_x5: std_logic; signal down_sample_q_net_x6: std_logic_vector(25 downto 0); signal down_sample_q_net_x7: std_logic_vector(24 downto 0); signal down_sample_q_net_x8: std_logic; signal expression1_dout_net: std_logic; signal logical3_y_net_x0: std_logic; signal logical3_y_net_x1: std_logic; signal logical3_y_net_x2: std_logic; signal logical3_y_net_x3: std_logic; signal logical3_y_net_x4: std_logic; signal logical3_y_net_x5: std_logic; signal logical3_y_net_x6: std_logic; signal logical3_y_net_x7: std_logic; signal q_divider_m_axis_dout_tdata_fractional_net: std_logic_vector(24 downto 0); signal q_divider_m_axis_dout_tvalid_net_x0: std_logic; signal q_divider_s_axis_dividend_tready_net: std_logic; signal q_divider_s_axis_divisor_tready_net: std_logic; signal re_x0: std_logic; signal re_x1: std_logic; signal register10_q_net_x0: std_logic_vector(25 downto 0); signal register11_q_net_x0: std_logic_vector(24 downto 0); signal register12_q_net_x0: std_logic_vector(24 downto 0); signal register13_q_net_x0: std_logic_vector(24 downto 0); signal register14_q_net_x0: std_logic_vector(25 downto 0); signal register1_q_net: std_logic_vector(24 downto 0); signal register1_q_net_x1: std_logic; signal register1_q_net_x2: std_logic; signal register1_q_net_x3: std_logic; signal register1_q_net_x4: std_logic; signal register2_q_net: std_logic_vector(24 downto 0); signal register3_q_net: std_logic_vector(24 downto 0); signal register4_q_net: std_logic_vector(24 downto 0); signal register5_q_net: std_logic_vector(24 downto 0); signal register6_q_net: std_logic_vector(24 downto 0); signal register7_q_net_x0: std_logic_vector(25 downto 0); signal register_q_net_x0: std_logic_vector(24 downto 0); signal register_q_net_x1: std_logic_vector(24 downto 0); signal register_q_net_x2: std_logic_vector(24 downto 0); signal register_q_net_x3: std_logic_vector(24 downto 0); signal reinterpret1_output_port_net_x0: std_logic_vector(24 downto 0); signal reinterpret2_output_port_net_x0: std_logic_vector(24 downto 0); signal reinterpret3_output_port_net_x0: std_logic_vector(24 downto 0); signal reinterpret4_output_port_net: std_logic_vector(25 downto 0); signal reinterpret7_output_port_net: std_logic_vector(25 downto 0); signal reinterpret8_output_port_net: std_logic_vector(25 downto 0); signal relational_op_net: std_logic; signal sum_s_net: std_logic_vector(25 downto 0); signal up_sample1_q_net_x0: std_logic_vector(25 downto 0); signal up_sample1_q_net_x1: std_logic_vector(25 downto 0); signal up_sample1_q_net_x2: std_logic_vector(25 downto 0); signal up_sample1_q_net_x3: std_logic_vector(25 downto 0); signal up_sample1_q_net_x4: std_logic; signal up_sample1_q_net_x5: std_logic; signal up_sample1_q_net_x6: std_logic; signal up_sample1_q_net_x7: std_logic; signal valid_ds_down_x1: std_logic; signal x_divider_m_axis_dout_tdata_fractional_net: std_logic_vector(24 downto 0); signal x_divider_m_axis_dout_tvalid_net_x0: std_logic; signal x_divider_s_axis_divisor_tready_net: std_logic; begin down_sample2_q_net_x5 <= a; down_sample1_q_net_x5 <= b; down_sample3_q_net_x5 <= c; ce_1_sg_x81 <= ce_1; ce_10000_sg_x1 <= ce_10000; ce_2_sg_x36 <= ce_2; ce_22400000_sg_x27 <= ce_22400000; ce_4480_sg_x8 <= ce_4480; ce_44800000_sg_x1 <= ce_44800000; ce_5000_sg_x8 <= ce_5000; ce_logic_22400000_sg_x0 <= ce_logic_22400000; clk_1_sg_x81 <= clk_1; clk_10000_sg_x1 <= clk_10000; clk_2_sg_x36 <= clk_2; clk_22400000_sg_x27 <= clk_22400000; clk_4480_sg_x8 <= clk_4480; clk_44800000_sg_x1 <= clk_44800000; clk_5000_sg_x8 <= clk_5000; down_sample4_q_net_x5 <= d; del_sig_div_monit_thres_i_net_x0 <= ds_thres; q <= assert4_dout_net_x1; q_valid <= assert9_dout_net_x1; sum_valid <= assert10_dout_net_x1; sum_x0 <= assert5_dout_net_x1; x <= assert11_dout_net_x1; x_valid <= assert12_dout_net_x1; y <= dout_down_x1; y_valid <= valid_ds_down_x1; a_plus_b: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 24, b_arith => xlSigned, b_bin_pt => 22, b_width => 24, c_has_c_out => 0, c_latency => 0, c_output_width => 25, core_name0 => "addsb_11_0_26986301a9f671cd", extra_registers => 0, full_s_arith => 2, full_s_width => 25, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 25 ) port map ( a => down_sample2_q_net_x5, b => down_sample1_q_net_x5, ce => ce_22400000_sg_x27, clk => clk_22400000_sg_x27, clr => '0', en => "1", s => a_plus_b_s_net ); a_plus_c: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 24, b_arith => xlSigned, b_bin_pt => 22, b_width => 24, c_has_c_out => 0, c_latency => 0, c_output_width => 25, core_name0 => "addsb_11_0_26986301a9f671cd", extra_registers => 0, full_s_arith => 2, full_s_width => 25, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 25 ) port map ( a => down_sample2_q_net_x5, b => down_sample3_q_net_x5, ce => ce_22400000_sg_x27, clk => clk_22400000_sg_x27, clr => '0', en => "1", s => a_plus_c_s_net ); a_plus_d: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 24, b_arith => xlSigned, b_bin_pt => 22, b_width => 24, c_has_c_out => 0, c_latency => 0, c_output_width => 25, core_name0 => "addsb_11_0_26986301a9f671cd", extra_registers => 0, full_s_arith => 2, full_s_width => 25, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 25 ) port map ( a => down_sample2_q_net_x5, b => down_sample4_q_net_x5, ce => ce_22400000_sg_x27, clk => clk_22400000_sg_x27, clr => '0', en => "1", s => a_plus_d_s_net ); assert1: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => dividend_ready_x0, dout(0) => re_x0 ); assert10: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => down_sample_q_net_x1, dout(0) => assert10_dout_net_x1 ); assert11: entity work.xlpassthrough generic map ( din_width => 25, dout_width => 25 ) port map ( din => down_sample_q_net_x2, dout => assert11_dout_net_x1 ); assert12: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => down_sample_q_net_x3, dout(0) => assert12_dout_net_x1 ); assert13: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => relational_op_net, dout(0) => assert13_dout_net_x3 ); assert2: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => q_divider_s_axis_dividend_tready_net, dout(0) => assert2_dout_net_x0 ); assert3: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => dividend_ready, dout(0) => re_x1 ); assert4: entity work.xlpassthrough generic map ( din_width => 25, dout_width => 25 ) port map ( din => down_sample_q_net_x7, dout => assert4_dout_net_x1 ); assert5: entity work.xlpassthrough generic map ( din_width => 25, dout_width => 25 ) port map ( din => down_sample_q_net_x0, dout => assert5_dout_net_x1 ); assert6: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => expression1_dout_net, dout(0) => assert6_dout_net_x0 ); assert7: entity work.xlpassthrough generic map ( din_width => 25, dout_width => 25 ) port map ( din => down_sample_q_net_x4, dout => dout_down_x1 ); assert8: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => down_sample_q_net_x5, dout(0) => valid_ds_down_x1 ); assert9: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => down_sample_q_net_x8, dout(0) => assert9_dout_net_x1 ); b_plus_c: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 24, b_arith => xlSigned, b_bin_pt => 22, b_width => 24, c_has_c_out => 0, c_latency => 0, c_output_width => 25, core_name0 => "addsb_11_0_26986301a9f671cd", extra_registers => 0, full_s_arith => 2, full_s_width => 25, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 25 ) port map ( a => down_sample1_q_net_x5, b => down_sample3_q_net_x5, ce => ce_22400000_sg_x27, clk => clk_22400000_sg_x27, clr => '0', en => "1", s => b_plus_c_s_net ); b_plus_d: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 24, b_arith => xlSigned, b_bin_pt => 22, b_width => 24, c_has_c_out => 0, c_latency => 0, c_output_width => 25, core_name0 => "addsb_11_0_26986301a9f671cd", extra_registers => 0, full_s_arith => 2, full_s_width => 25, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 25 ) port map ( a => down_sample1_q_net_x5, b => down_sample4_q_net_x5, ce => ce_22400000_sg_x27, clk => clk_22400000_sg_x27, clr => '0', en => "1", s => b_plus_d_s_net ); c_plus_d: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 24, b_arith => xlSigned, b_bin_pt => 22, b_width => 24, c_has_c_out => 0, c_latency => 0, c_output_width => 25, core_name0 => "addsb_11_0_26986301a9f671cd", extra_registers => 0, full_s_arith => 2, full_s_width => 25, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 25 ) port map ( a => down_sample3_q_net_x5, b => down_sample4_q_net_x5, ce => ce_22400000_sg_x27, clk => clk_22400000_sg_x27, clr => '0', en => "1", s => c_plus_d_s_net ); ce1: entity work.xlceprobe generic map ( d_width => 1, q_width => 1 ) port map ( ce => ce_logic_22400000_sg_x0, clk => clk_22400000_sg_x27, d(0) => assert13_dout_net_x3, q(0) => ce_70_x3 ); convert: entity work.xlconvert generic map ( bool_conversion => 0, din_arith => 2, din_bin_pt => 22, din_width => 26, dout_arith => 2, dout_bin_pt => 21, dout_width => 25, latency => 0, overflow => xlSaturate, quantization => xlRound ) port map ( ce => ce_1_sg_x81, clk => clk_1_sg_x81, clr => '0', din => delay_q_net, en => "1", dout => convert_dout_net_x0 ); datareg_en1_0658df0e73: entity work.datareg_en_entity_79473f9ed1 port map ( ce_1 => ce_1_sg_x81, clk_1 => clk_1_sg_x81, din => reinterpret2_output_port_net_x0, en => q_divider_m_axis_dout_tvalid_net_x0, dout => register_q_net_x1, valid => register1_q_net_x2 ); datareg_en2_b216d22f41: entity work.datareg_en_entity_79473f9ed1 port map ( ce_1 => ce_1_sg_x81, clk_1 => clk_1_sg_x81, din => reinterpret3_output_port_net_x0, en => x_divider_m_axis_dout_tvalid_net_x0, dout => register_q_net_x2, valid => register1_q_net_x3 ); datareg_en3_352b935ccb: entity work.datareg_en3_entity_6643090018 port map ( ce_1 => ce_1_sg_x81, clk_1 => clk_1_sg_x81, din => convert_dout_net_x0, en => delay1_q_net_x0, dout => register_q_net_x3, valid => register1_q_net_x4 ); datareg_en_8be792d5b9: entity work.datareg_en_entity_79473f9ed1 port map ( ce_1 => ce_1_sg_x81, clk_1 => clk_1_sg_x81, din => reinterpret1_output_port_net_x0, en => divider_dout_valid_x0, dout => register_q_net_x0, valid => register1_q_net_x1 ); delay: entity work.xldelay generic map ( latency => 56, reg_retiming => 0, reset => 0, width => 26 ) port map ( ce => ce_1_sg_x81, clk => clk_1_sg_x81, d => reinterpret8_output_port_net, en => '1', rst => '1', q => delay_q_net ); delay1: entity work.xldelay generic map ( latency => 56, reg_retiming => 0, reset => 0, width => 1 ) port map ( ce => ce_1_sg_x81, clk => clk_1_sg_x81, d(0) => logical3_y_net_x0, en => '1', rst => '1', q(0) => delay1_q_net_x0 ); delta_q: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 25, b_arith => xlSigned, b_bin_pt => 22, b_width => 25, c_has_c_out => 0, c_latency => 0, c_output_width => 26, core_name0 => "addsb_11_0_8b0747970e52f130", extra_registers => 0, full_s_arith => 2, full_s_width => 26, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 26 ) port map ( a => register5_q_net, b => register6_q_net, ce => ce_22400000_sg_x27, clk => clk_22400000_sg_x27, clr => '0', en => "1", s => delta_q_s_net ); delta_x: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 25, b_arith => xlSigned, b_bin_pt => 22, b_width => 25, c_has_c_out => 0, c_latency => 0, c_output_width => 26, core_name0 => "addsb_11_0_8b0747970e52f130", extra_registers => 0, full_s_arith => 2, full_s_width => 26, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 26 ) port map ( a => register1_q_net, b => register3_q_net, ce => ce_22400000_sg_x27, clk => clk_22400000_sg_x27, clr => '0', en => "1", s => delta_x_s_net ); delta_y: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 25, b_arith => xlSigned, b_bin_pt => 22, b_width => 25, c_has_c_out => 0, c_latency => 0, c_output_width => 26, core_name0 => "addsb_11_0_8b0747970e52f130", extra_registers => 0, full_s_arith => 2, full_s_width => 26, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 26 ) port map ( a => register2_q_net, b => register4_q_net, ce => ce_22400000_sg_x27, clk => clk_22400000_sg_x27, clr => '0', en => "1", s => delta_y_s_net ); downsample1_4c88924603: entity work.downsample1_entity_4c88924603 port map ( ce_1 => ce_1_sg_x81, ce_22400000 => ce_22400000_sg_x27, ce_5000 => ce_5000_sg_x8, clk_1 => clk_1_sg_x81, clk_22400000 => clk_22400000_sg_x27, clk_5000 => clk_5000_sg_x8, din => register13_q_net_x0, dout => down_sample_q_net_x0 ); downsample2_891f07b1a7: entity work.downsample2_entity_891f07b1a7 port map ( ce_1 => ce_1_sg_x81, ce_22400000 => ce_22400000_sg_x27, ce_5000 => ce_5000_sg_x8, clk_1 => clk_1_sg_x81, clk_22400000 => clk_22400000_sg_x27, clk_5000 => clk_5000_sg_x8, din => logical3_y_net_x4, dout => down_sample_q_net_x1 ); downsample3_dba589aaee: entity work.downsample3_entity_dba589aaee port map ( ce_1 => ce_1_sg_x81, ce_22400000 => ce_22400000_sg_x27, ce_5000 => ce_5000_sg_x8, clk_1 => clk_1_sg_x81, clk_22400000 => clk_22400000_sg_x27, clk_5000 => clk_5000_sg_x8, din => register12_q_net_x0, dout => down_sample_q_net_x2 ); downsample4_c9912c17cb: entity work.downsample2_entity_891f07b1a7 port map ( ce_1 => ce_1_sg_x81, ce_22400000 => ce_22400000_sg_x27, ce_5000 => ce_5000_sg_x8, clk_1 => clk_1_sg_x81, clk_22400000 => clk_22400000_sg_x27, clk_5000 => clk_5000_sg_x8, din => logical3_y_net_x3, dout => down_sample_q_net_x3 ); downsample5_5d411d5dea: entity work.downsample3_entity_dba589aaee port map ( ce_1 => ce_1_sg_x81, ce_22400000 => ce_22400000_sg_x27, ce_5000 => ce_5000_sg_x8, clk_1 => clk_1_sg_x81, clk_22400000 => clk_22400000_sg_x27, clk_5000 => clk_5000_sg_x8, din => dout_stretch_x0, dout => down_sample_q_net_x4 ); downsample6_d7e68015e5: entity work.downsample2_entity_891f07b1a7 port map ( ce_1 => ce_1_sg_x81, ce_22400000 => ce_22400000_sg_x27, ce_5000 => ce_5000_sg_x8, clk_1 => clk_1_sg_x81, clk_22400000 => clk_22400000_sg_x27, clk_5000 => clk_5000_sg_x8, din => logical3_y_net_x1, dout => down_sample_q_net_x5 ); downsample7_b85055cb62: entity work.downsample7_entity_b85055cb62 port map ( ce_10000 => ce_10000_sg_x1, ce_2 => ce_2_sg_x36, ce_44800000 => ce_44800000_sg_x1, clk_10000 => clk_10000_sg_x1, clk_2 => clk_2_sg_x36, clk_44800000 => clk_44800000_sg_x1, din => register14_q_net_x0, dout => down_sample_q_net_x6 ); downsample8_69d7284f0d: entity work.downsample3_entity_dba589aaee port map ( ce_1 => ce_1_sg_x81, ce_22400000 => ce_22400000_sg_x27, ce_5000 => ce_5000_sg_x8, clk_1 => clk_1_sg_x81, clk_22400000 => clk_22400000_sg_x27, clk_5000 => clk_5000_sg_x8, din => register11_q_net_x0, dout => down_sample_q_net_x7 ); downsample9_f5ac9b8db2: entity work.downsample2_entity_891f07b1a7 port map ( ce_1 => ce_1_sg_x81, ce_22400000 => ce_22400000_sg_x27, ce_5000 => ce_5000_sg_x8, clk_1 => clk_1_sg_x81, clk_22400000 => clk_22400000_sg_x27, clk_5000 => clk_5000_sg_x8, din => logical3_y_net_x2, dout => down_sample_q_net_x8 ); expression1: entity work.expr_375d7bbece port map ( a(0) => x_divider_s_axis_divisor_tready_net, b(0) => divisor_ready, c(0) => q_divider_s_axis_divisor_tready_net, ce => '0', clk => '0', clr => '0', dout(0) => expression1_dout_net ); pulse_stretcher1_427f70e3c7: entity work.pulse_stretcher_entity_9893378b63 port map ( ce_1 => ce_1_sg_x81, clk_1 => clk_1_sg_x81, clr => ce_70_x3, pulse_in => register1_q_net_x2, extd_out => logical3_y_net_x2 ); pulse_stretcher2_9a61283281: entity work.pulse_stretcher_entity_9893378b63 port map ( ce_1 => ce_1_sg_x81, clk_1 => clk_1_sg_x81, clr => ce_70_x3, pulse_in => register1_q_net_x3, extd_out => logical3_y_net_x3 ); pulse_stretcher3_864c3e16a6: entity work.pulse_stretcher_entity_9893378b63 port map ( ce_1 => ce_1_sg_x81, clk_1 => clk_1_sg_x81, clr => ce_70_x3, pulse_in => register1_q_net_x4, extd_out => logical3_y_net_x4 ); pulse_stretcher4_8dfd1c8928: entity work.pulse_stretcher_entity_9893378b63 port map ( ce_1 => ce_1_sg_x81, clk_1 => clk_1_sg_x81, clr => assert6_dout_net_x0, pulse_in => up_sample1_q_net_x6, extd_out => logical3_y_net_x0 ); pulse_stretcher5_ac376595d0: entity work.pulse_stretcher_entity_9893378b63 port map ( ce_1 => ce_1_sg_x81, clk_1 => clk_1_sg_x81, clr => re_x0, pulse_in => up_sample1_q_net_x5, extd_out => logical3_y_net_x5 ); pulse_stretcher6_694b81e6b2: entity work.pulse_stretcher_entity_9893378b63 port map ( ce_1 => ce_1_sg_x81, clk_1 => clk_1_sg_x81, clr => assert2_dout_net_x0, pulse_in => up_sample1_q_net_x4, extd_out => logical3_y_net_x6 ); pulse_stretcher7_bb8174efbd: entity work.pulse_stretcher_entity_9893378b63 port map ( ce_1 => ce_1_sg_x81, clk_1 => clk_1_sg_x81, clr => re_x1, pulse_in => up_sample1_q_net_x7, extd_out => logical3_y_net_x7 ); pulse_stretcher_6bf297451d: entity work.pulse_stretcher_entity_9893378b63 port map ( ce_1 => ce_1_sg_x81, clk_1 => clk_1_sg_x81, clr => ce_70_x3, pulse_in => register1_q_net_x1, extd_out => logical3_y_net_x1 ); q_divider: entity work.xldivider_generator_ee95dc360423b121d9ecd626691cc2ae port map ( ce => ce_1_sg_x81, clk => clk_1_sg_x81, s_axis_dividend_tdata_dividend => reinterpret7_output_port_net, s_axis_dividend_tvalid => logical3_y_net_x6, s_axis_divisor_tdata_divisor => divisor_data, s_axis_divisor_tvalid => logical3_y_net_x0, m_axis_dout_tdata_fractional => q_divider_m_axis_dout_tdata_fractional_net, m_axis_dout_tvalid => q_divider_m_axis_dout_tvalid_net_x0, s_axis_dividend_tready => q_divider_s_axis_dividend_tready_net, s_axis_divisor_tready => q_divider_s_axis_divisor_tready_net ); register1: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_22400000_sg_x27, clk => clk_22400000_sg_x27, d => b_plus_c_s_net, en => "1", rst => "0", q => register1_q_net ); register10: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_22400000_sg_x27, clk => clk_22400000_sg_x27, d => delta_q_s_net, en => "1", rst => "0", q => register10_q_net_x0 ); register11: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_1_sg_x81, clk => clk_1_sg_x81, d => register_q_net_x1, en => "1", rst => "0", q => register11_q_net_x0 ); register12: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_1_sg_x81, clk => clk_1_sg_x81, d => register_q_net_x2, en => "1", rst => "0", q => register12_q_net_x0 ); register13: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_1_sg_x81, clk => clk_1_sg_x81, d => register_q_net_x3, en => "1", rst => "0", q => register13_q_net_x0 ); register14: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_2_sg_x36, clk => clk_2_sg_x36, d => del_sig_div_monit_thres_i_net_x0, en => "1", rst => "0", q => register14_q_net_x0 ); register2: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_22400000_sg_x27, clk => clk_22400000_sg_x27, d => a_plus_b_s_net, en => "1", rst => "0", q => register2_q_net ); register3: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_22400000_sg_x27, clk => clk_22400000_sg_x27, d => a_plus_d_s_net, en => "1", rst => "0", q => register3_q_net ); register4: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_22400000_sg_x27, clk => clk_22400000_sg_x27, d => c_plus_d_s_net, en => "1", rst => "0", q => register4_q_net ); register5: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_22400000_sg_x27, clk => clk_22400000_sg_x27, d => a_plus_c_s_net, en => "1", rst => "0", q => register5_q_net ); register6: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_22400000_sg_x27, clk => clk_22400000_sg_x27, d => b_plus_d_s_net, en => "1", rst => "0", q => register6_q_net ); register7: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_22400000_sg_x27, clk => clk_22400000_sg_x27, d => delta_x_s_net, en => "1", rst => "0", q => register7_q_net_x0 ); register8: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_22400000_sg_x27, clk => clk_22400000_sg_x27, d => sum_s_net, en => "1", rst => "0", q => divisor_data_x0 ); register9: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_22400000_sg_x27, clk => clk_22400000_sg_x27, d => delta_y_s_net, en => "1", rst => "0", q => din_x1 ); register_x0: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_1_sg_x81, clk => clk_1_sg_x81, d => register_q_net_x0, en => "1", rst => "0", q => dout_stretch_x0 ); reinterpret1: entity work.reinterpret_31a4235b32 port map ( ce => '0', clk => '0', clr => '0', input_port => divider_dout_fracc, output_port => reinterpret1_output_port_net_x0 ); reinterpret2: entity work.reinterpret_31a4235b32 port map ( ce => '0', clk => '0', clr => '0', input_port => q_divider_m_axis_dout_tdata_fractional_net, output_port => reinterpret2_output_port_net_x0 ); reinterpret3: entity work.reinterpret_31a4235b32 port map ( ce => '0', clk => '0', clr => '0', input_port => x_divider_m_axis_dout_tdata_fractional_net, output_port => reinterpret3_output_port_net_x0 ); reinterpret4: entity work.reinterpret_040ef1b598 port map ( ce => '0', clk => '0', clr => '0', input_port => up_sample1_q_net_x3, output_port => reinterpret4_output_port_net ); reinterpret5: entity work.reinterpret_040ef1b598 port map ( ce => '0', clk => '0', clr => '0', input_port => up_sample1_q_net_x2, output_port => divisor_data ); reinterpret6: entity work.reinterpret_040ef1b598 port map ( ce => '0', clk => '0', clr => '0', input_port => up_sample1_q_net_x1, output_port => dividend_data ); reinterpret7: entity work.reinterpret_040ef1b598 port map ( ce => '0', clk => '0', clr => '0', input_port => up_sample1_q_net_x0, output_port => reinterpret7_output_port_net ); reinterpret8: entity work.reinterpret_040ef1b598 port map ( ce => '0', clk => '0', clr => '0', input_port => divisor_data, output_port => reinterpret8_output_port_net ); relational: entity work.relational_416cfcae1e port map ( a => divisor_data_x0, b => down_sample_q_net_x6, ce => ce_22400000_sg_x27, clk => clk_22400000_sg_x27, clr => '0', op(0) => relational_op_net ); sum: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 25, b_arith => xlSigned, b_bin_pt => 22, b_width => 25, c_has_c_out => 0, c_latency => 0, c_output_width => 26, core_name0 => "addsb_11_0_239e4f614ba09ab1", extra_registers => 0, full_s_arith => 2, full_s_width => 26, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 26 ) port map ( a => register3_q_net, b => register1_q_net, ce => ce_22400000_sg_x27, clk => clk_22400000_sg_x27, clr => '0', en => "1", s => sum_s_net ); upsample_copy_pad1_edde199d79: entity work.upsample_copy_pad1_entity_edde199d79 port map ( ce_1 => ce_1_sg_x81, ce_22400000 => ce_22400000_sg_x27, ce_4480 => ce_4480_sg_x8, clk_1 => clk_1_sg_x81, clk_22400000 => clk_22400000_sg_x27, clk_4480 => clk_4480_sg_x8, din_x0 => din_x1, dout => up_sample1_q_net_x1 ); upsample_copy_pad2_46599e345b: entity work.upsample_copy_pad_entity_86c97eac4f port map ( ce_1 => ce_1_sg_x81, ce_22400000 => ce_22400000_sg_x27, ce_4480 => ce_4480_sg_x8, clk_1 => clk_1_sg_x81, clk_22400000 => clk_22400000_sg_x27, clk_4480 => clk_4480_sg_x8, din => divisor_data_x0, dout => up_sample1_q_net_x2 ); upsample_copy_pad3_3571daa38f: entity work.upsample_copy_pad_entity_86c97eac4f port map ( ce_1 => ce_1_sg_x81, ce_22400000 => ce_22400000_sg_x27, ce_4480 => ce_4480_sg_x8, clk_1 => clk_1_sg_x81, clk_22400000 => clk_22400000_sg_x27, clk_4480 => clk_4480_sg_x8, din => register7_q_net_x0, dout => up_sample1_q_net_x3 ); upsample_copy_pad_86c97eac4f: entity work.upsample_copy_pad_entity_86c97eac4f port map ( ce_1 => ce_1_sg_x81, ce_22400000 => ce_22400000_sg_x27, ce_4480 => ce_4480_sg_x8, clk_1 => clk_1_sg_x81, clk_22400000 => clk_22400000_sg_x27, clk_4480 => clk_4480_sg_x8, din => register10_q_net_x0, dout => up_sample1_q_net_x0 ); upsample_zero_pad1_2044d1ec3f: entity work.upsample_zero_pad_entity_e334b63be9 port map ( ce_1 => ce_1_sg_x81, ce_22400000 => ce_22400000_sg_x27, ce_4480 => ce_4480_sg_x8, clk_1 => clk_1_sg_x81, clk_22400000 => clk_22400000_sg_x27, clk_4480 => clk_4480_sg_x8, din => assert13_dout_net_x3, dout => up_sample1_q_net_x5 ); upsample_zero_pad2_7f2f8f8620: entity work.upsample_zero_pad_entity_e334b63be9 port map ( ce_1 => ce_1_sg_x81, ce_22400000 => ce_22400000_sg_x27, ce_4480 => ce_4480_sg_x8, clk_1 => clk_1_sg_x81, clk_22400000 => clk_22400000_sg_x27, clk_4480 => clk_4480_sg_x8, din => assert13_dout_net_x3, dout => up_sample1_q_net_x6 ); upsample_zero_pad3_f0b4acbf28: entity work.upsample_zero_pad_entity_e334b63be9 port map ( ce_1 => ce_1_sg_x81, ce_22400000 => ce_22400000_sg_x27, ce_4480 => ce_4480_sg_x8, clk_1 => clk_1_sg_x81, clk_22400000 => clk_22400000_sg_x27, clk_4480 => clk_4480_sg_x8, din => assert13_dout_net_x3, dout => up_sample1_q_net_x7 ); upsample_zero_pad_e334b63be9: entity work.upsample_zero_pad_entity_e334b63be9 port map ( ce_1 => ce_1_sg_x81, ce_22400000 => ce_22400000_sg_x27, ce_4480 => ce_4480_sg_x8, clk_1 => clk_1_sg_x81, clk_22400000 => clk_22400000_sg_x27, clk_4480 => clk_4480_sg_x8, din => assert13_dout_net_x3, dout => up_sample1_q_net_x4 ); x_divider: entity work.xldivider_generator_ee95dc360423b121d9ecd626691cc2ae port map ( ce => ce_1_sg_x81, clk => clk_1_sg_x81, s_axis_dividend_tdata_dividend => reinterpret4_output_port_net, s_axis_dividend_tvalid => logical3_y_net_x7, s_axis_divisor_tdata_divisor => divisor_data, s_axis_divisor_tvalid => logical3_y_net_x0, m_axis_dout_tdata_fractional => x_divider_m_axis_dout_tdata_fractional_net, m_axis_dout_tvalid => x_divider_m_axis_dout_tvalid_net_x0, s_axis_dividend_tready => dividend_ready, s_axis_divisor_tready => x_divider_s_axis_divisor_tready_net ); y_divider: entity work.xldivider_generator_ee95dc360423b121d9ecd626691cc2ae port map ( ce => ce_1_sg_x81, clk => clk_1_sg_x81, s_axis_dividend_tdata_dividend => dividend_data, s_axis_dividend_tvalid => logical3_y_net_x5, s_axis_divisor_tdata_divisor => divisor_data, s_axis_divisor_tvalid => logical3_y_net_x0, m_axis_dout_tdata_fractional => divider_dout_fracc, m_axis_dout_tvalid => divider_dout_valid_x0, s_axis_dividend_tready => dividend_ready_x0, s_axis_divisor_tready => divisor_ready ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/delta-sigma_tbt" entity delta_sigma_tbt_entity_bbfa8a8a69 is port ( a: in std_logic_vector(23 downto 0); b: in std_logic_vector(23 downto 0); c: in std_logic_vector(23 downto 0); ce_1: in std_logic; ce_2: in std_logic; ce_70: in std_logic; ce_logic_70: in std_logic; clk_1: in std_logic; clk_2: in std_logic; clk_70: in std_logic; d: in std_logic_vector(23 downto 0); ds_thres: in std_logic_vector(25 downto 0); q: out std_logic_vector(24 downto 0); q_valid: out std_logic; sum_valid: out std_logic; sum_x0: out std_logic_vector(24 downto 0); x: out std_logic_vector(24 downto 0); x_valid: out std_logic; y: out std_logic_vector(24 downto 0); y_valid: out std_logic ); end delta_sigma_tbt_entity_bbfa8a8a69; architecture structural of delta_sigma_tbt_entity_bbfa8a8a69 is signal a_plus_b_s_net: std_logic_vector(24 downto 0); signal a_plus_c_s_net: std_logic_vector(24 downto 0); signal a_plus_d_s_net: std_logic_vector(24 downto 0); signal assert10_dout_net_x1: std_logic; signal assert11_dout_net_x1: std_logic_vector(24 downto 0); signal assert12_dout_net_x1: std_logic; signal assert1_dout_net_x0: std_logic; signal assert5_dout_net_x1: std_logic_vector(24 downto 0); signal assert6_dout_net_x0: std_logic; signal assert8_dout_net_x1: std_logic_vector(24 downto 0); signal assert9_dout_net_x1: std_logic; signal assert_dout_net: std_logic; signal b_plus_c_s_net: std_logic_vector(24 downto 0); signal b_plus_d_s_net: std_logic_vector(24 downto 0); signal c_plus_d_s_net: std_logic_vector(24 downto 0); signal ce_1_sg_x94: std_logic; signal ce_2_sg_x37: std_logic; signal ce_70_sg_x26: std_logic; signal ce_70_x3: std_logic; signal ce_logic_70_sg_x0: std_logic; signal clk_1_sg_x94: std_logic; signal clk_2_sg_x37: std_logic; signal clk_70_sg_x26: std_logic; signal convert_dout_net_x0: std_logic_vector(24 downto 0); signal del_sig_div_tbt_thres_i_net_x0: std_logic_vector(25 downto 0); signal delay1_q_net_x0: std_logic; signal delay_q_net: std_logic_vector(25 downto 0); signal delta_q_s_net: std_logic_vector(25 downto 0); signal delta_x_s_net: std_logic_vector(25 downto 0); signal delta_y_s_net: std_logic_vector(25 downto 0); signal din: std_logic_vector(25 downto 0); signal dividend_data: std_logic_vector(25 downto 0); signal dividend_ready: std_logic; signal dividend_ready_x0: std_logic; signal dividend_valid_x0: std_logic; signal dividend_valid_x1: std_logic; signal dividend_valid_x2: std_logic; signal divider_dout_fracc: std_logic_vector(24 downto 0); signal divider_dout_valid_x0: std_logic; signal divisor_data: std_logic_vector(25 downto 0); signal divisor_data_x0: std_logic_vector(25 downto 0); signal divisor_ready: std_logic; signal divisor_valid_x0: std_logic; signal dout_down_x1: std_logic_vector(24 downto 0); signal dout_stretch: std_logic_vector(24 downto 0); signal down_sample1_q_net: std_logic_vector(24 downto 0); signal down_sample1_q_net_x26: std_logic_vector(23 downto 0); signal down_sample1_q_net_x27: std_logic_vector(23 downto 0); signal down_sample2_q_net: std_logic; signal down_sample2_q_net_x26: std_logic_vector(23 downto 0); signal down_sample2_q_net_x27: std_logic_vector(23 downto 0); signal down_sample3_q_net: std_logic_vector(24 downto 0); signal down_sample4_q_net: std_logic; signal down_sample5_q_net: std_logic_vector(24 downto 0); signal down_sample6_q_net: std_logic; signal down_sample7_q_net: std_logic_vector(24 downto 0); signal down_sample8_q_net: std_logic; signal down_sample_q_net: std_logic_vector(25 downto 0); signal expression1_dout_net: std_logic; signal logical3_y_net_x0: std_logic; signal logical3_y_net_x1: std_logic; signal logical3_y_net_x2: std_logic; signal logical3_y_net_x3: std_logic; signal logical3_y_net_x4: std_logic; signal logical3_y_net_x5: std_logic; signal logical3_y_net_x6: std_logic; signal logical3_y_net_x7: std_logic; signal q_divider_m_axis_dout_tdata_fractional_net: std_logic_vector(24 downto 0); signal q_divider_m_axis_dout_tvalid_net_x0: std_logic; signal q_divider_s_axis_dividend_tready_net: std_logic; signal q_divider_s_axis_divisor_tready_net: std_logic; signal re_x0: std_logic; signal re_x1: std_logic; signal register10_q_net: std_logic_vector(25 downto 0); signal register11_q_net: std_logic_vector(24 downto 0); signal register12_q_net: std_logic_vector(24 downto 0); signal register13_q_net: std_logic_vector(24 downto 0); signal register14_q_net: std_logic_vector(25 downto 0); signal register1_q_net: std_logic_vector(24 downto 0); signal register1_q_net_x1: std_logic; signal register1_q_net_x2: std_logic; signal register1_q_net_x3: std_logic; signal register1_q_net_x4: std_logic; signal register2_q_net: std_logic_vector(24 downto 0); signal register3_q_net: std_logic_vector(24 downto 0); signal register4_q_net: std_logic_vector(24 downto 0); signal register5_q_net: std_logic_vector(24 downto 0); signal register6_q_net: std_logic_vector(24 downto 0); signal register7_q_net: std_logic_vector(25 downto 0); signal register_q_net_x0: std_logic_vector(24 downto 0); signal register_q_net_x1: std_logic_vector(24 downto 0); signal register_q_net_x2: std_logic_vector(24 downto 0); signal register_q_net_x3: std_logic_vector(24 downto 0); signal reinterpret1_output_port_net_x0: std_logic_vector(24 downto 0); signal reinterpret2_output_port_net_x0: std_logic_vector(24 downto 0); signal reinterpret3_output_port_net_x0: std_logic_vector(24 downto 0); signal reinterpret4_output_port_net: std_logic_vector(25 downto 0); signal reinterpret7_output_port_net: std_logic_vector(25 downto 0); signal reinterpret8_output_port_net: std_logic_vector(25 downto 0); signal relational_op_net: std_logic; signal sum_s_net: std_logic_vector(25 downto 0); signal up_sample2_q_net: std_logic_vector(25 downto 0); signal up_sample4_q_net: std_logic_vector(25 downto 0); signal up_sample6_q_net: std_logic_vector(25 downto 0); signal up_sample_q_net: std_logic_vector(25 downto 0); signal valid_ds_down_x1: std_logic; signal x_divider_m_axis_dout_tdata_fractional_net: std_logic_vector(24 downto 0); signal x_divider_m_axis_dout_tvalid_net_x0: std_logic; signal x_divider_s_axis_divisor_tready_net: std_logic; begin down_sample2_q_net_x26 <= a; down_sample1_q_net_x26 <= b; down_sample2_q_net_x27 <= c; ce_1_sg_x94 <= ce_1; ce_2_sg_x37 <= ce_2; ce_70_sg_x26 <= ce_70; ce_logic_70_sg_x0 <= ce_logic_70; clk_1_sg_x94 <= clk_1; clk_2_sg_x37 <= clk_2; clk_70_sg_x26 <= clk_70; down_sample1_q_net_x27 <= d; del_sig_div_tbt_thres_i_net_x0 <= ds_thres; q <= assert8_dout_net_x1; q_valid <= assert9_dout_net_x1; sum_valid <= assert12_dout_net_x1; sum_x0 <= assert11_dout_net_x1; x <= assert5_dout_net_x1; x_valid <= assert10_dout_net_x1; y <= dout_down_x1; y_valid <= valid_ds_down_x1; a_plus_b: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 24, b_arith => xlSigned, b_bin_pt => 22, b_width => 24, c_has_c_out => 0, c_latency => 0, c_output_width => 25, core_name0 => "addsb_11_0_26986301a9f671cd", extra_registers => 0, full_s_arith => 2, full_s_width => 25, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 25 ) port map ( a => down_sample2_q_net_x26, b => down_sample1_q_net_x26, ce => ce_70_sg_x26, clk => clk_70_sg_x26, clr => '0', en => "1", s => a_plus_b_s_net ); a_plus_c: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 24, b_arith => xlSigned, b_bin_pt => 22, b_width => 24, c_has_c_out => 0, c_latency => 0, c_output_width => 25, core_name0 => "addsb_11_0_26986301a9f671cd", extra_registers => 0, full_s_arith => 2, full_s_width => 25, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 25 ) port map ( a => down_sample2_q_net_x26, b => down_sample2_q_net_x27, ce => ce_70_sg_x26, clk => clk_70_sg_x26, clr => '0', en => "1", s => a_plus_c_s_net ); a_plus_d: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 24, b_arith => xlSigned, b_bin_pt => 22, b_width => 24, c_has_c_out => 0, c_latency => 0, c_output_width => 25, core_name0 => "addsb_11_0_26986301a9f671cd", extra_registers => 0, full_s_arith => 2, full_s_width => 25, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 25 ) port map ( a => down_sample2_q_net_x26, b => down_sample1_q_net_x27, ce => ce_70_sg_x26, clk => clk_70_sg_x26, clr => '0', en => "1", s => a_plus_d_s_net ); assert1: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => q_divider_s_axis_dividend_tready_net, dout(0) => assert1_dout_net_x0 ); assert10: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => down_sample6_q_net, dout(0) => assert10_dout_net_x1 ); assert11: entity work.xlpassthrough generic map ( din_width => 25, dout_width => 25 ) port map ( din => down_sample7_q_net, dout => assert11_dout_net_x1 ); assert12: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => down_sample8_q_net, dout(0) => assert12_dout_net_x1 ); assert2: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => dividend_ready_x0, dout(0) => re_x0 ); assert3: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => dividend_ready, dout(0) => re_x1 ); assert4: entity work.xlpassthrough generic map ( din_width => 25, dout_width => 25 ) port map ( din => down_sample1_q_net, dout => dout_down_x1 ); assert5: entity work.xlpassthrough generic map ( din_width => 25, dout_width => 25 ) port map ( din => down_sample5_q_net, dout => assert5_dout_net_x1 ); assert6: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => expression1_dout_net, dout(0) => assert6_dout_net_x0 ); assert7: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => down_sample2_q_net, dout(0) => valid_ds_down_x1 ); assert8: entity work.xlpassthrough generic map ( din_width => 25, dout_width => 25 ) port map ( din => down_sample3_q_net, dout => assert8_dout_net_x1 ); assert9: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => down_sample4_q_net, dout(0) => assert9_dout_net_x1 ); assert_x0: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => relational_op_net, dout(0) => assert_dout_net ); b_plus_c: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 24, b_arith => xlSigned, b_bin_pt => 22, b_width => 24, c_has_c_out => 0, c_latency => 0, c_output_width => 25, core_name0 => "addsb_11_0_26986301a9f671cd", extra_registers => 0, full_s_arith => 2, full_s_width => 25, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 25 ) port map ( a => down_sample1_q_net_x26, b => down_sample2_q_net_x27, ce => ce_70_sg_x26, clk => clk_70_sg_x26, clr => '0', en => "1", s => b_plus_c_s_net ); b_plus_d: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 24, b_arith => xlSigned, b_bin_pt => 22, b_width => 24, c_has_c_out => 0, c_latency => 0, c_output_width => 25, core_name0 => "addsb_11_0_26986301a9f671cd", extra_registers => 0, full_s_arith => 2, full_s_width => 25, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 25 ) port map ( a => down_sample1_q_net_x26, b => down_sample1_q_net_x27, ce => ce_70_sg_x26, clk => clk_70_sg_x26, clr => '0', en => "1", s => b_plus_d_s_net ); c_plus_d: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 24, b_arith => xlSigned, b_bin_pt => 22, b_width => 24, c_has_c_out => 0, c_latency => 0, c_output_width => 25, core_name0 => "addsb_11_0_26986301a9f671cd", extra_registers => 0, full_s_arith => 2, full_s_width => 25, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 25 ) port map ( a => down_sample2_q_net_x27, b => down_sample1_q_net_x27, ce => ce_70_sg_x26, clk => clk_70_sg_x26, clr => '0', en => "1", s => c_plus_d_s_net ); ce1: entity work.xlceprobe generic map ( d_width => 1, q_width => 1 ) port map ( ce => ce_logic_70_sg_x0, clk => clk_70_sg_x26, d(0) => assert_dout_net, q(0) => ce_70_x3 ); convert: entity work.xlconvert generic map ( bool_conversion => 0, din_arith => 2, din_bin_pt => 22, din_width => 26, dout_arith => 2, dout_bin_pt => 21, dout_width => 25, latency => 0, overflow => xlSaturate, quantization => xlRound ) port map ( ce => ce_1_sg_x94, clk => clk_1_sg_x94, clr => '0', din => delay_q_net, en => "1", dout => convert_dout_net_x0 ); datareg_en1_e5d0399944: entity work.datareg_en_entity_79473f9ed1 port map ( ce_1 => ce_1_sg_x94, clk_1 => clk_1_sg_x94, din => reinterpret2_output_port_net_x0, en => q_divider_m_axis_dout_tvalid_net_x0, dout => register_q_net_x1, valid => register1_q_net_x2 ); datareg_en2_02a2053e69: entity work.datareg_en_entity_79473f9ed1 port map ( ce_1 => ce_1_sg_x94, clk_1 => clk_1_sg_x94, din => reinterpret3_output_port_net_x0, en => x_divider_m_axis_dout_tvalid_net_x0, dout => register_q_net_x2, valid => register1_q_net_x3 ); datareg_en3_78179f99cc: entity work.datareg_en3_entity_6643090018 port map ( ce_1 => ce_1_sg_x94, clk_1 => clk_1_sg_x94, din => convert_dout_net_x0, en => delay1_q_net_x0, dout => register_q_net_x3, valid => register1_q_net_x4 ); datareg_en_ed948c360a: entity work.datareg_en_entity_79473f9ed1 port map ( ce_1 => ce_1_sg_x94, clk_1 => clk_1_sg_x94, din => reinterpret1_output_port_net_x0, en => divider_dout_valid_x0, dout => register_q_net_x0, valid => register1_q_net_x1 ); delay: entity work.xldelay generic map ( latency => 56, reg_retiming => 0, reset => 0, width => 26 ) port map ( ce => ce_1_sg_x94, clk => clk_1_sg_x94, d => reinterpret8_output_port_net, en => '1', rst => '1', q => delay_q_net ); delay1: entity work.xldelay generic map ( latency => 56, reg_retiming => 0, reset => 0, width => 1 ) port map ( ce => ce_1_sg_x94, clk => clk_1_sg_x94, d(0) => logical3_y_net_x4, en => '1', rst => '1', q(0) => delay1_q_net_x0 ); delta_q: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 25, b_arith => xlSigned, b_bin_pt => 22, b_width => 25, c_has_c_out => 0, c_latency => 0, c_output_width => 26, core_name0 => "addsb_11_0_8b0747970e52f130", extra_registers => 0, full_s_arith => 2, full_s_width => 26, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 26 ) port map ( a => register5_q_net, b => register6_q_net, ce => ce_70_sg_x26, clk => clk_70_sg_x26, clr => '0', en => "1", s => delta_q_s_net ); delta_x: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 25, b_arith => xlSigned, b_bin_pt => 22, b_width => 25, c_has_c_out => 0, c_latency => 0, c_output_width => 26, core_name0 => "addsb_11_0_8b0747970e52f130", extra_registers => 0, full_s_arith => 2, full_s_width => 26, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 26 ) port map ( a => register1_q_net, b => register3_q_net, ce => ce_70_sg_x26, clk => clk_70_sg_x26, clr => '0', en => "1", s => delta_x_s_net ); delta_y: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 25, b_arith => xlSigned, b_bin_pt => 22, b_width => 25, c_has_c_out => 0, c_latency => 0, c_output_width => 26, core_name0 => "addsb_11_0_8b0747970e52f130", extra_registers => 0, full_s_arith => 2, full_s_width => 26, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 26 ) port map ( a => register2_q_net, b => register4_q_net, ce => ce_70_sg_x26, clk => clk_70_sg_x26, clr => '0', en => "1", s => delta_y_s_net ); down_sample: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 22, d_width => 26, ds_ratio => 35, latency => 1, phase => 34, q_arith => xlSigned, q_bin_pt => 22, q_width => 26 ) port map ( d => register14_q_net, dest_ce => ce_70_sg_x26, dest_clk => clk_70_sg_x26, dest_clr => '0', en => "1", src_ce => ce_2_sg_x37, src_clk => clk_2_sg_x37, src_clr => '0', q => down_sample_q_net ); down_sample1: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 24, d_width => 25, ds_ratio => 70, latency => 1, phase => 69, q_arith => xlSigned, q_bin_pt => 24, q_width => 25 ) port map ( d => dout_stretch, dest_ce => ce_70_sg_x26, dest_clk => clk_70_sg_x26, dest_clr => '0', en => "1", src_ce => ce_1_sg_x94, src_clk => clk_1_sg_x94, src_clr => '0', q => down_sample1_q_net ); down_sample2: entity work.xldsamp generic map ( d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, ds_ratio => 70, latency => 1, phase => 69, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => logical3_y_net_x0, dest_ce => ce_70_sg_x26, dest_clk => clk_70_sg_x26, dest_clr => '0', en => "1", src_ce => ce_1_sg_x94, src_clk => clk_1_sg_x94, src_clr => '0', q(0) => down_sample2_q_net ); down_sample3: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 24, d_width => 25, ds_ratio => 70, latency => 1, phase => 69, q_arith => xlSigned, q_bin_pt => 24, q_width => 25 ) port map ( d => register11_q_net, dest_ce => ce_70_sg_x26, dest_clk => clk_70_sg_x26, dest_clr => '0', en => "1", src_ce => ce_1_sg_x94, src_clk => clk_1_sg_x94, src_clr => '0', q => down_sample3_q_net ); down_sample4: entity work.xldsamp generic map ( d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, ds_ratio => 70, latency => 1, phase => 69, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => logical3_y_net_x1, dest_ce => ce_70_sg_x26, dest_clk => clk_70_sg_x26, dest_clr => '0', en => "1", src_ce => ce_1_sg_x94, src_clk => clk_1_sg_x94, src_clr => '0', q(0) => down_sample4_q_net ); down_sample5: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 24, d_width => 25, ds_ratio => 70, latency => 1, phase => 69, q_arith => xlSigned, q_bin_pt => 24, q_width => 25 ) port map ( d => register12_q_net, dest_ce => ce_70_sg_x26, dest_clk => clk_70_sg_x26, dest_clr => '0', en => "1", src_ce => ce_1_sg_x94, src_clk => clk_1_sg_x94, src_clr => '0', q => down_sample5_q_net ); down_sample6: entity work.xldsamp generic map ( d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, ds_ratio => 70, latency => 1, phase => 69, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => logical3_y_net_x2, dest_ce => ce_70_sg_x26, dest_clk => clk_70_sg_x26, dest_clr => '0', en => "1", src_ce => ce_1_sg_x94, src_clk => clk_1_sg_x94, src_clr => '0', q(0) => down_sample6_q_net ); down_sample7: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 21, d_width => 25, ds_ratio => 70, latency => 1, phase => 69, q_arith => xlSigned, q_bin_pt => 21, q_width => 25 ) port map ( d => register13_q_net, dest_ce => ce_70_sg_x26, dest_clk => clk_70_sg_x26, dest_clr => '0', en => "1", src_ce => ce_1_sg_x94, src_clk => clk_1_sg_x94, src_clr => '0', q => down_sample7_q_net ); down_sample8: entity work.xldsamp generic map ( d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, ds_ratio => 70, latency => 1, phase => 69, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => logical3_y_net_x3, dest_ce => ce_70_sg_x26, dest_clk => clk_70_sg_x26, dest_clr => '0', en => "1", src_ce => ce_1_sg_x94, src_clk => clk_1_sg_x94, src_clr => '0', q(0) => down_sample8_q_net ); expression1: entity work.expr_375d7bbece port map ( a(0) => x_divider_s_axis_divisor_tready_net, b(0) => divisor_ready, c(0) => q_divider_s_axis_divisor_tready_net, ce => '0', clk => '0', clr => '0', dout(0) => expression1_dout_net ); pulse_stretcher1_eef5ee33be: entity work.pulse_stretcher_entity_9893378b63 port map ( ce_1 => ce_1_sg_x94, clk_1 => clk_1_sg_x94, clr => ce_70_x3, pulse_in => register1_q_net_x2, extd_out => logical3_y_net_x1 ); pulse_stretcher2_6f5c3f41cf: entity work.pulse_stretcher_entity_9893378b63 port map ( ce_1 => ce_1_sg_x94, clk_1 => clk_1_sg_x94, clr => ce_70_x3, pulse_in => register1_q_net_x3, extd_out => logical3_y_net_x2 ); pulse_stretcher3_e720dfd76f: entity work.pulse_stretcher_entity_9893378b63 port map ( ce_1 => ce_1_sg_x94, clk_1 => clk_1_sg_x94, clr => ce_70_x3, pulse_in => register1_q_net_x4, extd_out => logical3_y_net_x3 ); pulse_stretcher4_0a5eb3f903: entity work.pulse_stretcher_entity_9893378b63 port map ( ce_1 => ce_1_sg_x94, clk_1 => clk_1_sg_x94, clr => assert6_dout_net_x0, pulse_in => divisor_valid_x0, extd_out => logical3_y_net_x4 ); pulse_stretcher5_b95a604b09: entity work.pulse_stretcher_entity_9893378b63 port map ( ce_1 => ce_1_sg_x94, clk_1 => clk_1_sg_x94, clr => re_x0, pulse_in => dividend_valid_x0, extd_out => logical3_y_net_x5 ); pulse_stretcher6_e7fb2961d9: entity work.pulse_stretcher_entity_9893378b63 port map ( ce_1 => ce_1_sg_x94, clk_1 => clk_1_sg_x94, clr => assert1_dout_net_x0, pulse_in => dividend_valid_x1, extd_out => logical3_y_net_x6 ); pulse_stretcher7_6e7eb70147: entity work.pulse_stretcher_entity_9893378b63 port map ( ce_1 => ce_1_sg_x94, clk_1 => clk_1_sg_x94, clr => re_x1, pulse_in => dividend_valid_x2, extd_out => logical3_y_net_x7 ); pulse_stretcher_f661707a58: entity work.pulse_stretcher_entity_9893378b63 port map ( ce_1 => ce_1_sg_x94, clk_1 => clk_1_sg_x94, clr => ce_70_x3, pulse_in => register1_q_net_x1, extd_out => logical3_y_net_x0 ); q_divider: entity work.xldivider_generator_ee95dc360423b121d9ecd626691cc2ae port map ( ce => ce_1_sg_x94, clk => clk_1_sg_x94, s_axis_dividend_tdata_dividend => reinterpret7_output_port_net, s_axis_dividend_tvalid => logical3_y_net_x6, s_axis_divisor_tdata_divisor => divisor_data_x0, s_axis_divisor_tvalid => logical3_y_net_x4, m_axis_dout_tdata_fractional => q_divider_m_axis_dout_tdata_fractional_net, m_axis_dout_tvalid => q_divider_m_axis_dout_tvalid_net_x0, s_axis_dividend_tready => q_divider_s_axis_dividend_tready_net, s_axis_divisor_tready => q_divider_s_axis_divisor_tready_net ); register1: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_70_sg_x26, clk => clk_70_sg_x26, d => b_plus_c_s_net, en => "1", rst => "0", q => register1_q_net ); register10: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_70_sg_x26, clk => clk_70_sg_x26, d => delta_q_s_net, en => "1", rst => "0", q => register10_q_net ); register11: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_1_sg_x94, clk => clk_1_sg_x94, d => register_q_net_x1, en => "1", rst => "0", q => register11_q_net ); register12: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_1_sg_x94, clk => clk_1_sg_x94, d => register_q_net_x2, en => "1", rst => "0", q => register12_q_net ); register13: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_1_sg_x94, clk => clk_1_sg_x94, d => register_q_net_x3, en => "1", rst => "0", q => register13_q_net ); register14: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_2_sg_x37, clk => clk_2_sg_x37, d => del_sig_div_tbt_thres_i_net_x0, en => "1", rst => "0", q => register14_q_net ); register2: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_70_sg_x26, clk => clk_70_sg_x26, d => a_plus_b_s_net, en => "1", rst => "0", q => register2_q_net ); register3: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_70_sg_x26, clk => clk_70_sg_x26, d => a_plus_d_s_net, en => "1", rst => "0", q => register3_q_net ); register4: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_70_sg_x26, clk => clk_70_sg_x26, d => c_plus_d_s_net, en => "1", rst => "0", q => register4_q_net ); register5: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_70_sg_x26, clk => clk_70_sg_x26, d => a_plus_c_s_net, en => "1", rst => "0", q => register5_q_net ); register6: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_70_sg_x26, clk => clk_70_sg_x26, d => b_plus_d_s_net, en => "1", rst => "0", q => register6_q_net ); register7: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_70_sg_x26, clk => clk_70_sg_x26, d => delta_x_s_net, en => "1", rst => "0", q => register7_q_net ); register8: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_70_sg_x26, clk => clk_70_sg_x26, d => sum_s_net, en => "1", rst => "0", q => divisor_data ); register9: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_70_sg_x26, clk => clk_70_sg_x26, d => delta_y_s_net, en => "1", rst => "0", q => din ); register_x0: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_1_sg_x94, clk => clk_1_sg_x94, d => register_q_net_x0, en => "1", rst => "0", q => dout_stretch ); reinterpret1: entity work.reinterpret_31a4235b32 port map ( ce => '0', clk => '0', clr => '0', input_port => divider_dout_fracc, output_port => reinterpret1_output_port_net_x0 ); reinterpret2: entity work.reinterpret_31a4235b32 port map ( ce => '0', clk => '0', clr => '0', input_port => q_divider_m_axis_dout_tdata_fractional_net, output_port => reinterpret2_output_port_net_x0 ); reinterpret3: entity work.reinterpret_31a4235b32 port map ( ce => '0', clk => '0', clr => '0', input_port => x_divider_m_axis_dout_tdata_fractional_net, output_port => reinterpret3_output_port_net_x0 ); reinterpret4: entity work.reinterpret_040ef1b598 port map ( ce => '0', clk => '0', clr => '0', input_port => up_sample6_q_net, output_port => reinterpret4_output_port_net ); reinterpret5: entity work.reinterpret_040ef1b598 port map ( ce => '0', clk => '0', clr => '0', input_port => up_sample2_q_net, output_port => divisor_data_x0 ); reinterpret6: entity work.reinterpret_040ef1b598 port map ( ce => '0', clk => '0', clr => '0', input_port => up_sample_q_net, output_port => dividend_data ); reinterpret7: entity work.reinterpret_040ef1b598 port map ( ce => '0', clk => '0', clr => '0', input_port => up_sample4_q_net, output_port => reinterpret7_output_port_net ); reinterpret8: entity work.reinterpret_040ef1b598 port map ( ce => '0', clk => '0', clr => '0', input_port => divisor_data_x0, output_port => reinterpret8_output_port_net ); relational: entity work.relational_416cfcae1e port map ( a => divisor_data, b => down_sample_q_net, ce => ce_70_sg_x26, clk => clk_70_sg_x26, clr => '0', op(0) => relational_op_net ); sum: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 25, b_arith => xlSigned, b_bin_pt => 22, b_width => 25, c_has_c_out => 0, c_latency => 0, c_output_width => 26, core_name0 => "addsb_11_0_239e4f614ba09ab1", extra_registers => 0, full_s_arith => 2, full_s_width => 26, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 26 ) port map ( a => register3_q_net, b => register1_q_net, ce => ce_70_sg_x26, clk => clk_70_sg_x26, clr => '0', en => "1", s => sum_s_net ); up_sample: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 22, d_width => 26, latency => 0, q_arith => xlSigned, q_bin_pt => 22, q_width => 26 ) port map ( d => din, dest_ce => ce_1_sg_x94, dest_clk => clk_1_sg_x94, dest_clr => '0', en => "1", src_ce => ce_70_sg_x26, src_clk => clk_70_sg_x26, src_clr => '0', q => up_sample_q_net ); up_sample1: entity work.xlusamp generic map ( copy_samples => 0, d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, latency => 0, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => assert_dout_net, dest_ce => ce_1_sg_x94, dest_clk => clk_1_sg_x94, dest_clr => '0', en => "1", src_ce => ce_70_sg_x26, src_clk => clk_70_sg_x26, src_clr => '0', q(0) => dividend_valid_x0 ); up_sample2: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 22, d_width => 26, latency => 0, q_arith => xlSigned, q_bin_pt => 22, q_width => 26 ) port map ( d => divisor_data, dest_ce => ce_1_sg_x94, dest_clk => clk_1_sg_x94, dest_clr => '0', en => "1", src_ce => ce_70_sg_x26, src_clk => clk_70_sg_x26, src_clr => '0', q => up_sample2_q_net ); up_sample3: entity work.xlusamp generic map ( copy_samples => 0, d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, latency => 0, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => assert_dout_net, dest_ce => ce_1_sg_x94, dest_clk => clk_1_sg_x94, dest_clr => '0', en => "1", src_ce => ce_70_sg_x26, src_clk => clk_70_sg_x26, src_clr => '0', q(0) => divisor_valid_x0 ); up_sample4: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 22, d_width => 26, latency => 0, q_arith => xlSigned, q_bin_pt => 22, q_width => 26 ) port map ( d => register10_q_net, dest_ce => ce_1_sg_x94, dest_clk => clk_1_sg_x94, dest_clr => '0', en => "1", src_ce => ce_70_sg_x26, src_clk => clk_70_sg_x26, src_clr => '0', q => up_sample4_q_net ); up_sample5: entity work.xlusamp generic map ( copy_samples => 0, d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, latency => 0, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => assert_dout_net, dest_ce => ce_1_sg_x94, dest_clk => clk_1_sg_x94, dest_clr => '0', en => "1", src_ce => ce_70_sg_x26, src_clk => clk_70_sg_x26, src_clr => '0', q(0) => dividend_valid_x1 ); up_sample6: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 22, d_width => 26, latency => 0, q_arith => xlSigned, q_bin_pt => 22, q_width => 26 ) port map ( d => register7_q_net, dest_ce => ce_1_sg_x94, dest_clk => clk_1_sg_x94, dest_clr => '0', en => "1", src_ce => ce_70_sg_x26, src_clk => clk_70_sg_x26, src_clr => '0', q => up_sample6_q_net ); up_sample7: entity work.xlusamp generic map ( copy_samples => 0, d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, latency => 0, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => assert_dout_net, dest_ce => ce_1_sg_x94, dest_clk => clk_1_sg_x94, dest_clr => '0', en => "1", src_ce => ce_70_sg_x26, src_clk => clk_70_sg_x26, src_clr => '0', q(0) => dividend_valid_x2 ); x_divider: entity work.xldivider_generator_ee95dc360423b121d9ecd626691cc2ae port map ( ce => ce_1_sg_x94, clk => clk_1_sg_x94, s_axis_dividend_tdata_dividend => reinterpret4_output_port_net, s_axis_dividend_tvalid => logical3_y_net_x7, s_axis_divisor_tdata_divisor => divisor_data_x0, s_axis_divisor_tvalid => logical3_y_net_x4, m_axis_dout_tdata_fractional => x_divider_m_axis_dout_tdata_fractional_net, m_axis_dout_tvalid => x_divider_m_axis_dout_tvalid_net_x0, s_axis_dividend_tready => dividend_ready, s_axis_divisor_tready => x_divider_s_axis_divisor_tready_net ); y_divider: entity work.xldivider_generator_ee95dc360423b121d9ecd626691cc2ae port map ( ce => ce_1_sg_x94, clk => clk_1_sg_x94, s_axis_dividend_tdata_dividend => dividend_data, s_axis_dividend_tvalid => logical3_y_net_x5, s_axis_divisor_tdata_divisor => divisor_data_x0, s_axis_divisor_tvalid => logical3_y_net_x4, m_axis_dout_tdata_fractional => divider_dout_fracc, m_axis_dout_tvalid => divider_dout_valid_x0, s_axis_dividend_tready => dividend_ready_x0, s_axis_divisor_tready => divisor_ready ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/monit_pos_1/Cast1/format1" entity format1_entity_a98b06306e is port ( ce_56000000: in std_logic; clk_56000000: in std_logic; din: in std_logic_vector(25 downto 0); dout: out std_logic_vector(24 downto 0) ); end format1_entity_a98b06306e; architecture structural of format1_entity_a98b06306e is signal ce_56000000_sg_x0: std_logic; signal clk_56000000_sg_x0: std_logic; signal convert_dout_net_x0: std_logic_vector(24 downto 0); signal monit_pos_1_c_m_axis_data_tdata_net_x0: std_logic_vector(25 downto 0); signal reinterpret_output_port_net: std_logic_vector(25 downto 0); begin ce_56000000_sg_x0 <= ce_56000000; clk_56000000_sg_x0 <= clk_56000000; monit_pos_1_c_m_axis_data_tdata_net_x0 <= din; dout <= convert_dout_net_x0; convert: entity work.xlconvert generic map ( bool_conversion => 0, din_arith => 2, din_bin_pt => 24, din_width => 26, dout_arith => 2, dout_bin_pt => 24, dout_width => 25, latency => 0, overflow => xlWrap, quantization => xlRound ) port map ( ce => ce_56000000_sg_x0, clk => clk_56000000_sg_x0, clr => '0', din => reinterpret_output_port_net, en => "1", dout => convert_dout_net_x0 ); reinterpret: entity work.reinterpret_040ef1b598 port map ( ce => '0', clk => '0', clr => '0', input_port => monit_pos_1_c_m_axis_data_tdata_net_x0, output_port => reinterpret_output_port_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/monit_pos_1/Cast1" entity cast1_entity_3d447d0833 is port ( ce_56000000: in std_logic; clk_56000000: in std_logic; data_in: in std_logic_vector(25 downto 0); en: in std_logic; out_x0: out std_logic_vector(24 downto 0); vld_out: out std_logic ); end cast1_entity_3d447d0833; architecture structural of cast1_entity_3d447d0833 is signal ce_56000000_sg_x1: std_logic; signal clk_56000000_sg_x1: std_logic; signal convert_dout_net_x0: std_logic_vector(24 downto 0); signal monit_pos_1_c_m_axis_data_tdata_net_x1: std_logic_vector(25 downto 0); signal monit_pos_1_c_m_axis_data_tvalid_net_x0: std_logic; signal register1_q_net_x0: std_logic; signal register_q_net_x0: std_logic_vector(24 downto 0); begin ce_56000000_sg_x1 <= ce_56000000; clk_56000000_sg_x1 <= clk_56000000; monit_pos_1_c_m_axis_data_tdata_net_x1 <= data_in; monit_pos_1_c_m_axis_data_tvalid_net_x0 <= en; out_x0 <= register_q_net_x0; vld_out <= register1_q_net_x0; format1_a98b06306e: entity work.format1_entity_a98b06306e port map ( ce_56000000 => ce_56000000_sg_x1, clk_56000000 => clk_56000000_sg_x1, din => monit_pos_1_c_m_axis_data_tdata_net_x1, dout => convert_dout_net_x0 ); register1: entity work.xlregister generic map ( d_width => 1, init_value => b"0" ) port map ( ce => ce_56000000_sg_x1, clk => clk_56000000_sg_x1, d(0) => monit_pos_1_c_m_axis_data_tvalid_net_x0, en => "1", rst => "0", q(0) => register1_q_net_x0 ); register_x0: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_56000000_sg_x1, clk => clk_56000000_sg_x1, d => convert_dout_net_x0, en(0) => monit_pos_1_c_m_axis_data_tvalid_net_x0, rst => "0", q => register_q_net_x0 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/monit_pos_1/TDDM_monit_pos_1_out/TDDM_monit_pos_1_out_int" entity tddm_monit_pos_1_out_int_entity_3405798202 is port ( ce_224000000: in std_logic; ce_56000000: in std_logic; ch_in: in std_logic_vector(1 downto 0); clk_224000000: in std_logic; clk_56000000: in std_logic; din: in std_logic_vector(25 downto 0); dout_ch0: out std_logic_vector(25 downto 0); dout_ch1: out std_logic_vector(25 downto 0); dout_ch2: out std_logic_vector(25 downto 0); dout_ch3: out std_logic_vector(25 downto 0) ); end tddm_monit_pos_1_out_int_entity_3405798202; architecture structural of tddm_monit_pos_1_out_int_entity_3405798202 is signal ce_224000000_sg_x4: std_logic; signal ce_56000000_sg_x2: std_logic; signal clk_224000000_sg_x4: std_logic; signal clk_56000000_sg_x2: std_logic; signal concat_y_net_x0: std_logic_vector(25 downto 0); signal constant1_op_net: std_logic_vector(1 downto 0); signal constant3_op_net: std_logic_vector(1 downto 0); signal constant4_op_net: std_logic_vector(1 downto 0); signal constant_op_net: std_logic_vector(1 downto 0); signal down_sample1_q_net_x0: std_logic_vector(25 downto 0); signal down_sample2_q_net_x0: std_logic_vector(25 downto 0); signal down_sample3_q_net_x0: std_logic_vector(25 downto 0); signal down_sample4_q_net_x0: std_logic_vector(25 downto 0); signal register1_q_net: std_logic_vector(25 downto 0); signal register2_q_net: std_logic_vector(25 downto 0); signal register3_q_net: std_logic_vector(25 downto 0); signal register_q_net_x0: std_logic_vector(25 downto 0); signal register_q_net_x1: std_logic_vector(1 downto 0); signal relational1_op_net: std_logic; signal relational2_op_net: std_logic; signal relational3_op_net: std_logic; signal relational_op_net: std_logic; begin ce_224000000_sg_x4 <= ce_224000000; ce_56000000_sg_x2 <= ce_56000000; register_q_net_x1 <= ch_in; clk_224000000_sg_x4 <= clk_224000000; clk_56000000_sg_x2 <= clk_56000000; concat_y_net_x0 <= din; dout_ch0 <= down_sample2_q_net_x0; dout_ch1 <= down_sample1_q_net_x0; dout_ch2 <= down_sample3_q_net_x0; dout_ch3 <= down_sample4_q_net_x0; constant1: entity work.constant_cda50df78a port map ( ce => '0', clk => '0', clr => '0', op => constant1_op_net ); constant3: entity work.constant_a7e2bb9e12 port map ( ce => '0', clk => '0', clr => '0', op => constant3_op_net ); constant4: entity work.constant_e8ddc079e9 port map ( ce => '0', clk => '0', clr => '0', op => constant4_op_net ); constant_x0: entity work.constant_3a9a3daeb9 port map ( ce => '0', clk => '0', clr => '0', op => constant_op_net ); down_sample1: entity work.xldsamp generic map ( d_arith => xlUnsigned, d_bin_pt => 0, d_width => 26, ds_ratio => 4, latency => 1, phase => 3, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 26 ) port map ( d => register1_q_net, dest_ce => ce_224000000_sg_x4, dest_clk => clk_224000000_sg_x4, dest_clr => '0', en => "1", src_ce => ce_56000000_sg_x2, src_clk => clk_56000000_sg_x2, src_clr => '0', q => down_sample1_q_net_x0 ); down_sample2: entity work.xldsamp generic map ( d_arith => xlUnsigned, d_bin_pt => 0, d_width => 26, ds_ratio => 4, latency => 1, phase => 3, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 26 ) port map ( d => register_q_net_x0, dest_ce => ce_224000000_sg_x4, dest_clk => clk_224000000_sg_x4, dest_clr => '0', en => "1", src_ce => ce_56000000_sg_x2, src_clk => clk_56000000_sg_x2, src_clr => '0', q => down_sample2_q_net_x0 ); down_sample3: entity work.xldsamp generic map ( d_arith => xlUnsigned, d_bin_pt => 0, d_width => 26, ds_ratio => 4, latency => 1, phase => 3, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 26 ) port map ( d => register2_q_net, dest_ce => ce_224000000_sg_x4, dest_clk => clk_224000000_sg_x4, dest_clr => '0', en => "1", src_ce => ce_56000000_sg_x2, src_clk => clk_56000000_sg_x2, src_clr => '0', q => down_sample3_q_net_x0 ); down_sample4: entity work.xldsamp generic map ( d_arith => xlUnsigned, d_bin_pt => 0, d_width => 26, ds_ratio => 4, latency => 1, phase => 3, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 26 ) port map ( d => register3_q_net, dest_ce => ce_224000000_sg_x4, dest_clk => clk_224000000_sg_x4, dest_clr => '0', en => "1", src_ce => ce_56000000_sg_x2, src_clk => clk_56000000_sg_x2, src_clr => '0', q => down_sample4_q_net_x0 ); register1: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_56000000_sg_x2, clk => clk_56000000_sg_x2, d => concat_y_net_x0, en(0) => relational1_op_net, rst => "0", q => register1_q_net ); register2: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_56000000_sg_x2, clk => clk_56000000_sg_x2, d => concat_y_net_x0, en(0) => relational2_op_net, rst => "0", q => register2_q_net ); register3: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_56000000_sg_x2, clk => clk_56000000_sg_x2, d => concat_y_net_x0, en(0) => relational3_op_net, rst => "0", q => register3_q_net ); register_x0: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_56000000_sg_x2, clk => clk_56000000_sg_x2, d => concat_y_net_x0, en(0) => relational_op_net, rst => "0", q => register_q_net_x0 ); relational: entity work.relational_367321bc0c port map ( a => register_q_net_x1, b => constant_op_net, ce => ce_56000000_sg_x2, clk => clk_56000000_sg_x2, clr => '0', op(0) => relational_op_net ); relational1: entity work.relational_367321bc0c port map ( a => register_q_net_x1, b => constant1_op_net, ce => ce_56000000_sg_x2, clk => clk_56000000_sg_x2, clr => '0', op(0) => relational1_op_net ); relational2: entity work.relational_367321bc0c port map ( a => register_q_net_x1, b => constant3_op_net, ce => ce_56000000_sg_x2, clk => clk_56000000_sg_x2, clr => '0', op(0) => relational2_op_net ); relational3: entity work.relational_367321bc0c port map ( a => register_q_net_x1, b => constant4_op_net, ce => ce_56000000_sg_x2, clk => clk_56000000_sg_x2, clr => '0', op(0) => relational3_op_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/monit_pos_1/TDDM_monit_pos_1_out" entity tddm_monit_pos_1_out_entity_1d58a51dbf is port ( ce_224000000: in std_logic; ce_56000000: in std_logic; clk_224000000: in std_logic; clk_56000000: in std_logic; monit_pos_1_ch_in: in std_logic_vector(1 downto 0); monit_pos_1_din: in std_logic_vector(25 downto 0); monit_pos_1_q_out: out std_logic_vector(25 downto 0); monit_pos_1_sum_out: out std_logic_vector(25 downto 0); monit_pos_1_x_out: out std_logic_vector(25 downto 0); monit_pos_1_y_out: out std_logic_vector(25 downto 0) ); end tddm_monit_pos_1_out_entity_1d58a51dbf; architecture structural of tddm_monit_pos_1_out_entity_1d58a51dbf is signal ce_224000000_sg_x5: std_logic; signal ce_56000000_sg_x3: std_logic; signal clk_224000000_sg_x5: std_logic; signal clk_56000000_sg_x3: std_logic; signal concat_y_net_x1: std_logic_vector(25 downto 0); signal down_sample1_q_net_x1: std_logic_vector(25 downto 0); signal down_sample2_q_net_x1: std_logic_vector(25 downto 0); signal down_sample3_q_net_x1: std_logic_vector(25 downto 0); signal down_sample4_q_net_x1: std_logic_vector(25 downto 0); signal register_q_net_x2: std_logic_vector(1 downto 0); begin ce_224000000_sg_x5 <= ce_224000000; ce_56000000_sg_x3 <= ce_56000000; clk_224000000_sg_x5 <= clk_224000000; clk_56000000_sg_x3 <= clk_56000000; register_q_net_x2 <= monit_pos_1_ch_in; concat_y_net_x1 <= monit_pos_1_din; monit_pos_1_q_out <= down_sample3_q_net_x1; monit_pos_1_sum_out <= down_sample4_q_net_x1; monit_pos_1_x_out <= down_sample2_q_net_x1; monit_pos_1_y_out <= down_sample1_q_net_x1; tddm_monit_pos_1_out_int_3405798202: entity work.tddm_monit_pos_1_out_int_entity_3405798202 port map ( ce_224000000 => ce_224000000_sg_x5, ce_56000000 => ce_56000000_sg_x3, ch_in => register_q_net_x2, clk_224000000 => clk_224000000_sg_x5, clk_56000000 => clk_56000000_sg_x3, din => concat_y_net_x1, dout_ch0 => down_sample2_q_net_x1, dout_ch1 => down_sample1_q_net_x1, dout_ch2 => down_sample3_q_net_x1, dout_ch3 => down_sample4_q_net_x1 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/monit_pos_1" entity monit_pos_1_entity_522c8cf08d is port ( ce_1: in std_logic; ce_224000000: in std_logic; ce_5600000: in std_logic; ce_56000000: in std_logic; ce_logic_5600000: in std_logic; ch_in: in std_logic_vector(1 downto 0); clk_1: in std_logic; clk_224000000: in std_logic; clk_5600000: in std_logic; clk_56000000: in std_logic; din: in std_logic_vector(24 downto 0); monit_1_pos_q: out std_logic_vector(24 downto 0); monit_1_pos_x: out std_logic_vector(24 downto 0); monit_1_pos_y: out std_logic_vector(24 downto 0); monit_1_sum: out std_logic_vector(24 downto 0); monit_1_vld_q: out std_logic; monit_1_vld_sum: out std_logic; monit_1_vld_x: out std_logic; monit_1_vld_y: out std_logic; monit_pos_1_c_x0: out std_logic ); end monit_pos_1_entity_522c8cf08d; architecture structural of monit_pos_1_entity_522c8cf08d is signal ce_1_sg_x95: std_logic; signal ce_224000000_sg_x6: std_logic; signal ce_56000000_sg_x4: std_logic; signal ce_5600000_sg_x11: std_logic; signal ce_logic_5600000_sg_x1: std_logic; signal clk_1_sg_x95: std_logic; signal clk_224000000_sg_x6: std_logic; signal clk_56000000_sg_x4: std_logic; signal clk_5600000_sg_x11: std_logic; signal concat_y_net_x1: std_logic_vector(25 downto 0); signal down_sample1_q_net_x1: std_logic_vector(25 downto 0); signal down_sample2_q_net_x1: std_logic_vector(25 downto 0); signal down_sample3_q_net_x1: std_logic_vector(25 downto 0); signal down_sample4_q_net_x1: std_logic_vector(25 downto 0); signal down_sample_q_net_x3: std_logic_vector(1 downto 0); signal extractor1_dout_net: std_logic_vector(24 downto 0); signal extractor1_vld_out_net: std_logic; signal extractor2_dout_net: std_logic_vector(24 downto 0); signal extractor2_vld_out_net: std_logic; signal extractor3_dout_net: std_logic_vector(24 downto 0); signal extractor3_vld_out_net: std_logic; signal extractor4_dout_net: std_logic_vector(24 downto 0); signal extractor4_vld_out_net: std_logic; signal monit_pos_1_c_event_s_data_chanid_incorrect_net_x0: std_logic; signal monit_pos_1_c_m_axis_data_tdata_net_x1: std_logic_vector(25 downto 0); signal monit_pos_1_c_m_axis_data_tuser_chanid_net: std_logic_vector(1 downto 0); signal monit_pos_1_c_m_axis_data_tvalid_net_x0: std_logic; signal register1_q_net_x0: std_logic; signal register_q_net_x0: std_logic_vector(24 downto 0); signal register_q_net_x2: std_logic_vector(1 downto 0); signal reinterpret1_output_port_net_x1: std_logic_vector(24 downto 0); signal reinterpret2_output_port_net_x1: std_logic_vector(24 downto 0); signal reinterpret3_output_port_net_x1: std_logic_vector(24 downto 0); signal reinterpret4_output_port_net_x1: std_logic_vector(24 downto 0); signal reinterpret5_output_port_net: std_logic_vector(24 downto 0); signal reinterpret5_output_port_net_x1: std_logic_vector(24 downto 0); signal ufix_to_bool1_dout_net_x1: std_logic; signal ufix_to_bool2_dout_net_x1: std_logic; signal ufix_to_bool3_dout_net_x1: std_logic; signal ufix_to_bool_dout_net_x1: std_logic; begin ce_1_sg_x95 <= ce_1; ce_224000000_sg_x6 <= ce_224000000; ce_5600000_sg_x11 <= ce_5600000; ce_56000000_sg_x4 <= ce_56000000; ce_logic_5600000_sg_x1 <= ce_logic_5600000; down_sample_q_net_x3 <= ch_in; clk_1_sg_x95 <= clk_1; clk_224000000_sg_x6 <= clk_224000000; clk_5600000_sg_x11 <= clk_5600000; clk_56000000_sg_x4 <= clk_56000000; reinterpret5_output_port_net_x1 <= din; monit_1_pos_q <= reinterpret2_output_port_net_x1; monit_1_pos_x <= reinterpret3_output_port_net_x1; monit_1_pos_y <= reinterpret1_output_port_net_x1; monit_1_sum <= reinterpret4_output_port_net_x1; monit_1_vld_q <= ufix_to_bool2_dout_net_x1; monit_1_vld_sum <= ufix_to_bool3_dout_net_x1; monit_1_vld_x <= ufix_to_bool_dout_net_x1; monit_1_vld_y <= ufix_to_bool1_dout_net_x1; monit_pos_1_c_x0 <= monit_pos_1_c_event_s_data_chanid_incorrect_net_x0; cast1_3d447d0833: entity work.cast1_entity_3d447d0833 port map ( ce_56000000 => ce_56000000_sg_x4, clk_56000000 => clk_56000000_sg_x4, data_in => monit_pos_1_c_m_axis_data_tdata_net_x1, en => monit_pos_1_c_m_axis_data_tvalid_net_x0, out_x0 => register_q_net_x0, vld_out => register1_q_net_x0 ); concat: entity work.concat_43e7f055fa port map ( ce => '0', clk => '0', clr => '0', in0(0) => register1_q_net_x0, in1 => reinterpret5_output_port_net, y => concat_y_net_x1 ); extractor1: entity work.bitbasher_a756ba0096 port map ( ce => '0', clk => '0', clr => '0', din => down_sample3_q_net_x1, dout => extractor1_dout_net, vld_out(0) => extractor1_vld_out_net ); extractor2: entity work.bitbasher_a756ba0096 port map ( ce => '0', clk => '0', clr => '0', din => down_sample1_q_net_x1, dout => extractor2_dout_net, vld_out(0) => extractor2_vld_out_net ); extractor3: entity work.bitbasher_a756ba0096 port map ( ce => '0', clk => '0', clr => '0', din => down_sample4_q_net_x1, dout => extractor3_dout_net, vld_out(0) => extractor3_vld_out_net ); extractor4: entity work.bitbasher_a756ba0096 port map ( ce => '0', clk => '0', clr => '0', din => down_sample2_q_net_x1, dout => extractor4_dout_net, vld_out(0) => extractor4_vld_out_net ); monit_pos_1_c: entity work.xlfir_compiler_c8ab56fde252f177c3a1ef23ff29e49a port map ( ce => ce_1_sg_x95, ce_5600000 => ce_5600000_sg_x11, ce_56000000 => ce_56000000_sg_x4, ce_logic_5600000 => ce_logic_5600000_sg_x1, clk => clk_1_sg_x95, clk_5600000 => clk_5600000_sg_x11, clk_56000000 => clk_56000000_sg_x4, clk_logic_5600000 => clk_5600000_sg_x11, s_axis_data_tdata => reinterpret5_output_port_net_x1, s_axis_data_tuser_chanid => down_sample_q_net_x3, src_ce => ce_5600000_sg_x11, src_clk => clk_5600000_sg_x11, event_s_data_chanid_incorrect => monit_pos_1_c_event_s_data_chanid_incorrect_net_x0, m_axis_data_tdata => monit_pos_1_c_m_axis_data_tdata_net_x1, m_axis_data_tuser_chanid => monit_pos_1_c_m_axis_data_tuser_chanid_net, m_axis_data_tvalid => monit_pos_1_c_m_axis_data_tvalid_net_x0 ); register_x0: entity work.xlregister generic map ( d_width => 2, init_value => b"00" ) port map ( ce => ce_56000000_sg_x4, clk => clk_56000000_sg_x4, d => monit_pos_1_c_m_axis_data_tuser_chanid_net, en => "1", rst => "0", q => register_q_net_x2 ); reinterpret1: entity work.reinterpret_60ea556961 port map ( ce => '0', clk => '0', clr => '0', input_port => extractor2_dout_net, output_port => reinterpret1_output_port_net_x1 ); reinterpret2: entity work.reinterpret_60ea556961 port map ( ce => '0', clk => '0', clr => '0', input_port => extractor1_dout_net, output_port => reinterpret2_output_port_net_x1 ); reinterpret3: entity work.reinterpret_60ea556961 port map ( ce => '0', clk => '0', clr => '0', input_port => extractor4_dout_net, output_port => reinterpret3_output_port_net_x1 ); reinterpret4: entity work.reinterpret_60ea556961 port map ( ce => '0', clk => '0', clr => '0', input_port => extractor3_dout_net, output_port => reinterpret4_output_port_net_x1 ); reinterpret5: entity work.reinterpret_c3c0e847be port map ( ce => '0', clk => '0', clr => '0', input_port => register_q_net_x0, output_port => reinterpret5_output_port_net ); tddm_monit_pos_1_out_1d58a51dbf: entity work.tddm_monit_pos_1_out_entity_1d58a51dbf port map ( ce_224000000 => ce_224000000_sg_x6, ce_56000000 => ce_56000000_sg_x4, clk_224000000 => clk_224000000_sg_x6, clk_56000000 => clk_56000000_sg_x4, monit_pos_1_ch_in => register_q_net_x2, monit_pos_1_din => concat_y_net_x1, monit_pos_1_q_out => down_sample3_q_net_x1, monit_pos_1_sum_out => down_sample4_q_net_x1, monit_pos_1_x_out => down_sample2_q_net_x1, monit_pos_1_y_out => down_sample1_q_net_x1 ); ufix_to_bool: entity work.xlconvert generic map ( bool_conversion => 1, din_arith => 1, din_bin_pt => 0, din_width => 1, dout_arith => 1, dout_bin_pt => 0, dout_width => 1, latency => 0, overflow => xlWrap, quantization => xlRound ) port map ( ce => ce_224000000_sg_x6, clk => clk_224000000_sg_x6, clr => '0', din(0) => extractor4_vld_out_net, en => "1", dout(0) => ufix_to_bool_dout_net_x1 ); ufix_to_bool1: entity work.xlconvert generic map ( bool_conversion => 1, din_arith => 1, din_bin_pt => 0, din_width => 1, dout_arith => 1, dout_bin_pt => 0, dout_width => 1, latency => 0, overflow => xlWrap, quantization => xlRound ) port map ( ce => ce_224000000_sg_x6, clk => clk_224000000_sg_x6, clr => '0', din(0) => extractor2_vld_out_net, en => "1", dout(0) => ufix_to_bool1_dout_net_x1 ); ufix_to_bool2: entity work.xlconvert generic map ( bool_conversion => 1, din_arith => 1, din_bin_pt => 0, din_width => 1, dout_arith => 1, dout_bin_pt => 0, dout_width => 1, latency => 0, overflow => xlWrap, quantization => xlRound ) port map ( ce => ce_224000000_sg_x6, clk => clk_224000000_sg_x6, clr => '0', din(0) => extractor1_vld_out_net, en => "1", dout(0) => ufix_to_bool2_dout_net_x1 ); ufix_to_bool3: entity work.xlconvert generic map ( bool_conversion => 1, din_arith => 1, din_bin_pt => 0, din_width => 1, dout_arith => 1, dout_bin_pt => 0, dout_width => 1, latency => 0, overflow => xlWrap, quantization => xlRound ) port map ( ce => ce_224000000_sg_x6, clk => clk_224000000_sg_x6, clr => '0', din(0) => extractor3_vld_out_net, en => "1", dout(0) => ufix_to_bool3_dout_net_x1 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066" entity ddc_bpm_476_066 is port ( adc_ch0_i: in std_logic_vector(15 downto 0); adc_ch1_i: in std_logic_vector(15 downto 0); adc_ch2_i: in std_logic_vector(15 downto 0); adc_ch3_i: in std_logic_vector(15 downto 0); ce_1: in std_logic; ce_10000: in std_logic; ce_1120: in std_logic; ce_1400000: in std_logic; ce_2: in std_logic; ce_2240: in std_logic; ce_22400000: in std_logic; ce_224000000: in std_logic; ce_2500: in std_logic; ce_2800000: in std_logic; ce_35: in std_logic; ce_4480: in std_logic; ce_44800000: in std_logic; ce_5000: in std_logic; ce_560: in std_logic; ce_5600000: in std_logic; ce_56000000: in std_logic; ce_70: in std_logic; ce_logic_1: in std_logic; ce_logic_1400000: in std_logic; ce_logic_2240: in std_logic; ce_logic_22400000: in std_logic; ce_logic_2800000: in std_logic; ce_logic_560: in std_logic; ce_logic_5600000: in std_logic; ce_logic_70: in std_logic; clk_1: in std_logic; clk_10000: in std_logic; clk_1120: in std_logic; clk_1400000: in std_logic; clk_2: in std_logic; clk_2240: in std_logic; clk_22400000: in std_logic; clk_224000000: in std_logic; clk_2500: in std_logic; clk_2800000: in std_logic; clk_35: in std_logic; clk_4480: in std_logic; clk_44800000: in std_logic; clk_5000: in std_logic; clk_560: in std_logic; clk_5600000: in std_logic; clk_56000000: in std_logic; clk_70: in std_logic; dds_config_valid_ch0_i: in std_logic; dds_config_valid_ch1_i: in std_logic; dds_config_valid_ch2_i: in std_logic; dds_config_valid_ch3_i: in std_logic; dds_pinc_ch0_i: in std_logic_vector(29 downto 0); dds_pinc_ch1_i: in std_logic_vector(29 downto 0); dds_pinc_ch2_i: in std_logic_vector(29 downto 0); dds_pinc_ch3_i: in std_logic_vector(29 downto 0); dds_poff_ch0_i: in std_logic_vector(29 downto 0); dds_poff_ch1_i: in std_logic_vector(29 downto 0); dds_poff_ch2_i: in std_logic_vector(29 downto 0); dds_poff_ch3_i: in std_logic_vector(29 downto 0); del_sig_div_fofb_thres_i: in std_logic_vector(25 downto 0); del_sig_div_monit_thres_i: in std_logic_vector(25 downto 0); del_sig_div_tbt_thres_i: in std_logic_vector(25 downto 0); ksum_i: in std_logic_vector(24 downto 0); kx_i: in std_logic_vector(24 downto 0); ky_i: in std_logic_vector(24 downto 0); adc_ch0_dbg_data_o: out std_logic_vector(15 downto 0); adc_ch1_dbg_data_o: out std_logic_vector(15 downto 0); adc_ch2_dbg_data_o: out std_logic_vector(15 downto 0); adc_ch3_dbg_data_o: out std_logic_vector(15 downto 0); bpf_ch0_o: out std_logic_vector(23 downto 0); bpf_ch1_o: out std_logic_vector(23 downto 0); bpf_ch2_o: out std_logic_vector(23 downto 0); bpf_ch3_o: out std_logic_vector(23 downto 0); cic_fofb_q_01_missing_o: out std_logic; cic_fofb_q_23_missing_o: out std_logic; fofb_amp_ch0_o: out std_logic_vector(23 downto 0); fofb_amp_ch1_o: out std_logic_vector(23 downto 0); fofb_amp_ch2_o: out std_logic_vector(23 downto 0); fofb_amp_ch3_o: out std_logic_vector(23 downto 0); fofb_decim_ch0_i_o: out std_logic_vector(23 downto 0); fofb_decim_ch0_q_o: out std_logic_vector(23 downto 0); fofb_decim_ch1_i_o: out std_logic_vector(23 downto 0); fofb_decim_ch1_q_o: out std_logic_vector(23 downto 0); fofb_decim_ch2_i_o: out std_logic_vector(23 downto 0); fofb_decim_ch2_q_o: out std_logic_vector(23 downto 0); fofb_decim_ch3_i_o: out std_logic_vector(23 downto 0); fofb_decim_ch3_q_o: out std_logic_vector(23 downto 0); fofb_pha_ch0_o: out std_logic_vector(23 downto 0); fofb_pha_ch1_o: out std_logic_vector(23 downto 0); fofb_pha_ch2_o: out std_logic_vector(23 downto 0); fofb_pha_ch3_o: out std_logic_vector(23 downto 0); mix_ch0_i_o: out std_logic_vector(23 downto 0); mix_ch0_q_o: out std_logic_vector(23 downto 0); mix_ch1_i_o: out std_logic_vector(23 downto 0); mix_ch1_q_o: out std_logic_vector(23 downto 0); mix_ch2_i_o: out std_logic_vector(23 downto 0); mix_ch2_q_o: out std_logic_vector(23 downto 0); mix_ch3_i_o: out std_logic_vector(23 downto 0); mix_ch3_q_o: out std_logic_vector(23 downto 0); monit_amp_ch0_o: out std_logic_vector(23 downto 0); monit_amp_ch1_o: out std_logic_vector(23 downto 0); monit_amp_ch2_o: out std_logic_vector(23 downto 0); monit_amp_ch3_o: out std_logic_vector(23 downto 0); monit_cfir_incorrect_o: out std_logic; monit_cic_unexpected_o: out std_logic; monit_pfir_incorrect_o: out std_logic; monit_pos_1_incorrect_o: out std_logic; q_fofb_o: out std_logic_vector(25 downto 0); q_fofb_valid_o: out std_logic; q_monit_1_o: out std_logic_vector(25 downto 0); q_monit_1_valid_o: out std_logic; q_monit_o: out std_logic_vector(25 downto 0); q_monit_valid_o: out std_logic; q_tbt_o: out std_logic_vector(25 downto 0); q_tbt_valid_o: out std_logic; sum_fofb_o: out std_logic_vector(25 downto 0); sum_fofb_valid_o: out std_logic; sum_monit_1_o: out std_logic_vector(25 downto 0); sum_monit_1_valid_o: out std_logic; sum_monit_o: out std_logic_vector(25 downto 0); sum_monit_valid_o: out std_logic; sum_tbt_o: out std_logic_vector(25 downto 0); sum_tbt_valid_o: out std_logic; tbt_amp_ch0_o: out std_logic_vector(23 downto 0); tbt_amp_ch1_o: out std_logic_vector(23 downto 0); tbt_amp_ch2_o: out std_logic_vector(23 downto 0); tbt_amp_ch3_o: out std_logic_vector(23 downto 0); tbt_decim_ch01_incorrect_o: out std_logic; tbt_decim_ch0_i_o: out std_logic_vector(23 downto 0); tbt_decim_ch0_q_o: out std_logic_vector(23 downto 0); tbt_decim_ch1_i_o: out std_logic_vector(23 downto 0); tbt_decim_ch1_q_o: out std_logic_vector(23 downto 0); tbt_decim_ch23_incorrect_o: out std_logic; tbt_decim_ch2_i_o: out std_logic_vector(23 downto 0); tbt_decim_ch2_q_o: out std_logic_vector(23 downto 0); tbt_decim_ch3_i_o: out std_logic_vector(23 downto 0); tbt_decim_ch3_q_o: out std_logic_vector(23 downto 0); tbt_pha_ch0_o: out std_logic_vector(23 downto 0); tbt_pha_ch1_o: out std_logic_vector(23 downto 0); tbt_pha_ch2_o: out std_logic_vector(23 downto 0); tbt_pha_ch3_o: out std_logic_vector(23 downto 0); x_fofb_o: out std_logic_vector(25 downto 0); x_fofb_valid_o: out std_logic; x_monit_1_o: out std_logic_vector(25 downto 0); x_monit_1_valid_o: out std_logic; x_monit_o: out std_logic_vector(25 downto 0); x_monit_valid_o: out std_logic; x_tbt_o: out std_logic_vector(25 downto 0); x_tbt_valid_o: out std_logic; y_fofb_o: out std_logic_vector(25 downto 0); y_fofb_valid_o: out std_logic; y_monit_1_o: out std_logic_vector(25 downto 0); y_monit_1_valid_o: out std_logic; y_monit_o: out std_logic_vector(25 downto 0); y_monit_valid_o: out std_logic; y_tbt_o: out std_logic_vector(25 downto 0); y_tbt_valid_o: out std_logic ); end ddc_bpm_476_066; architecture structural of ddc_bpm_476_066 is attribute core_generation_info: string; attribute core_generation_info of structural : architecture is "ddc_bpm_476_066,sysgen_core,{clock_period=4.44116092,clocking=Clock_Enables,compilation=HDL_Netlist,sample_periods=1.00000000000 2.00000000000 35.00000000000 70.00000000000 560.00000000000 1120.00000000000 2240.00000000000 2500.00000000000 4480.00000000000 5000.00000000000 10000.00000000000 1400000.00000000000 2800000.00000000000 5600000.00000000000 22400000.00000000000 44800000.00000000000 56000000.00000000000 224000000.00000000000,testbench=0,total_blocks=3351,xilinx_adder_subtracter_block=30,xilinx_arithmetic_relational_operator_block=66,xilinx_assert_block=55,xilinx_bit_slice_extractor_block=20,xilinx_bitbasher_block=5,xilinx_bitwise_expression_evaluator_block=3,xilinx_black_box_block=1,xilinx_bus_concatenator_block=9,xilinx_bus_multiplexer_block=8,xilinx_cic_compiler_3_0_block=5,xilinx_clock_enable_probe_block=11,xilinx_complex_multiplier_5_0__block=2,xilinx_constant_block_block=83,xilinx_cordic_5_0_block=4,xilinx_counter_block=8,xilinx_delay_block=59,xilinx_divider_generator_4_0_block=9,xilinx_down_sampler_block=118,xilinx_fir_compiler_6_3_block=5,xilinx_gateway_in_block=22,xilinx_gateway_out_block=233,xilinx_inverter_block=24,xilinx_logical_block_block=72,xilinx_multiplier_block=16,xilinx_register_block=264,xilinx_sample_time_block_block=88,xilinx_system_generator_block=1,xilinx_type_converter_block=23,xilinx_type_reinterpreter_block=94,xilinx_up_sampler_block=68,xilinx_wavescope_block=2,}"; signal adc_ch0_dbg_data_o_net: std_logic_vector(15 downto 0); signal adc_ch0_i_net: std_logic_vector(15 downto 0); signal adc_ch1_dbg_data_o_net: std_logic_vector(15 downto 0); signal adc_ch1_i_net: std_logic_vector(15 downto 0); signal adc_ch2_dbg_data_o_net: std_logic_vector(15 downto 0); signal adc_ch2_i_net: std_logic_vector(15 downto 0); signal adc_ch3_dbg_data_o_net: std_logic_vector(15 downto 0); signal adc_ch3_i_net: std_logic_vector(15 downto 0); signal assert10_dout_net_x1: std_logic; signal assert10_dout_net_x2: std_logic; signal assert10_dout_net_x3: std_logic; signal assert11_dout_net_x1: std_logic_vector(24 downto 0); signal assert11_dout_net_x2: std_logic_vector(24 downto 0); signal assert11_dout_net_x3: std_logic_vector(24 downto 0); signal assert12_dout_net_x1: std_logic; signal assert12_dout_net_x2: std_logic; signal assert12_dout_net_x3: std_logic; signal assert4_dout_net_x1: std_logic_vector(24 downto 0); signal assert5_dout_net_x1: std_logic_vector(24 downto 0); signal assert5_dout_net_x2: std_logic_vector(24 downto 0); signal assert5_dout_net_x3: std_logic_vector(24 downto 0); signal assert8_dout_net_x1: std_logic_vector(24 downto 0); signal assert8_dout_net_x2: std_logic_vector(24 downto 0); signal assert9_dout_net_x1: std_logic; signal assert9_dout_net_x2: std_logic; signal assert9_dout_net_x3: std_logic; signal bpf_ch0_o_net: std_logic_vector(23 downto 0); signal bpf_ch1_o_net: std_logic_vector(23 downto 0); signal bpf_ch2_o_net: std_logic_vector(23 downto 0); signal bpf_ch3_o_net: std_logic_vector(23 downto 0); signal ce_10000_sg_x2: std_logic; signal ce_1120_sg_x32: std_logic; signal ce_1400000_sg_x3: std_logic; signal ce_1_sg_x96: std_logic; signal ce_224000000_sg_x7: std_logic; signal ce_22400000_sg_x28: std_logic; signal ce_2240_sg_x28: std_logic; signal ce_2500_sg_x3: std_logic; signal ce_2800000_sg_x4: std_logic; signal ce_2_sg_x38: std_logic; signal ce_35_sg_x22: std_logic; signal ce_44800000_sg_x2: std_logic; signal ce_4480_sg_x9: std_logic; signal ce_5000_sg_x9: std_logic; signal ce_56000000_sg_x5: std_logic; signal ce_5600000_sg_x12: std_logic; signal ce_560_sg_x3: std_logic; signal ce_70_sg_x27: std_logic; signal ce_logic_1400000_sg_x2: std_logic; signal ce_logic_1_sg_x20: std_logic; signal ce_logic_22400000_sg_x1: std_logic; signal ce_logic_2240_sg_x1: std_logic; signal ce_logic_2800000_sg_x2: std_logic; signal ce_logic_5600000_sg_x2: std_logic; signal ce_logic_560_sg_x3: std_logic; signal ce_logic_70_sg_x1: std_logic; signal ch_out_x2: std_logic_vector(1 downto 0); signal cic_fofb_q_01_missing_o_net: std_logic; signal cic_fofb_q_23_missing_o_net: std_logic; signal clk_10000_sg_x2: std_logic; signal clk_1120_sg_x32: std_logic; signal clk_1400000_sg_x3: std_logic; signal clk_1_sg_x96: std_logic; signal clk_224000000_sg_x7: std_logic; signal clk_22400000_sg_x28: std_logic; signal clk_2240_sg_x28: std_logic; signal clk_2500_sg_x3: std_logic; signal clk_2800000_sg_x4: std_logic; signal clk_2_sg_x38: std_logic; signal clk_35_sg_x22: std_logic; signal clk_44800000_sg_x2: std_logic; signal clk_4480_sg_x9: std_logic; signal clk_5000_sg_x9: std_logic; signal clk_56000000_sg_x5: std_logic; signal clk_5600000_sg_x12: std_logic; signal clk_560_sg_x3: std_logic; signal clk_70_sg_x27: std_logic; signal concat1_y_net_x0: std_logic_vector(25 downto 0); signal concat2_y_net_x0: std_logic_vector(25 downto 0); signal concat3_y_net_x0: std_logic_vector(25 downto 0); signal concat_y_net_x0: std_logic_vector(25 downto 0); signal constant10_op_net_x0: std_logic; signal constant11_op_net_x0: std_logic; signal constant15_op_net_x1: std_logic; signal constant3_op_net_x1: std_logic; signal dds_config_valid_ch0_i_net: std_logic; signal dds_config_valid_ch1_i_net: std_logic; signal dds_config_valid_ch2_i_net: std_logic; signal dds_config_valid_ch3_i_net: std_logic; signal dds_pinc_ch0_i_net: std_logic_vector(29 downto 0); signal dds_pinc_ch1_i_net: std_logic_vector(29 downto 0); signal dds_pinc_ch2_i_net: std_logic_vector(29 downto 0); signal dds_pinc_ch3_i_net: std_logic_vector(29 downto 0); signal dds_poff_ch0_i_net: std_logic_vector(29 downto 0); signal dds_poff_ch1_i_net: std_logic_vector(29 downto 0); signal dds_poff_ch2_i_net: std_logic_vector(29 downto 0); signal dds_poff_ch3_i_net: std_logic_vector(29 downto 0); signal del_sig_div_fofb_thres_i_net: std_logic_vector(25 downto 0); signal del_sig_div_monit_thres_i_net: std_logic_vector(25 downto 0); signal del_sig_div_tbt_thres_i_net: std_logic_vector(25 downto 0); signal dout_down_x1: std_logic_vector(24 downto 0); signal dout_down_x2: std_logic_vector(24 downto 0); signal dout_down_x3: std_logic_vector(24 downto 0); signal dout_x2: std_logic_vector(23 downto 0); signal down_sample1_q_net_x20: std_logic_vector(23 downto 0); signal down_sample1_q_net_x21: std_logic_vector(23 downto 0); signal down_sample1_q_net_x34: std_logic_vector(23 downto 0); signal down_sample1_q_net_x35: std_logic_vector(23 downto 0); signal down_sample1_q_net_x5: std_logic_vector(23 downto 0); signal down_sample2_q_net_x20: std_logic_vector(23 downto 0); signal down_sample2_q_net_x21: std_logic_vector(23 downto 0); signal down_sample2_q_net_x34: std_logic_vector(23 downto 0); signal down_sample2_q_net_x35: std_logic_vector(23 downto 0); signal down_sample2_q_net_x5: std_logic_vector(23 downto 0); signal down_sample3_q_net_x5: std_logic_vector(23 downto 0); signal down_sample4_q_net_x5: std_logic_vector(23 downto 0); signal down_sample_q_net_x3: std_logic_vector(1 downto 0); signal down_sample_q_net_x4: std_logic_vector(25 downto 0); signal fofb_amp_ch0_o_net: std_logic_vector(23 downto 0); signal fofb_amp_ch1_o_net: std_logic_vector(23 downto 0); signal fofb_amp_ch2_o_net: std_logic_vector(23 downto 0); signal fofb_amp_ch3_o_net: std_logic_vector(23 downto 0); signal fofb_decim_ch0_i_o_net: std_logic_vector(23 downto 0); signal fofb_decim_ch0_q_o_net: std_logic_vector(23 downto 0); signal fofb_decim_ch1_i_o_net: std_logic_vector(23 downto 0); signal fofb_decim_ch1_q_o_net: std_logic_vector(23 downto 0); signal fofb_decim_ch2_i_o_net: std_logic_vector(23 downto 0); signal fofb_decim_ch2_q_o_net: std_logic_vector(23 downto 0); signal fofb_decim_ch3_i_o_net: std_logic_vector(23 downto 0); signal fofb_decim_ch3_q_o_net: std_logic_vector(23 downto 0); signal fofb_pha_ch0_o_net: std_logic_vector(23 downto 0); signal fofb_pha_ch1_o_net: std_logic_vector(23 downto 0); signal fofb_pha_ch2_o_net: std_logic_vector(23 downto 0); signal fofb_pha_ch3_o_net: std_logic_vector(23 downto 0); signal ksum_i_net: std_logic_vector(24 downto 0); signal kx_i_net: std_logic_vector(24 downto 0); signal ky_i_net: std_logic_vector(24 downto 0); signal mix_ch0_i_o_net: std_logic_vector(23 downto 0); signal mix_ch0_q_o_net: std_logic_vector(23 downto 0); signal mix_ch1_i_o_net: std_logic_vector(23 downto 0); signal mix_ch1_q_o_net: std_logic_vector(23 downto 0); signal mix_ch2_i_o_net: std_logic_vector(23 downto 0); signal mix_ch2_q_o_net: std_logic_vector(23 downto 0); signal mix_ch3_i_o_net: std_logic_vector(23 downto 0); signal mix_ch3_q_o_net: std_logic_vector(23 downto 0); signal monit_amp_ch0_o_net: std_logic_vector(23 downto 0); signal monit_amp_ch1_o_net: std_logic_vector(23 downto 0); signal monit_amp_ch2_o_net: std_logic_vector(23 downto 0); signal monit_amp_ch3_o_net: std_logic_vector(23 downto 0); signal monit_cfir_incorrect_o_net: std_logic; signal monit_cic_unexpected_o_net: std_logic; signal monit_pfir_incorrect_o_net: std_logic; signal monit_pos_1_incorrect_o_net: std_logic; signal q_fofb_o_net: std_logic_vector(25 downto 0); signal q_fofb_valid_o_net: std_logic; signal q_monit_1_o_net: std_logic_vector(25 downto 0); signal q_monit_1_valid_o_net: std_logic; signal q_monit_o_net: std_logic_vector(25 downto 0); signal q_monit_valid_o_net: std_logic; signal q_tbt_o_net: std_logic_vector(25 downto 0); signal q_tbt_valid_o_net: std_logic; signal register1_q_net_x6: std_logic; signal register1_q_net_x7: std_logic; signal register3_q_net_x15: std_logic; signal register3_q_net_x16: std_logic; signal register4_q_net_x14: std_logic_vector(23 downto 0); signal register4_q_net_x15: std_logic_vector(23 downto 0); signal register5_q_net_x14: std_logic_vector(23 downto 0); signal register5_q_net_x15: std_logic_vector(23 downto 0); signal register_q_net_x12: std_logic_vector(23 downto 0); signal register_q_net_x13: std_logic_vector(23 downto 0); signal register_q_net_x14: std_logic_vector(23 downto 0); signal register_q_net_x15: std_logic_vector(23 downto 0); signal register_q_net_x31: std_logic_vector(23 downto 0); signal register_q_net_x32: std_logic_vector(23 downto 0); signal reinterpret1_output_port_net: std_logic_vector(24 downto 0); signal reinterpret1_output_port_net_x1: std_logic_vector(24 downto 0); signal reinterpret2_output_port_net: std_logic_vector(24 downto 0); signal reinterpret2_output_port_net_x1: std_logic_vector(24 downto 0); signal reinterpret3_output_port_net: std_logic_vector(24 downto 0); signal reinterpret3_output_port_net_x1: std_logic_vector(24 downto 0); signal reinterpret4_output_port_net: std_logic_vector(24 downto 0); signal reinterpret4_output_port_net_x1: std_logic_vector(24 downto 0); signal reinterpret5_output_port_net_x1: std_logic_vector(24 downto 0); signal sum_fofb_o_net: std_logic_vector(25 downto 0); signal sum_fofb_valid_o_net: std_logic; signal sum_monit_1_o_net: std_logic_vector(25 downto 0); signal sum_monit_1_valid_o_net: std_logic; signal sum_monit_o_net: std_logic_vector(25 downto 0); signal sum_monit_valid_o_net: std_logic; signal sum_tbt_o_net: std_logic_vector(25 downto 0); signal sum_tbt_valid_o_net: std_logic; signal tbt_amp_ch0_o_net: std_logic_vector(23 downto 0); signal tbt_amp_ch1_o_net: std_logic_vector(23 downto 0); signal tbt_amp_ch2_o_net: std_logic_vector(23 downto 0); signal tbt_amp_ch3_o_net: std_logic_vector(23 downto 0); signal tbt_decim_ch01_incorrect_o_net: std_logic; signal tbt_decim_ch0_i_o_net: std_logic_vector(23 downto 0); signal tbt_decim_ch0_q_o_net: std_logic_vector(23 downto 0); signal tbt_decim_ch1_i_o_net: std_logic_vector(23 downto 0); signal tbt_decim_ch1_q_o_net: std_logic_vector(23 downto 0); signal tbt_decim_ch23_incorrect_o_net: std_logic; signal tbt_decim_ch2_i_o_net: std_logic_vector(23 downto 0); signal tbt_decim_ch2_q_o_net: std_logic_vector(23 downto 0); signal tbt_decim_ch3_i_o_net: std_logic_vector(23 downto 0); signal tbt_decim_ch3_q_o_net: std_logic_vector(23 downto 0); signal tbt_pha_ch0_o_net: std_logic_vector(23 downto 0); signal tbt_pha_ch1_o_net: std_logic_vector(23 downto 0); signal tbt_pha_ch2_o_net: std_logic_vector(23 downto 0); signal tbt_pha_ch3_o_net: std_logic_vector(23 downto 0); signal ufix_to_bool1_dout_net_x1: std_logic; signal ufix_to_bool2_dout_net_x1: std_logic; signal ufix_to_bool3_dout_net_x1: std_logic; signal ufix_to_bool_dout_net_x1: std_logic; signal valid_ds_down_x1: std_logic; signal valid_ds_down_x2: std_logic; signal valid_ds_down_x3: std_logic; signal x_fofb_o_net: std_logic_vector(25 downto 0); signal x_fofb_valid_o_net: std_logic; signal x_monit_1_o_net: std_logic_vector(25 downto 0); signal x_monit_1_valid_o_net: std_logic; signal x_monit_o_net: std_logic_vector(25 downto 0); signal x_monit_valid_o_net: std_logic; signal x_tbt_o_net: std_logic_vector(25 downto 0); signal x_tbt_valid_o_net: std_logic; signal y_fofb_o_net: std_logic_vector(25 downto 0); signal y_fofb_valid_o_net: std_logic; signal y_monit_1_o_net: std_logic_vector(25 downto 0); signal y_monit_1_valid_o_net: std_logic; signal y_monit_o_net: std_logic_vector(25 downto 0); signal y_monit_valid_o_net: std_logic; signal y_tbt_o_net: std_logic_vector(25 downto 0); signal y_tbt_valid_o_net: std_logic; begin adc_ch0_i_net <= adc_ch0_i; adc_ch1_i_net <= adc_ch1_i; adc_ch2_i_net <= adc_ch2_i; adc_ch3_i_net <= adc_ch3_i; ce_1_sg_x96 <= ce_1; ce_10000_sg_x2 <= ce_10000; ce_1120_sg_x32 <= ce_1120; ce_1400000_sg_x3 <= ce_1400000; ce_2_sg_x38 <= ce_2; ce_2240_sg_x28 <= ce_2240; ce_22400000_sg_x28 <= ce_22400000; ce_224000000_sg_x7 <= ce_224000000; ce_2500_sg_x3 <= ce_2500; ce_2800000_sg_x4 <= ce_2800000; ce_35_sg_x22 <= ce_35; ce_4480_sg_x9 <= ce_4480; ce_44800000_sg_x2 <= ce_44800000; ce_5000_sg_x9 <= ce_5000; ce_560_sg_x3 <= ce_560; ce_5600000_sg_x12 <= ce_5600000; ce_56000000_sg_x5 <= ce_56000000; ce_70_sg_x27 <= ce_70; ce_logic_1_sg_x20 <= ce_logic_1; ce_logic_1400000_sg_x2 <= ce_logic_1400000; ce_logic_2240_sg_x1 <= ce_logic_2240; ce_logic_22400000_sg_x1 <= ce_logic_22400000; ce_logic_2800000_sg_x2 <= ce_logic_2800000; ce_logic_560_sg_x3 <= ce_logic_560; ce_logic_5600000_sg_x2 <= ce_logic_5600000; ce_logic_70_sg_x1 <= ce_logic_70; clk_1_sg_x96 <= clk_1; clk_10000_sg_x2 <= clk_10000; clk_1120_sg_x32 <= clk_1120; clk_1400000_sg_x3 <= clk_1400000; clk_2_sg_x38 <= clk_2; clk_2240_sg_x28 <= clk_2240; clk_22400000_sg_x28 <= clk_22400000; clk_224000000_sg_x7 <= clk_224000000; clk_2500_sg_x3 <= clk_2500; clk_2800000_sg_x4 <= clk_2800000; clk_35_sg_x22 <= clk_35; clk_4480_sg_x9 <= clk_4480; clk_44800000_sg_x2 <= clk_44800000; clk_5000_sg_x9 <= clk_5000; clk_560_sg_x3 <= clk_560; clk_5600000_sg_x12 <= clk_5600000; clk_56000000_sg_x5 <= clk_56000000; clk_70_sg_x27 <= clk_70; dds_config_valid_ch0_i_net <= dds_config_valid_ch0_i; dds_config_valid_ch1_i_net <= dds_config_valid_ch1_i; dds_config_valid_ch2_i_net <= dds_config_valid_ch2_i; dds_config_valid_ch3_i_net <= dds_config_valid_ch3_i; dds_pinc_ch0_i_net <= dds_pinc_ch0_i; dds_pinc_ch1_i_net <= dds_pinc_ch1_i; dds_pinc_ch2_i_net <= dds_pinc_ch2_i; dds_pinc_ch3_i_net <= dds_pinc_ch3_i; dds_poff_ch0_i_net <= dds_poff_ch0_i; dds_poff_ch1_i_net <= dds_poff_ch1_i; dds_poff_ch2_i_net <= dds_poff_ch2_i; dds_poff_ch3_i_net <= dds_poff_ch3_i; del_sig_div_fofb_thres_i_net <= del_sig_div_fofb_thres_i; del_sig_div_monit_thres_i_net <= del_sig_div_monit_thres_i; del_sig_div_tbt_thres_i_net <= del_sig_div_tbt_thres_i; ksum_i_net <= ksum_i; kx_i_net <= kx_i; ky_i_net <= ky_i; adc_ch0_dbg_data_o <= adc_ch0_dbg_data_o_net; adc_ch1_dbg_data_o <= adc_ch1_dbg_data_o_net; adc_ch2_dbg_data_o <= adc_ch2_dbg_data_o_net; adc_ch3_dbg_data_o <= adc_ch3_dbg_data_o_net; bpf_ch0_o <= bpf_ch0_o_net; bpf_ch1_o <= bpf_ch1_o_net; bpf_ch2_o <= bpf_ch2_o_net; bpf_ch3_o <= bpf_ch3_o_net; cic_fofb_q_01_missing_o <= cic_fofb_q_01_missing_o_net; cic_fofb_q_23_missing_o <= cic_fofb_q_23_missing_o_net; fofb_amp_ch0_o <= fofb_amp_ch0_o_net; fofb_amp_ch1_o <= fofb_amp_ch1_o_net; fofb_amp_ch2_o <= fofb_amp_ch2_o_net; fofb_amp_ch3_o <= fofb_amp_ch3_o_net; fofb_decim_ch0_i_o <= fofb_decim_ch0_i_o_net; fofb_decim_ch0_q_o <= fofb_decim_ch0_q_o_net; fofb_decim_ch1_i_o <= fofb_decim_ch1_i_o_net; fofb_decim_ch1_q_o <= fofb_decim_ch1_q_o_net; fofb_decim_ch2_i_o <= fofb_decim_ch2_i_o_net; fofb_decim_ch2_q_o <= fofb_decim_ch2_q_o_net; fofb_decim_ch3_i_o <= fofb_decim_ch3_i_o_net; fofb_decim_ch3_q_o <= fofb_decim_ch3_q_o_net; fofb_pha_ch0_o <= fofb_pha_ch0_o_net; fofb_pha_ch1_o <= fofb_pha_ch1_o_net; fofb_pha_ch2_o <= fofb_pha_ch2_o_net; fofb_pha_ch3_o <= fofb_pha_ch3_o_net; mix_ch0_i_o <= mix_ch0_i_o_net; mix_ch0_q_o <= mix_ch0_q_o_net; mix_ch1_i_o <= mix_ch1_i_o_net; mix_ch1_q_o <= mix_ch1_q_o_net; mix_ch2_i_o <= mix_ch2_i_o_net; mix_ch2_q_o <= mix_ch2_q_o_net; mix_ch3_i_o <= mix_ch3_i_o_net; mix_ch3_q_o <= mix_ch3_q_o_net; monit_amp_ch0_o <= monit_amp_ch0_o_net; monit_amp_ch1_o <= monit_amp_ch1_o_net; monit_amp_ch2_o <= monit_amp_ch2_o_net; monit_amp_ch3_o <= monit_amp_ch3_o_net; monit_cfir_incorrect_o <= monit_cfir_incorrect_o_net; monit_cic_unexpected_o <= monit_cic_unexpected_o_net; monit_pfir_incorrect_o <= monit_pfir_incorrect_o_net; monit_pos_1_incorrect_o <= monit_pos_1_incorrect_o_net; q_fofb_o <= q_fofb_o_net; q_fofb_valid_o <= q_fofb_valid_o_net; q_monit_1_o <= q_monit_1_o_net; q_monit_1_valid_o <= q_monit_1_valid_o_net; q_monit_o <= q_monit_o_net; q_monit_valid_o <= q_monit_valid_o_net; q_tbt_o <= q_tbt_o_net; q_tbt_valid_o <= q_tbt_valid_o_net; sum_fofb_o <= sum_fofb_o_net; sum_fofb_valid_o <= sum_fofb_valid_o_net; sum_monit_1_o <= sum_monit_1_o_net; sum_monit_1_valid_o <= sum_monit_1_valid_o_net; sum_monit_o <= sum_monit_o_net; sum_monit_valid_o <= sum_monit_valid_o_net; sum_tbt_o <= sum_tbt_o_net; sum_tbt_valid_o <= sum_tbt_valid_o_net; tbt_amp_ch0_o <= tbt_amp_ch0_o_net; tbt_amp_ch1_o <= tbt_amp_ch1_o_net; tbt_amp_ch2_o <= tbt_amp_ch2_o_net; tbt_amp_ch3_o <= tbt_amp_ch3_o_net; tbt_decim_ch01_incorrect_o <= tbt_decim_ch01_incorrect_o_net; tbt_decim_ch0_i_o <= tbt_decim_ch0_i_o_net; tbt_decim_ch0_q_o <= tbt_decim_ch0_q_o_net; tbt_decim_ch1_i_o <= tbt_decim_ch1_i_o_net; tbt_decim_ch1_q_o <= tbt_decim_ch1_q_o_net; tbt_decim_ch23_incorrect_o <= tbt_decim_ch23_incorrect_o_net; tbt_decim_ch2_i_o <= tbt_decim_ch2_i_o_net; tbt_decim_ch2_q_o <= tbt_decim_ch2_q_o_net; tbt_decim_ch3_i_o <= tbt_decim_ch3_i_o_net; tbt_decim_ch3_q_o <= tbt_decim_ch3_q_o_net; tbt_pha_ch0_o <= tbt_pha_ch0_o_net; tbt_pha_ch1_o <= tbt_pha_ch1_o_net; tbt_pha_ch2_o <= tbt_pha_ch2_o_net; tbt_pha_ch3_o <= tbt_pha_ch3_o_net; x_fofb_o <= x_fofb_o_net; x_fofb_valid_o <= x_fofb_valid_o_net; x_monit_1_o <= x_monit_1_o_net; x_monit_1_valid_o <= x_monit_1_valid_o_net; x_monit_o <= x_monit_o_net; x_monit_valid_o <= x_monit_valid_o_net; x_tbt_o <= x_tbt_o_net; x_tbt_valid_o <= x_tbt_valid_o_net; y_fofb_o <= y_fofb_o_net; y_fofb_valid_o <= y_fofb_valid_o_net; y_monit_1_o <= y_monit_1_o_net; y_monit_1_valid_o <= y_monit_1_valid_o_net; y_monit_o <= y_monit_o_net; y_monit_valid_o <= y_monit_valid_o_net; y_tbt_o <= y_tbt_o_net; y_tbt_valid_o <= y_tbt_valid_o_net; bpf_d31c4af409: entity work.bpf_entity_d31c4af409 port map ( din_ch0 => adc_ch0_dbg_data_o_net, din_ch1 => adc_ch1_dbg_data_o_net, din_ch2 => adc_ch2_dbg_data_o_net, din_ch3 => adc_ch3_dbg_data_o_net, dout_ch0 => bpf_ch0_o_net, dout_ch1 => bpf_ch1_o_net, dout_ch2 => bpf_ch2_o_net, dout_ch3 => bpf_ch3_o_net ); concat: entity work.concat_43e7f055fa port map ( ce => '0', clk => '0', clr => '0', in0(0) => assert12_dout_net_x2, in1 => reinterpret1_output_port_net, y => concat_y_net_x0 ); concat1: entity work.concat_43e7f055fa port map ( ce => '0', clk => '0', clr => '0', in0(0) => valid_ds_down_x2, in1 => reinterpret2_output_port_net, y => concat1_y_net_x0 ); concat2: entity work.concat_43e7f055fa port map ( ce => '0', clk => '0', clr => '0', in0(0) => assert9_dout_net_x2, in1 => reinterpret3_output_port_net, y => concat2_y_net_x0 ); concat3: entity work.concat_43e7f055fa port map ( ce => '0', clk => '0', clr => '0', in0(0) => assert10_dout_net_x2, in1 => reinterpret4_output_port_net, y => concat3_y_net_x0 ); constant10: entity work.constant_963ed6358a port map ( ce => '0', clk => '0', clr => '0', op(0) => constant10_op_net_x0 ); constant11: entity work.constant_963ed6358a port map ( ce => '0', clk => '0', clr => '0', op(0) => constant11_op_net_x0 ); constant15: entity work.constant_6293007044 port map ( ce => '0', clk => '0', clr => '0', op(0) => constant15_op_net_x1 ); constant3: entity work.constant_6293007044 port map ( ce => '0', clk => '0', clr => '0', op(0) => constant3_op_net_x1 ); convert_filt_fda412c1bf: entity work.convert_filt_entity_fda412c1bf port map ( din => down_sample_q_net_x4, dout => reinterpret5_output_port_net_x1 ); dds_sub_a4b6b880f6: entity work.dds_sub_entity_a4b6b880f6 port map ( ce_1 => ce_1_sg_x96, ce_2 => ce_2_sg_x38, ce_logic_1 => ce_logic_1_sg_x20, clk_1 => clk_1_sg_x96, clk_2 => clk_2_sg_x38, dds_01_cosine => register_q_net_x12, dds_01_sine => register_q_net_x13, dds_23_cosine => register_q_net_x14, dds_23_sine => register_q_net_x15 ); delta_sigma_fofb_ee61e649ea: entity work.delta_sigma_fofb_entity_ee61e649ea port map ( a => down_sample2_q_net_x20, b => down_sample1_q_net_x20, c => down_sample2_q_net_x21, ce_1 => ce_1_sg_x96, ce_2 => ce_2_sg_x38, ce_2240 => ce_2240_sg_x28, ce_logic_2240 => ce_logic_2240_sg_x1, clk_1 => clk_1_sg_x96, clk_2 => clk_2_sg_x38, clk_2240 => clk_2240_sg_x28, d => down_sample1_q_net_x21, ds_thres => del_sig_div_fofb_thres_i_net, q => assert8_dout_net_x1, q_valid => assert9_dout_net_x1, sum_valid => assert12_dout_net_x1, sum_x0 => assert11_dout_net_x1, x => assert5_dout_net_x1, x_valid => assert10_dout_net_x1, y => dout_down_x1, y_valid => valid_ds_down_x1 ); delta_sigma_monit_a8f8b81626: entity work.delta_sigma_monit_entity_a8f8b81626 port map ( a => down_sample2_q_net_x5, b => down_sample1_q_net_x5, c => down_sample3_q_net_x5, ce_1 => ce_1_sg_x96, ce_10000 => ce_10000_sg_x2, ce_2 => ce_2_sg_x38, ce_22400000 => ce_22400000_sg_x28, ce_4480 => ce_4480_sg_x9, ce_44800000 => ce_44800000_sg_x2, ce_5000 => ce_5000_sg_x9, ce_logic_22400000 => ce_logic_22400000_sg_x1, clk_1 => clk_1_sg_x96, clk_10000 => clk_10000_sg_x2, clk_2 => clk_2_sg_x38, clk_22400000 => clk_22400000_sg_x28, clk_4480 => clk_4480_sg_x9, clk_44800000 => clk_44800000_sg_x2, clk_5000 => clk_5000_sg_x9, d => down_sample4_q_net_x5, ds_thres => del_sig_div_monit_thres_i_net, q => assert4_dout_net_x1, q_valid => assert9_dout_net_x2, sum_valid => assert10_dout_net_x2, sum_x0 => assert5_dout_net_x2, x => assert11_dout_net_x2, x_valid => assert12_dout_net_x2, y => dout_down_x2, y_valid => valid_ds_down_x2 ); delta_sigma_tbt_bbfa8a8a69: entity work.delta_sigma_tbt_entity_bbfa8a8a69 port map ( a => down_sample2_q_net_x34, b => down_sample1_q_net_x34, c => down_sample2_q_net_x35, ce_1 => ce_1_sg_x96, ce_2 => ce_2_sg_x38, ce_70 => ce_70_sg_x27, ce_logic_70 => ce_logic_70_sg_x1, clk_1 => clk_1_sg_x96, clk_2 => clk_2_sg_x38, clk_70 => clk_70_sg_x27, d => down_sample1_q_net_x35, ds_thres => del_sig_div_tbt_thres_i_net, q => assert8_dout_net_x2, q_valid => assert9_dout_net_x3, sum_valid => assert12_dout_net_x3, sum_x0 => assert11_dout_net_x3, x => assert5_dout_net_x3, x_valid => assert10_dout_net_x3, y => dout_down_x3, y_valid => valid_ds_down_x3 ); fofb_amp_8b25d4b0b6: entity work.fofb_amp_entity_8b25d4b0b6 port map ( ce_1 => ce_1_sg_x96, ce_1120 => ce_1120_sg_x32, ce_2240 => ce_2240_sg_x28, ce_logic_1 => ce_logic_1_sg_x20, ch_in0 => register3_q_net_x15, ch_in1 => register3_q_net_x16, clk_1 => clk_1_sg_x96, clk_1120 => clk_1120_sg_x32, clk_2240 => clk_2240_sg_x28, i_in0 => register4_q_net_x14, i_in1 => register4_q_net_x15, q_in0 => register5_q_net_x14, q_in1 => register5_q_net_x15, amp_out0 => down_sample2_q_net_x20, amp_out1 => down_sample1_q_net_x20, amp_out2 => down_sample2_q_net_x21, amp_out3 => down_sample1_q_net_x21, fofb_amp0 => fofb_amp_ch1_o_net, fofb_amp0_x0 => fofb_amp_ch0_o_net, fofb_amp0_x1 => fofb_pha_ch1_o_net, fofb_amp0_x2 => fofb_pha_ch0_o_net, fofb_amp0_x3 => fofb_decim_ch1_i_o_net, fofb_amp0_x4 => fofb_decim_ch0_i_o_net, fofb_amp0_x5 => fofb_decim_ch1_q_o_net, fofb_amp0_x6 => fofb_decim_ch0_q_o_net, fofb_amp0_x7 => cic_fofb_q_01_missing_o_net, fofb_amp1 => fofb_amp_ch3_o_net, fofb_amp1_x0 => fofb_amp_ch2_o_net, fofb_amp1_x1 => fofb_pha_ch3_o_net, fofb_amp1_x2 => fofb_pha_ch2_o_net, fofb_amp1_x3 => fofb_decim_ch3_i_o_net, fofb_amp1_x4 => fofb_decim_ch2_i_o_net, fofb_amp1_x5 => fofb_decim_ch3_q_o_net, fofb_amp1_x6 => fofb_decim_ch2_q_o_net, fofb_amp1_x7 => cic_fofb_q_23_missing_o_net ); k_fofb_mult3_697accc8e2: entity work.k_fofb_mult3_entity_697accc8e2 port map ( ce_2 => ce_2_sg_x38, ce_2240 => ce_2240_sg_x28, clk_2 => clk_2_sg_x38, clk_2240 => clk_2240_sg_x28, in1 => assert5_dout_net_x1, in2 => kx_i_net, vld_in => assert10_dout_net_x1, out1 => x_fofb_o_net, vld_out => x_fofb_valid_o_net ); k_fofb_mult4_102b49a84e: entity work.k_fofb_mult3_entity_697accc8e2 port map ( ce_2 => ce_2_sg_x38, ce_2240 => ce_2240_sg_x28, clk_2 => clk_2_sg_x38, clk_2240 => clk_2240_sg_x28, in1 => dout_down_x1, in2 => ky_i_net, vld_in => valid_ds_down_x1, out1 => y_fofb_o_net, vld_out => y_fofb_valid_o_net ); k_fofb_mult5_ed47def699: entity work.k_fofb_mult3_entity_697accc8e2 port map ( ce_2 => ce_2_sg_x38, ce_2240 => ce_2240_sg_x28, clk_2 => clk_2_sg_x38, clk_2240 => clk_2240_sg_x28, in1 => assert8_dout_net_x1, in2 => kx_i_net, vld_in => assert9_dout_net_x1, out1 => q_fofb_o_net, vld_out => q_fofb_valid_o_net ); k_monit_1_mult2_30ad492eba: entity work.k_monit_1_mult_entity_016885a3ac port map ( ce_2 => ce_2_sg_x38, ce_224000000 => ce_224000000_sg_x7, clk_2 => clk_2_sg_x38, clk_224000000 => clk_224000000_sg_x7, in1 => reinterpret1_output_port_net_x1, in2 => ky_i_net, vld_in => ufix_to_bool1_dout_net_x1, out1 => y_monit_1_o_net, vld_out => y_monit_1_valid_o_net ); k_monit_1_mult6_71da64dfef: entity work.k_monit_1_mult_entity_016885a3ac port map ( ce_2 => ce_2_sg_x38, ce_224000000 => ce_224000000_sg_x7, clk_2 => clk_2_sg_x38, clk_224000000 => clk_224000000_sg_x7, in1 => reinterpret2_output_port_net_x1, in2 => kx_i_net, vld_in => ufix_to_bool2_dout_net_x1, out1 => q_monit_1_o_net, vld_out => q_monit_1_valid_o_net ); k_monit_1_mult_016885a3ac: entity work.k_monit_1_mult_entity_016885a3ac port map ( ce_2 => ce_2_sg_x38, ce_224000000 => ce_224000000_sg_x7, clk_2 => clk_2_sg_x38, clk_224000000 => clk_224000000_sg_x7, in1 => reinterpret3_output_port_net_x1, in2 => kx_i_net, vld_in => ufix_to_bool_dout_net_x1, out1 => x_monit_1_o_net, vld_out => x_monit_1_valid_o_net ); k_monit_mult3_8a778fb5f4: entity work.k_monit_mult3_entity_8a778fb5f4 port map ( ce_2 => ce_2_sg_x38, ce_22400000 => ce_22400000_sg_x28, clk_2 => clk_2_sg_x38, clk_22400000 => clk_22400000_sg_x28, in1 => assert11_dout_net_x2, in2 => kx_i_net, vld_in => assert12_dout_net_x2, out1 => x_monit_o_net, vld_out => x_monit_valid_o_net ); k_monit_mult4_1b07b5102a: entity work.k_monit_mult3_entity_8a778fb5f4 port map ( ce_2 => ce_2_sg_x38, ce_22400000 => ce_22400000_sg_x28, clk_2 => clk_2_sg_x38, clk_22400000 => clk_22400000_sg_x28, in1 => dout_down_x2, in2 => ky_i_net, vld_in => valid_ds_down_x2, out1 => y_monit_o_net, vld_out => y_monit_valid_o_net ); k_monit_mult5_a064f6aaae: entity work.k_monit_mult3_entity_8a778fb5f4 port map ( ce_2 => ce_2_sg_x38, ce_22400000 => ce_22400000_sg_x28, clk_2 => clk_2_sg_x38, clk_22400000 => clk_22400000_sg_x28, in1 => assert4_dout_net_x1, in2 => kx_i_net, vld_in => assert9_dout_net_x2, out1 => q_monit_o_net, vld_out => q_monit_valid_o_net ); k_tbt_mult1_cebfa469e3: entity work.k_tbt_mult_entity_b8fafff255 port map ( ce_2 => ce_2_sg_x38, ce_70 => ce_70_sg_x27, clk_2 => clk_2_sg_x38, clk_70 => clk_70_sg_x27, in1 => dout_down_x3, in2 => ky_i_net, vld_in => valid_ds_down_x3, out1 => y_tbt_o_net, vld_out => y_tbt_valid_o_net ); k_tbt_mult2_2b721a52a5: entity work.k_tbt_mult_entity_b8fafff255 port map ( ce_2 => ce_2_sg_x38, ce_70 => ce_70_sg_x27, clk_2 => clk_2_sg_x38, clk_70 => clk_70_sg_x27, in1 => assert8_dout_net_x2, in2 => kx_i_net, vld_in => assert9_dout_net_x3, out1 => q_tbt_o_net, vld_out => q_tbt_valid_o_net ); k_tbt_mult_b8fafff255: entity work.k_tbt_mult_entity_b8fafff255 port map ( ce_2 => ce_2_sg_x38, ce_70 => ce_70_sg_x27, clk_2 => clk_2_sg_x38, clk_70 => clk_70_sg_x27, in1 => assert5_dout_net_x3, in2 => kx_i_net, vld_in => assert10_dout_net_x3, out1 => x_tbt_o_net, vld_out => x_tbt_valid_o_net ); ksum_fofb_mult4_ac3ed97096: entity work.ksum_fofb_mult4_entity_ac3ed97096 port map ( ce_2 => ce_2_sg_x38, ce_2240 => ce_2240_sg_x28, clk_2 => clk_2_sg_x38, clk_2240 => clk_2240_sg_x28, in1 => assert11_dout_net_x1, in2 => ksum_i_net, vld_in => assert12_dout_net_x1, out1 => sum_fofb_o_net, vld_out => sum_fofb_valid_o_net ); ksum_monit_1_mult1_c66dc07078: entity work.ksum_monit_1_mult1_entity_c66dc07078 port map ( ce_2 => ce_2_sg_x38, ce_224000000 => ce_224000000_sg_x7, clk_2 => clk_2_sg_x38, clk_224000000 => clk_224000000_sg_x7, in1 => reinterpret4_output_port_net_x1, in2 => ksum_i_net, vld_in => ufix_to_bool3_dout_net_x1, out1 => sum_monit_1_o_net, vld_out => sum_monit_1_valid_o_net ); ksum_monit_mult2_31877b6d2b: entity work.ksum_monit_mult2_entity_31877b6d2b port map ( ce_2 => ce_2_sg_x38, ce_22400000 => ce_22400000_sg_x28, clk_2 => clk_2_sg_x38, clk_22400000 => clk_22400000_sg_x28, in1 => assert5_dout_net_x2, in2 => ksum_i_net, vld_in => assert10_dout_net_x2, out1 => sum_monit_o_net, vld_out => sum_monit_valid_o_net ); ksum_tbt_mult3_e0be30d675: entity work.ksum_tbt_mult3_entity_e0be30d675 port map ( ce_2 => ce_2_sg_x38, ce_70 => ce_70_sg_x27, clk_2 => clk_2_sg_x38, clk_70 => clk_70_sg_x27, in1 => assert11_dout_net_x3, in2 => ksum_i_net, vld_in => assert12_dout_net_x3, out1 => sum_tbt_o_net, vld_out => sum_tbt_valid_o_net ); mixer_a1cd828545: entity work.mixer_entity_a1cd828545 port map ( ce_1 => ce_1_sg_x96, ce_2 => ce_2_sg_x38, ch_in0 => register1_q_net_x6, ch_in1 => register1_q_net_x7, clk_1 => clk_1_sg_x96, clk_2 => clk_2_sg_x38, dds_cosine_0 => register_q_net_x12, dds_cosine_1 => register_q_net_x14, dds_msine_0 => register_q_net_x13, dds_msine_1 => register_q_net_x15, dds_valid_0 => constant15_op_net_x1, dds_valid_1 => constant3_op_net_x1, din0 => register_q_net_x31, din1 => register_q_net_x32, ch_out0 => register3_q_net_x15, ch_out1 => register3_q_net_x16, i_out0 => register4_q_net_x14, i_out1 => register4_q_net_x15, q_out0 => register5_q_net_x14, q_out1 => register5_q_net_x15, tddm_mixer => mix_ch1_i_o_net, tddm_mixer_x0 => mix_ch0_i_o_net, tddm_mixer_x1 => mix_ch1_q_o_net, tddm_mixer_x2 => mix_ch0_q_o_net, tddm_mixer_x3 => mix_ch3_i_o_net, tddm_mixer_x4 => mix_ch2_i_o_net, tddm_mixer_x5 => mix_ch3_q_o_net, tddm_mixer_x6 => mix_ch2_q_o_net ); monit_amp_44da74e268: entity work.monit_amp_entity_44da74e268 port map ( ce_1 => ce_1_sg_x96, ce_1400000 => ce_1400000_sg_x3, ce_22400000 => ce_22400000_sg_x28, ce_2800000 => ce_2800000_sg_x4, ce_560 => ce_560_sg_x3, ce_5600000 => ce_5600000_sg_x12, ce_logic_1400000 => ce_logic_1400000_sg_x2, ce_logic_2800000 => ce_logic_2800000_sg_x2, ce_logic_560 => ce_logic_560_sg_x3, ch_in => ch_out_x2, clk_1 => clk_1_sg_x96, clk_1400000 => clk_1400000_sg_x3, clk_22400000 => clk_22400000_sg_x28, clk_2800000 => clk_2800000_sg_x4, clk_560 => clk_560_sg_x3, clk_5600000 => clk_5600000_sg_x12, din => dout_x2, amp_out0 => down_sample2_q_net_x5, amp_out1 => down_sample1_q_net_x5, amp_out2 => down_sample3_q_net_x5, amp_out3 => down_sample4_q_net_x5, monit_amp_c => monit_amp_ch1_o_net, monit_amp_c_x0 => monit_amp_ch0_o_net, monit_amp_c_x1 => monit_amp_ch2_o_net, monit_amp_c_x2 => monit_amp_ch3_o_net, monit_amp_c_x3 => monit_cfir_incorrect_o_net, monit_amp_c_x4 => monit_cic_unexpected_o_net, monit_amp_c_x5 => monit_pfir_incorrect_o_net ); monit_pos_1_522c8cf08d: entity work.monit_pos_1_entity_522c8cf08d port map ( ce_1 => ce_1_sg_x96, ce_224000000 => ce_224000000_sg_x7, ce_5600000 => ce_5600000_sg_x12, ce_56000000 => ce_56000000_sg_x5, ce_logic_5600000 => ce_logic_5600000_sg_x2, ch_in => down_sample_q_net_x3, clk_1 => clk_1_sg_x96, clk_224000000 => clk_224000000_sg_x7, clk_5600000 => clk_5600000_sg_x12, clk_56000000 => clk_56000000_sg_x5, din => reinterpret5_output_port_net_x1, monit_1_pos_q => reinterpret2_output_port_net_x1, monit_1_pos_x => reinterpret3_output_port_net_x1, monit_1_pos_y => reinterpret1_output_port_net_x1, monit_1_sum => reinterpret4_output_port_net_x1, monit_1_vld_q => ufix_to_bool2_dout_net_x1, monit_1_vld_sum => ufix_to_bool3_dout_net_x1, monit_1_vld_x => ufix_to_bool_dout_net_x1, monit_1_vld_y => ufix_to_bool1_dout_net_x1, monit_pos_1_c_x0 => monit_pos_1_incorrect_o_net ); register1: entity work.xlregister generic map ( d_width => 16, init_value => b"0000000000000000" ) port map ( ce => ce_2_sg_x38, clk => clk_2_sg_x38, d => adc_ch1_i_net, en => "1", rst => "0", q => adc_ch1_dbg_data_o_net ); register2: entity work.xlregister generic map ( d_width => 16, init_value => b"0000000000000000" ) port map ( ce => ce_2_sg_x38, clk => clk_2_sg_x38, d => adc_ch2_i_net, en => "1", rst => "0", q => adc_ch2_dbg_data_o_net ); register3: entity work.xlregister generic map ( d_width => 16, init_value => b"0000000000000000" ) port map ( ce => ce_2_sg_x38, clk => clk_2_sg_x38, d => adc_ch3_i_net, en => "1", rst => "0", q => adc_ch3_dbg_data_o_net ); register_x0: entity work.xlregister generic map ( d_width => 16, init_value => b"0000000000000000" ) port map ( ce => ce_2_sg_x38, clk => clk_2_sg_x38, d => adc_ch0_i_net, en => "1", rst => "0", q => adc_ch0_dbg_data_o_net ); reinterpret1: entity work.reinterpret_c3c0e847be port map ( ce => '0', clk => '0', clr => '0', input_port => assert11_dout_net_x2, output_port => reinterpret1_output_port_net ); reinterpret2: entity work.reinterpret_c3c0e847be port map ( ce => '0', clk => '0', clr => '0', input_port => dout_down_x2, output_port => reinterpret2_output_port_net ); reinterpret3: entity work.reinterpret_c3c0e847be port map ( ce => '0', clk => '0', clr => '0', input_port => assert4_dout_net_x1, output_port => reinterpret3_output_port_net ); reinterpret4: entity work.reinterpret_c3c0e847be port map ( ce => '0', clk => '0', clr => '0', input_port => assert5_dout_net_x2, output_port => reinterpret4_output_port_net ); tbt_amp_cbd277bb0c: entity work.tbt_amp_entity_cbd277bb0c port map ( ce_1 => ce_1_sg_x96, ce_35 => ce_35_sg_x22, ce_70 => ce_70_sg_x27, ce_logic_1 => ce_logic_1_sg_x20, ch_in0 => register3_q_net_x15, ch_in1 => register3_q_net_x16, clk_1 => clk_1_sg_x96, clk_35 => clk_35_sg_x22, clk_70 => clk_70_sg_x27, i_in0 => register4_q_net_x14, i_in1 => register4_q_net_x15, q_in0 => register5_q_net_x14, q_in1 => register5_q_net_x15, amp_out0 => down_sample2_q_net_x34, amp_out1 => down_sample1_q_net_x34, amp_out2 => down_sample2_q_net_x35, amp_out3 => down_sample1_q_net_x35, tbt_amp0 => tbt_amp_ch1_o_net, tbt_amp0_x0 => tbt_amp_ch0_o_net, tbt_amp0_x1 => tbt_pha_ch1_o_net, tbt_amp0_x2 => tbt_pha_ch0_o_net, tbt_amp0_x3 => tbt_decim_ch01_incorrect_o_net, tbt_amp0_x4 => tbt_decim_ch1_i_o_net, tbt_amp0_x5 => tbt_decim_ch0_i_o_net, tbt_amp0_x6 => tbt_decim_ch1_q_o_net, tbt_amp0_x7 => tbt_decim_ch0_q_o_net, tbt_amp1 => tbt_amp_ch3_o_net, tbt_amp1_x0 => tbt_amp_ch2_o_net, tbt_amp1_x1 => tbt_pha_ch3_o_net, tbt_amp1_x2 => tbt_pha_ch2_o_net, tbt_amp1_x3 => tbt_decim_ch23_incorrect_o_net, tbt_amp1_x4 => tbt_decim_ch3_i_o_net, tbt_amp1_x5 => tbt_decim_ch2_i_o_net, tbt_amp1_x6 => tbt_decim_ch3_q_o_net, tbt_amp1_x7 => tbt_decim_ch2_q_o_net ); tdm_mix_54ce67e6e8: entity work.tdm_mix_entity_54ce67e6e8 port map ( ce_1 => ce_1_sg_x96, ce_2 => ce_2_sg_x38, ce_logic_1 => ce_logic_1_sg_x20, clk_1 => clk_1_sg_x96, clk_2 => clk_2_sg_x38, din_ch0 => bpf_ch0_o_net, din_ch1 => bpf_ch1_o_net, din_ch2 => bpf_ch2_o_net, din_ch3 => bpf_ch3_o_net, ch_out0 => register1_q_net_x6, ch_out1 => register1_q_net_x7, dout0 => register_q_net_x31, dout1 => register_q_net_x32 ); tdm_monit_1_746ecf54b0: entity work.tdm_monit_1_entity_746ecf54b0 port map ( ce_1 => ce_1_sg_x96, ce_22400000 => ce_22400000_sg_x28, ce_2500 => ce_2500_sg_x3, ce_5600000 => ce_5600000_sg_x12, ce_logic_5600000 => ce_logic_5600000_sg_x2, clk_1 => clk_1_sg_x96, clk_22400000 => clk_22400000_sg_x28, clk_2500 => clk_2500_sg_x3, clk_5600000 => clk_5600000_sg_x12, din_ch0 => concat_y_net_x0, din_ch1 => concat1_y_net_x0, din_ch2 => concat2_y_net_x0, din_ch3 => concat3_y_net_x0, rst => constant11_op_net_x0, ch_out => down_sample_q_net_x3, dout => down_sample_q_net_x4 ); tdm_monit_6e38292ecb: entity work.tdm_monit_entity_6e38292ecb port map ( ce_1 => ce_1_sg_x96, ce_2240 => ce_2240_sg_x28, ce_560 => ce_560_sg_x3, ce_logic_560 => ce_logic_560_sg_x3, clk_1 => clk_1_sg_x96, clk_2240 => clk_2240_sg_x28, clk_560 => clk_560_sg_x3, din_ch0 => down_sample2_q_net_x20, din_ch1 => down_sample1_q_net_x20, din_ch2 => down_sample2_q_net_x21, din_ch3 => down_sample1_q_net_x21, rst => constant10_op_net_x0, ch_out => ch_out_x2, dout => dout_x2 ); end structural;
lgpl-3.0
lerwys/GitTest
hdl/modules/position_calc/generated/virtex6/cntr_11_0_3166d4cc5b09c744.vhd
1
4453
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used solely -- -- for design, simulation, implementation and creation of design files -- -- limited to Xilinx devices or technologies. Use with non-Xilinx -- -- devices or technologies is expressly prohibited and immediately -- -- terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- -- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- -- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- -- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- -- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- -- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- -- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support appliances, -- -- devices, or systems. Use in such applications are expressly -- -- prohibited. -- -- -- -- (c) Copyright 1995-2013 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- You must compile the wrapper file cntr_11_0_3166d4cc5b09c744.vhd when simulating -- the core, cntr_11_0_3166d4cc5b09c744. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off LIBRARY XilinxCoreLib; -- synthesis translate_on ENTITY cntr_11_0_3166d4cc5b09c744 IS PORT ( clk : IN STD_LOGIC; ce : IN STD_LOGIC; sinit : IN STD_LOGIC; q : OUT STD_LOGIC_VECTOR(1 DOWNTO 0) ); END cntr_11_0_3166d4cc5b09c744; ARCHITECTURE cntr_11_0_3166d4cc5b09c744_a OF cntr_11_0_3166d4cc5b09c744 IS -- synthesis translate_off COMPONENT wrapped_cntr_11_0_3166d4cc5b09c744 PORT ( clk : IN STD_LOGIC; ce : IN STD_LOGIC; sinit : IN STD_LOGIC; q : OUT STD_LOGIC_VECTOR(1 DOWNTO 0) ); END COMPONENT; -- Configuration specification FOR ALL : wrapped_cntr_11_0_3166d4cc5b09c744 USE ENTITY XilinxCoreLib.c_counter_binary_v11_0(behavioral) GENERIC MAP ( c_ainit_val => "0", c_ce_overrides_sync => 0, c_count_by => "1", c_count_mode => 0, c_count_to => "1", c_fb_latency => 0, c_has_ce => 1, c_has_load => 0, c_has_sclr => 0, c_has_sinit => 1, c_has_sset => 0, c_has_thresh0 => 0, c_implementation => 0, c_latency => 1, c_load_low => 0, c_restrict_count => 0, c_sclr_overrides_sset => 1, c_sinit_val => "0", c_thresh0_value => "1", c_verbosity => 0, c_width => 2, c_xdevicefamily => "virtex6" ); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_cntr_11_0_3166d4cc5b09c744 PORT MAP ( clk => clk, ce => ce, sinit => sinit, q => q ); -- synthesis translate_on END cntr_11_0_3166d4cc5b09c744_a;
lgpl-3.0
lerwys/GitTest
models/blackboxes/fixed_dds.vhd
2
4899
------------------------------------------------------------------------------- -- Title : Fixed sin-cos DDS -- Project : ------------------------------------------------------------------------------- -- File : fixed_dds.vhd -- Author : aylons <aylons@LNLS190> -- Company : -- Created : 2014-03-07 -- Last update: 2014-03-07 -- Platform : -- Standard : VHDL'93/02 ------------------------------------------------------------------------------- -- Description: Fixed frequency phase and quadrature DDS for use in tuned DDCs. ------------------------------------------------------------------------------- -- Copyright (c) 2014 ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2014-03-07 1.0 aylons Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library UNISIM; use UNISIM.vcomponents.all; library work; use work.genram_pkg.all; ------------------------------------------------------------------------------- entity fixed_dds is generic ( g_number_of_points : natural := 148; g_output_width : natural := 24; g_dither : boolean := false; g_sin_file : string := "./dds_sin.ram"; g_cos_file : string := "./dds_cos.ram" ); port ( clk_i : in std_logic; ce_i : in std_logic; rst_n_i : in std_logic; sin_o : out std_logic_vector(g_output_width-1 downto 0); cos_o : out std_logic_vector(g_output_width-1 downto 0) ); end entity fixed_dds; ------------------------------------------------------------------------------- architecture str of fixed_dds is constant c_bus_size : natural := f_log2_size(g_number_of_points); signal cur_address : std_logic_vector(c_bus_size-1 downto 0); component generic_simple_dpram is generic ( g_data_width : natural; g_size : natural; g_with_byte_enable : boolean; g_addr_conflict_resolution : string; g_init_file : string; g_dual_clock : boolean); port ( rst_n_i : in std_logic := '1'; clka_i : in std_logic; bwea_i : in std_logic_vector((g_data_width+7)/8 -1 downto 0) := f_gen_dummy_vec('1', (g_data_width+7)/8); wea_i : in std_logic; aa_i : in std_logic_vector(c_bus_size-1 downto 0); da_i : in std_logic_vector(g_data_width-1 downto 0); clkb_i : in std_logic; ab_i : in std_logic_vector(c_bus_size-1 downto 0); qb_o : out std_logic_vector(g_data_width-1 downto 0)); end component generic_simple_dpram; component lut_sweep is generic ( g_bus_size : natural; g_first_address : natural; g_last_address : natural; g_sweep_mode : string); port ( rst_n_i : in std_logic; clk_i : in std_logic; ce_i : in std_logic; address_o : out std_logic_vector(c_bus_size-1 downto 0)); end component lut_sweep; begin -- architecture str cmp_sin_lut : generic_simple_dpram generic map ( g_data_width => g_output_width, g_size => g_number_of_points, g_with_byte_enable => false, g_addr_conflict_resolution => "dont_care", g_init_file => g_sin_file, g_dual_clock => false ) port map ( rst_n_i => rst_n_i, clka_i => clk_i, bwea_i => (others => '0'), wea_i => '0', aa_i => cur_address, da_i => (others => '0'), clkb_i => clk_i, ab_i => cur_address, qb_o => sin_o ); cmp_cos_lut : generic_simple_dpram generic map ( g_data_width => g_output_width, g_size => g_number_of_points, g_with_byte_enable => false, g_addr_conflict_resolution => "dont_care", g_init_file => g_cos_file, g_dual_clock => false ) port map ( rst_n_i => rst_n_i, clka_i => clk_i, bwea_i => (others => '0'), wea_i => '0', aa_i => cur_address, da_i => (others => '0'), clkb_i => clk_i, ab_i => cur_address, qb_o => cos_o ); cmp_sweep : lut_sweep generic map ( g_bus_size => c_bus_size, g_first_address => 0, g_last_address => g_number_of_points-1, g_sweep_mode => "sawtooth") port map ( rst_n_i => rst_n_i, clk_i => clk_i, ce_i => ce_i, address_o => cur_address); end architecture str; -------------------------------------------------------------------------------
lgpl-3.0
lerwys/GitTest
hdl/modules/position_calc/generated/virtex6/cmpy_v5_0_fc1d91881e8e8ae6.vhd
1
5807
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used solely -- -- for design, simulation, implementation and creation of design files -- -- limited to Xilinx devices or technologies. Use with non-Xilinx -- -- devices or technologies is expressly prohibited and immediately -- -- terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- -- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- -- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- -- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- -- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- -- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- -- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support appliances, -- -- devices, or systems. Use in such applications are expressly -- -- prohibited. -- -- -- -- (c) Copyright 1995-2013 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- You must compile the wrapper file cmpy_v5_0_fc1d91881e8e8ae6.vhd when simulating -- the core, cmpy_v5_0_fc1d91881e8e8ae6. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off LIBRARY XilinxCoreLib; -- synthesis translate_on ENTITY cmpy_v5_0_fc1d91881e8e8ae6 IS PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(47 DOWNTO 0); s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_b_tdata : IN STD_LOGIC_VECTOR(47 DOWNTO 0); m_axis_dout_tvalid : OUT STD_LOGIC; m_axis_dout_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_dout_tdata : OUT STD_LOGIC_VECTOR(47 DOWNTO 0) ); END cmpy_v5_0_fc1d91881e8e8ae6; ARCHITECTURE cmpy_v5_0_fc1d91881e8e8ae6_a OF cmpy_v5_0_fc1d91881e8e8ae6 IS -- synthesis translate_off COMPONENT wrapped_cmpy_v5_0_fc1d91881e8e8ae6 PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(47 DOWNTO 0); s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_b_tdata : IN STD_LOGIC_VECTOR(47 DOWNTO 0); m_axis_dout_tvalid : OUT STD_LOGIC; m_axis_dout_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_dout_tdata : OUT STD_LOGIC_VECTOR(47 DOWNTO 0) ); END COMPONENT; -- Configuration specification FOR ALL : wrapped_cmpy_v5_0_fc1d91881e8e8ae6 USE ENTITY XilinxCoreLib.cmpy_v5_0(behavioral) GENERIC MAP ( c_a_width => 24, c_b_width => 24, c_has_aclken => 1, c_has_aresetn => 0, c_has_s_axis_a_tlast => 0, c_has_s_axis_a_tuser => 0, c_has_s_axis_b_tlast => 0, c_has_s_axis_b_tuser => 1, c_has_s_axis_ctrl_tlast => 0, c_has_s_axis_ctrl_tuser => 0, c_latency => 6, c_m_axis_dout_tdata_width => 48, c_m_axis_dout_tuser_width => 1, c_mult_type => 1, c_optimize_goal => 1, c_out_width => 24, c_s_axis_a_tdata_width => 48, c_s_axis_a_tuser_width => 1, c_s_axis_b_tdata_width => 48, c_s_axis_b_tuser_width => 1, c_s_axis_ctrl_tdata_width => 8, c_s_axis_ctrl_tuser_width => 1, c_throttle_scheme => 3, c_tlast_resolution => 0, c_verbosity => 0, c_xdevice => "xc6vlx240t", c_xdevicefamily => "virtex6", has_negate => 0, round => 0, single_output => 0, use_dsp_cascades => 1 ); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_cmpy_v5_0_fc1d91881e8e8ae6 PORT MAP ( aclk => aclk, aclken => aclken, s_axis_a_tvalid => s_axis_a_tvalid, s_axis_a_tdata => s_axis_a_tdata, s_axis_b_tvalid => s_axis_b_tvalid, s_axis_b_tuser => s_axis_b_tuser, s_axis_b_tdata => s_axis_b_tdata, m_axis_dout_tvalid => m_axis_dout_tvalid, m_axis_dout_tuser => m_axis_dout_tuser, m_axis_dout_tdata => m_axis_dout_tdata ); -- synthesis translate_on END cmpy_v5_0_fc1d91881e8e8ae6_a;
lgpl-3.0
lerwys/GitTest
hdl/modules/position_calc/generated/artix7/nonleaf_results.vhd
1
484765
library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/BPF/zero_filling1" entity zero_filling1_entity_d0ac9899b1 is port ( in1: in std_logic_vector(15 downto 0); out1: out std_logic_vector(23 downto 0) ); end zero_filling1_entity_d0ac9899b1; architecture structural of zero_filling1_entity_d0ac9899b1 is signal concat_y_net: std_logic_vector(23 downto 0); signal constant_op_net: std_logic_vector(7 downto 0); signal register1_q_net_x0: std_logic_vector(15 downto 0); signal reinterpret1_output_port_net: std_logic_vector(7 downto 0); signal reinterpret2_output_port_net_x0: std_logic_vector(23 downto 0); signal reinterpret_output_port_net: std_logic_vector(15 downto 0); begin register1_q_net_x0 <= in1; out1 <= reinterpret2_output_port_net_x0; concat: entity work.concat_cd3162dc0d port map ( ce => '0', clk => '0', clr => '0', in0 => reinterpret_output_port_net, in1 => reinterpret1_output_port_net, y => concat_y_net ); constant_x0: entity work.constant_91ef1678ca port map ( ce => '0', clk => '0', clr => '0', op => constant_op_net ); reinterpret: entity work.reinterpret_7025463ea8 port map ( ce => '0', clk => '0', clr => '0', input_port => register1_q_net_x0, output_port => reinterpret_output_port_net ); reinterpret1: entity work.reinterpret_f21e7f2ddf port map ( ce => '0', clk => '0', clr => '0', input_port => constant_op_net, output_port => reinterpret1_output_port_net ); reinterpret2: entity work.reinterpret_4bf1ad328a port map ( ce => '0', clk => '0', clr => '0', input_port => concat_y_net, output_port => reinterpret2_output_port_net_x0 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/BPF" entity bpf_entity_d31c4af409 is port ( din_ch0: in std_logic_vector(15 downto 0); din_ch1: in std_logic_vector(15 downto 0); din_ch2: in std_logic_vector(15 downto 0); din_ch3: in std_logic_vector(15 downto 0); dout_ch0: out std_logic_vector(23 downto 0); dout_ch1: out std_logic_vector(23 downto 0); dout_ch2: out std_logic_vector(23 downto 0); dout_ch3: out std_logic_vector(23 downto 0) ); end bpf_entity_d31c4af409; architecture structural of bpf_entity_d31c4af409 is signal register1_q_net_x1: std_logic_vector(15 downto 0); signal register2_q_net_x1: std_logic_vector(15 downto 0); signal register3_q_net_x1: std_logic_vector(15 downto 0); signal register_q_net_x1: std_logic_vector(15 downto 0); signal reinterpret2_output_port_net_x4: std_logic_vector(23 downto 0); signal reinterpret2_output_port_net_x5: std_logic_vector(23 downto 0); signal reinterpret2_output_port_net_x6: std_logic_vector(23 downto 0); signal reinterpret2_output_port_net_x7: std_logic_vector(23 downto 0); begin register_q_net_x1 <= din_ch0; register1_q_net_x1 <= din_ch1; register2_q_net_x1 <= din_ch2; register3_q_net_x1 <= din_ch3; dout_ch0 <= reinterpret2_output_port_net_x7; dout_ch1 <= reinterpret2_output_port_net_x4; dout_ch2 <= reinterpret2_output_port_net_x5; dout_ch3 <= reinterpret2_output_port_net_x6; zero_filling1_d0ac9899b1: entity work.zero_filling1_entity_d0ac9899b1 port map ( in1 => register1_q_net_x1, out1 => reinterpret2_output_port_net_x4 ); zero_filling2_d7e27e9bae: entity work.zero_filling1_entity_d0ac9899b1 port map ( in1 => register2_q_net_x1, out1 => reinterpret2_output_port_net_x5 ); zero_filling3_1ae3b6c91e: entity work.zero_filling1_entity_d0ac9899b1 port map ( in1 => register3_q_net_x1, out1 => reinterpret2_output_port_net_x6 ); zero_filling4_6d7b2d0c57: entity work.zero_filling1_entity_d0ac9899b1 port map ( in1 => register_q_net_x1, out1 => reinterpret2_output_port_net_x7 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/DDS_sub/TDM_dds_ch01_cosine" entity tdm_dds_ch01_cosine_entity_4b8bfc9243 is port ( ce_1: in std_logic; ce_2: in std_logic; ce_logic_1: in std_logic; clk_1: in std_logic; clk_2: in std_logic; din_ch0: in std_logic_vector(23 downto 0); rst: in std_logic; dout: out std_logic_vector(23 downto 0) ); end tdm_dds_ch01_cosine_entity_4b8bfc9243; architecture structural of tdm_dds_ch01_cosine_entity_4b8bfc9243 is signal black_box_cos_o_net_x0: std_logic_vector(23 downto 0); signal ce_1_sg_x0: std_logic; signal ce_2_sg_x0: std_logic; signal ce_logic_1_sg_x0: std_logic; signal clk_1_sg_x0: std_logic; signal clk_2_sg_x0: std_logic; signal clock_enable_probe_q_net: std_logic; signal constant11_op_net_x0: std_logic; signal mux_sel1_op_net: std_logic; signal mux_y_net: std_logic_vector(23 downto 0); signal register2_q_net: std_logic_vector(23 downto 0); signal register3_q_net: std_logic_vector(23 downto 0); signal register4_q_net: std_logic; signal register_q_net_x0: std_logic_vector(23 downto 0); signal up_sample_ch0_q_net: std_logic_vector(23 downto 0); signal up_sample_ch1_q_net: std_logic_vector(23 downto 0); begin ce_1_sg_x0 <= ce_1; ce_2_sg_x0 <= ce_2; ce_logic_1_sg_x0 <= ce_logic_1; clk_1_sg_x0 <= clk_1; clk_2_sg_x0 <= clk_2; black_box_cos_o_net_x0 <= din_ch0; constant11_op_net_x0 <= rst; dout <= register_q_net_x0; clock_enable_probe: entity work.xlceprobe generic map ( d_width => 24, q_width => 1 ) port map ( ce => ce_logic_1_sg_x0, clk => clk_1_sg_x0, d => register2_q_net, q(0) => clock_enable_probe_q_net ); mux: entity work.mux_a2121d82da port map ( ce => '0', clk => '0', clr => '0', d0 => register2_q_net, d1 => register3_q_net, sel(0) => register4_q_net, y => mux_y_net ); mux_sel1: entity work.counter_41314d726b port map ( ce => ce_1_sg_x0, clk => clk_1_sg_x0, clr => '0', en(0) => clock_enable_probe_q_net, rst(0) => constant11_op_net_x0, op(0) => mux_sel1_op_net ); register2: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_1_sg_x0, clk => clk_1_sg_x0, d => up_sample_ch0_q_net, en => "1", rst => "0", q => register2_q_net ); register3: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_1_sg_x0, clk => clk_1_sg_x0, d => up_sample_ch1_q_net, en => "1", rst => "0", q => register3_q_net ); register4: entity work.xlregister generic map ( d_width => 1, init_value => b"0" ) port map ( ce => ce_1_sg_x0, clk => clk_1_sg_x0, d(0) => mux_sel1_op_net, en => "1", rst => "0", q(0) => register4_q_net ); register_x0: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_1_sg_x0, clk => clk_1_sg_x0, d => mux_y_net, en => "1", rst => "0", q => register_q_net_x0 ); up_sample_ch0: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 22, d_width => 24, latency => 0, q_arith => xlSigned, q_bin_pt => 22, q_width => 24 ) port map ( d => black_box_cos_o_net_x0, dest_ce => ce_1_sg_x0, dest_clk => clk_1_sg_x0, dest_clr => '0', en => "1", src_ce => ce_2_sg_x0, src_clk => clk_2_sg_x0, src_clr => '0', q => up_sample_ch0_q_net ); up_sample_ch1: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 22, d_width => 24, latency => 0, q_arith => xlSigned, q_bin_pt => 22, q_width => 24 ) port map ( d => black_box_cos_o_net_x0, dest_ce => ce_1_sg_x0, dest_clk => clk_1_sg_x0, dest_clr => '0', en => "1", src_ce => ce_2_sg_x0, src_clk => clk_2_sg_x0, src_clr => '0', q => up_sample_ch1_q_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/DDS_sub" entity dds_sub_entity_a4b6b880f6 is port ( ce_1: in std_logic; ce_2: in std_logic; ce_logic_1: in std_logic; clk_1: in std_logic; clk_2: in std_logic; dds_01_cosine: out std_logic_vector(23 downto 0); dds_01_sine: out std_logic_vector(23 downto 0); dds_23_cosine: out std_logic_vector(23 downto 0); dds_23_sine: out std_logic_vector(23 downto 0) ); end dds_sub_entity_a4b6b880f6; architecture structural of dds_sub_entity_a4b6b880f6 is signal black_box_cos_o_net_x1: std_logic_vector(23 downto 0); signal black_box_sin_o_net_x1: std_logic_vector(23 downto 0); signal ce_1_sg_x4: std_logic; signal ce_2_sg_x4: std_logic; signal ce_logic_1_sg_x4: std_logic; signal clk_1_sg_x4: std_logic; signal clk_2_sg_x4: std_logic; signal constant11_op_net_x0: std_logic; signal constant16_op_net_x0: std_logic; signal constant17_op_net_x0: std_logic; signal constant3_op_net: std_logic; signal constant7_op_net_x0: std_logic; signal register_q_net_x4: std_logic_vector(23 downto 0); signal register_q_net_x5: std_logic_vector(23 downto 0); signal register_q_net_x6: std_logic_vector(23 downto 0); signal register_q_net_x7: std_logic_vector(23 downto 0); begin ce_1_sg_x4 <= ce_1; ce_2_sg_x4 <= ce_2; ce_logic_1_sg_x4 <= ce_logic_1; clk_1_sg_x4 <= clk_1; clk_2_sg_x4 <= clk_2; dds_01_cosine <= register_q_net_x4; dds_01_sine <= register_q_net_x5; dds_23_cosine <= register_q_net_x6; dds_23_sine <= register_q_net_x7; black_box: entity work.fixed_dds generic map ( g_cos_file => "../../../ip_cores/dsp-cores/hdl/modules/position_calc/dds_lut/dds_cos.ram", g_dither => false, g_number_of_points => 148, g_output_width => 24, g_sin_file => "../../../ip_cores/dsp-cores/hdl/modules/position_calc/dds_lut/dds_sin.ram" ) port map ( ce_i => ce_2_sg_x4, clk_i => clk_2_sg_x4, rst_n_i => constant3_op_net, cos_o => black_box_cos_o_net_x1, sin_o => black_box_sin_o_net_x1 ); constant11: entity work.constant_963ed6358a port map ( ce => '0', clk => '0', clr => '0', op(0) => constant11_op_net_x0 ); constant16: entity work.constant_963ed6358a port map ( ce => '0', clk => '0', clr => '0', op(0) => constant16_op_net_x0 ); constant17: entity work.constant_963ed6358a port map ( ce => '0', clk => '0', clr => '0', op(0) => constant17_op_net_x0 ); constant3: entity work.constant_6293007044 port map ( ce => '0', clk => '0', clr => '0', op(0) => constant3_op_net ); constant7: entity work.constant_963ed6358a port map ( ce => '0', clk => '0', clr => '0', op(0) => constant7_op_net_x0 ); tdm_dds_ch01_cosine_4b8bfc9243: entity work.tdm_dds_ch01_cosine_entity_4b8bfc9243 port map ( ce_1 => ce_1_sg_x4, ce_2 => ce_2_sg_x4, ce_logic_1 => ce_logic_1_sg_x4, clk_1 => clk_1_sg_x4, clk_2 => clk_2_sg_x4, din_ch0 => black_box_cos_o_net_x1, rst => constant11_op_net_x0, dout => register_q_net_x4 ); tdm_dds_ch01_sine_1129eb9762: entity work.tdm_dds_ch01_cosine_entity_4b8bfc9243 port map ( ce_1 => ce_1_sg_x4, ce_2 => ce_2_sg_x4, ce_logic_1 => ce_logic_1_sg_x4, clk_1 => clk_1_sg_x4, clk_2 => clk_2_sg_x4, din_ch0 => black_box_sin_o_net_x1, rst => constant7_op_net_x0, dout => register_q_net_x5 ); tdm_dds_ch23_cosine_398d5cee32: entity work.tdm_dds_ch01_cosine_entity_4b8bfc9243 port map ( ce_1 => ce_1_sg_x4, ce_2 => ce_2_sg_x4, ce_logic_1 => ce_logic_1_sg_x4, clk_1 => clk_1_sg_x4, clk_2 => clk_2_sg_x4, din_ch0 => black_box_cos_o_net_x1, rst => constant16_op_net_x0, dout => register_q_net_x6 ); tdm_dds_ch23_sine_782ff6a42a: entity work.tdm_dds_ch01_cosine_entity_4b8bfc9243 port map ( ce_1 => ce_1_sg_x4, ce_2 => ce_2_sg_x4, ce_logic_1 => ce_logic_1_sg_x4, clk_1 => clk_1_sg_x4, clk_2 => clk_2_sg_x4, din_ch0 => black_box_sin_o_net_x1, rst => constant17_op_net_x0, dout => register_q_net_x7 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/FOFB_amp/TDDM_fofb_amp_4ch/TDDM_fofb_amp0" entity tddm_fofb_amp0_entity_fd74c6ad6e is port ( ce_1120: in std_logic; ce_2240: in std_logic; ch_in: in std_logic; clk_1120: in std_logic; clk_2240: in std_logic; din: in std_logic_vector(23 downto 0); dout_ch0: out std_logic_vector(23 downto 0); dout_ch1: out std_logic_vector(23 downto 0) ); end tddm_fofb_amp0_entity_fd74c6ad6e; architecture structural of tddm_fofb_amp0_entity_fd74c6ad6e is signal assert2_dout_net_x0: std_logic_vector(23 downto 0); signal assert3_dout_net_x0: std_logic; signal ce_1120_sg_x0: std_logic; signal ce_2240_sg_x0: std_logic; signal clk_1120_sg_x0: std_logic; signal clk_2240_sg_x0: std_logic; signal constant1_op_net: std_logic; signal constant_op_net: std_logic; signal down_sample1_q_net_x0: std_logic_vector(23 downto 0); signal down_sample2_q_net_x0: std_logic_vector(23 downto 0); signal register1_q_net: std_logic_vector(23 downto 0); signal register_q_net: std_logic_vector(23 downto 0); signal relational1_op_net: std_logic; signal relational_op_net: std_logic; begin ce_1120_sg_x0 <= ce_1120; ce_2240_sg_x0 <= ce_2240; assert3_dout_net_x0 <= ch_in; clk_1120_sg_x0 <= clk_1120; clk_2240_sg_x0 <= clk_2240; assert2_dout_net_x0 <= din; dout_ch0 <= down_sample2_q_net_x0; dout_ch1 <= down_sample1_q_net_x0; constant1: entity work.constant_963ed6358a port map ( ce => '0', clk => '0', clr => '0', op(0) => constant1_op_net ); constant_x0: entity work.constant_6293007044 port map ( ce => '0', clk => '0', clr => '0', op(0) => constant_op_net ); down_sample1: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 22, d_width => 24, ds_ratio => 2, latency => 1, phase => 1, q_arith => xlSigned, q_bin_pt => 22, q_width => 24 ) port map ( d => register1_q_net, dest_ce => ce_2240_sg_x0, dest_clk => clk_2240_sg_x0, dest_clr => '0', en => "1", src_ce => ce_1120_sg_x0, src_clk => clk_1120_sg_x0, src_clr => '0', q => down_sample1_q_net_x0 ); down_sample2: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 22, d_width => 24, ds_ratio => 2, latency => 1, phase => 1, q_arith => xlSigned, q_bin_pt => 22, q_width => 24 ) port map ( d => register_q_net, dest_ce => ce_2240_sg_x0, dest_clk => clk_2240_sg_x0, dest_clr => '0', en => "1", src_ce => ce_1120_sg_x0, src_clk => clk_1120_sg_x0, src_clr => '0', q => down_sample2_q_net_x0 ); register1: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_1120_sg_x0, clk => clk_1120_sg_x0, d => assert2_dout_net_x0, en(0) => relational1_op_net, rst => "0", q => register1_q_net ); register_x0: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_1120_sg_x0, clk => clk_1120_sg_x0, d => assert2_dout_net_x0, en(0) => relational_op_net, rst => "0", q => register_q_net ); relational: entity work.relational_a892e1bf40 port map ( a(0) => assert3_dout_net_x0, b(0) => constant_op_net, ce => ce_1120_sg_x0, clk => clk_1120_sg_x0, clr => '0', op(0) => relational_op_net ); relational1: entity work.relational_a892e1bf40 port map ( a(0) => assert3_dout_net_x0, b(0) => constant1_op_net, ce => ce_1120_sg_x0, clk => clk_1120_sg_x0, clr => '0', op(0) => relational1_op_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/FOFB_amp/TDDM_fofb_amp_4ch" entity tddm_fofb_amp_4ch_entity_2cc521a83f is port ( amp_in0: in std_logic_vector(23 downto 0); amp_in1: in std_logic_vector(23 downto 0); ce_1120: in std_logic; ce_2240: in std_logic; ch_in0: in std_logic; ch_in1: in std_logic; clk_1120: in std_logic; clk_2240: in std_logic; amp_out0: out std_logic_vector(23 downto 0); amp_out1: out std_logic_vector(23 downto 0); amp_out2: out std_logic_vector(23 downto 0); amp_out3: out std_logic_vector(23 downto 0) ); end tddm_fofb_amp_4ch_entity_2cc521a83f; architecture structural of tddm_fofb_amp_4ch_entity_2cc521a83f is signal assert2_dout_net_x2: std_logic_vector(23 downto 0); signal assert2_dout_net_x3: std_logic_vector(23 downto 0); signal assert3_dout_net_x2: std_logic; signal assert3_dout_net_x3: std_logic; signal ce_1120_sg_x2: std_logic; signal ce_2240_sg_x2: std_logic; signal clk_1120_sg_x2: std_logic; signal clk_2240_sg_x2: std_logic; signal down_sample1_q_net_x2: std_logic_vector(23 downto 0); signal down_sample1_q_net_x3: std_logic_vector(23 downto 0); signal down_sample2_q_net_x2: std_logic_vector(23 downto 0); signal down_sample2_q_net_x3: std_logic_vector(23 downto 0); begin assert2_dout_net_x2 <= amp_in0; assert2_dout_net_x3 <= amp_in1; ce_1120_sg_x2 <= ce_1120; ce_2240_sg_x2 <= ce_2240; assert3_dout_net_x2 <= ch_in0; assert3_dout_net_x3 <= ch_in1; clk_1120_sg_x2 <= clk_1120; clk_2240_sg_x2 <= clk_2240; amp_out0 <= down_sample2_q_net_x2; amp_out1 <= down_sample1_q_net_x2; amp_out2 <= down_sample2_q_net_x3; amp_out3 <= down_sample1_q_net_x3; tddm_fofb_amp0_fd74c6ad6e: entity work.tddm_fofb_amp0_entity_fd74c6ad6e port map ( ce_1120 => ce_1120_sg_x2, ce_2240 => ce_2240_sg_x2, ch_in => assert3_dout_net_x2, clk_1120 => clk_1120_sg_x2, clk_2240 => clk_2240_sg_x2, din => assert2_dout_net_x2, dout_ch0 => down_sample2_q_net_x2, dout_ch1 => down_sample1_q_net_x2 ); tddm_fofb_amp1_61cbc8ec65: entity work.tddm_fofb_amp0_entity_fd74c6ad6e port map ( ce_1120 => ce_1120_sg_x2, ce_2240 => ce_2240_sg_x2, ch_in => assert3_dout_net_x3, clk_1120 => clk_1120_sg_x2, clk_2240 => clk_2240_sg_x2, din => assert2_dout_net_x3, dout_ch0 => down_sample2_q_net_x3, dout_ch1 => down_sample1_q_net_x3 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/FOFB_amp/fofb_amp0/FOFB_CORDIC/TDDM_tbt_cordic0/TDDM_tbt_cordic1" entity tddm_tbt_cordic1_entity_b60a69fd9b is port ( ce_1120: in std_logic; ce_2240: in std_logic; ch_in: in std_logic; clk_1120: in std_logic; clk_2240: in std_logic; din: in std_logic_vector(23 downto 0); dout_ch0: out std_logic_vector(23 downto 0); dout_ch1: out std_logic_vector(23 downto 0) ); end tddm_tbt_cordic1_entity_b60a69fd9b; architecture structural of tddm_tbt_cordic1_entity_b60a69fd9b is signal assert1_dout_net_x0: std_logic_vector(23 downto 0); signal assert3_dout_net_x4: std_logic; signal ce_1120_sg_x4: std_logic; signal ce_2240_sg_x4: std_logic; signal clk_1120_sg_x4: std_logic; signal clk_2240_sg_x4: std_logic; signal constant1_op_net: std_logic; signal constant_op_net: std_logic; signal down_sample1_q_net_x0: std_logic_vector(23 downto 0); signal down_sample2_q_net_x0: std_logic_vector(23 downto 0); signal register1_q_net: std_logic_vector(23 downto 0); signal register_q_net: std_logic_vector(23 downto 0); signal relational1_op_net: std_logic; signal relational_op_net: std_logic; begin ce_1120_sg_x4 <= ce_1120; ce_2240_sg_x4 <= ce_2240; assert3_dout_net_x4 <= ch_in; clk_1120_sg_x4 <= clk_1120; clk_2240_sg_x4 <= clk_2240; assert1_dout_net_x0 <= din; dout_ch0 <= down_sample2_q_net_x0; dout_ch1 <= down_sample1_q_net_x0; constant1: entity work.constant_963ed6358a port map ( ce => '0', clk => '0', clr => '0', op(0) => constant1_op_net ); constant_x0: entity work.constant_6293007044 port map ( ce => '0', clk => '0', clr => '0', op(0) => constant_op_net ); down_sample1: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 21, d_width => 24, ds_ratio => 2, latency => 1, phase => 1, q_arith => xlSigned, q_bin_pt => 21, q_width => 24 ) port map ( d => register1_q_net, dest_ce => ce_2240_sg_x4, dest_clk => clk_2240_sg_x4, dest_clr => '0', en => "1", src_ce => ce_1120_sg_x4, src_clk => clk_1120_sg_x4, src_clr => '0', q => down_sample1_q_net_x0 ); down_sample2: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 21, d_width => 24, ds_ratio => 2, latency => 1, phase => 1, q_arith => xlSigned, q_bin_pt => 21, q_width => 24 ) port map ( d => register_q_net, dest_ce => ce_2240_sg_x4, dest_clk => clk_2240_sg_x4, dest_clr => '0', en => "1", src_ce => ce_1120_sg_x4, src_clk => clk_1120_sg_x4, src_clr => '0', q => down_sample2_q_net_x0 ); register1: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_1120_sg_x4, clk => clk_1120_sg_x4, d => assert1_dout_net_x0, en(0) => relational1_op_net, rst => "0", q => register1_q_net ); register_x0: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_1120_sg_x4, clk => clk_1120_sg_x4, d => assert1_dout_net_x0, en(0) => relational_op_net, rst => "0", q => register_q_net ); relational: entity work.relational_a892e1bf40 port map ( a(0) => assert3_dout_net_x4, b(0) => constant_op_net, ce => ce_1120_sg_x4, clk => clk_1120_sg_x4, clr => '0', op(0) => relational_op_net ); relational1: entity work.relational_a892e1bf40 port map ( a(0) => assert3_dout_net_x4, b(0) => constant1_op_net, ce => ce_1120_sg_x4, clk => clk_1120_sg_x4, clr => '0', op(0) => relational1_op_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/FOFB_amp/fofb_amp0/FOFB_CORDIC/TDDM_tbt_cordic0" entity tddm_tbt_cordic0_entity_38de3613fe is port ( ce_1120: in std_logic; ce_2240: in std_logic; clk_1120: in std_logic; clk_2240: in std_logic; fofb_cordic_ch_in: in std_logic; fofb_cordic_din: in std_logic_vector(23 downto 0); fofb_cordic_pin: in std_logic_vector(23 downto 0); fofb_cordic_data0_out: out std_logic_vector(23 downto 0); fofb_cordic_data1_out: out std_logic_vector(23 downto 0); fofb_cordic_phase0_out: out std_logic_vector(23 downto 0); fofb_cordic_phase1_out: out std_logic_vector(23 downto 0) ); end tddm_tbt_cordic0_entity_38de3613fe; architecture structural of tddm_tbt_cordic0_entity_38de3613fe is signal assert1_dout_net_x1: std_logic_vector(23 downto 0); signal assert2_dout_net_x4: std_logic_vector(23 downto 0); signal assert3_dout_net_x5: std_logic; signal ce_1120_sg_x5: std_logic; signal ce_2240_sg_x5: std_logic; signal clk_1120_sg_x5: std_logic; signal clk_2240_sg_x5: std_logic; signal down_sample1_q_net_x2: std_logic_vector(23 downto 0); signal down_sample1_q_net_x3: std_logic_vector(23 downto 0); signal down_sample2_q_net_x2: std_logic_vector(23 downto 0); signal down_sample2_q_net_x3: std_logic_vector(23 downto 0); begin ce_1120_sg_x5 <= ce_1120; ce_2240_sg_x5 <= ce_2240; clk_1120_sg_x5 <= clk_1120; clk_2240_sg_x5 <= clk_2240; assert3_dout_net_x5 <= fofb_cordic_ch_in; assert2_dout_net_x4 <= fofb_cordic_din; assert1_dout_net_x1 <= fofb_cordic_pin; fofb_cordic_data0_out <= down_sample2_q_net_x2; fofb_cordic_data1_out <= down_sample1_q_net_x2; fofb_cordic_phase0_out <= down_sample2_q_net_x3; fofb_cordic_phase1_out <= down_sample1_q_net_x3; tddm_fofb_cordic0_int_516d0c2a22: entity work.tddm_fofb_amp0_entity_fd74c6ad6e port map ( ce_1120 => ce_1120_sg_x5, ce_2240 => ce_2240_sg_x5, ch_in => assert3_dout_net_x5, clk_1120 => clk_1120_sg_x5, clk_2240 => clk_2240_sg_x5, din => assert2_dout_net_x4, dout_ch0 => down_sample2_q_net_x2, dout_ch1 => down_sample1_q_net_x2 ); tddm_tbt_cordic1_b60a69fd9b: entity work.tddm_tbt_cordic1_entity_b60a69fd9b port map ( ce_1120 => ce_1120_sg_x5, ce_2240 => ce_2240_sg_x5, ch_in => assert3_dout_net_x5, clk_1120 => clk_1120_sg_x5, clk_2240 => clk_2240_sg_x5, din => assert1_dout_net_x1, dout_ch0 => down_sample2_q_net_x3, dout_ch1 => down_sample1_q_net_x3 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/FOFB_amp/fofb_amp0/FOFB_CORDIC" entity fofb_cordic_entity_fad57e49ce is port ( ce_1: in std_logic; ce_1120: in std_logic; ce_2240: in std_logic; ch_in: in std_logic; clk_1: in std_logic; clk_1120: in std_logic; clk_2240: in std_logic; i_in: in std_logic_vector(24 downto 0); q_in: in std_logic_vector(24 downto 0); valid_in: in std_logic; amp_out: out std_logic_vector(23 downto 0); ch_out: out std_logic; tddm_tbt_cordic0: out std_logic_vector(23 downto 0); tddm_tbt_cordic0_x0: out std_logic_vector(23 downto 0); tddm_tbt_cordic0_x1: out std_logic_vector(23 downto 0); tddm_tbt_cordic0_x2: out std_logic_vector(23 downto 0) ); end fofb_cordic_entity_fad57e49ce; architecture structural of fofb_cordic_entity_fad57e49ce is signal assert1_dout_net_x1: std_logic_vector(23 downto 0); signal assert2_dout_net_x5: std_logic_vector(23 downto 0); signal assert3_dout_net_x6: std_logic; signal ce_1120_sg_x6: std_logic; signal ce_1_sg_x5: std_logic; signal ce_2240_sg_x6: std_logic; signal clk_1120_sg_x6: std_logic; signal clk_1_sg_x5: std_logic; signal clk_2240_sg_x6: std_logic; signal delay_q_net_x0: std_logic; signal down_sample1_q_net: std_logic_vector(23 downto 0); signal down_sample1_q_net_x4: std_logic_vector(23 downto 0); signal down_sample1_q_net_x5: std_logic_vector(23 downto 0); signal down_sample2_q_net: std_logic_vector(23 downto 0); signal down_sample2_q_net_x4: std_logic_vector(23 downto 0); signal down_sample2_q_net_x5: std_logic_vector(23 downto 0); signal down_sample4_q_net: std_logic; signal rect2pol_m_axis_dout_tdata_phase_net: std_logic_vector(23 downto 0); signal rect2pol_m_axis_dout_tdata_real_net: std_logic_vector(23 downto 0); signal rect2pol_m_axis_dout_tuser_cartesian_tuser_net: std_logic; signal rect2pol_m_axis_dout_tvalid_net: std_logic; signal register1_q_net: std_logic; signal register1_q_net_x1: std_logic; signal register4_q_net: std_logic_vector(23 downto 0); signal register5_q_net: std_logic_vector(23 downto 0); signal register_q_net_x1: std_logic_vector(24 downto 0); signal register_q_net_x2: std_logic_vector(24 downto 0); signal reinterpret2_output_port_net: std_logic_vector(23 downto 0); signal reinterpret3_output_port_net: std_logic_vector(23 downto 0); signal up_sample1_q_net: std_logic_vector(24 downto 0); signal up_sample2_q_net: std_logic_vector(24 downto 0); signal up_sample3_q_net: std_logic; signal up_sample_q_net: std_logic; begin ce_1_sg_x5 <= ce_1; ce_1120_sg_x6 <= ce_1120; ce_2240_sg_x6 <= ce_2240; delay_q_net_x0 <= ch_in; clk_1_sg_x5 <= clk_1; clk_1120_sg_x6 <= clk_1120; clk_2240_sg_x6 <= clk_2240; register_q_net_x2 <= i_in; register_q_net_x1 <= q_in; register1_q_net_x1 <= valid_in; amp_out <= assert2_dout_net_x5; ch_out <= assert3_dout_net_x6; tddm_tbt_cordic0 <= down_sample1_q_net_x4; tddm_tbt_cordic0_x0 <= down_sample2_q_net_x4; tddm_tbt_cordic0_x1 <= down_sample1_q_net_x5; tddm_tbt_cordic0_x2 <= down_sample2_q_net_x5; assert1: entity work.xlpassthrough generic map ( din_width => 24, dout_width => 24 ) port map ( din => down_sample1_q_net, dout => assert1_dout_net_x1 ); assert2: entity work.xlpassthrough generic map ( din_width => 24, dout_width => 24 ) port map ( din => down_sample2_q_net, dout => assert2_dout_net_x5 ); assert3: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => down_sample4_q_net, dout(0) => assert3_dout_net_x6 ); down_sample1: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 21, d_width => 24, ds_ratio => 1120, latency => 1, phase => 1119, q_arith => xlSigned, q_bin_pt => 21, q_width => 24 ) port map ( d => register4_q_net, dest_ce => ce_1120_sg_x6, dest_clk => clk_1120_sg_x6, dest_clr => '0', en => "1", src_ce => ce_1_sg_x5, src_clk => clk_1_sg_x5, src_clr => '0', q => down_sample1_q_net ); down_sample2: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 22, d_width => 24, ds_ratio => 1120, latency => 1, phase => 1119, q_arith => xlSigned, q_bin_pt => 22, q_width => 24 ) port map ( d => register5_q_net, dest_ce => ce_1120_sg_x6, dest_clk => clk_1120_sg_x6, dest_clr => '0', en => "1", src_ce => ce_1_sg_x5, src_clk => clk_1_sg_x5, src_clr => '0', q => down_sample2_q_net ); down_sample4: entity work.xldsamp generic map ( d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, ds_ratio => 1120, latency => 1, phase => 1119, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => register1_q_net, dest_ce => ce_1120_sg_x6, dest_clk => clk_1120_sg_x6, dest_clr => '0', en => "1", src_ce => ce_1_sg_x5, src_clk => clk_1_sg_x5, src_clr => '0', q(0) => down_sample4_q_net ); rect2pol: entity work.xlcordic_baddbff1b3cb5131976384a2dda9ffff port map ( ce => ce_1_sg_x5, clk => clk_1_sg_x5, s_axis_cartesian_tdata_imag => up_sample1_q_net, s_axis_cartesian_tdata_real => up_sample2_q_net, s_axis_cartesian_tuser_user(0) => up_sample3_q_net, s_axis_cartesian_tvalid => up_sample_q_net, m_axis_dout_tdata_phase => rect2pol_m_axis_dout_tdata_phase_net, m_axis_dout_tdata_real => rect2pol_m_axis_dout_tdata_real_net, m_axis_dout_tuser_cartesian_tuser(0) => rect2pol_m_axis_dout_tuser_cartesian_tuser_net, m_axis_dout_tvalid => rect2pol_m_axis_dout_tvalid_net ); register1: entity work.xlregister generic map ( d_width => 1, init_value => b"0" ) port map ( ce => ce_1_sg_x5, clk => clk_1_sg_x5, d(0) => rect2pol_m_axis_dout_tuser_cartesian_tuser_net, en(0) => rect2pol_m_axis_dout_tvalid_net, rst => "0", q(0) => register1_q_net ); register4: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_1_sg_x5, clk => clk_1_sg_x5, d => reinterpret2_output_port_net, en(0) => rect2pol_m_axis_dout_tvalid_net, rst => "0", q => register4_q_net ); register5: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_1_sg_x5, clk => clk_1_sg_x5, d => reinterpret3_output_port_net, en(0) => rect2pol_m_axis_dout_tvalid_net, rst => "0", q => register5_q_net ); reinterpret2: entity work.reinterpret_b62f4240f0 port map ( ce => '0', clk => '0', clr => '0', input_port => rect2pol_m_axis_dout_tdata_phase_net, output_port => reinterpret2_output_port_net ); reinterpret3: entity work.reinterpret_b62f4240f0 port map ( ce => '0', clk => '0', clr => '0', input_port => rect2pol_m_axis_dout_tdata_real_net, output_port => reinterpret3_output_port_net ); tddm_tbt_cordic0_38de3613fe: entity work.tddm_tbt_cordic0_entity_38de3613fe port map ( ce_1120 => ce_1120_sg_x6, ce_2240 => ce_2240_sg_x6, clk_1120 => clk_1120_sg_x6, clk_2240 => clk_2240_sg_x6, fofb_cordic_ch_in => assert3_dout_net_x6, fofb_cordic_din => assert2_dout_net_x5, fofb_cordic_pin => assert1_dout_net_x1, fofb_cordic_data0_out => down_sample2_q_net_x4, fofb_cordic_data1_out => down_sample1_q_net_x4, fofb_cordic_phase0_out => down_sample2_q_net_x5, fofb_cordic_phase1_out => down_sample1_q_net_x5 ); up_sample: entity work.xlusamp generic map ( copy_samples => 0, d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, latency => 0, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => register1_q_net_x1, dest_ce => ce_1_sg_x5, dest_clk => clk_1_sg_x5, dest_clr => '0', en => "1", src_ce => ce_1120_sg_x6, src_clk => clk_1120_sg_x6, src_clr => '0', q(0) => up_sample_q_net ); up_sample1: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 23, d_width => 25, latency => 0, q_arith => xlSigned, q_bin_pt => 23, q_width => 25 ) port map ( d => register_q_net_x1, dest_ce => ce_1_sg_x5, dest_clk => clk_1_sg_x5, dest_clr => '0', en => "1", src_ce => ce_1120_sg_x6, src_clk => clk_1120_sg_x6, src_clr => '0', q => up_sample1_q_net ); up_sample2: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 23, d_width => 25, latency => 0, q_arith => xlSigned, q_bin_pt => 23, q_width => 25 ) port map ( d => register_q_net_x2, dest_ce => ce_1_sg_x5, dest_clk => clk_1_sg_x5, dest_clr => '0', en => "1", src_ce => ce_1120_sg_x6, src_clk => clk_1120_sg_x6, src_clr => '0', q => up_sample2_q_net ); up_sample3: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, latency => 0, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => delay_q_net_x0, dest_ce => ce_1_sg_x5, dest_clk => clk_1_sg_x5, dest_clr => '0', en => "1", src_ce => ce_1120_sg_x6, src_clk => clk_1120_sg_x6, src_clr => '0', q(0) => up_sample3_q_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/FOFB_amp/fofb_amp0/FOFB_amp/Reg" entity reg_entity_cf7aa296b2 is port ( ce_1120: in std_logic; clk_1120: in std_logic; din: in std_logic_vector(24 downto 0); dout: out std_logic_vector(23 downto 0) ); end reg_entity_cf7aa296b2; architecture structural of reg_entity_cf7aa296b2 is signal ce_1120_sg_x7: std_logic; signal clk_1120_sg_x7: std_logic; signal convert_dout_net: std_logic_vector(23 downto 0); signal register_q_net_x0: std_logic_vector(23 downto 0); signal register_q_net_x2: std_logic_vector(24 downto 0); signal reinterpret2_output_port_net: std_logic_vector(24 downto 0); begin ce_1120_sg_x7 <= ce_1120; clk_1120_sg_x7 <= clk_1120; register_q_net_x2 <= din; dout <= register_q_net_x0; convert: entity work.xlconvert generic map ( bool_conversion => 0, din_arith => 2, din_bin_pt => 23, din_width => 25, dout_arith => 2, dout_bin_pt => 22, dout_width => 24, latency => 0, overflow => xlWrap, quantization => xlRound ) port map ( ce => ce_1120_sg_x7, clk => clk_1120_sg_x7, clr => '0', din => reinterpret2_output_port_net, en => "1", dout => convert_dout_net ); register_x0: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_1120_sg_x7, clk => clk_1120_sg_x7, d => convert_dout_net, en => "1", rst => "0", q => register_q_net_x0 ); reinterpret2: entity work.reinterpret_31a4235b32 port map ( ce => '0', clk => '0', clr => '0', input_port => register_q_net_x2, output_port => reinterpret2_output_port_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/FOFB_amp/fofb_amp0/FOFB_amp/TDDM_fofb_cic0" entity tddm_fofb_cic0_entity_6b909292ff is port ( ce_1120: in std_logic; ce_2240: in std_logic; clk_1120: in std_logic; clk_2240: in std_logic; fofb_ch_in: in std_logic; fofb_i_in: in std_logic_vector(23 downto 0); fofb_q_in: in std_logic_vector(23 downto 0); cic_fofb_ch0_i_out: out std_logic_vector(23 downto 0); cic_fofb_ch0_q_out: out std_logic_vector(23 downto 0); cic_fofb_ch1_i_out: out std_logic_vector(23 downto 0); cic_fofb_ch1_q_out: out std_logic_vector(23 downto 0) ); end tddm_fofb_cic0_entity_6b909292ff; architecture structural of tddm_fofb_cic0_entity_6b909292ff is signal ce_1120_sg_x11: std_logic; signal ce_2240_sg_x9: std_logic; signal clk_1120_sg_x11: std_logic; signal clk_2240_sg_x9: std_logic; signal delay_q_net_x3: std_logic; signal down_sample1_q_net_x2: std_logic_vector(23 downto 0); signal down_sample1_q_net_x3: std_logic_vector(23 downto 0); signal down_sample2_q_net_x2: std_logic_vector(23 downto 0); signal down_sample2_q_net_x3: std_logic_vector(23 downto 0); signal register_q_net_x3: std_logic_vector(23 downto 0); signal register_q_net_x4: std_logic_vector(23 downto 0); begin ce_1120_sg_x11 <= ce_1120; ce_2240_sg_x9 <= ce_2240; clk_1120_sg_x11 <= clk_1120; clk_2240_sg_x9 <= clk_2240; delay_q_net_x3 <= fofb_ch_in; register_q_net_x4 <= fofb_i_in; register_q_net_x3 <= fofb_q_in; cic_fofb_ch0_i_out <= down_sample2_q_net_x2; cic_fofb_ch0_q_out <= down_sample2_q_net_x3; cic_fofb_ch1_i_out <= down_sample1_q_net_x2; cic_fofb_ch1_q_out <= down_sample1_q_net_x3; tddm_fofb_cic0_i_06b84397ec: entity work.tddm_fofb_amp0_entity_fd74c6ad6e port map ( ce_1120 => ce_1120_sg_x11, ce_2240 => ce_2240_sg_x9, ch_in => delay_q_net_x3, clk_1120 => clk_1120_sg_x11, clk_2240 => clk_2240_sg_x9, din => register_q_net_x4, dout_ch0 => down_sample2_q_net_x2, dout_ch1 => down_sample1_q_net_x2 ); tddm_fofb_cic0_q_a6a1d7c301: entity work.tddm_fofb_amp0_entity_fd74c6ad6e port map ( ce_1120 => ce_1120_sg_x11, ce_2240 => ce_2240_sg_x9, ch_in => delay_q_net_x3, clk_1120 => clk_1120_sg_x11, clk_2240 => clk_2240_sg_x9, din => register_q_net_x3, dout_ch0 => down_sample2_q_net_x3, dout_ch1 => down_sample1_q_net_x3 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/FOFB_amp/fofb_amp0/FOFB_amp/cic_fofb/Reg" entity reg_entity_71dd029fba is port ( ce_1120: in std_logic; clk_1120: in std_logic; din: in std_logic_vector(57 downto 0); en: in std_logic; dout: out std_logic_vector(24 downto 0) ); end reg_entity_71dd029fba; architecture structural of reg_entity_71dd029fba is signal ce_1120_sg_x12: std_logic; signal cic_fofb_q_m_axis_data_tdata_data_net_x0: std_logic_vector(57 downto 0); signal cic_fofb_q_m_axis_data_tvalid_net_x0: std_logic; signal clk_1120_sg_x12: std_logic; signal convert_dout_net: std_logic_vector(24 downto 0); signal register_q_net_x3: std_logic_vector(24 downto 0); signal reinterpret2_output_port_net: std_logic_vector(57 downto 0); begin ce_1120_sg_x12 <= ce_1120; clk_1120_sg_x12 <= clk_1120; cic_fofb_q_m_axis_data_tdata_data_net_x0 <= din; cic_fofb_q_m_axis_data_tvalid_net_x0 <= en; dout <= register_q_net_x3; convert: entity work.xlconvert generic map ( bool_conversion => 0, din_arith => 2, din_bin_pt => 56, din_width => 58, dout_arith => 2, dout_bin_pt => 23, dout_width => 25, latency => 0, overflow => xlWrap, quantization => xlRound ) port map ( ce => ce_1120_sg_x12, clk => clk_1120_sg_x12, clr => '0', din => reinterpret2_output_port_net, en => "1", dout => convert_dout_net ); register_x0: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_1120_sg_x12, clk => clk_1120_sg_x12, d => convert_dout_net, en(0) => cic_fofb_q_m_axis_data_tvalid_net_x0, rst => "0", q => register_q_net_x3 ); reinterpret2: entity work.reinterpret_fa01b5fd95 port map ( ce => '0', clk => '0', clr => '0', input_port => cic_fofb_q_m_axis_data_tdata_data_net_x0, output_port => reinterpret2_output_port_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/FOFB_amp/fofb_amp0/FOFB_amp/cic_fofb/Reg1" entity reg1_entity_b079f30e3c is port ( ce_1120: in std_logic; clk_1120: in std_logic; din: in std_logic_vector(57 downto 0); en: in std_logic; dout: out std_logic_vector(24 downto 0); valid_out: out std_logic ); end reg1_entity_b079f30e3c; architecture structural of reg1_entity_b079f30e3c is signal ce_1120_sg_x13: std_logic; signal cic_fofb_i_m_axis_data_tdata_data_net_x0: std_logic_vector(57 downto 0); signal cic_fofb_i_m_axis_data_tvalid_net_x0: std_logic; signal clk_1120_sg_x13: std_logic; signal convert_dout_net: std_logic_vector(24 downto 0); signal register1_q_net_x2: std_logic; signal register_q_net_x4: std_logic_vector(24 downto 0); signal reinterpret2_output_port_net: std_logic_vector(57 downto 0); begin ce_1120_sg_x13 <= ce_1120; clk_1120_sg_x13 <= clk_1120; cic_fofb_i_m_axis_data_tdata_data_net_x0 <= din; cic_fofb_i_m_axis_data_tvalid_net_x0 <= en; dout <= register_q_net_x4; valid_out <= register1_q_net_x2; convert: entity work.xlconvert generic map ( bool_conversion => 0, din_arith => 2, din_bin_pt => 56, din_width => 58, dout_arith => 2, dout_bin_pt => 23, dout_width => 25, latency => 0, overflow => xlWrap, quantization => xlRound ) port map ( ce => ce_1120_sg_x13, clk => clk_1120_sg_x13, clr => '0', din => reinterpret2_output_port_net, en => "1", dout => convert_dout_net ); register1: entity work.xlregister generic map ( d_width => 1, init_value => b"0" ) port map ( ce => ce_1120_sg_x13, clk => clk_1120_sg_x13, d(0) => cic_fofb_i_m_axis_data_tvalid_net_x0, en => "1", rst => "0", q(0) => register1_q_net_x2 ); register_x0: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_1120_sg_x13, clk => clk_1120_sg_x13, d => convert_dout_net, en(0) => cic_fofb_i_m_axis_data_tvalid_net_x0, rst => "0", q => register_q_net_x4 ); reinterpret2: entity work.reinterpret_fa01b5fd95 port map ( ce => '0', clk => '0', clr => '0', input_port => cic_fofb_i_m_axis_data_tdata_data_net_x0, output_port => reinterpret2_output_port_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/FOFB_amp/fofb_amp0/FOFB_amp/cic_fofb" entity cic_fofb_entity_2ed6a6e00c is port ( ce_1: in std_logic; ce_1120: in std_logic; ce_logic_1: in std_logic; ch_in: in std_logic; clk_1: in std_logic; clk_1120: in std_logic; i_in: in std_logic_vector(23 downto 0); q_in: in std_logic_vector(23 downto 0); ch_out: out std_logic; cic_fofb_q_x0: out std_logic; i_out: out std_logic_vector(24 downto 0); q_out: out std_logic_vector(24 downto 0); valid_out: out std_logic ); end cic_fofb_entity_2ed6a6e00c; architecture structural of cic_fofb_entity_2ed6a6e00c is signal ce_1120_sg_x14: std_logic; signal ce_1_sg_x6: std_logic; signal ce_logic_1_sg_x5: std_logic; signal cic_fofb_i_m_axis_data_tdata_data_net_x0: std_logic_vector(57 downto 0); signal cic_fofb_i_m_axis_data_tuser_chan_out_net: std_logic; signal cic_fofb_i_m_axis_data_tvalid_net_x0: std_logic; signal cic_fofb_q_event_tlast_missing_net_x0: std_logic; signal cic_fofb_q_m_axis_data_tdata_data_net_x0: std_logic_vector(57 downto 0); signal cic_fofb_q_m_axis_data_tvalid_net_x0: std_logic; signal clk_1120_sg_x14: std_logic; signal clk_1_sg_x6: std_logic; signal constant1_op_net: std_logic_vector(1 downto 0); signal delay_q_net_x4: std_logic; signal register1_q_net_x3: std_logic; signal register3_q_net_x0: std_logic; signal register4_q_net_x0: std_logic_vector(23 downto 0); signal register5_q_net_x0: std_logic_vector(23 downto 0); signal register_q_net_x5: std_logic_vector(24 downto 0); signal register_q_net_x6: std_logic_vector(24 downto 0); signal relational2_op_net: std_logic; begin ce_1_sg_x6 <= ce_1; ce_1120_sg_x14 <= ce_1120; ce_logic_1_sg_x5 <= ce_logic_1; register3_q_net_x0 <= ch_in; clk_1_sg_x6 <= clk_1; clk_1120_sg_x14 <= clk_1120; register4_q_net_x0 <= i_in; register5_q_net_x0 <= q_in; ch_out <= delay_q_net_x4; cic_fofb_q_x0 <= cic_fofb_q_event_tlast_missing_net_x0; i_out <= register_q_net_x6; q_out <= register_q_net_x5; valid_out <= register1_q_net_x3; cic_fofb_i: entity work.xlcic_compiler_2d3b496704eca3daaae85383d488a908 port map ( ce => ce_1_sg_x6, ce_1120 => ce_1120_sg_x14, ce_logic_1 => ce_logic_1_sg_x5, clk => clk_1_sg_x6, clk_1120 => clk_1120_sg_x14, clk_logic_1 => clk_1_sg_x6, s_axis_data_tdata_data => register4_q_net_x0, s_axis_data_tlast => relational2_op_net, m_axis_data_tdata_data => cic_fofb_i_m_axis_data_tdata_data_net_x0, m_axis_data_tuser_chan_out(0) => cic_fofb_i_m_axis_data_tuser_chan_out_net, m_axis_data_tvalid => cic_fofb_i_m_axis_data_tvalid_net_x0 ); cic_fofb_q: entity work.xlcic_compiler_2d3b496704eca3daaae85383d488a908 port map ( ce => ce_1_sg_x6, ce_1120 => ce_1120_sg_x14, ce_logic_1 => ce_logic_1_sg_x5, clk => clk_1_sg_x6, clk_1120 => clk_1120_sg_x14, clk_logic_1 => clk_1_sg_x6, s_axis_data_tdata_data => register5_q_net_x0, s_axis_data_tlast => relational2_op_net, event_tlast_missing => cic_fofb_q_event_tlast_missing_net_x0, m_axis_data_tdata_data => cic_fofb_q_m_axis_data_tdata_data_net_x0, m_axis_data_tvalid => cic_fofb_q_m_axis_data_tvalid_net_x0 ); constant1: entity work.constant_cda50df78a port map ( ce => '0', clk => '0', clr => '0', op => constant1_op_net ); delay: entity work.xldelay generic map ( latency => 1, reg_retiming => 0, reset => 0, width => 1 ) port map ( ce => ce_1120_sg_x14, clk => clk_1120_sg_x14, d(0) => cic_fofb_i_m_axis_data_tuser_chan_out_net, en => '1', rst => '1', q(0) => delay_q_net_x4 ); reg1_b079f30e3c: entity work.reg1_entity_b079f30e3c port map ( ce_1120 => ce_1120_sg_x14, clk_1120 => clk_1120_sg_x14, din => cic_fofb_i_m_axis_data_tdata_data_net_x0, en => cic_fofb_i_m_axis_data_tvalid_net_x0, dout => register_q_net_x6, valid_out => register1_q_net_x3 ); reg_71dd029fba: entity work.reg_entity_71dd029fba port map ( ce_1120 => ce_1120_sg_x14, clk_1120 => clk_1120_sg_x14, din => cic_fofb_q_m_axis_data_tdata_data_net_x0, en => cic_fofb_q_m_axis_data_tvalid_net_x0, dout => register_q_net_x5 ); relational2: entity work.relational_d29d27b7b3 port map ( a(0) => register3_q_net_x0, b => constant1_op_net, ce => ce_1_sg_x6, clk => clk_1_sg_x6, clr => '0', op(0) => relational2_op_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/FOFB_amp/fofb_amp0/FOFB_amp" entity fofb_amp_entity_078cdb1842 is port ( ce_1: in std_logic; ce_1120: in std_logic; ce_2240: in std_logic; ce_logic_1: in std_logic; ch_in: in std_logic; clk_1: in std_logic; clk_1120: in std_logic; clk_2240: in std_logic; i_in: in std_logic_vector(23 downto 0); q_in: in std_logic_vector(23 downto 0); ch_out: out std_logic; cic_fofb: out std_logic; i_out: out std_logic_vector(24 downto 0); q_out: out std_logic_vector(24 downto 0); tddm_fofb_cic0: out std_logic_vector(23 downto 0); tddm_fofb_cic0_x0: out std_logic_vector(23 downto 0); tddm_fofb_cic0_x1: out std_logic_vector(23 downto 0); tddm_fofb_cic0_x2: out std_logic_vector(23 downto 0); valid_out: out std_logic ); end fofb_amp_entity_078cdb1842; architecture structural of fofb_amp_entity_078cdb1842 is signal ce_1120_sg_x15: std_logic; signal ce_1_sg_x7: std_logic; signal ce_2240_sg_x10: std_logic; signal ce_logic_1_sg_x6: std_logic; signal cic_fofb_q_event_tlast_missing_net_x1: std_logic; signal clk_1120_sg_x15: std_logic; signal clk_1_sg_x7: std_logic; signal clk_2240_sg_x10: std_logic; signal delay_q_net_x5: std_logic; signal down_sample1_q_net_x4: std_logic_vector(23 downto 0); signal down_sample1_q_net_x5: std_logic_vector(23 downto 0); signal down_sample2_q_net_x4: std_logic_vector(23 downto 0); signal down_sample2_q_net_x5: std_logic_vector(23 downto 0); signal register1_q_net_x4: std_logic; signal register3_q_net_x1: std_logic; signal register4_q_net_x1: std_logic_vector(23 downto 0); signal register5_q_net_x1: std_logic_vector(23 downto 0); signal register_q_net_x3: std_logic_vector(23 downto 0); signal register_q_net_x4: std_logic_vector(23 downto 0); signal register_q_net_x7: std_logic_vector(24 downto 0); signal register_q_net_x8: std_logic_vector(24 downto 0); begin ce_1_sg_x7 <= ce_1; ce_1120_sg_x15 <= ce_1120; ce_2240_sg_x10 <= ce_2240; ce_logic_1_sg_x6 <= ce_logic_1; register3_q_net_x1 <= ch_in; clk_1_sg_x7 <= clk_1; clk_1120_sg_x15 <= clk_1120; clk_2240_sg_x10 <= clk_2240; register4_q_net_x1 <= i_in; register5_q_net_x1 <= q_in; ch_out <= delay_q_net_x5; cic_fofb <= cic_fofb_q_event_tlast_missing_net_x1; i_out <= register_q_net_x8; q_out <= register_q_net_x7; tddm_fofb_cic0 <= down_sample1_q_net_x4; tddm_fofb_cic0_x0 <= down_sample2_q_net_x4; tddm_fofb_cic0_x1 <= down_sample1_q_net_x5; tddm_fofb_cic0_x2 <= down_sample2_q_net_x5; valid_out <= register1_q_net_x4; cic_fofb_2ed6a6e00c: entity work.cic_fofb_entity_2ed6a6e00c port map ( ce_1 => ce_1_sg_x7, ce_1120 => ce_1120_sg_x15, ce_logic_1 => ce_logic_1_sg_x6, ch_in => register3_q_net_x1, clk_1 => clk_1_sg_x7, clk_1120 => clk_1120_sg_x15, i_in => register4_q_net_x1, q_in => register5_q_net_x1, ch_out => delay_q_net_x5, cic_fofb_q_x0 => cic_fofb_q_event_tlast_missing_net_x1, i_out => register_q_net_x8, q_out => register_q_net_x7, valid_out => register1_q_net_x4 ); reg1_6375e37e24: entity work.reg_entity_cf7aa296b2 port map ( ce_1120 => ce_1120_sg_x15, clk_1120 => clk_1120_sg_x15, din => register_q_net_x8, dout => register_q_net_x4 ); reg_cf7aa296b2: entity work.reg_entity_cf7aa296b2 port map ( ce_1120 => ce_1120_sg_x15, clk_1120 => clk_1120_sg_x15, din => register_q_net_x7, dout => register_q_net_x3 ); tddm_fofb_cic0_6b909292ff: entity work.tddm_fofb_cic0_entity_6b909292ff port map ( ce_1120 => ce_1120_sg_x15, ce_2240 => ce_2240_sg_x10, clk_1120 => clk_1120_sg_x15, clk_2240 => clk_2240_sg_x10, fofb_ch_in => delay_q_net_x5, fofb_i_in => register_q_net_x4, fofb_q_in => register_q_net_x3, cic_fofb_ch0_i_out => down_sample2_q_net_x4, cic_fofb_ch0_q_out => down_sample2_q_net_x5, cic_fofb_ch1_i_out => down_sample1_q_net_x4, cic_fofb_ch1_q_out => down_sample1_q_net_x5 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/FOFB_amp/fofb_amp0" entity fofb_amp0_entity_95b23bfc2c is port ( ce_1: in std_logic; ce_1120: in std_logic; ce_2240: in std_logic; ce_logic_1: in std_logic; ch_in: in std_logic; clk_1: in std_logic; clk_1120: in std_logic; clk_2240: in std_logic; i_in: in std_logic_vector(23 downto 0); q_in: in std_logic_vector(23 downto 0); amp_out: out std_logic_vector(23 downto 0); ch_out: out std_logic; fofb_amp: out std_logic_vector(23 downto 0); fofb_amp_x0: out std_logic_vector(23 downto 0); fofb_amp_x1: out std_logic_vector(23 downto 0); fofb_amp_x2: out std_logic_vector(23 downto 0); fofb_amp_x3: out std_logic; fofb_cordic: out std_logic_vector(23 downto 0); fofb_cordic_x0: out std_logic_vector(23 downto 0); fofb_cordic_x1: out std_logic_vector(23 downto 0); fofb_cordic_x2: out std_logic_vector(23 downto 0) ); end fofb_amp0_entity_95b23bfc2c; architecture structural of fofb_amp0_entity_95b23bfc2c is signal assert2_dout_net_x6: std_logic_vector(23 downto 0); signal assert3_dout_net_x7: std_logic; signal ce_1120_sg_x16: std_logic; signal ce_1_sg_x8: std_logic; signal ce_2240_sg_x11: std_logic; signal ce_logic_1_sg_x7: std_logic; signal cic_fofb_q_event_tlast_missing_net_x2: std_logic; signal clk_1120_sg_x16: std_logic; signal clk_1_sg_x8: std_logic; signal clk_2240_sg_x11: std_logic; signal delay_q_net_x5: std_logic; signal down_sample1_q_net_x10: std_logic_vector(23 downto 0); signal down_sample1_q_net_x11: std_logic_vector(23 downto 0); signal down_sample1_q_net_x8: std_logic_vector(23 downto 0); signal down_sample1_q_net_x9: std_logic_vector(23 downto 0); signal down_sample2_q_net_x10: std_logic_vector(23 downto 0); signal down_sample2_q_net_x11: std_logic_vector(23 downto 0); signal down_sample2_q_net_x8: std_logic_vector(23 downto 0); signal down_sample2_q_net_x9: std_logic_vector(23 downto 0); signal register1_q_net_x4: std_logic; signal register3_q_net_x2: std_logic; signal register4_q_net_x2: std_logic_vector(23 downto 0); signal register5_q_net_x2: std_logic_vector(23 downto 0); signal register_q_net_x7: std_logic_vector(24 downto 0); signal register_q_net_x8: std_logic_vector(24 downto 0); begin ce_1_sg_x8 <= ce_1; ce_1120_sg_x16 <= ce_1120; ce_2240_sg_x11 <= ce_2240; ce_logic_1_sg_x7 <= ce_logic_1; register3_q_net_x2 <= ch_in; clk_1_sg_x8 <= clk_1; clk_1120_sg_x16 <= clk_1120; clk_2240_sg_x11 <= clk_2240; register4_q_net_x2 <= i_in; register5_q_net_x2 <= q_in; amp_out <= assert2_dout_net_x6; ch_out <= assert3_dout_net_x7; fofb_amp <= down_sample1_q_net_x10; fofb_amp_x0 <= down_sample2_q_net_x10; fofb_amp_x1 <= down_sample1_q_net_x11; fofb_amp_x2 <= down_sample2_q_net_x11; fofb_amp_x3 <= cic_fofb_q_event_tlast_missing_net_x2; fofb_cordic <= down_sample1_q_net_x8; fofb_cordic_x0 <= down_sample2_q_net_x8; fofb_cordic_x1 <= down_sample1_q_net_x9; fofb_cordic_x2 <= down_sample2_q_net_x9; fofb_amp_078cdb1842: entity work.fofb_amp_entity_078cdb1842 port map ( ce_1 => ce_1_sg_x8, ce_1120 => ce_1120_sg_x16, ce_2240 => ce_2240_sg_x11, ce_logic_1 => ce_logic_1_sg_x7, ch_in => register3_q_net_x2, clk_1 => clk_1_sg_x8, clk_1120 => clk_1120_sg_x16, clk_2240 => clk_2240_sg_x11, i_in => register4_q_net_x2, q_in => register5_q_net_x2, ch_out => delay_q_net_x5, cic_fofb => cic_fofb_q_event_tlast_missing_net_x2, i_out => register_q_net_x8, q_out => register_q_net_x7, tddm_fofb_cic0 => down_sample1_q_net_x10, tddm_fofb_cic0_x0 => down_sample2_q_net_x10, tddm_fofb_cic0_x1 => down_sample1_q_net_x11, tddm_fofb_cic0_x2 => down_sample2_q_net_x11, valid_out => register1_q_net_x4 ); fofb_cordic_fad57e49ce: entity work.fofb_cordic_entity_fad57e49ce port map ( ce_1 => ce_1_sg_x8, ce_1120 => ce_1120_sg_x16, ce_2240 => ce_2240_sg_x11, ch_in => delay_q_net_x5, clk_1 => clk_1_sg_x8, clk_1120 => clk_1120_sg_x16, clk_2240 => clk_2240_sg_x11, i_in => register_q_net_x8, q_in => register_q_net_x7, valid_in => register1_q_net_x4, amp_out => assert2_dout_net_x6, ch_out => assert3_dout_net_x7, tddm_tbt_cordic0 => down_sample1_q_net_x8, tddm_tbt_cordic0_x0 => down_sample2_q_net_x8, tddm_tbt_cordic0_x1 => down_sample1_q_net_x9, tddm_tbt_cordic0_x2 => down_sample2_q_net_x9 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/FOFB_amp/fofb_amp1/FOFB_CORDIC" entity fofb_cordic_entity_e4c0810ec7 is port ( ce_1: in std_logic; ce_1120: in std_logic; ce_2240: in std_logic; ch_in: in std_logic; clk_1: in std_logic; clk_1120: in std_logic; clk_2240: in std_logic; i_in: in std_logic_vector(24 downto 0); q_in: in std_logic_vector(24 downto 0); valid_in: in std_logic; amp_out: out std_logic_vector(23 downto 0); ch_out: out std_logic; tddm_fofb_cordic1: out std_logic_vector(23 downto 0); tddm_fofb_cordic1_x0: out std_logic_vector(23 downto 0); tddm_fofb_cordic1_x1: out std_logic_vector(23 downto 0); tddm_fofb_cordic1_x2: out std_logic_vector(23 downto 0) ); end fofb_cordic_entity_e4c0810ec7; architecture structural of fofb_cordic_entity_e4c0810ec7 is signal assert1_dout_net_x1: std_logic_vector(23 downto 0); signal assert2_dout_net_x6: std_logic_vector(23 downto 0); signal assert3_dout_net_x7: std_logic; signal ce_1120_sg_x20: std_logic; signal ce_1_sg_x9: std_logic; signal ce_2240_sg_x15: std_logic; signal clk_1120_sg_x20: std_logic; signal clk_1_sg_x9: std_logic; signal clk_2240_sg_x15: std_logic; signal delay_q_net_x0: std_logic; signal down_sample1_q_net: std_logic_vector(23 downto 0); signal down_sample1_q_net_x4: std_logic_vector(23 downto 0); signal down_sample1_q_net_x5: std_logic_vector(23 downto 0); signal down_sample2_q_net: std_logic_vector(23 downto 0); signal down_sample2_q_net_x4: std_logic_vector(23 downto 0); signal down_sample2_q_net_x5: std_logic_vector(23 downto 0); signal down_sample4_q_net: std_logic; signal rect2pol_m_axis_dout_tdata_phase_net: std_logic_vector(23 downto 0); signal rect2pol_m_axis_dout_tdata_real_net: std_logic_vector(23 downto 0); signal rect2pol_m_axis_dout_tuser_cartesian_tuser_net: std_logic; signal rect2pol_m_axis_dout_tvalid_net: std_logic; signal register1_q_net: std_logic; signal register1_q_net_x1: std_logic; signal register4_q_net: std_logic_vector(23 downto 0); signal register5_q_net: std_logic_vector(23 downto 0); signal register_q_net_x1: std_logic_vector(24 downto 0); signal register_q_net_x2: std_logic_vector(24 downto 0); signal reinterpret2_output_port_net: std_logic_vector(23 downto 0); signal reinterpret3_output_port_net: std_logic_vector(23 downto 0); signal up_sample1_q_net: std_logic_vector(24 downto 0); signal up_sample2_q_net: std_logic_vector(24 downto 0); signal up_sample3_q_net: std_logic; signal up_sample_q_net: std_logic; begin ce_1_sg_x9 <= ce_1; ce_1120_sg_x20 <= ce_1120; ce_2240_sg_x15 <= ce_2240; delay_q_net_x0 <= ch_in; clk_1_sg_x9 <= clk_1; clk_1120_sg_x20 <= clk_1120; clk_2240_sg_x15 <= clk_2240; register_q_net_x2 <= i_in; register_q_net_x1 <= q_in; register1_q_net_x1 <= valid_in; amp_out <= assert2_dout_net_x6; ch_out <= assert3_dout_net_x7; tddm_fofb_cordic1 <= down_sample1_q_net_x4; tddm_fofb_cordic1_x0 <= down_sample2_q_net_x4; tddm_fofb_cordic1_x1 <= down_sample1_q_net_x5; tddm_fofb_cordic1_x2 <= down_sample2_q_net_x5; assert1: entity work.xlpassthrough generic map ( din_width => 24, dout_width => 24 ) port map ( din => down_sample1_q_net, dout => assert1_dout_net_x1 ); assert2: entity work.xlpassthrough generic map ( din_width => 24, dout_width => 24 ) port map ( din => down_sample2_q_net, dout => assert2_dout_net_x6 ); assert3: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => down_sample4_q_net, dout(0) => assert3_dout_net_x7 ); down_sample1: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 21, d_width => 24, ds_ratio => 1120, latency => 1, phase => 1119, q_arith => xlSigned, q_bin_pt => 21, q_width => 24 ) port map ( d => register4_q_net, dest_ce => ce_1120_sg_x20, dest_clk => clk_1120_sg_x20, dest_clr => '0', en => "1", src_ce => ce_1_sg_x9, src_clk => clk_1_sg_x9, src_clr => '0', q => down_sample1_q_net ); down_sample2: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 22, d_width => 24, ds_ratio => 1120, latency => 1, phase => 1119, q_arith => xlSigned, q_bin_pt => 22, q_width => 24 ) port map ( d => register5_q_net, dest_ce => ce_1120_sg_x20, dest_clk => clk_1120_sg_x20, dest_clr => '0', en => "1", src_ce => ce_1_sg_x9, src_clk => clk_1_sg_x9, src_clr => '0', q => down_sample2_q_net ); down_sample4: entity work.xldsamp generic map ( d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, ds_ratio => 1120, latency => 1, phase => 1119, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => register1_q_net, dest_ce => ce_1120_sg_x20, dest_clk => clk_1120_sg_x20, dest_clr => '0', en => "1", src_ce => ce_1_sg_x9, src_clk => clk_1_sg_x9, src_clr => '0', q(0) => down_sample4_q_net ); rect2pol: entity work.xlcordic_baddbff1b3cb5131976384a2dda9ffff port map ( ce => ce_1_sg_x9, clk => clk_1_sg_x9, s_axis_cartesian_tdata_imag => up_sample1_q_net, s_axis_cartesian_tdata_real => up_sample2_q_net, s_axis_cartesian_tuser_user(0) => up_sample3_q_net, s_axis_cartesian_tvalid => up_sample_q_net, m_axis_dout_tdata_phase => rect2pol_m_axis_dout_tdata_phase_net, m_axis_dout_tdata_real => rect2pol_m_axis_dout_tdata_real_net, m_axis_dout_tuser_cartesian_tuser(0) => rect2pol_m_axis_dout_tuser_cartesian_tuser_net, m_axis_dout_tvalid => rect2pol_m_axis_dout_tvalid_net ); register1: entity work.xlregister generic map ( d_width => 1, init_value => b"0" ) port map ( ce => ce_1_sg_x9, clk => clk_1_sg_x9, d(0) => rect2pol_m_axis_dout_tuser_cartesian_tuser_net, en(0) => rect2pol_m_axis_dout_tvalid_net, rst => "0", q(0) => register1_q_net ); register4: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_1_sg_x9, clk => clk_1_sg_x9, d => reinterpret2_output_port_net, en(0) => rect2pol_m_axis_dout_tvalid_net, rst => "0", q => register4_q_net ); register5: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_1_sg_x9, clk => clk_1_sg_x9, d => reinterpret3_output_port_net, en(0) => rect2pol_m_axis_dout_tvalid_net, rst => "0", q => register5_q_net ); reinterpret2: entity work.reinterpret_b62f4240f0 port map ( ce => '0', clk => '0', clr => '0', input_port => rect2pol_m_axis_dout_tdata_phase_net, output_port => reinterpret2_output_port_net ); reinterpret3: entity work.reinterpret_b62f4240f0 port map ( ce => '0', clk => '0', clr => '0', input_port => rect2pol_m_axis_dout_tdata_real_net, output_port => reinterpret3_output_port_net ); tddm_fofb_cordic1_77b64089dc: entity work.tddm_tbt_cordic0_entity_38de3613fe port map ( ce_1120 => ce_1120_sg_x20, ce_2240 => ce_2240_sg_x15, clk_1120 => clk_1120_sg_x20, clk_2240 => clk_2240_sg_x15, fofb_cordic_ch_in => assert3_dout_net_x7, fofb_cordic_din => assert2_dout_net_x6, fofb_cordic_pin => assert1_dout_net_x1, fofb_cordic_data0_out => down_sample2_q_net_x4, fofb_cordic_data1_out => down_sample1_q_net_x4, fofb_cordic_phase0_out => down_sample2_q_net_x5, fofb_cordic_phase1_out => down_sample1_q_net_x5 ); up_sample: entity work.xlusamp generic map ( copy_samples => 0, d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, latency => 0, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => register1_q_net_x1, dest_ce => ce_1_sg_x9, dest_clk => clk_1_sg_x9, dest_clr => '0', en => "1", src_ce => ce_1120_sg_x20, src_clk => clk_1120_sg_x20, src_clr => '0', q(0) => up_sample_q_net ); up_sample1: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 23, d_width => 25, latency => 0, q_arith => xlSigned, q_bin_pt => 23, q_width => 25 ) port map ( d => register_q_net_x1, dest_ce => ce_1_sg_x9, dest_clk => clk_1_sg_x9, dest_clr => '0', en => "1", src_ce => ce_1120_sg_x20, src_clk => clk_1120_sg_x20, src_clr => '0', q => up_sample1_q_net ); up_sample2: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 23, d_width => 25, latency => 0, q_arith => xlSigned, q_bin_pt => 23, q_width => 25 ) port map ( d => register_q_net_x2, dest_ce => ce_1_sg_x9, dest_clk => clk_1_sg_x9, dest_clr => '0', en => "1", src_ce => ce_1120_sg_x20, src_clk => clk_1120_sg_x20, src_clr => '0', q => up_sample2_q_net ); up_sample3: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, latency => 0, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => delay_q_net_x0, dest_ce => ce_1_sg_x9, dest_clk => clk_1_sg_x9, dest_clr => '0', en => "1", src_ce => ce_1120_sg_x20, src_clk => clk_1120_sg_x20, src_clr => '0', q(0) => up_sample3_q_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/FOFB_amp/fofb_amp1/FOFB_amp" entity fofb_amp_entity_f70fcc8ed9 is port ( ce_1: in std_logic; ce_1120: in std_logic; ce_2240: in std_logic; ce_logic_1: in std_logic; ch_in: in std_logic; clk_1: in std_logic; clk_1120: in std_logic; clk_2240: in std_logic; i_in: in std_logic_vector(23 downto 0); q_in: in std_logic_vector(23 downto 0); ch_out: out std_logic; cic_fofb: out std_logic; i_out: out std_logic_vector(24 downto 0); q_out: out std_logic_vector(24 downto 0); tddm_fofb_cic1: out std_logic_vector(23 downto 0); tddm_fofb_cic1_x0: out std_logic_vector(23 downto 0); tddm_fofb_cic1_x1: out std_logic_vector(23 downto 0); tddm_fofb_cic1_x2: out std_logic_vector(23 downto 0); valid_out: out std_logic ); end fofb_amp_entity_f70fcc8ed9; architecture structural of fofb_amp_entity_f70fcc8ed9 is signal ce_1120_sg_x29: std_logic; signal ce_1_sg_x11: std_logic; signal ce_2240_sg_x19: std_logic; signal ce_logic_1_sg_x9: std_logic; signal cic_fofb_q_event_tlast_missing_net_x1: std_logic; signal clk_1120_sg_x29: std_logic; signal clk_1_sg_x11: std_logic; signal clk_2240_sg_x19: std_logic; signal delay_q_net_x5: std_logic; signal down_sample1_q_net_x4: std_logic_vector(23 downto 0); signal down_sample1_q_net_x5: std_logic_vector(23 downto 0); signal down_sample2_q_net_x4: std_logic_vector(23 downto 0); signal down_sample2_q_net_x5: std_logic_vector(23 downto 0); signal register1_q_net_x4: std_logic; signal register3_q_net_x1: std_logic; signal register4_q_net_x1: std_logic_vector(23 downto 0); signal register5_q_net_x1: std_logic_vector(23 downto 0); signal register_q_net_x3: std_logic_vector(23 downto 0); signal register_q_net_x4: std_logic_vector(23 downto 0); signal register_q_net_x7: std_logic_vector(24 downto 0); signal register_q_net_x8: std_logic_vector(24 downto 0); begin ce_1_sg_x11 <= ce_1; ce_1120_sg_x29 <= ce_1120; ce_2240_sg_x19 <= ce_2240; ce_logic_1_sg_x9 <= ce_logic_1; register3_q_net_x1 <= ch_in; clk_1_sg_x11 <= clk_1; clk_1120_sg_x29 <= clk_1120; clk_2240_sg_x19 <= clk_2240; register4_q_net_x1 <= i_in; register5_q_net_x1 <= q_in; ch_out <= delay_q_net_x5; cic_fofb <= cic_fofb_q_event_tlast_missing_net_x1; i_out <= register_q_net_x8; q_out <= register_q_net_x7; tddm_fofb_cic1 <= down_sample1_q_net_x4; tddm_fofb_cic1_x0 <= down_sample2_q_net_x4; tddm_fofb_cic1_x1 <= down_sample1_q_net_x5; tddm_fofb_cic1_x2 <= down_sample2_q_net_x5; valid_out <= register1_q_net_x4; cic_fofb_579902476d: entity work.cic_fofb_entity_2ed6a6e00c port map ( ce_1 => ce_1_sg_x11, ce_1120 => ce_1120_sg_x29, ce_logic_1 => ce_logic_1_sg_x9, ch_in => register3_q_net_x1, clk_1 => clk_1_sg_x11, clk_1120 => clk_1120_sg_x29, i_in => register4_q_net_x1, q_in => register5_q_net_x1, ch_out => delay_q_net_x5, cic_fofb_q_x0 => cic_fofb_q_event_tlast_missing_net_x1, i_out => register_q_net_x8, q_out => register_q_net_x7, valid_out => register1_q_net_x4 ); reg1_a06a1c33b5: entity work.reg_entity_cf7aa296b2 port map ( ce_1120 => ce_1120_sg_x29, clk_1120 => clk_1120_sg_x29, din => register_q_net_x8, dout => register_q_net_x4 ); reg_b669a3b118: entity work.reg_entity_cf7aa296b2 port map ( ce_1120 => ce_1120_sg_x29, clk_1120 => clk_1120_sg_x29, din => register_q_net_x7, dout => register_q_net_x3 ); tddm_fofb_cic1_4a640315a5: entity work.tddm_fofb_cic0_entity_6b909292ff port map ( ce_1120 => ce_1120_sg_x29, ce_2240 => ce_2240_sg_x19, clk_1120 => clk_1120_sg_x29, clk_2240 => clk_2240_sg_x19, fofb_ch_in => delay_q_net_x5, fofb_i_in => register_q_net_x4, fofb_q_in => register_q_net_x3, cic_fofb_ch0_i_out => down_sample2_q_net_x4, cic_fofb_ch0_q_out => down_sample2_q_net_x5, cic_fofb_ch1_i_out => down_sample1_q_net_x4, cic_fofb_ch1_q_out => down_sample1_q_net_x5 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/FOFB_amp/fofb_amp1" entity fofb_amp1_entity_a049562dde is port ( ce_1: in std_logic; ce_1120: in std_logic; ce_2240: in std_logic; ce_logic_1: in std_logic; ch_in: in std_logic; clk_1: in std_logic; clk_1120: in std_logic; clk_2240: in std_logic; i_in: in std_logic_vector(23 downto 0); q_in: in std_logic_vector(23 downto 0); amp_out: out std_logic_vector(23 downto 0); ch_out: out std_logic; fofb_amp: out std_logic_vector(23 downto 0); fofb_amp_x0: out std_logic_vector(23 downto 0); fofb_amp_x1: out std_logic_vector(23 downto 0); fofb_amp_x2: out std_logic_vector(23 downto 0); fofb_amp_x3: out std_logic; fofb_cordic: out std_logic_vector(23 downto 0); fofb_cordic_x0: out std_logic_vector(23 downto 0); fofb_cordic_x1: out std_logic_vector(23 downto 0); fofb_cordic_x2: out std_logic_vector(23 downto 0) ); end fofb_amp1_entity_a049562dde; architecture structural of fofb_amp1_entity_a049562dde is signal assert2_dout_net_x7: std_logic_vector(23 downto 0); signal assert3_dout_net_x8: std_logic; signal ce_1120_sg_x30: std_logic; signal ce_1_sg_x12: std_logic; signal ce_2240_sg_x20: std_logic; signal ce_logic_1_sg_x10: std_logic; signal cic_fofb_q_event_tlast_missing_net_x2: std_logic; signal clk_1120_sg_x30: std_logic; signal clk_1_sg_x12: std_logic; signal clk_2240_sg_x20: std_logic; signal delay_q_net_x5: std_logic; signal down_sample1_q_net_x10: std_logic_vector(23 downto 0); signal down_sample1_q_net_x11: std_logic_vector(23 downto 0); signal down_sample1_q_net_x8: std_logic_vector(23 downto 0); signal down_sample1_q_net_x9: std_logic_vector(23 downto 0); signal down_sample2_q_net_x10: std_logic_vector(23 downto 0); signal down_sample2_q_net_x11: std_logic_vector(23 downto 0); signal down_sample2_q_net_x8: std_logic_vector(23 downto 0); signal down_sample2_q_net_x9: std_logic_vector(23 downto 0); signal register1_q_net_x4: std_logic; signal register3_q_net_x2: std_logic; signal register4_q_net_x2: std_logic_vector(23 downto 0); signal register5_q_net_x2: std_logic_vector(23 downto 0); signal register_q_net_x7: std_logic_vector(24 downto 0); signal register_q_net_x8: std_logic_vector(24 downto 0); begin ce_1_sg_x12 <= ce_1; ce_1120_sg_x30 <= ce_1120; ce_2240_sg_x20 <= ce_2240; ce_logic_1_sg_x10 <= ce_logic_1; register3_q_net_x2 <= ch_in; clk_1_sg_x12 <= clk_1; clk_1120_sg_x30 <= clk_1120; clk_2240_sg_x20 <= clk_2240; register4_q_net_x2 <= i_in; register5_q_net_x2 <= q_in; amp_out <= assert2_dout_net_x7; ch_out <= assert3_dout_net_x8; fofb_amp <= down_sample1_q_net_x10; fofb_amp_x0 <= down_sample2_q_net_x10; fofb_amp_x1 <= down_sample1_q_net_x11; fofb_amp_x2 <= down_sample2_q_net_x11; fofb_amp_x3 <= cic_fofb_q_event_tlast_missing_net_x2; fofb_cordic <= down_sample1_q_net_x8; fofb_cordic_x0 <= down_sample2_q_net_x8; fofb_cordic_x1 <= down_sample1_q_net_x9; fofb_cordic_x2 <= down_sample2_q_net_x9; fofb_amp_f70fcc8ed9: entity work.fofb_amp_entity_f70fcc8ed9 port map ( ce_1 => ce_1_sg_x12, ce_1120 => ce_1120_sg_x30, ce_2240 => ce_2240_sg_x20, ce_logic_1 => ce_logic_1_sg_x10, ch_in => register3_q_net_x2, clk_1 => clk_1_sg_x12, clk_1120 => clk_1120_sg_x30, clk_2240 => clk_2240_sg_x20, i_in => register4_q_net_x2, q_in => register5_q_net_x2, ch_out => delay_q_net_x5, cic_fofb => cic_fofb_q_event_tlast_missing_net_x2, i_out => register_q_net_x8, q_out => register_q_net_x7, tddm_fofb_cic1 => down_sample1_q_net_x10, tddm_fofb_cic1_x0 => down_sample2_q_net_x10, tddm_fofb_cic1_x1 => down_sample1_q_net_x11, tddm_fofb_cic1_x2 => down_sample2_q_net_x11, valid_out => register1_q_net_x4 ); fofb_cordic_e4c0810ec7: entity work.fofb_cordic_entity_e4c0810ec7 port map ( ce_1 => ce_1_sg_x12, ce_1120 => ce_1120_sg_x30, ce_2240 => ce_2240_sg_x20, ch_in => delay_q_net_x5, clk_1 => clk_1_sg_x12, clk_1120 => clk_1120_sg_x30, clk_2240 => clk_2240_sg_x20, i_in => register_q_net_x8, q_in => register_q_net_x7, valid_in => register1_q_net_x4, amp_out => assert2_dout_net_x7, ch_out => assert3_dout_net_x8, tddm_fofb_cordic1 => down_sample1_q_net_x8, tddm_fofb_cordic1_x0 => down_sample2_q_net_x8, tddm_fofb_cordic1_x1 => down_sample1_q_net_x9, tddm_fofb_cordic1_x2 => down_sample2_q_net_x9 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/FOFB_amp" entity fofb_amp_entity_8b25d4b0b6 is port ( ce_1: in std_logic; ce_1120: in std_logic; ce_2240: in std_logic; ce_logic_1: in std_logic; ch_in0: in std_logic; ch_in1: in std_logic; clk_1: in std_logic; clk_1120: in std_logic; clk_2240: in std_logic; i_in0: in std_logic_vector(23 downto 0); i_in1: in std_logic_vector(23 downto 0); q_in0: in std_logic_vector(23 downto 0); q_in1: in std_logic_vector(23 downto 0); amp_out0: out std_logic_vector(23 downto 0); amp_out1: out std_logic_vector(23 downto 0); amp_out2: out std_logic_vector(23 downto 0); amp_out3: out std_logic_vector(23 downto 0); fofb_amp0: out std_logic_vector(23 downto 0); fofb_amp0_x0: out std_logic_vector(23 downto 0); fofb_amp0_x1: out std_logic_vector(23 downto 0); fofb_amp0_x2: out std_logic_vector(23 downto 0); fofb_amp0_x3: out std_logic_vector(23 downto 0); fofb_amp0_x4: out std_logic_vector(23 downto 0); fofb_amp0_x5: out std_logic_vector(23 downto 0); fofb_amp0_x6: out std_logic_vector(23 downto 0); fofb_amp0_x7: out std_logic; fofb_amp1: out std_logic_vector(23 downto 0); fofb_amp1_x0: out std_logic_vector(23 downto 0); fofb_amp1_x1: out std_logic_vector(23 downto 0); fofb_amp1_x2: out std_logic_vector(23 downto 0); fofb_amp1_x3: out std_logic_vector(23 downto 0); fofb_amp1_x4: out std_logic_vector(23 downto 0); fofb_amp1_x5: out std_logic_vector(23 downto 0); fofb_amp1_x6: out std_logic_vector(23 downto 0); fofb_amp1_x7: out std_logic ); end fofb_amp_entity_8b25d4b0b6; architecture structural of fofb_amp_entity_8b25d4b0b6 is signal assert2_dout_net_x6: std_logic_vector(23 downto 0); signal assert2_dout_net_x7: std_logic_vector(23 downto 0); signal assert3_dout_net_x7: std_logic; signal assert3_dout_net_x8: std_logic; signal ce_1120_sg_x31: std_logic; signal ce_1_sg_x13: std_logic; signal ce_2240_sg_x21: std_logic; signal ce_logic_1_sg_x11: std_logic; signal cic_fofb_q_event_tlast_missing_net_x4: std_logic; signal cic_fofb_q_event_tlast_missing_net_x5: std_logic; signal clk_1120_sg_x31: std_logic; signal clk_1_sg_x13: std_logic; signal clk_2240_sg_x21: std_logic; signal down_sample1_q_net_x16: std_logic_vector(23 downto 0); signal down_sample1_q_net_x17: std_logic_vector(23 downto 0); signal down_sample1_q_net_x18: std_logic_vector(23 downto 0); signal down_sample1_q_net_x19: std_logic_vector(23 downto 0); signal down_sample1_q_net_x20: std_logic_vector(23 downto 0); signal down_sample1_q_net_x21: std_logic_vector(23 downto 0); signal down_sample1_q_net_x22: std_logic_vector(23 downto 0); signal down_sample1_q_net_x23: std_logic_vector(23 downto 0); signal down_sample1_q_net_x24: std_logic_vector(23 downto 0); signal down_sample1_q_net_x25: std_logic_vector(23 downto 0); signal down_sample2_q_net_x16: std_logic_vector(23 downto 0); signal down_sample2_q_net_x17: std_logic_vector(23 downto 0); signal down_sample2_q_net_x18: std_logic_vector(23 downto 0); signal down_sample2_q_net_x19: std_logic_vector(23 downto 0); signal down_sample2_q_net_x20: std_logic_vector(23 downto 0); signal down_sample2_q_net_x21: std_logic_vector(23 downto 0); signal down_sample2_q_net_x22: std_logic_vector(23 downto 0); signal down_sample2_q_net_x23: std_logic_vector(23 downto 0); signal down_sample2_q_net_x24: std_logic_vector(23 downto 0); signal down_sample2_q_net_x25: std_logic_vector(23 downto 0); signal register3_q_net_x4: std_logic; signal register3_q_net_x5: std_logic; signal register4_q_net_x4: std_logic_vector(23 downto 0); signal register4_q_net_x5: std_logic_vector(23 downto 0); signal register5_q_net_x4: std_logic_vector(23 downto 0); signal register5_q_net_x5: std_logic_vector(23 downto 0); begin ce_1_sg_x13 <= ce_1; ce_1120_sg_x31 <= ce_1120; ce_2240_sg_x21 <= ce_2240; ce_logic_1_sg_x11 <= ce_logic_1; register3_q_net_x4 <= ch_in0; register3_q_net_x5 <= ch_in1; clk_1_sg_x13 <= clk_1; clk_1120_sg_x31 <= clk_1120; clk_2240_sg_x21 <= clk_2240; register4_q_net_x4 <= i_in0; register4_q_net_x5 <= i_in1; register5_q_net_x4 <= q_in0; register5_q_net_x5 <= q_in1; amp_out0 <= down_sample2_q_net_x16; amp_out1 <= down_sample1_q_net_x16; amp_out2 <= down_sample2_q_net_x17; amp_out3 <= down_sample1_q_net_x17; fofb_amp0 <= down_sample1_q_net_x18; fofb_amp0_x0 <= down_sample2_q_net_x18; fofb_amp0_x1 <= down_sample1_q_net_x19; fofb_amp0_x2 <= down_sample2_q_net_x19; fofb_amp0_x3 <= down_sample1_q_net_x20; fofb_amp0_x4 <= down_sample2_q_net_x20; fofb_amp0_x5 <= down_sample1_q_net_x21; fofb_amp0_x6 <= down_sample2_q_net_x21; fofb_amp0_x7 <= cic_fofb_q_event_tlast_missing_net_x4; fofb_amp1 <= down_sample1_q_net_x22; fofb_amp1_x0 <= down_sample2_q_net_x22; fofb_amp1_x1 <= down_sample1_q_net_x23; fofb_amp1_x2 <= down_sample2_q_net_x23; fofb_amp1_x3 <= down_sample1_q_net_x24; fofb_amp1_x4 <= down_sample2_q_net_x24; fofb_amp1_x5 <= down_sample1_q_net_x25; fofb_amp1_x6 <= down_sample2_q_net_x25; fofb_amp1_x7 <= cic_fofb_q_event_tlast_missing_net_x5; fofb_amp0_95b23bfc2c: entity work.fofb_amp0_entity_95b23bfc2c port map ( ce_1 => ce_1_sg_x13, ce_1120 => ce_1120_sg_x31, ce_2240 => ce_2240_sg_x21, ce_logic_1 => ce_logic_1_sg_x11, ch_in => register3_q_net_x4, clk_1 => clk_1_sg_x13, clk_1120 => clk_1120_sg_x31, clk_2240 => clk_2240_sg_x21, i_in => register4_q_net_x4, q_in => register5_q_net_x4, amp_out => assert2_dout_net_x6, ch_out => assert3_dout_net_x7, fofb_amp => down_sample1_q_net_x20, fofb_amp_x0 => down_sample2_q_net_x20, fofb_amp_x1 => down_sample1_q_net_x21, fofb_amp_x2 => down_sample2_q_net_x21, fofb_amp_x3 => cic_fofb_q_event_tlast_missing_net_x4, fofb_cordic => down_sample1_q_net_x18, fofb_cordic_x0 => down_sample2_q_net_x18, fofb_cordic_x1 => down_sample1_q_net_x19, fofb_cordic_x2 => down_sample2_q_net_x19 ); fofb_amp1_a049562dde: entity work.fofb_amp1_entity_a049562dde port map ( ce_1 => ce_1_sg_x13, ce_1120 => ce_1120_sg_x31, ce_2240 => ce_2240_sg_x21, ce_logic_1 => ce_logic_1_sg_x11, ch_in => register3_q_net_x5, clk_1 => clk_1_sg_x13, clk_1120 => clk_1120_sg_x31, clk_2240 => clk_2240_sg_x21, i_in => register4_q_net_x5, q_in => register5_q_net_x5, amp_out => assert2_dout_net_x7, ch_out => assert3_dout_net_x8, fofb_amp => down_sample1_q_net_x24, fofb_amp_x0 => down_sample2_q_net_x24, fofb_amp_x1 => down_sample1_q_net_x25, fofb_amp_x2 => down_sample2_q_net_x25, fofb_amp_x3 => cic_fofb_q_event_tlast_missing_net_x5, fofb_cordic => down_sample1_q_net_x22, fofb_cordic_x0 => down_sample2_q_net_x22, fofb_cordic_x1 => down_sample1_q_net_x23, fofb_cordic_x2 => down_sample2_q_net_x23 ); tddm_fofb_amp_4ch_2cc521a83f: entity work.tddm_fofb_amp_4ch_entity_2cc521a83f port map ( amp_in0 => assert2_dout_net_x6, amp_in1 => assert2_dout_net_x7, ce_1120 => ce_1120_sg_x31, ce_2240 => ce_2240_sg_x21, ch_in0 => assert3_dout_net_x7, ch_in1 => assert3_dout_net_x8, clk_1120 => clk_1120_sg_x31, clk_2240 => clk_2240_sg_x21, amp_out0 => down_sample2_q_net_x16, amp_out1 => down_sample1_q_net_x16, amp_out2 => down_sample2_q_net_x17, amp_out3 => down_sample1_q_net_x17 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/K_fofb_mult3/Cast_truncate1" entity cast_truncate1_entity_56731b7870 is port ( in1: in std_logic_vector(49 downto 0); out1: out std_logic_vector(25 downto 0) ); end cast_truncate1_entity_56731b7870; architecture structural of cast_truncate1_entity_56731b7870 is signal kx_tbt_p_net_x0: std_logic_vector(49 downto 0); signal reinterpret_output_port_net_x0: std_logic_vector(25 downto 0); signal slice_y_net: std_logic_vector(25 downto 0); begin kx_tbt_p_net_x0 <= in1; out1 <= reinterpret_output_port_net_x0; reinterpret: entity work.reinterpret_9934b94a22 port map ( ce => '0', clk => '0', clr => '0', input_port => slice_y_net, output_port => reinterpret_output_port_net_x0 ); slice: entity work.xlslice generic map ( new_lsb => 24, new_msb => 49, x_width => 50, y_width => 26 ) port map ( x => kx_tbt_p_net_x0, y => slice_y_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/K_fofb_mult3" entity k_fofb_mult3_entity_697accc8e2 is port ( ce_2: in std_logic; ce_2240: in std_logic; clk_2: in std_logic; clk_2240: in std_logic; in1: in std_logic_vector(24 downto 0); in2: in std_logic_vector(24 downto 0); vld_in: in std_logic; out1: out std_logic_vector(25 downto 0); vld_out: out std_logic ); end k_fofb_mult3_entity_697accc8e2; architecture structural of k_fofb_mult3_entity_697accc8e2 is signal assert10_dout_net_x0: std_logic; signal assert5_dout_net_x0: std_logic_vector(24 downto 0); signal ce_2240_sg_x22: std_logic; signal ce_2_sg_x5: std_logic; signal clk_2240_sg_x22: std_logic; signal clk_2_sg_x5: std_logic; signal delay1_q_net_x0: std_logic; signal kx_i_net_x0: std_logic_vector(24 downto 0); signal kx_tbt_p_net_x0: std_logic_vector(49 downto 0); signal register_q_net_x0: std_logic_vector(25 downto 0); signal reinterpret_output_port_net_x0: std_logic_vector(25 downto 0); begin ce_2_sg_x5 <= ce_2; ce_2240_sg_x22 <= ce_2240; clk_2_sg_x5 <= clk_2; clk_2240_sg_x22 <= clk_2240; assert5_dout_net_x0 <= in1; kx_i_net_x0 <= in2; assert10_dout_net_x0 <= vld_in; out1 <= register_q_net_x0; vld_out <= delay1_q_net_x0; cast_truncate1_56731b7870: entity work.cast_truncate1_entity_56731b7870 port map ( in1 => kx_tbt_p_net_x0, out1 => reinterpret_output_port_net_x0 ); delay1: entity work.xldelay generic map ( latency => 9, reg_retiming => 0, reset => 0, width => 1 ) port map ( ce => ce_2240_sg_x22, clk => clk_2240_sg_x22, d(0) => assert10_dout_net_x0, en => '1', rst => '1', q(0) => delay1_q_net_x0 ); kx_tbt: entity work.xlmult generic map ( a_arith => xlSigned, a_bin_pt => 24, a_width => 25, b_arith => xlSigned, b_bin_pt => 0, b_width => 25, c_a_type => 0, c_a_width => 25, c_b_type => 0, c_b_width => 25, c_baat => 25, c_output_width => 50, c_type => 0, core_name0 => "mult_11_2_7786f9df1b07f80e", extra_registers => 0, multsign => 2, overflow => 1, p_arith => xlSigned, p_bin_pt => 24, p_width => 50, quantization => 1 ) port map ( a => assert5_dout_net_x0, b => kx_i_net_x0, ce => ce_2_sg_x5, clk => clk_2_sg_x5, clr => '0', core_ce => ce_2_sg_x5, core_clk => clk_2_sg_x5, core_clr => '1', en => "1", rst => "0", p => kx_tbt_p_net_x0 ); register_x0: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_2_sg_x5, clk => clk_2_sg_x5, d => reinterpret_output_port_net_x0, en => "1", rst => "0", q => register_q_net_x0 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/K_monit_1_mult" entity k_monit_1_mult_entity_016885a3ac is port ( ce_2: in std_logic; ce_224000000: in std_logic; clk_2: in std_logic; clk_224000000: in std_logic; in1: in std_logic_vector(24 downto 0); in2: in std_logic_vector(24 downto 0); vld_in: in std_logic; out1: out std_logic_vector(25 downto 0); vld_out: out std_logic ); end k_monit_1_mult_entity_016885a3ac; architecture structural of k_monit_1_mult_entity_016885a3ac is signal ce_224000000_sg_x0: std_logic; signal ce_2_sg_x8: std_logic; signal clk_224000000_sg_x0: std_logic; signal clk_2_sg_x8: std_logic; signal delay1_q_net_x0: std_logic; signal kx_i_net_x2: std_logic_vector(24 downto 0); signal kx_tbt_p_net_x0: std_logic_vector(49 downto 0); signal register_q_net_x0: std_logic_vector(25 downto 0); signal reinterpret3_output_port_net_x0: std_logic_vector(24 downto 0); signal reinterpret_output_port_net_x0: std_logic_vector(25 downto 0); signal ufix_to_bool_dout_net_x0: std_logic; begin ce_2_sg_x8 <= ce_2; ce_224000000_sg_x0 <= ce_224000000; clk_2_sg_x8 <= clk_2; clk_224000000_sg_x0 <= clk_224000000; reinterpret3_output_port_net_x0 <= in1; kx_i_net_x2 <= in2; ufix_to_bool_dout_net_x0 <= vld_in; out1 <= register_q_net_x0; vld_out <= delay1_q_net_x0; cast_truncate1_fe5c8d5ea5: entity work.cast_truncate1_entity_56731b7870 port map ( in1 => kx_tbt_p_net_x0, out1 => reinterpret_output_port_net_x0 ); delay1: entity work.xldelay generic map ( latency => 9, reg_retiming => 0, reset => 0, width => 1 ) port map ( ce => ce_224000000_sg_x0, clk => clk_224000000_sg_x0, d(0) => ufix_to_bool_dout_net_x0, en => '1', rst => '1', q(0) => delay1_q_net_x0 ); kx_tbt: entity work.xlmult generic map ( a_arith => xlSigned, a_bin_pt => 24, a_width => 25, b_arith => xlSigned, b_bin_pt => 0, b_width => 25, c_a_type => 0, c_a_width => 25, c_b_type => 0, c_b_width => 25, c_baat => 25, c_output_width => 50, c_type => 0, core_name0 => "mult_11_2_7786f9df1b07f80e", extra_registers => 0, multsign => 2, overflow => 1, p_arith => xlSigned, p_bin_pt => 24, p_width => 50, quantization => 1 ) port map ( a => reinterpret3_output_port_net_x0, b => kx_i_net_x2, ce => ce_2_sg_x8, clk => clk_2_sg_x8, clr => '0', core_ce => ce_2_sg_x8, core_clk => clk_2_sg_x8, core_clr => '1', en => "1", rst => "0", p => kx_tbt_p_net_x0 ); register_x0: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_2_sg_x8, clk => clk_2_sg_x8, d => reinterpret_output_port_net_x0, en => "1", rst => "0", q => register_q_net_x0 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/K_monit_mult3" entity k_monit_mult3_entity_8a778fb5f4 is port ( ce_2: in std_logic; ce_22400000: in std_logic; clk_2: in std_logic; clk_22400000: in std_logic; in1: in std_logic_vector(24 downto 0); in2: in std_logic_vector(24 downto 0); vld_in: in std_logic; out1: out std_logic_vector(25 downto 0); vld_out: out std_logic ); end k_monit_mult3_entity_8a778fb5f4; architecture structural of k_monit_mult3_entity_8a778fb5f4 is signal assert11_dout_net_x0: std_logic_vector(24 downto 0); signal assert12_dout_net_x0: std_logic; signal ce_22400000_sg_x0: std_logic; signal ce_2_sg_x11: std_logic; signal clk_22400000_sg_x0: std_logic; signal clk_2_sg_x11: std_logic; signal delay1_q_net_x0: std_logic; signal kx_i_net_x4: std_logic_vector(24 downto 0); signal kx_tbt_p_net_x0: std_logic_vector(49 downto 0); signal register_q_net_x0: std_logic_vector(25 downto 0); signal reinterpret_output_port_net_x0: std_logic_vector(25 downto 0); begin ce_2_sg_x11 <= ce_2; ce_22400000_sg_x0 <= ce_22400000; clk_2_sg_x11 <= clk_2; clk_22400000_sg_x0 <= clk_22400000; assert11_dout_net_x0 <= in1; kx_i_net_x4 <= in2; assert12_dout_net_x0 <= vld_in; out1 <= register_q_net_x0; vld_out <= delay1_q_net_x0; cast_truncate1_47fd83104e: entity work.cast_truncate1_entity_56731b7870 port map ( in1 => kx_tbt_p_net_x0, out1 => reinterpret_output_port_net_x0 ); delay1: entity work.xldelay generic map ( latency => 9, reg_retiming => 0, reset => 0, width => 1 ) port map ( ce => ce_22400000_sg_x0, clk => clk_22400000_sg_x0, d(0) => assert12_dout_net_x0, en => '1', rst => '1', q(0) => delay1_q_net_x0 ); kx_tbt: entity work.xlmult generic map ( a_arith => xlSigned, a_bin_pt => 24, a_width => 25, b_arith => xlSigned, b_bin_pt => 0, b_width => 25, c_a_type => 0, c_a_width => 25, c_b_type => 0, c_b_width => 25, c_baat => 25, c_output_width => 50, c_type => 0, core_name0 => "mult_11_2_7786f9df1b07f80e", extra_registers => 0, multsign => 2, overflow => 1, p_arith => xlSigned, p_bin_pt => 24, p_width => 50, quantization => 1 ) port map ( a => assert11_dout_net_x0, b => kx_i_net_x4, ce => ce_2_sg_x11, clk => clk_2_sg_x11, clr => '0', core_ce => ce_2_sg_x11, core_clk => clk_2_sg_x11, core_clr => '1', en => "1", rst => "0", p => kx_tbt_p_net_x0 ); register_x0: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_2_sg_x11, clk => clk_2_sg_x11, d => reinterpret_output_port_net_x0, en => "1", rst => "0", q => register_q_net_x0 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/K_tbt_mult" entity k_tbt_mult_entity_b8fafff255 is port ( ce_2: in std_logic; ce_70: in std_logic; clk_2: in std_logic; clk_70: in std_logic; in1: in std_logic_vector(24 downto 0); in2: in std_logic_vector(24 downto 0); vld_in: in std_logic; out1: out std_logic_vector(25 downto 0); vld_out: out std_logic ); end k_tbt_mult_entity_b8fafff255; architecture structural of k_tbt_mult_entity_b8fafff255 is signal assert10_dout_net_x0: std_logic; signal assert5_dout_net_x0: std_logic_vector(24 downto 0); signal ce_2_sg_x14: std_logic; signal ce_70_sg_x0: std_logic; signal clk_2_sg_x14: std_logic; signal clk_70_sg_x0: std_logic; signal delay1_q_net_x0: std_logic; signal kx_i_net_x6: std_logic_vector(24 downto 0); signal kx_tbt_p_net_x0: std_logic_vector(49 downto 0); signal register_q_net_x0: std_logic_vector(25 downto 0); signal reinterpret_output_port_net_x0: std_logic_vector(25 downto 0); begin ce_2_sg_x14 <= ce_2; ce_70_sg_x0 <= ce_70; clk_2_sg_x14 <= clk_2; clk_70_sg_x0 <= clk_70; assert5_dout_net_x0 <= in1; kx_i_net_x6 <= in2; assert10_dout_net_x0 <= vld_in; out1 <= register_q_net_x0; vld_out <= delay1_q_net_x0; cast_truncate1_4592ea30ee: entity work.cast_truncate1_entity_56731b7870 port map ( in1 => kx_tbt_p_net_x0, out1 => reinterpret_output_port_net_x0 ); delay1: entity work.xldelay generic map ( latency => 9, reg_retiming => 0, reset => 0, width => 1 ) port map ( ce => ce_70_sg_x0, clk => clk_70_sg_x0, d(0) => assert10_dout_net_x0, en => '1', rst => '1', q(0) => delay1_q_net_x0 ); kx_tbt: entity work.xlmult generic map ( a_arith => xlSigned, a_bin_pt => 24, a_width => 25, b_arith => xlSigned, b_bin_pt => 0, b_width => 25, c_a_type => 0, c_a_width => 25, c_b_type => 0, c_b_width => 25, c_baat => 25, c_output_width => 50, c_type => 0, core_name0 => "mult_11_2_7786f9df1b07f80e", extra_registers => 0, multsign => 2, overflow => 1, p_arith => xlSigned, p_bin_pt => 24, p_width => 50, quantization => 1 ) port map ( a => assert5_dout_net_x0, b => kx_i_net_x6, ce => ce_2_sg_x14, clk => clk_2_sg_x14, clr => '0', core_ce => ce_2_sg_x14, core_clk => clk_2_sg_x14, core_clr => '1', en => "1", rst => "0", p => kx_tbt_p_net_x0 ); register_x0: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_2_sg_x14, clk => clk_2_sg_x14, d => reinterpret_output_port_net_x0, en => "1", rst => "0", q => register_q_net_x0 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/Ksum_fofb_mult4/Cast_truncate1" entity cast_truncate1_entity_18a9b21a64 is port ( in1: in std_logic_vector(49 downto 0); out1: out std_logic_vector(25 downto 0) ); end cast_truncate1_entity_18a9b21a64; architecture structural of cast_truncate1_entity_18a9b21a64 is signal kx_tbt_p_net_x0: std_logic_vector(49 downto 0); signal reinterpret_output_port_net_x0: std_logic_vector(25 downto 0); signal slice_y_net: std_logic_vector(25 downto 0); begin kx_tbt_p_net_x0 <= in1; out1 <= reinterpret_output_port_net_x0; reinterpret: entity work.reinterpret_9934b94a22 port map ( ce => '0', clk => '0', clr => '0', input_port => slice_y_net, output_port => reinterpret_output_port_net_x0 ); slice: entity work.xlslice generic map ( new_lsb => 24, new_msb => 49, x_width => 50, y_width => 26 ) port map ( x => kx_tbt_p_net_x0, y => slice_y_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/Ksum_fofb_mult4" entity ksum_fofb_mult4_entity_ac3ed97096 is port ( ce_2: in std_logic; ce_2240: in std_logic; clk_2: in std_logic; clk_2240: in std_logic; in1: in std_logic_vector(24 downto 0); in2: in std_logic_vector(24 downto 0); vld_in: in std_logic; out1: out std_logic_vector(25 downto 0); vld_out: out std_logic ); end ksum_fofb_mult4_entity_ac3ed97096; architecture structural of ksum_fofb_mult4_entity_ac3ed97096 is signal assert11_dout_net_x0: std_logic_vector(24 downto 0); signal assert12_dout_net_x0: std_logic; signal ce_2240_sg_x25: std_logic; signal ce_2_sg_x17: std_logic; signal clk_2240_sg_x25: std_logic; signal clk_2_sg_x17: std_logic; signal delay1_q_net_x0: std_logic; signal ksum_i_net_x0: std_logic_vector(24 downto 0); signal kx_tbt_p_net_x0: std_logic_vector(49 downto 0); signal register_q_net_x0: std_logic_vector(25 downto 0); signal reinterpret_output_port_net_x0: std_logic_vector(25 downto 0); begin ce_2_sg_x17 <= ce_2; ce_2240_sg_x25 <= ce_2240; clk_2_sg_x17 <= clk_2; clk_2240_sg_x25 <= clk_2240; assert11_dout_net_x0 <= in1; ksum_i_net_x0 <= in2; assert12_dout_net_x0 <= vld_in; out1 <= register_q_net_x0; vld_out <= delay1_q_net_x0; cast_truncate1_18a9b21a64: entity work.cast_truncate1_entity_18a9b21a64 port map ( in1 => kx_tbt_p_net_x0, out1 => reinterpret_output_port_net_x0 ); delay1: entity work.xldelay generic map ( latency => 9, reg_retiming => 0, reset => 0, width => 1 ) port map ( ce => ce_2240_sg_x25, clk => clk_2240_sg_x25, d(0) => assert12_dout_net_x0, en => '1', rst => '1', q(0) => delay1_q_net_x0 ); kx_tbt: entity work.xlmult generic map ( a_arith => xlSigned, a_bin_pt => 21, a_width => 25, b_arith => xlSigned, b_bin_pt => 23, b_width => 25, c_a_type => 0, c_a_width => 25, c_b_type => 0, c_b_width => 25, c_baat => 25, c_output_width => 50, c_type => 0, core_name0 => "mult_11_2_7786f9df1b07f80e", extra_registers => 0, multsign => 2, overflow => 1, p_arith => xlSigned, p_bin_pt => 44, p_width => 50, quantization => 1 ) port map ( a => assert11_dout_net_x0, b => ksum_i_net_x0, ce => ce_2_sg_x17, clk => clk_2_sg_x17, clr => '0', core_ce => ce_2_sg_x17, core_clk => clk_2_sg_x17, core_clr => '1', en => "1", rst => "0", p => kx_tbt_p_net_x0 ); register_x0: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_2_sg_x17, clk => clk_2_sg_x17, d => reinterpret_output_port_net_x0, en => "1", rst => "0", q => register_q_net_x0 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/Ksum_monit_1_mult1" entity ksum_monit_1_mult1_entity_c66dc07078 is port ( ce_2: in std_logic; ce_224000000: in std_logic; clk_2: in std_logic; clk_224000000: in std_logic; in1: in std_logic_vector(24 downto 0); in2: in std_logic_vector(24 downto 0); vld_in: in std_logic; out1: out std_logic_vector(25 downto 0); vld_out: out std_logic ); end ksum_monit_1_mult1_entity_c66dc07078; architecture structural of ksum_monit_1_mult1_entity_c66dc07078 is signal ce_224000000_sg_x3: std_logic; signal ce_2_sg_x18: std_logic; signal clk_224000000_sg_x3: std_logic; signal clk_2_sg_x18: std_logic; signal delay1_q_net_x0: std_logic; signal ksum_i_net_x1: std_logic_vector(24 downto 0); signal kx_tbt_p_net_x0: std_logic_vector(49 downto 0); signal register_q_net_x0: std_logic_vector(25 downto 0); signal reinterpret4_output_port_net_x0: std_logic_vector(24 downto 0); signal reinterpret_output_port_net_x0: std_logic_vector(25 downto 0); signal ufix_to_bool3_dout_net_x0: std_logic; begin ce_2_sg_x18 <= ce_2; ce_224000000_sg_x3 <= ce_224000000; clk_2_sg_x18 <= clk_2; clk_224000000_sg_x3 <= clk_224000000; reinterpret4_output_port_net_x0 <= in1; ksum_i_net_x1 <= in2; ufix_to_bool3_dout_net_x0 <= vld_in; out1 <= register_q_net_x0; vld_out <= delay1_q_net_x0; cast_truncate1_92cc22397d: entity work.cast_truncate1_entity_18a9b21a64 port map ( in1 => kx_tbt_p_net_x0, out1 => reinterpret_output_port_net_x0 ); delay1: entity work.xldelay generic map ( latency => 9, reg_retiming => 0, reset => 0, width => 1 ) port map ( ce => ce_224000000_sg_x3, clk => clk_224000000_sg_x3, d(0) => ufix_to_bool3_dout_net_x0, en => '1', rst => '1', q(0) => delay1_q_net_x0 ); kx_tbt: entity work.xlmult generic map ( a_arith => xlSigned, a_bin_pt => 21, a_width => 25, b_arith => xlSigned, b_bin_pt => 23, b_width => 25, c_a_type => 0, c_a_width => 25, c_b_type => 0, c_b_width => 25, c_baat => 25, c_output_width => 50, c_type => 0, core_name0 => "mult_11_2_7786f9df1b07f80e", extra_registers => 0, multsign => 2, overflow => 1, p_arith => xlSigned, p_bin_pt => 44, p_width => 50, quantization => 1 ) port map ( a => reinterpret4_output_port_net_x0, b => ksum_i_net_x1, ce => ce_2_sg_x18, clk => clk_2_sg_x18, clr => '0', core_ce => ce_2_sg_x18, core_clk => clk_2_sg_x18, core_clr => '1', en => "1", rst => "0", p => kx_tbt_p_net_x0 ); register_x0: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_2_sg_x18, clk => clk_2_sg_x18, d => reinterpret_output_port_net_x0, en => "1", rst => "0", q => register_q_net_x0 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/Ksum_monit_mult2" entity ksum_monit_mult2_entity_31877b6d2b is port ( ce_2: in std_logic; ce_22400000: in std_logic; clk_2: in std_logic; clk_22400000: in std_logic; in1: in std_logic_vector(24 downto 0); in2: in std_logic_vector(24 downto 0); vld_in: in std_logic; out1: out std_logic_vector(25 downto 0); vld_out: out std_logic ); end ksum_monit_mult2_entity_31877b6d2b; architecture structural of ksum_monit_mult2_entity_31877b6d2b is signal assert10_dout_net_x0: std_logic; signal assert5_dout_net_x0: std_logic_vector(24 downto 0); signal ce_22400000_sg_x3: std_logic; signal ce_2_sg_x19: std_logic; signal clk_22400000_sg_x3: std_logic; signal clk_2_sg_x19: std_logic; signal delay1_q_net_x0: std_logic; signal ksum_i_net_x2: std_logic_vector(24 downto 0); signal kx_tbt_p_net_x0: std_logic_vector(49 downto 0); signal register_q_net_x0: std_logic_vector(25 downto 0); signal reinterpret_output_port_net_x0: std_logic_vector(25 downto 0); begin ce_2_sg_x19 <= ce_2; ce_22400000_sg_x3 <= ce_22400000; clk_2_sg_x19 <= clk_2; clk_22400000_sg_x3 <= clk_22400000; assert5_dout_net_x0 <= in1; ksum_i_net_x2 <= in2; assert10_dout_net_x0 <= vld_in; out1 <= register_q_net_x0; vld_out <= delay1_q_net_x0; cast_truncate1_4c5b033963: entity work.cast_truncate1_entity_18a9b21a64 port map ( in1 => kx_tbt_p_net_x0, out1 => reinterpret_output_port_net_x0 ); delay1: entity work.xldelay generic map ( latency => 9, reg_retiming => 0, reset => 0, width => 1 ) port map ( ce => ce_22400000_sg_x3, clk => clk_22400000_sg_x3, d(0) => assert10_dout_net_x0, en => '1', rst => '1', q(0) => delay1_q_net_x0 ); kx_tbt: entity work.xlmult generic map ( a_arith => xlSigned, a_bin_pt => 21, a_width => 25, b_arith => xlSigned, b_bin_pt => 23, b_width => 25, c_a_type => 0, c_a_width => 25, c_b_type => 0, c_b_width => 25, c_baat => 25, c_output_width => 50, c_type => 0, core_name0 => "mult_11_2_7786f9df1b07f80e", extra_registers => 0, multsign => 2, overflow => 1, p_arith => xlSigned, p_bin_pt => 44, p_width => 50, quantization => 1 ) port map ( a => assert5_dout_net_x0, b => ksum_i_net_x2, ce => ce_2_sg_x19, clk => clk_2_sg_x19, clr => '0', core_ce => ce_2_sg_x19, core_clk => clk_2_sg_x19, core_clr => '1', en => "1", rst => "0", p => kx_tbt_p_net_x0 ); register_x0: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_2_sg_x19, clk => clk_2_sg_x19, d => reinterpret_output_port_net_x0, en => "1", rst => "0", q => register_q_net_x0 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/Ksum_tbt_mult3" entity ksum_tbt_mult3_entity_e0be30d675 is port ( ce_2: in std_logic; ce_70: in std_logic; clk_2: in std_logic; clk_70: in std_logic; in1: in std_logic_vector(24 downto 0); in2: in std_logic_vector(24 downto 0); vld_in: in std_logic; out1: out std_logic_vector(25 downto 0); vld_out: out std_logic ); end ksum_tbt_mult3_entity_e0be30d675; architecture structural of ksum_tbt_mult3_entity_e0be30d675 is signal assert11_dout_net_x0: std_logic_vector(24 downto 0); signal assert12_dout_net_x0: std_logic; signal ce_2_sg_x20: std_logic; signal ce_70_sg_x3: std_logic; signal clk_2_sg_x20: std_logic; signal clk_70_sg_x3: std_logic; signal delay1_q_net_x0: std_logic; signal ksum_i_net_x3: std_logic_vector(24 downto 0); signal kx_tbt_p_net_x0: std_logic_vector(49 downto 0); signal register_q_net_x0: std_logic_vector(25 downto 0); signal reinterpret_output_port_net_x0: std_logic_vector(25 downto 0); begin ce_2_sg_x20 <= ce_2; ce_70_sg_x3 <= ce_70; clk_2_sg_x20 <= clk_2; clk_70_sg_x3 <= clk_70; assert11_dout_net_x0 <= in1; ksum_i_net_x3 <= in2; assert12_dout_net_x0 <= vld_in; out1 <= register_q_net_x0; vld_out <= delay1_q_net_x0; cast_truncate1_91bc0d396f: entity work.cast_truncate1_entity_18a9b21a64 port map ( in1 => kx_tbt_p_net_x0, out1 => reinterpret_output_port_net_x0 ); delay1: entity work.xldelay generic map ( latency => 9, reg_retiming => 0, reset => 0, width => 1 ) port map ( ce => ce_70_sg_x3, clk => clk_70_sg_x3, d(0) => assert12_dout_net_x0, en => '1', rst => '1', q(0) => delay1_q_net_x0 ); kx_tbt: entity work.xlmult generic map ( a_arith => xlSigned, a_bin_pt => 21, a_width => 25, b_arith => xlSigned, b_bin_pt => 23, b_width => 25, c_a_type => 0, c_a_width => 25, c_b_type => 0, c_b_width => 25, c_baat => 25, c_output_width => 50, c_type => 0, core_name0 => "mult_11_2_7786f9df1b07f80e", extra_registers => 0, multsign => 2, overflow => 1, p_arith => xlSigned, p_bin_pt => 44, p_width => 50, quantization => 1 ) port map ( a => assert11_dout_net_x0, b => ksum_i_net_x3, ce => ce_2_sg_x20, clk => clk_2_sg_x20, clr => '0', core_ce => ce_2_sg_x20, core_clk => clk_2_sg_x20, core_clr => '1', en => "1", rst => "0", p => kx_tbt_p_net_x0 ); register_x0: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_2_sg_x20, clk => clk_2_sg_x20, d => reinterpret_output_port_net_x0, en => "1", rst => "0", q => register_q_net_x0 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/Mixer/CMixer_0/DataReg_En" entity datareg_en_entity_5c82ef2965 is port ( ce_2: in std_logic; clk_2: in std_logic; din: in std_logic_vector(23 downto 0); en: in std_logic; dout: out std_logic_vector(23 downto 0); valid: out std_logic ); end datareg_en_entity_5c82ef2965; architecture structural of datareg_en_entity_5c82ef2965 is signal ce_2_sg_x21: std_logic; signal clk_2_sg_x21: std_logic; signal constant11_op_net_x0: std_logic; signal constant12_op_net_x0: std_logic_vector(23 downto 0); signal register1_q_net_x0: std_logic; signal register_q_net_x0: std_logic_vector(23 downto 0); begin ce_2_sg_x21 <= ce_2; clk_2_sg_x21 <= clk_2; constant12_op_net_x0 <= din; constant11_op_net_x0 <= en; dout <= register_q_net_x0; valid <= register1_q_net_x0; register1: entity work.xlregister generic map ( d_width => 1, init_value => b"0" ) port map ( ce => ce_2_sg_x21, clk => clk_2_sg_x21, d(0) => constant11_op_net_x0, en => "1", rst => "0", q(0) => register1_q_net_x0 ); register_x0: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_2_sg_x21, clk => clk_2_sg_x21, d => constant12_op_net_x0, en(0) => constant11_op_net_x0, rst => "0", q => register_q_net_x0 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/Mixer/CMixer_0/DataReg_En1" entity datareg_en1_entity_8d533fde9e is port ( ce_1: in std_logic; clk_1: in std_logic; din: in std_logic_vector(23 downto 0); en: in std_logic; dout: out std_logic_vector(23 downto 0) ); end datareg_en1_entity_8d533fde9e; architecture structural of datareg_en1_entity_8d533fde9e is signal ce_1_sg_x14: std_logic; signal clk_1_sg_x14: std_logic; signal constant11_op_net_x1: std_logic; signal register_q_net_x1: std_logic_vector(23 downto 0); signal register_q_net_x2: std_logic_vector(23 downto 0); begin ce_1_sg_x14 <= ce_1; clk_1_sg_x14 <= clk_1; register_q_net_x1 <= din; constant11_op_net_x1 <= en; dout <= register_q_net_x2; register_x0: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_1_sg_x14, clk => clk_1_sg_x14, d => register_q_net_x1, en(0) => constant11_op_net_x1, rst => "0", q => register_q_net_x2 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/Mixer/CMixer_0" entity cmixer_0_entity_f630e8d7ec is port ( ce_1: in std_logic; ce_2: in std_logic; ch_in: in std_logic; clk_1: in std_logic; clk_2: in std_logic; dds_cosine: in std_logic_vector(23 downto 0); dds_msine: in std_logic_vector(23 downto 0); dds_valid: in std_logic; din_i: in std_logic_vector(23 downto 0); din_q: in std_logic_vector(23 downto 0); en: in std_logic; ch_out: out std_logic; i_out: out std_logic_vector(23 downto 0); q_out: out std_logic_vector(23 downto 0) ); end cmixer_0_entity_f630e8d7ec; architecture structural of cmixer_0_entity_f630e8d7ec is signal a_i: std_logic_vector(23 downto 0); signal a_r: std_logic_vector(23 downto 0); signal b_i: std_logic_vector(23 downto 0); signal b_r: std_logic_vector(23 downto 0); signal ce_1_sg_x15: std_logic; signal ce_2_sg_x22: std_logic; signal clk_1_sg_x15: std_logic; signal clk_2_sg_x22: std_logic; signal complexmult_m_axis_dout_tdata_imag_net: std_logic_vector(23 downto 0); signal complexmult_m_axis_dout_tdata_real_net: std_logic_vector(23 downto 0); signal complexmult_m_axis_dout_tuser_net: std_logic; signal complexmult_m_axis_dout_tvalid_net: std_logic; signal constant11_op_net_x2: std_logic; signal constant12_op_net_x1: std_logic_vector(23 downto 0); signal constant15_op_net_x0: std_logic; signal convert1_dout_net: std_logic_vector(23 downto 0); signal convert2_dout_net: std_logic_vector(23 downto 0); signal register1_q_net_x0: std_logic; signal register1_q_net_x1: std_logic; signal register3_q_net_x5: std_logic; signal register4_q_net_x5: std_logic_vector(23 downto 0); signal register5_q_net_x5: std_logic_vector(23 downto 0); signal register_q_net: std_logic; signal register_q_net_x0: std_logic_vector(23 downto 0); signal register_q_net_x2: std_logic_vector(23 downto 0); signal register_q_net_x6: std_logic_vector(23 downto 0); signal register_q_net_x7: std_logic_vector(23 downto 0); signal register_q_net_x8: std_logic_vector(23 downto 0); signal reinterpret1_output_port_net: std_logic_vector(23 downto 0); signal reinterpret_output_port_net: std_logic_vector(23 downto 0); begin ce_1_sg_x15 <= ce_1; ce_2_sg_x22 <= ce_2; register1_q_net_x1 <= ch_in; clk_1_sg_x15 <= clk_1; clk_2_sg_x22 <= clk_2; register_q_net_x6 <= dds_cosine; register_q_net_x7 <= dds_msine; constant15_op_net_x0 <= dds_valid; register_q_net_x8 <= din_i; constant12_op_net_x1 <= din_q; constant11_op_net_x2 <= en; ch_out <= register3_q_net_x5; i_out <= register4_q_net_x5; q_out <= register5_q_net_x5; complexmult: entity work.xlcomplex_multiplier_456da30af0f77a480cf80f52b29b4396 port map ( ce => ce_1_sg_x15, clk => clk_1_sg_x15, s_axis_a_tdata_imag => a_i, s_axis_a_tdata_real => a_r, s_axis_a_tvalid => constant15_op_net_x0, s_axis_b_tdata_imag => b_i, s_axis_b_tdata_real => b_r, s_axis_b_tuser(0) => register_q_net, s_axis_b_tvalid => register1_q_net_x0, m_axis_dout_tdata_imag => complexmult_m_axis_dout_tdata_imag_net, m_axis_dout_tdata_real => complexmult_m_axis_dout_tdata_real_net, m_axis_dout_tuser(0) => complexmult_m_axis_dout_tuser_net, m_axis_dout_tvalid => complexmult_m_axis_dout_tvalid_net ); convert1: entity work.xlconvert generic map ( bool_conversion => 0, din_arith => 2, din_bin_pt => 19, din_width => 24, dout_arith => 2, dout_bin_pt => 22, dout_width => 24, latency => 0, overflow => xlWrap, quantization => xlTruncate ) port map ( ce => ce_1_sg_x15, clk => clk_1_sg_x15, clr => '0', din => reinterpret1_output_port_net, en => "1", dout => convert1_dout_net ); convert2: entity work.xlconvert generic map ( bool_conversion => 0, din_arith => 2, din_bin_pt => 19, din_width => 24, dout_arith => 2, dout_bin_pt => 22, dout_width => 24, latency => 0, overflow => xlWrap, quantization => xlTruncate ) port map ( ce => ce_1_sg_x15, clk => clk_1_sg_x15, clr => '0', din => reinterpret_output_port_net, en => "1", dout => convert2_dout_net ); datareg_en1_8d533fde9e: entity work.datareg_en1_entity_8d533fde9e port map ( ce_1 => ce_1_sg_x15, clk_1 => clk_1_sg_x15, din => register_q_net_x8, en => constant11_op_net_x2, dout => register_q_net_x2 ); datareg_en_5c82ef2965: entity work.datareg_en_entity_5c82ef2965 port map ( ce_2 => ce_2_sg_x22, clk_2 => clk_2_sg_x22, din => constant12_op_net_x1, en => constant11_op_net_x2, dout => register_q_net_x0, valid => register1_q_net_x0 ); delay: entity work.delay_961b43f67a port map ( ce => '0', clk => '0', clr => '0', d => register_q_net_x0, q => b_i ); delay1: entity work.delay_961b43f67a port map ( ce => '0', clk => '0', clr => '0', d => register_q_net_x2, q => b_r ); register1: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_1_sg_x15, clk => clk_1_sg_x15, d => register_q_net_x6, en(0) => constant15_op_net_x0, rst => "0", q => a_r ); register2: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_1_sg_x15, clk => clk_1_sg_x15, d => register_q_net_x7, en(0) => constant15_op_net_x0, rst => "0", q => a_i ); register3: entity work.xlregister generic map ( d_width => 1, init_value => b"0" ) port map ( ce => ce_1_sg_x15, clk => clk_1_sg_x15, d(0) => complexmult_m_axis_dout_tuser_net, en(0) => complexmult_m_axis_dout_tvalid_net, rst => "0", q(0) => register3_q_net_x5 ); register4: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_1_sg_x15, clk => clk_1_sg_x15, d => convert1_dout_net, en(0) => complexmult_m_axis_dout_tvalid_net, rst => "0", q => register4_q_net_x5 ); register5: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_1_sg_x15, clk => clk_1_sg_x15, d => convert2_dout_net, en(0) => complexmult_m_axis_dout_tvalid_net, rst => "0", q => register5_q_net_x5 ); register_x0: entity work.xlregister generic map ( d_width => 1, init_value => b"0" ) port map ( ce => ce_1_sg_x15, clk => clk_1_sg_x15, d(0) => register1_q_net_x1, en(0) => constant11_op_net_x2, rst => "0", q(0) => register_q_net ); reinterpret: entity work.reinterpret_b62f4240f0 port map ( ce => '0', clk => '0', clr => '0', input_port => complexmult_m_axis_dout_tdata_imag_net, output_port => reinterpret_output_port_net ); reinterpret1: entity work.reinterpret_b62f4240f0 port map ( ce => '0', clk => '0', clr => '0', input_port => complexmult_m_axis_dout_tdata_real_net, output_port => reinterpret1_output_port_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/Mixer/TDDM_Mixer/TDDM_Mixer0_i" entity tddm_mixer0_i_entity_f95b8f24ad is port ( ce_1: in std_logic; ce_2: in std_logic; ch_in: in std_logic; clk_1: in std_logic; clk_2: in std_logic; din: in std_logic_vector(23 downto 0); dout_ch0: out std_logic_vector(23 downto 0); dout_ch1: out std_logic_vector(23 downto 0) ); end tddm_mixer0_i_entity_f95b8f24ad; architecture structural of tddm_mixer0_i_entity_f95b8f24ad is signal ce_1_sg_x18: std_logic; signal ce_2_sg_x25: std_logic; signal clk_1_sg_x18: std_logic; signal clk_2_sg_x25: std_logic; signal constant1_op_net: std_logic_vector(1 downto 0); signal constant_op_net: std_logic; signal down_sample1_q_net_x0: std_logic_vector(23 downto 0); signal down_sample2_q_net_x0: std_logic_vector(23 downto 0); signal register1_q_net: std_logic_vector(23 downto 0); signal register3_q_net_x6: std_logic; signal register4_q_net_x6: std_logic_vector(23 downto 0); signal register_q_net: std_logic_vector(23 downto 0); signal relational1_op_net: std_logic; signal relational_op_net: std_logic; begin ce_1_sg_x18 <= ce_1; ce_2_sg_x25 <= ce_2; register3_q_net_x6 <= ch_in; clk_1_sg_x18 <= clk_1; clk_2_sg_x25 <= clk_2; register4_q_net_x6 <= din; dout_ch0 <= down_sample2_q_net_x0; dout_ch1 <= down_sample1_q_net_x0; constant1: entity work.constant_cda50df78a port map ( ce => '0', clk => '0', clr => '0', op => constant1_op_net ); constant_x0: entity work.constant_6293007044 port map ( ce => '0', clk => '0', clr => '0', op(0) => constant_op_net ); down_sample1: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 22, d_width => 24, ds_ratio => 2, latency => 1, phase => 1, q_arith => xlSigned, q_bin_pt => 22, q_width => 24 ) port map ( d => register1_q_net, dest_ce => ce_2_sg_x25, dest_clk => clk_2_sg_x25, dest_clr => '0', en => "1", src_ce => ce_1_sg_x18, src_clk => clk_1_sg_x18, src_clr => '0', q => down_sample1_q_net_x0 ); down_sample2: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 22, d_width => 24, ds_ratio => 2, latency => 1, phase => 1, q_arith => xlSigned, q_bin_pt => 22, q_width => 24 ) port map ( d => register_q_net, dest_ce => ce_2_sg_x25, dest_clk => clk_2_sg_x25, dest_clr => '0', en => "1", src_ce => ce_1_sg_x18, src_clk => clk_1_sg_x18, src_clr => '0', q => down_sample2_q_net_x0 ); register1: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_1_sg_x18, clk => clk_1_sg_x18, d => register4_q_net_x6, en(0) => relational1_op_net, rst => "0", q => register1_q_net ); register_x0: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_1_sg_x18, clk => clk_1_sg_x18, d => register4_q_net_x6, en(0) => relational_op_net, rst => "0", q => register_q_net ); relational: entity work.relational_a892e1bf40 port map ( a(0) => register3_q_net_x6, b(0) => constant_op_net, ce => ce_1_sg_x18, clk => clk_1_sg_x18, clr => '0', op(0) => relational_op_net ); relational1: entity work.relational_d29d27b7b3 port map ( a(0) => register3_q_net_x6, b => constant1_op_net, ce => ce_1_sg_x18, clk => clk_1_sg_x18, clr => '0', op(0) => relational1_op_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/Mixer/TDDM_Mixer" entity tddm_mixer_entity_8537ade7b6 is port ( ce_1: in std_logic; ce_2: in std_logic; clk_1: in std_logic; clk_2: in std_logic; mix0_ch_in: in std_logic; mix0_i_in: in std_logic_vector(23 downto 0); mix0_q_in: in std_logic_vector(23 downto 0); mix1_ch_in: in std_logic; mix1_i_in: in std_logic_vector(23 downto 0); mix1_q_in: in std_logic_vector(23 downto 0); mix_ch0_i_out: out std_logic_vector(23 downto 0); mix_ch0_q_out: out std_logic_vector(23 downto 0); mix_ch1_i_out: out std_logic_vector(23 downto 0); mix_ch1_q_out: out std_logic_vector(23 downto 0); mix_ch2_i_out: out std_logic_vector(23 downto 0); mix_ch2_q_out: out std_logic_vector(23 downto 0); mix_ch3_i_out: out std_logic_vector(23 downto 0); mix_ch3_q_out: out std_logic_vector(23 downto 0) ); end tddm_mixer_entity_8537ade7b6; architecture structural of tddm_mixer_entity_8537ade7b6 is signal ce_1_sg_x22: std_logic; signal ce_2_sg_x29: std_logic; signal clk_1_sg_x22: std_logic; signal clk_2_sg_x29: std_logic; signal down_sample1_q_net_x4: std_logic_vector(23 downto 0); signal down_sample1_q_net_x5: std_logic_vector(23 downto 0); signal down_sample1_q_net_x6: std_logic_vector(23 downto 0); signal down_sample1_q_net_x7: std_logic_vector(23 downto 0); signal down_sample2_q_net_x4: std_logic_vector(23 downto 0); signal down_sample2_q_net_x5: std_logic_vector(23 downto 0); signal down_sample2_q_net_x6: std_logic_vector(23 downto 0); signal down_sample2_q_net_x7: std_logic_vector(23 downto 0); signal register3_q_net_x10: std_logic; signal register3_q_net_x9: std_logic; signal register4_q_net_x8: std_logic_vector(23 downto 0); signal register4_q_net_x9: std_logic_vector(23 downto 0); signal register5_q_net_x8: std_logic_vector(23 downto 0); signal register5_q_net_x9: std_logic_vector(23 downto 0); begin ce_1_sg_x22 <= ce_1; ce_2_sg_x29 <= ce_2; clk_1_sg_x22 <= clk_1; clk_2_sg_x29 <= clk_2; register3_q_net_x9 <= mix0_ch_in; register4_q_net_x8 <= mix0_i_in; register5_q_net_x8 <= mix0_q_in; register3_q_net_x10 <= mix1_ch_in; register4_q_net_x9 <= mix1_i_in; register5_q_net_x9 <= mix1_q_in; mix_ch0_i_out <= down_sample2_q_net_x4; mix_ch0_q_out <= down_sample2_q_net_x5; mix_ch1_i_out <= down_sample1_q_net_x4; mix_ch1_q_out <= down_sample1_q_net_x5; mix_ch2_i_out <= down_sample2_q_net_x6; mix_ch2_q_out <= down_sample2_q_net_x7; mix_ch3_i_out <= down_sample1_q_net_x6; mix_ch3_q_out <= down_sample1_q_net_x7; tddm_mixer0_i_f95b8f24ad: entity work.tddm_mixer0_i_entity_f95b8f24ad port map ( ce_1 => ce_1_sg_x22, ce_2 => ce_2_sg_x29, ch_in => register3_q_net_x9, clk_1 => clk_1_sg_x22, clk_2 => clk_2_sg_x29, din => register4_q_net_x8, dout_ch0 => down_sample2_q_net_x4, dout_ch1 => down_sample1_q_net_x4 ); tddm_mixer0_q_2c5e18f496: entity work.tddm_mixer0_i_entity_f95b8f24ad port map ( ce_1 => ce_1_sg_x22, ce_2 => ce_2_sg_x29, ch_in => register3_q_net_x9, clk_1 => clk_1_sg_x22, clk_2 => clk_2_sg_x29, din => register5_q_net_x8, dout_ch0 => down_sample2_q_net_x5, dout_ch1 => down_sample1_q_net_x5 ); tddm_mixer1_i_1afc4ccdba: entity work.tddm_mixer0_i_entity_f95b8f24ad port map ( ce_1 => ce_1_sg_x22, ce_2 => ce_2_sg_x29, ch_in => register3_q_net_x10, clk_1 => clk_1_sg_x22, clk_2 => clk_2_sg_x29, din => register4_q_net_x9, dout_ch0 => down_sample2_q_net_x6, dout_ch1 => down_sample1_q_net_x6 ); tddm_mixer1_q_ee4acbed30: entity work.tddm_mixer0_i_entity_f95b8f24ad port map ( ce_1 => ce_1_sg_x22, ce_2 => ce_2_sg_x29, ch_in => register3_q_net_x10, clk_1 => clk_1_sg_x22, clk_2 => clk_2_sg_x29, din => register5_q_net_x9, dout_ch0 => down_sample2_q_net_x7, dout_ch1 => down_sample1_q_net_x7 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/Mixer" entity mixer_entity_a1cd828545 is port ( ce_1: in std_logic; ce_2: in std_logic; ch_in0: in std_logic; ch_in1: in std_logic; clk_1: in std_logic; clk_2: in std_logic; dds_cosine_0: in std_logic_vector(23 downto 0); dds_cosine_1: in std_logic_vector(23 downto 0); dds_msine_0: in std_logic_vector(23 downto 0); dds_msine_1: in std_logic_vector(23 downto 0); dds_valid_0: in std_logic; dds_valid_1: in std_logic; din0: in std_logic_vector(23 downto 0); din1: in std_logic_vector(23 downto 0); ch_out0: out std_logic; ch_out1: out std_logic; i_out0: out std_logic_vector(23 downto 0); i_out1: out std_logic_vector(23 downto 0); q_out0: out std_logic_vector(23 downto 0); q_out1: out std_logic_vector(23 downto 0); tddm_mixer: out std_logic_vector(23 downto 0); tddm_mixer_x0: out std_logic_vector(23 downto 0); tddm_mixer_x1: out std_logic_vector(23 downto 0); tddm_mixer_x2: out std_logic_vector(23 downto 0); tddm_mixer_x3: out std_logic_vector(23 downto 0); tddm_mixer_x4: out std_logic_vector(23 downto 0); tddm_mixer_x5: out std_logic_vector(23 downto 0); tddm_mixer_x6: out std_logic_vector(23 downto 0) ); end mixer_entity_a1cd828545; architecture structural of mixer_entity_a1cd828545 is signal ce_1_sg_x23: std_logic; signal ce_2_sg_x30: std_logic; signal clk_1_sg_x23: std_logic; signal clk_2_sg_x30: std_logic; signal constant11_op_net_x2: std_logic; signal constant12_op_net_x1: std_logic_vector(23 downto 0); signal constant15_op_net_x1: std_logic; signal constant1_op_net_x2: std_logic; signal constant2_op_net_x1: std_logic_vector(23 downto 0); signal constant3_op_net_x1: std_logic; signal down_sample1_q_net_x10: std_logic_vector(23 downto 0); signal down_sample1_q_net_x11: std_logic_vector(23 downto 0); signal down_sample1_q_net_x8: std_logic_vector(23 downto 0); signal down_sample1_q_net_x9: std_logic_vector(23 downto 0); signal down_sample2_q_net_x10: std_logic_vector(23 downto 0); signal down_sample2_q_net_x11: std_logic_vector(23 downto 0); signal down_sample2_q_net_x8: std_logic_vector(23 downto 0); signal down_sample2_q_net_x9: std_logic_vector(23 downto 0); signal register1_q_net_x3: std_logic; signal register1_q_net_x4: std_logic; signal register3_q_net_x11: std_logic; signal register3_q_net_x12: std_logic; signal register4_q_net_x10: std_logic_vector(23 downto 0); signal register4_q_net_x11: std_logic_vector(23 downto 0); signal register5_q_net_x10: std_logic_vector(23 downto 0); signal register5_q_net_x11: std_logic_vector(23 downto 0); signal register_q_net_x12: std_logic_vector(23 downto 0); signal register_q_net_x13: std_logic_vector(23 downto 0); signal register_q_net_x14: std_logic_vector(23 downto 0); signal register_q_net_x15: std_logic_vector(23 downto 0); signal register_q_net_x16: std_logic_vector(23 downto 0); signal register_q_net_x17: std_logic_vector(23 downto 0); begin ce_1_sg_x23 <= ce_1; ce_2_sg_x30 <= ce_2; register1_q_net_x3 <= ch_in0; register1_q_net_x4 <= ch_in1; clk_1_sg_x23 <= clk_1; clk_2_sg_x30 <= clk_2; register_q_net_x12 <= dds_cosine_0; register_q_net_x14 <= dds_cosine_1; register_q_net_x13 <= dds_msine_0; register_q_net_x15 <= dds_msine_1; constant15_op_net_x1 <= dds_valid_0; constant3_op_net_x1 <= dds_valid_1; register_q_net_x16 <= din0; register_q_net_x17 <= din1; ch_out0 <= register3_q_net_x11; ch_out1 <= register3_q_net_x12; i_out0 <= register4_q_net_x10; i_out1 <= register4_q_net_x11; q_out0 <= register5_q_net_x10; q_out1 <= register5_q_net_x11; tddm_mixer <= down_sample1_q_net_x8; tddm_mixer_x0 <= down_sample2_q_net_x8; tddm_mixer_x1 <= down_sample1_q_net_x9; tddm_mixer_x2 <= down_sample2_q_net_x9; tddm_mixer_x3 <= down_sample1_q_net_x10; tddm_mixer_x4 <= down_sample2_q_net_x10; tddm_mixer_x5 <= down_sample1_q_net_x11; tddm_mixer_x6 <= down_sample2_q_net_x11; cmixer_0_f630e8d7ec: entity work.cmixer_0_entity_f630e8d7ec port map ( ce_1 => ce_1_sg_x23, ce_2 => ce_2_sg_x30, ch_in => register1_q_net_x3, clk_1 => clk_1_sg_x23, clk_2 => clk_2_sg_x30, dds_cosine => register_q_net_x12, dds_msine => register_q_net_x13, dds_valid => constant15_op_net_x1, din_i => register_q_net_x16, din_q => constant12_op_net_x1, en => constant11_op_net_x2, ch_out => register3_q_net_x11, i_out => register4_q_net_x10, q_out => register5_q_net_x10 ); cmixer_1_61bfc18f90: entity work.cmixer_0_entity_f630e8d7ec port map ( ce_1 => ce_1_sg_x23, ce_2 => ce_2_sg_x30, ch_in => register1_q_net_x4, clk_1 => clk_1_sg_x23, clk_2 => clk_2_sg_x30, dds_cosine => register_q_net_x14, dds_msine => register_q_net_x15, dds_valid => constant3_op_net_x1, din_i => register_q_net_x17, din_q => constant2_op_net_x1, en => constant1_op_net_x2, ch_out => register3_q_net_x12, i_out => register4_q_net_x11, q_out => register5_q_net_x11 ); constant1: entity work.constant_6293007044 port map ( ce => '0', clk => '0', clr => '0', op(0) => constant1_op_net_x2 ); constant11: entity work.constant_6293007044 port map ( ce => '0', clk => '0', clr => '0', op(0) => constant11_op_net_x2 ); constant12: entity work.constant_f394f3309c port map ( ce => '0', clk => '0', clr => '0', op => constant12_op_net_x1 ); constant2: entity work.constant_f394f3309c port map ( ce => '0', clk => '0', clr => '0', op => constant2_op_net_x1 ); tddm_mixer_8537ade7b6: entity work.tddm_mixer_entity_8537ade7b6 port map ( ce_1 => ce_1_sg_x23, ce_2 => ce_2_sg_x30, clk_1 => clk_1_sg_x23, clk_2 => clk_2_sg_x30, mix0_ch_in => register3_q_net_x11, mix0_i_in => register4_q_net_x10, mix0_q_in => register5_q_net_x10, mix1_ch_in => register3_q_net_x12, mix1_i_in => register4_q_net_x11, mix1_q_in => register5_q_net_x11, mix_ch0_i_out => down_sample2_q_net_x8, mix_ch0_q_out => down_sample2_q_net_x9, mix_ch1_i_out => down_sample1_q_net_x8, mix_ch1_q_out => down_sample1_q_net_x9, mix_ch2_i_out => down_sample2_q_net_x10, mix_ch2_q_out => down_sample2_q_net_x11, mix_ch3_i_out => down_sample1_q_net_x10, mix_ch3_q_out => down_sample1_q_net_x11 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/Monit_amp/Monit_amp_c/Cast2/format1" entity format1_entity_4e0a69646b is port ( ce_5600000: in std_logic; clk_5600000: in std_logic; din: in std_logic_vector(24 downto 0); dout: out std_logic_vector(23 downto 0) ); end format1_entity_4e0a69646b; architecture structural of format1_entity_4e0a69646b is signal ce_5600000_sg_x0: std_logic; signal clk_5600000_sg_x0: std_logic; signal convert_dout_net_x0: std_logic_vector(23 downto 0); signal monit_pfir_m_axis_data_tdata_net_x0: std_logic_vector(24 downto 0); signal reinterpret_output_port_net: std_logic_vector(24 downto 0); begin ce_5600000_sg_x0 <= ce_5600000; clk_5600000_sg_x0 <= clk_5600000; monit_pfir_m_axis_data_tdata_net_x0 <= din; dout <= convert_dout_net_x0; convert: entity work.xlconvert generic map ( bool_conversion => 0, din_arith => 2, din_bin_pt => 21, din_width => 25, dout_arith => 2, dout_bin_pt => 22, dout_width => 24, latency => 0, overflow => xlWrap, quantization => xlRound ) port map ( ce => ce_5600000_sg_x0, clk => clk_5600000_sg_x0, clr => '0', din => reinterpret_output_port_net, en => "1", dout => convert_dout_net_x0 ); reinterpret: entity work.reinterpret_31a4235b32 port map ( ce => '0', clk => '0', clr => '0', input_port => monit_pfir_m_axis_data_tdata_net_x0, output_port => reinterpret_output_port_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/Monit_amp/Monit_amp_c/Cast2" entity cast2_entity_4b7421c7c9 is port ( ce_5600000: in std_logic; clk_5600000: in std_logic; data_in: in std_logic_vector(24 downto 0); en: in std_logic; out_x0: out std_logic_vector(23 downto 0) ); end cast2_entity_4b7421c7c9; architecture structural of cast2_entity_4b7421c7c9 is signal ce_5600000_sg_x1: std_logic; signal clk_5600000_sg_x1: std_logic; signal convert_dout_net_x0: std_logic_vector(23 downto 0); signal monit_pfir_m_axis_data_tdata_net_x1: std_logic_vector(24 downto 0); signal monit_pfir_m_axis_data_tvalid_net_x0: std_logic; signal register_q_net_x0: std_logic_vector(23 downto 0); begin ce_5600000_sg_x1 <= ce_5600000; clk_5600000_sg_x1 <= clk_5600000; monit_pfir_m_axis_data_tdata_net_x1 <= data_in; monit_pfir_m_axis_data_tvalid_net_x0 <= en; out_x0 <= register_q_net_x0; format1_4e0a69646b: entity work.format1_entity_4e0a69646b port map ( ce_5600000 => ce_5600000_sg_x1, clk_5600000 => clk_5600000_sg_x1, din => monit_pfir_m_axis_data_tdata_net_x1, dout => convert_dout_net_x0 ); register_x0: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_5600000_sg_x1, clk => clk_5600000_sg_x1, d => convert_dout_net_x0, en(0) => monit_pfir_m_axis_data_tvalid_net_x0, rst => "0", q => register_q_net_x0 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/Monit_amp/Monit_amp_c/Cast4/format1" entity format1_entity_3cf61b0d44 is port ( ce_2800000: in std_logic; clk_2800000: in std_logic; din: in std_logic_vector(24 downto 0); dout: out std_logic_vector(23 downto 0) ); end format1_entity_3cf61b0d44; architecture structural of format1_entity_3cf61b0d44 is signal ce_2800000_sg_x0: std_logic; signal clk_2800000_sg_x0: std_logic; signal convert_dout_net_x0: std_logic_vector(23 downto 0); signal monit_cfir_m_axis_data_tdata_net_x0: std_logic_vector(24 downto 0); signal reinterpret_output_port_net: std_logic_vector(24 downto 0); begin ce_2800000_sg_x0 <= ce_2800000; clk_2800000_sg_x0 <= clk_2800000; monit_cfir_m_axis_data_tdata_net_x0 <= din; dout <= convert_dout_net_x0; convert: entity work.xlconvert generic map ( bool_conversion => 0, din_arith => 2, din_bin_pt => 21, din_width => 25, dout_arith => 2, dout_bin_pt => 22, dout_width => 24, latency => 0, overflow => xlWrap, quantization => xlRound ) port map ( ce => ce_2800000_sg_x0, clk => clk_2800000_sg_x0, clr => '0', din => reinterpret_output_port_net, en => "1", dout => convert_dout_net_x0 ); reinterpret: entity work.reinterpret_31a4235b32 port map ( ce => '0', clk => '0', clr => '0', input_port => monit_cfir_m_axis_data_tdata_net_x0, output_port => reinterpret_output_port_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/Monit_amp/Monit_amp_c/Cast4" entity cast4_entity_4ed908d7fc is port ( ce_2800000: in std_logic; clk_2800000: in std_logic; data_in: in std_logic_vector(24 downto 0); en: in std_logic; out_x0: out std_logic_vector(23 downto 0) ); end cast4_entity_4ed908d7fc; architecture structural of cast4_entity_4ed908d7fc is signal ce_2800000_sg_x1: std_logic; signal clk_2800000_sg_x1: std_logic; signal convert_dout_net_x0: std_logic_vector(23 downto 0); signal monit_cfir_m_axis_data_tdata_net_x1: std_logic_vector(24 downto 0); signal monit_cfir_m_axis_data_tvalid_net_x0: std_logic; signal register_q_net_x0: std_logic_vector(23 downto 0); begin ce_2800000_sg_x1 <= ce_2800000; clk_2800000_sg_x1 <= clk_2800000; monit_cfir_m_axis_data_tdata_net_x1 <= data_in; monit_cfir_m_axis_data_tvalid_net_x0 <= en; out_x0 <= register_q_net_x0; format1_3cf61b0d44: entity work.format1_entity_3cf61b0d44 port map ( ce_2800000 => ce_2800000_sg_x1, clk_2800000 => clk_2800000_sg_x1, din => monit_cfir_m_axis_data_tdata_net_x1, dout => convert_dout_net_x0 ); register_x0: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_2800000_sg_x1, clk => clk_2800000_sg_x1, d => convert_dout_net_x0, en(0) => monit_cfir_m_axis_data_tvalid_net_x0, rst => "0", q => register_q_net_x0 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/Monit_amp/Monit_amp_c/Reg1" entity reg1_entity_8661a44192 is port ( ce_1400000: in std_logic; clk_1400000: in std_logic; din: in std_logic_vector(60 downto 0); en: in std_logic; dout: out std_logic_vector(23 downto 0) ); end reg1_entity_8661a44192; architecture structural of reg1_entity_8661a44192 is signal ce_1400000_sg_x0: std_logic; signal clk_1400000_sg_x0: std_logic; signal convert_dout_net: std_logic_vector(23 downto 0); signal monit_cic_m_axis_data_tdata_data_net_x0: std_logic_vector(60 downto 0); signal monit_cic_m_axis_data_tvalid_net_x0: std_logic; signal register_q_net_x0: std_logic_vector(23 downto 0); signal reinterpret2_output_port_net: std_logic_vector(60 downto 0); begin ce_1400000_sg_x0 <= ce_1400000; clk_1400000_sg_x0 <= clk_1400000; monit_cic_m_axis_data_tdata_data_net_x0 <= din; monit_cic_m_axis_data_tvalid_net_x0 <= en; dout <= register_q_net_x0; convert: entity work.xlconvert generic map ( bool_conversion => 0, din_arith => 2, din_bin_pt => 59, din_width => 61, dout_arith => 2, dout_bin_pt => 22, dout_width => 24, latency => 0, overflow => xlWrap, quantization => xlRound ) port map ( ce => ce_1400000_sg_x0, clk => clk_1400000_sg_x0, clr => '0', din => reinterpret2_output_port_net, en => "1", dout => convert_dout_net ); register_x0: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_1400000_sg_x0, clk => clk_1400000_sg_x0, d => convert_dout_net, en(0) => monit_cic_m_axis_data_tvalid_net_x0, rst => "0", q => register_q_net_x0 ); reinterpret2: entity work.reinterpret_c88e29aa6b port map ( ce => '0', clk => '0', clr => '0', input_port => monit_cic_m_axis_data_tdata_data_net_x0, output_port => reinterpret2_output_port_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/Monit_amp/Monit_amp_c/TDDM_monit_amp_c/TDDM_monit_amp_c_int" entity tddm_monit_amp_c_int_entity_554a834349 is port ( ce_22400000: in std_logic; ce_5600000: in std_logic; ch_in: in std_logic_vector(1 downto 0); clk_22400000: in std_logic; clk_5600000: in std_logic; din: in std_logic_vector(23 downto 0); dout_ch0: out std_logic_vector(23 downto 0); dout_ch1: out std_logic_vector(23 downto 0); dout_ch2: out std_logic_vector(23 downto 0); dout_ch3: out std_logic_vector(23 downto 0) ); end tddm_monit_amp_c_int_entity_554a834349; architecture structural of tddm_monit_amp_c_int_entity_554a834349 is signal ce_22400000_sg_x4: std_logic; signal ce_5600000_sg_x2: std_logic; signal clk_22400000_sg_x4: std_logic; signal clk_5600000_sg_x2: std_logic; signal constant1_op_net: std_logic_vector(1 downto 0); signal constant3_op_net: std_logic_vector(1 downto 0); signal constant4_op_net: std_logic_vector(1 downto 0); signal constant_op_net: std_logic_vector(1 downto 0); signal delay2_q_net_x0: std_logic_vector(1 downto 0); signal down_sample1_q_net_x0: std_logic_vector(23 downto 0); signal down_sample2_q_net_x0: std_logic_vector(23 downto 0); signal down_sample3_q_net_x0: std_logic_vector(23 downto 0); signal down_sample4_q_net_x0: std_logic_vector(23 downto 0); signal register1_q_net: std_logic_vector(23 downto 0); signal register2_q_net: std_logic_vector(23 downto 0); signal register3_q_net: std_logic_vector(23 downto 0); signal register_q_net: std_logic_vector(23 downto 0); signal register_q_net_x1: std_logic_vector(23 downto 0); signal relational1_op_net: std_logic; signal relational2_op_net: std_logic; signal relational3_op_net: std_logic; signal relational_op_net: std_logic; begin ce_22400000_sg_x4 <= ce_22400000; ce_5600000_sg_x2 <= ce_5600000; delay2_q_net_x0 <= ch_in; clk_22400000_sg_x4 <= clk_22400000; clk_5600000_sg_x2 <= clk_5600000; register_q_net_x1 <= din; dout_ch0 <= down_sample2_q_net_x0; dout_ch1 <= down_sample1_q_net_x0; dout_ch2 <= down_sample3_q_net_x0; dout_ch3 <= down_sample4_q_net_x0; constant1: entity work.constant_cda50df78a port map ( ce => '0', clk => '0', clr => '0', op => constant1_op_net ); constant3: entity work.constant_a7e2bb9e12 port map ( ce => '0', clk => '0', clr => '0', op => constant3_op_net ); constant4: entity work.constant_e8ddc079e9 port map ( ce => '0', clk => '0', clr => '0', op => constant4_op_net ); constant_x0: entity work.constant_3a9a3daeb9 port map ( ce => '0', clk => '0', clr => '0', op => constant_op_net ); down_sample1: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 22, d_width => 24, ds_ratio => 4, latency => 1, phase => 3, q_arith => xlSigned, q_bin_pt => 22, q_width => 24 ) port map ( d => register1_q_net, dest_ce => ce_22400000_sg_x4, dest_clk => clk_22400000_sg_x4, dest_clr => '0', en => "1", src_ce => ce_5600000_sg_x2, src_clk => clk_5600000_sg_x2, src_clr => '0', q => down_sample1_q_net_x0 ); down_sample2: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 22, d_width => 24, ds_ratio => 4, latency => 1, phase => 3, q_arith => xlSigned, q_bin_pt => 22, q_width => 24 ) port map ( d => register_q_net, dest_ce => ce_22400000_sg_x4, dest_clk => clk_22400000_sg_x4, dest_clr => '0', en => "1", src_ce => ce_5600000_sg_x2, src_clk => clk_5600000_sg_x2, src_clr => '0', q => down_sample2_q_net_x0 ); down_sample3: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 22, d_width => 24, ds_ratio => 4, latency => 1, phase => 3, q_arith => xlSigned, q_bin_pt => 22, q_width => 24 ) port map ( d => register2_q_net, dest_ce => ce_22400000_sg_x4, dest_clk => clk_22400000_sg_x4, dest_clr => '0', en => "1", src_ce => ce_5600000_sg_x2, src_clk => clk_5600000_sg_x2, src_clr => '0', q => down_sample3_q_net_x0 ); down_sample4: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 22, d_width => 24, ds_ratio => 4, latency => 1, phase => 3, q_arith => xlSigned, q_bin_pt => 22, q_width => 24 ) port map ( d => register3_q_net, dest_ce => ce_22400000_sg_x4, dest_clk => clk_22400000_sg_x4, dest_clr => '0', en => "1", src_ce => ce_5600000_sg_x2, src_clk => clk_5600000_sg_x2, src_clr => '0', q => down_sample4_q_net_x0 ); register1: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_5600000_sg_x2, clk => clk_5600000_sg_x2, d => register_q_net_x1, en(0) => relational1_op_net, rst => "0", q => register1_q_net ); register2: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_5600000_sg_x2, clk => clk_5600000_sg_x2, d => register_q_net_x1, en(0) => relational2_op_net, rst => "0", q => register2_q_net ); register3: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_5600000_sg_x2, clk => clk_5600000_sg_x2, d => register_q_net_x1, en(0) => relational3_op_net, rst => "0", q => register3_q_net ); register_x0: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_5600000_sg_x2, clk => clk_5600000_sg_x2, d => register_q_net_x1, en(0) => relational_op_net, rst => "0", q => register_q_net ); relational: entity work.relational_367321bc0c port map ( a => delay2_q_net_x0, b => constant_op_net, ce => ce_5600000_sg_x2, clk => clk_5600000_sg_x2, clr => '0', op(0) => relational_op_net ); relational1: entity work.relational_367321bc0c port map ( a => delay2_q_net_x0, b => constant1_op_net, ce => ce_5600000_sg_x2, clk => clk_5600000_sg_x2, clr => '0', op(0) => relational1_op_net ); relational2: entity work.relational_367321bc0c port map ( a => delay2_q_net_x0, b => constant3_op_net, ce => ce_5600000_sg_x2, clk => clk_5600000_sg_x2, clr => '0', op(0) => relational2_op_net ); relational3: entity work.relational_367321bc0c port map ( a => delay2_q_net_x0, b => constant4_op_net, ce => ce_5600000_sg_x2, clk => clk_5600000_sg_x2, clr => '0', op(0) => relational3_op_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/Monit_amp/Monit_amp_c/TDDM_monit_amp_c" entity tddm_monit_amp_c_entity_5b2613eff7 is port ( ce_22400000: in std_logic; ce_5600000: in std_logic; clk_22400000: in std_logic; clk_5600000: in std_logic; monit_ch_in: in std_logic_vector(1 downto 0); monit_din: in std_logic_vector(23 downto 0); monit_ch0_out: out std_logic_vector(23 downto 0); monit_ch1_out: out std_logic_vector(23 downto 0); monit_ch2_out: out std_logic_vector(23 downto 0); monit_ch3_out: out std_logic_vector(23 downto 0) ); end tddm_monit_amp_c_entity_5b2613eff7; architecture structural of tddm_monit_amp_c_entity_5b2613eff7 is signal ce_22400000_sg_x5: std_logic; signal ce_5600000_sg_x3: std_logic; signal clk_22400000_sg_x5: std_logic; signal clk_5600000_sg_x3: std_logic; signal delay2_q_net_x1: std_logic_vector(1 downto 0); signal down_sample1_q_net_x1: std_logic_vector(23 downto 0); signal down_sample2_q_net_x1: std_logic_vector(23 downto 0); signal down_sample3_q_net_x1: std_logic_vector(23 downto 0); signal down_sample4_q_net_x1: std_logic_vector(23 downto 0); signal register_q_net_x2: std_logic_vector(23 downto 0); begin ce_22400000_sg_x5 <= ce_22400000; ce_5600000_sg_x3 <= ce_5600000; clk_22400000_sg_x5 <= clk_22400000; clk_5600000_sg_x3 <= clk_5600000; delay2_q_net_x1 <= monit_ch_in; register_q_net_x2 <= monit_din; monit_ch0_out <= down_sample2_q_net_x1; monit_ch1_out <= down_sample1_q_net_x1; monit_ch2_out <= down_sample3_q_net_x1; monit_ch3_out <= down_sample4_q_net_x1; tddm_monit_amp_c_int_554a834349: entity work.tddm_monit_amp_c_int_entity_554a834349 port map ( ce_22400000 => ce_22400000_sg_x5, ce_5600000 => ce_5600000_sg_x3, ch_in => delay2_q_net_x1, clk_22400000 => clk_22400000_sg_x5, clk_5600000 => clk_5600000_sg_x3, din => register_q_net_x2, dout_ch0 => down_sample2_q_net_x1, dout_ch1 => down_sample1_q_net_x1, dout_ch2 => down_sample3_q_net_x1, dout_ch3 => down_sample4_q_net_x1 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/Monit_amp/Monit_amp_c" entity monit_amp_c_entity_c83793ea71 is port ( ce_1: in std_logic; ce_1400000: in std_logic; ce_22400000: in std_logic; ce_2800000: in std_logic; ce_560: in std_logic; ce_5600000: in std_logic; ce_logic_1400000: in std_logic; ce_logic_2800000: in std_logic; ce_logic_560: in std_logic; ch_in: in std_logic_vector(1 downto 0); clk_1: in std_logic; clk_1400000: in std_logic; clk_22400000: in std_logic; clk_2800000: in std_logic; clk_560: in std_logic; clk_5600000: in std_logic; din: in std_logic_vector(23 downto 0); amp_out: out std_logic_vector(23 downto 0); ch_out_x1: out std_logic_vector(1 downto 0); monit_cfir_x0: out std_logic; monit_cic_x0: out std_logic; monit_pfir_x0: out std_logic; tddm_monit_amp_c: out std_logic_vector(23 downto 0); tddm_monit_amp_c_x0: out std_logic_vector(23 downto 0); tddm_monit_amp_c_x1: out std_logic_vector(23 downto 0); tddm_monit_amp_c_x2: out std_logic_vector(23 downto 0) ); end monit_amp_c_entity_c83793ea71; architecture structural of monit_amp_c_entity_c83793ea71 is signal ce_1400000_sg_x1: std_logic; signal ce_1_sg_x24: std_logic; signal ce_22400000_sg_x6: std_logic; signal ce_2800000_sg_x2: std_logic; signal ce_5600000_sg_x4: std_logic; signal ce_560_sg_x0: std_logic; signal ce_logic_1400000_sg_x0: std_logic; signal ce_logic_2800000_sg_x0: std_logic; signal ce_logic_560_sg_x0: std_logic; signal ch_out_x0: std_logic_vector(1 downto 0); signal clk_1400000_sg_x1: std_logic; signal clk_1_sg_x24: std_logic; signal clk_22400000_sg_x6: std_logic; signal clk_2800000_sg_x2: std_logic; signal clk_5600000_sg_x4: std_logic; signal clk_560_sg_x0: std_logic; signal constant1_op_net: std_logic_vector(1 downto 0); signal delay1_q_net: std_logic_vector(23 downto 0); signal delay2_q_net_x2: std_logic_vector(1 downto 0); signal delay3_q_net: std_logic_vector(23 downto 0); signal delay_q_net: std_logic_vector(1 downto 0); signal dout_x0: std_logic_vector(23 downto 0); signal down_sample1_q_net_x2: std_logic_vector(23 downto 0); signal down_sample2_q_net_x2: std_logic_vector(23 downto 0); signal down_sample3_q_net_x2: std_logic_vector(23 downto 0); signal down_sample4_q_net_x2: std_logic_vector(23 downto 0); signal monit_cfir_event_s_data_chanid_incorrect_net_x0: std_logic; signal monit_cfir_m_axis_data_tdata_net_x1: std_logic_vector(24 downto 0); signal monit_cfir_m_axis_data_tuser_chanid_net: std_logic_vector(1 downto 0); signal monit_cfir_m_axis_data_tvalid_net_x0: std_logic; signal monit_cic_event_tlast_unexpected_net_x0: std_logic; signal monit_cic_m_axis_data_tdata_data_net_x0: std_logic_vector(60 downto 0); signal monit_cic_m_axis_data_tuser_chan_out_net: std_logic_vector(1 downto 0); signal monit_cic_m_axis_data_tvalid_net_x0: std_logic; signal monit_pfir_event_s_data_chanid_incorrect_net_x0: std_logic; signal monit_pfir_m_axis_data_tdata_net_x1: std_logic_vector(24 downto 0); signal monit_pfir_m_axis_data_tuser_chanid_net: std_logic_vector(1 downto 0); signal monit_pfir_m_axis_data_tvalid_net_x0: std_logic; signal register3_q_net: std_logic_vector(1 downto 0); signal register_q_net_x0: std_logic_vector(23 downto 0); signal register_q_net_x1: std_logic_vector(23 downto 0); signal register_q_net_x3: std_logic_vector(23 downto 0); signal relational2_op_net: std_logic; begin ce_1_sg_x24 <= ce_1; ce_1400000_sg_x1 <= ce_1400000; ce_22400000_sg_x6 <= ce_22400000; ce_2800000_sg_x2 <= ce_2800000; ce_560_sg_x0 <= ce_560; ce_5600000_sg_x4 <= ce_5600000; ce_logic_1400000_sg_x0 <= ce_logic_1400000; ce_logic_2800000_sg_x0 <= ce_logic_2800000; ce_logic_560_sg_x0 <= ce_logic_560; ch_out_x0 <= ch_in; clk_1_sg_x24 <= clk_1; clk_1400000_sg_x1 <= clk_1400000; clk_22400000_sg_x6 <= clk_22400000; clk_2800000_sg_x2 <= clk_2800000; clk_560_sg_x0 <= clk_560; clk_5600000_sg_x4 <= clk_5600000; dout_x0 <= din; amp_out <= register_q_net_x3; ch_out_x1 <= delay2_q_net_x2; monit_cfir_x0 <= monit_cfir_event_s_data_chanid_incorrect_net_x0; monit_cic_x0 <= monit_cic_event_tlast_unexpected_net_x0; monit_pfir_x0 <= monit_pfir_event_s_data_chanid_incorrect_net_x0; tddm_monit_amp_c <= down_sample1_q_net_x2; tddm_monit_amp_c_x0 <= down_sample2_q_net_x2; tddm_monit_amp_c_x1 <= down_sample3_q_net_x2; tddm_monit_amp_c_x2 <= down_sample4_q_net_x2; cast2_4b7421c7c9: entity work.cast2_entity_4b7421c7c9 port map ( ce_5600000 => ce_5600000_sg_x4, clk_5600000 => clk_5600000_sg_x4, data_in => monit_pfir_m_axis_data_tdata_net_x1, en => monit_pfir_m_axis_data_tvalid_net_x0, out_x0 => register_q_net_x3 ); cast4_4ed908d7fc: entity work.cast4_entity_4ed908d7fc port map ( ce_2800000 => ce_2800000_sg_x2, clk_2800000 => clk_2800000_sg_x2, data_in => monit_cfir_m_axis_data_tdata_net_x1, en => monit_cfir_m_axis_data_tvalid_net_x0, out_x0 => register_q_net_x0 ); constant1: entity work.constant_e8ddc079e9 port map ( ce => '0', clk => '0', clr => '0', op => constant1_op_net ); delay: entity work.xldelay generic map ( latency => 3, reg_retiming => 0, reset => 0, width => 2 ) port map ( ce => ce_1400000_sg_x1, clk => clk_1400000_sg_x1, d => monit_cic_m_axis_data_tuser_chan_out_net, en => '1', rst => '1', q => delay_q_net ); delay1: entity work.xldelay generic map ( latency => 3, reg_retiming => 0, reset => 0, width => 24 ) port map ( ce => ce_560_sg_x0, clk => clk_560_sg_x0, d => dout_x0, en => '1', rst => '1', q => delay1_q_net ); delay2: entity work.xldelay generic map ( latency => 1, reg_retiming => 0, reset => 0, width => 2 ) port map ( ce => ce_5600000_sg_x4, clk => clk_5600000_sg_x4, d => monit_pfir_m_axis_data_tuser_chanid_net, en => '1', rst => '1', q => delay2_q_net_x2 ); delay3: entity work.xldelay generic map ( latency => 2, reg_retiming => 0, reset => 0, width => 24 ) port map ( ce => ce_1400000_sg_x1, clk => clk_1400000_sg_x1, d => register_q_net_x1, en => '1', rst => '1', q => delay3_q_net ); monit_cfir: entity work.xlfir_compiler_2acadf5a08d72e0ee15ce4e1ac741dc6 port map ( ce => ce_1_sg_x24, ce_1400000 => ce_1400000_sg_x1, ce_2800000 => ce_2800000_sg_x2, ce_logic_1400000 => ce_logic_1400000_sg_x0, clk => clk_1_sg_x24, clk_1400000 => clk_1400000_sg_x1, clk_2800000 => clk_2800000_sg_x2, clk_logic_1400000 => clk_1400000_sg_x1, s_axis_data_tdata => delay3_q_net, s_axis_data_tuser_chanid => delay_q_net, src_ce => ce_1400000_sg_x1, src_clk => clk_1400000_sg_x1, event_s_data_chanid_incorrect => monit_cfir_event_s_data_chanid_incorrect_net_x0, m_axis_data_tdata => monit_cfir_m_axis_data_tdata_net_x1, m_axis_data_tuser_chanid => monit_cfir_m_axis_data_tuser_chanid_net, m_axis_data_tvalid => monit_cfir_m_axis_data_tvalid_net_x0 ); monit_cic: entity work.xlcic_compiler_6efc67831a277bdb0701519c5a976f20 port map ( ce => ce_1_sg_x24, ce_1400000 => ce_1400000_sg_x1, ce_560 => ce_560_sg_x0, ce_logic_560 => ce_logic_560_sg_x0, clk => clk_1_sg_x24, clk_1400000 => clk_1400000_sg_x1, clk_560 => clk_560_sg_x0, clk_logic_560 => clk_560_sg_x0, s_axis_data_tdata_data => delay1_q_net, s_axis_data_tlast => relational2_op_net, event_tlast_unexpected => monit_cic_event_tlast_unexpected_net_x0, m_axis_data_tdata_data => monit_cic_m_axis_data_tdata_data_net_x0, m_axis_data_tuser_chan_out => monit_cic_m_axis_data_tuser_chan_out_net, m_axis_data_tvalid => monit_cic_m_axis_data_tvalid_net_x0 ); monit_pfir: entity work.xlfir_compiler_1da691037bdf8c1b85b3b4502d6e9610 port map ( ce => ce_1_sg_x24, ce_2800000 => ce_2800000_sg_x2, ce_5600000 => ce_5600000_sg_x4, ce_logic_2800000 => ce_logic_2800000_sg_x0, clk => clk_1_sg_x24, clk_2800000 => clk_2800000_sg_x2, clk_5600000 => clk_5600000_sg_x4, clk_logic_2800000 => clk_2800000_sg_x2, s_axis_data_tdata => register_q_net_x0, s_axis_data_tuser_chanid => register3_q_net, src_ce => ce_2800000_sg_x2, src_clk => clk_2800000_sg_x2, event_s_data_chanid_incorrect => monit_pfir_event_s_data_chanid_incorrect_net_x0, m_axis_data_tdata => monit_pfir_m_axis_data_tdata_net_x1, m_axis_data_tuser_chanid => monit_pfir_m_axis_data_tuser_chanid_net, m_axis_data_tvalid => monit_pfir_m_axis_data_tvalid_net_x0 ); reg1_8661a44192: entity work.reg1_entity_8661a44192 port map ( ce_1400000 => ce_1400000_sg_x1, clk_1400000 => clk_1400000_sg_x1, din => monit_cic_m_axis_data_tdata_data_net_x0, en => monit_cic_m_axis_data_tvalid_net_x0, dout => register_q_net_x1 ); register3: entity work.xlregister generic map ( d_width => 2, init_value => b"00" ) port map ( ce => ce_2800000_sg_x2, clk => clk_2800000_sg_x2, d => monit_cfir_m_axis_data_tuser_chanid_net, en => "1", rst => "0", q => register3_q_net ); relational2: entity work.relational_83ca2c6a3c port map ( a => ch_out_x0, b => constant1_op_net, ce => ce_560_sg_x0, clk => clk_560_sg_x0, clr => '0', op(0) => relational2_op_net ); tddm_monit_amp_c_5b2613eff7: entity work.tddm_monit_amp_c_entity_5b2613eff7 port map ( ce_22400000 => ce_22400000_sg_x6, ce_5600000 => ce_5600000_sg_x4, clk_22400000 => clk_22400000_sg_x6, clk_5600000 => clk_5600000_sg_x4, monit_ch_in => delay2_q_net_x2, monit_din => register_q_net_x3, monit_ch0_out => down_sample2_q_net_x2, monit_ch1_out => down_sample1_q_net_x2, monit_ch2_out => down_sample3_q_net_x2, monit_ch3_out => down_sample4_q_net_x2 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/Monit_amp/TDDM_monit_amp_out" entity tddm_monit_amp_out_entity_521eb373cc is port ( ce_22400000: in std_logic; ce_5600000: in std_logic; clk_22400000: in std_logic; clk_5600000: in std_logic; monit_amp_ch_in: in std_logic_vector(1 downto 0); monit_amp_din: in std_logic_vector(23 downto 0); monit_amp_data0_out: out std_logic_vector(23 downto 0); monit_amp_data1_out: out std_logic_vector(23 downto 0); monit_amp_data2_out: out std_logic_vector(23 downto 0); monit_amp_data3_out: out std_logic_vector(23 downto 0) ); end tddm_monit_amp_out_entity_521eb373cc; architecture structural of tddm_monit_amp_out_entity_521eb373cc is signal ce_22400000_sg_x8: std_logic; signal ce_5600000_sg_x6: std_logic; signal clk_22400000_sg_x8: std_logic; signal clk_5600000_sg_x6: std_logic; signal delay2_q_net_x4: std_logic_vector(1 downto 0); signal down_sample1_q_net_x1: std_logic_vector(23 downto 0); signal down_sample2_q_net_x1: std_logic_vector(23 downto 0); signal down_sample3_q_net_x1: std_logic_vector(23 downto 0); signal down_sample4_q_net_x1: std_logic_vector(23 downto 0); signal register_q_net_x5: std_logic_vector(23 downto 0); begin ce_22400000_sg_x8 <= ce_22400000; ce_5600000_sg_x6 <= ce_5600000; clk_22400000_sg_x8 <= clk_22400000; clk_5600000_sg_x6 <= clk_5600000; delay2_q_net_x4 <= monit_amp_ch_in; register_q_net_x5 <= monit_amp_din; monit_amp_data0_out <= down_sample2_q_net_x1; monit_amp_data1_out <= down_sample1_q_net_x1; monit_amp_data2_out <= down_sample3_q_net_x1; monit_amp_data3_out <= down_sample4_q_net_x1; tddm_monit_amp_out_int_b60196c7a6: entity work.tddm_monit_amp_c_int_entity_554a834349 port map ( ce_22400000 => ce_22400000_sg_x8, ce_5600000 => ce_5600000_sg_x6, ch_in => delay2_q_net_x4, clk_22400000 => clk_22400000_sg_x8, clk_5600000 => clk_5600000_sg_x6, din => register_q_net_x5, dout_ch0 => down_sample2_q_net_x1, dout_ch1 => down_sample1_q_net_x1, dout_ch2 => down_sample3_q_net_x1, dout_ch3 => down_sample4_q_net_x1 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/Monit_amp" entity monit_amp_entity_44da74e268 is port ( ce_1: in std_logic; ce_1400000: in std_logic; ce_22400000: in std_logic; ce_2800000: in std_logic; ce_560: in std_logic; ce_5600000: in std_logic; ce_logic_1400000: in std_logic; ce_logic_2800000: in std_logic; ce_logic_560: in std_logic; ch_in: in std_logic_vector(1 downto 0); clk_1: in std_logic; clk_1400000: in std_logic; clk_22400000: in std_logic; clk_2800000: in std_logic; clk_560: in std_logic; clk_5600000: in std_logic; din: in std_logic_vector(23 downto 0); amp_out0: out std_logic_vector(23 downto 0); amp_out1: out std_logic_vector(23 downto 0); amp_out2: out std_logic_vector(23 downto 0); amp_out3: out std_logic_vector(23 downto 0); monit_amp_c: out std_logic_vector(23 downto 0); monit_amp_c_x0: out std_logic_vector(23 downto 0); monit_amp_c_x1: out std_logic_vector(23 downto 0); monit_amp_c_x2: out std_logic_vector(23 downto 0); monit_amp_c_x3: out std_logic; monit_amp_c_x4: out std_logic; monit_amp_c_x5: out std_logic ); end monit_amp_entity_44da74e268; architecture structural of monit_amp_entity_44da74e268 is signal ce_1400000_sg_x2: std_logic; signal ce_1_sg_x25: std_logic; signal ce_22400000_sg_x9: std_logic; signal ce_2800000_sg_x3: std_logic; signal ce_5600000_sg_x7: std_logic; signal ce_560_sg_x1: std_logic; signal ce_logic_1400000_sg_x1: std_logic; signal ce_logic_2800000_sg_x1: std_logic; signal ce_logic_560_sg_x1: std_logic; signal ch_out_x1: std_logic_vector(1 downto 0); signal clk_1400000_sg_x2: std_logic; signal clk_1_sg_x25: std_logic; signal clk_22400000_sg_x9: std_logic; signal clk_2800000_sg_x3: std_logic; signal clk_5600000_sg_x7: std_logic; signal clk_560_sg_x1: std_logic; signal delay2_q_net_x4: std_logic_vector(1 downto 0); signal dout_x1: std_logic_vector(23 downto 0); signal down_sample1_q_net_x3: std_logic_vector(23 downto 0); signal down_sample1_q_net_x4: std_logic_vector(23 downto 0); signal down_sample2_q_net_x3: std_logic_vector(23 downto 0); signal down_sample2_q_net_x4: std_logic_vector(23 downto 0); signal down_sample3_q_net_x3: std_logic_vector(23 downto 0); signal down_sample3_q_net_x4: std_logic_vector(23 downto 0); signal down_sample4_q_net_x3: std_logic_vector(23 downto 0); signal down_sample4_q_net_x4: std_logic_vector(23 downto 0); signal monit_cfir_event_s_data_chanid_incorrect_net_x1: std_logic; signal monit_cic_event_tlast_unexpected_net_x1: std_logic; signal monit_pfir_event_s_data_chanid_incorrect_net_x1: std_logic; signal register_q_net_x5: std_logic_vector(23 downto 0); begin ce_1_sg_x25 <= ce_1; ce_1400000_sg_x2 <= ce_1400000; ce_22400000_sg_x9 <= ce_22400000; ce_2800000_sg_x3 <= ce_2800000; ce_560_sg_x1 <= ce_560; ce_5600000_sg_x7 <= ce_5600000; ce_logic_1400000_sg_x1 <= ce_logic_1400000; ce_logic_2800000_sg_x1 <= ce_logic_2800000; ce_logic_560_sg_x1 <= ce_logic_560; ch_out_x1 <= ch_in; clk_1_sg_x25 <= clk_1; clk_1400000_sg_x2 <= clk_1400000; clk_22400000_sg_x9 <= clk_22400000; clk_2800000_sg_x3 <= clk_2800000; clk_560_sg_x1 <= clk_560; clk_5600000_sg_x7 <= clk_5600000; dout_x1 <= din; amp_out0 <= down_sample2_q_net_x4; amp_out1 <= down_sample1_q_net_x4; amp_out2 <= down_sample3_q_net_x4; amp_out3 <= down_sample4_q_net_x4; monit_amp_c <= down_sample1_q_net_x3; monit_amp_c_x0 <= down_sample2_q_net_x3; monit_amp_c_x1 <= down_sample3_q_net_x3; monit_amp_c_x2 <= down_sample4_q_net_x3; monit_amp_c_x3 <= monit_cfir_event_s_data_chanid_incorrect_net_x1; monit_amp_c_x4 <= monit_cic_event_tlast_unexpected_net_x1; monit_amp_c_x5 <= monit_pfir_event_s_data_chanid_incorrect_net_x1; monit_amp_c_c83793ea71: entity work.monit_amp_c_entity_c83793ea71 port map ( ce_1 => ce_1_sg_x25, ce_1400000 => ce_1400000_sg_x2, ce_22400000 => ce_22400000_sg_x9, ce_2800000 => ce_2800000_sg_x3, ce_560 => ce_560_sg_x1, ce_5600000 => ce_5600000_sg_x7, ce_logic_1400000 => ce_logic_1400000_sg_x1, ce_logic_2800000 => ce_logic_2800000_sg_x1, ce_logic_560 => ce_logic_560_sg_x1, ch_in => ch_out_x1, clk_1 => clk_1_sg_x25, clk_1400000 => clk_1400000_sg_x2, clk_22400000 => clk_22400000_sg_x9, clk_2800000 => clk_2800000_sg_x3, clk_560 => clk_560_sg_x1, clk_5600000 => clk_5600000_sg_x7, din => dout_x1, amp_out => register_q_net_x5, ch_out_x1 => delay2_q_net_x4, monit_cfir_x0 => monit_cfir_event_s_data_chanid_incorrect_net_x1, monit_cic_x0 => monit_cic_event_tlast_unexpected_net_x1, monit_pfir_x0 => monit_pfir_event_s_data_chanid_incorrect_net_x1, tddm_monit_amp_c => down_sample1_q_net_x3, tddm_monit_amp_c_x0 => down_sample2_q_net_x3, tddm_monit_amp_c_x1 => down_sample3_q_net_x3, tddm_monit_amp_c_x2 => down_sample4_q_net_x3 ); tddm_monit_amp_out_521eb373cc: entity work.tddm_monit_amp_out_entity_521eb373cc port map ( ce_22400000 => ce_22400000_sg_x9, ce_5600000 => ce_5600000_sg_x7, clk_22400000 => clk_22400000_sg_x9, clk_5600000 => clk_5600000_sg_x7, monit_amp_ch_in => delay2_q_net_x4, monit_amp_din => register_q_net_x5, monit_amp_data0_out => down_sample2_q_net_x4, monit_amp_data1_out => down_sample1_q_net_x4, monit_amp_data2_out => down_sample3_q_net_x4, monit_amp_data3_out => down_sample4_q_net_x4 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/TBT_amp/TBT_amp0/TBT_CORDIC/TDDM_tbt_cordic/TDDM_tbt_cordic" entity tddm_tbt_cordic_entity_5b94be40c5 is port ( ce_35: in std_logic; ce_70: in std_logic; ch_in: in std_logic; clk_35: in std_logic; clk_70: in std_logic; din: in std_logic_vector(23 downto 0); dout_ch0: out std_logic_vector(23 downto 0); dout_ch1: out std_logic_vector(23 downto 0) ); end tddm_tbt_cordic_entity_5b94be40c5; architecture structural of tddm_tbt_cordic_entity_5b94be40c5 is signal ce_35_sg_x0: std_logic; signal ce_70_sg_x4: std_logic; signal clk_35_sg_x0: std_logic; signal clk_70_sg_x4: std_logic; signal constant1_op_net: std_logic; signal constant_op_net: std_logic; signal down_sample1_q_net_x0: std_logic_vector(23 downto 0); signal down_sample2_q_net_x0: std_logic_vector(23 downto 0); signal p_amp_out_x0: std_logic_vector(23 downto 0); signal p_ch_out_x0: std_logic; signal register1_q_net: std_logic_vector(23 downto 0); signal register_q_net: std_logic_vector(23 downto 0); signal relational1_op_net: std_logic; signal relational_op_net: std_logic; begin ce_35_sg_x0 <= ce_35; ce_70_sg_x4 <= ce_70; p_ch_out_x0 <= ch_in; clk_35_sg_x0 <= clk_35; clk_70_sg_x4 <= clk_70; p_amp_out_x0 <= din; dout_ch0 <= down_sample2_q_net_x0; dout_ch1 <= down_sample1_q_net_x0; constant1: entity work.constant_963ed6358a port map ( ce => '0', clk => '0', clr => '0', op(0) => constant1_op_net ); constant_x0: entity work.constant_6293007044 port map ( ce => '0', clk => '0', clr => '0', op(0) => constant_op_net ); down_sample1: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 22, d_width => 24, ds_ratio => 2, latency => 1, phase => 1, q_arith => xlSigned, q_bin_pt => 22, q_width => 24 ) port map ( d => register1_q_net, dest_ce => ce_70_sg_x4, dest_clk => clk_70_sg_x4, dest_clr => '0', en => "1", src_ce => ce_35_sg_x0, src_clk => clk_35_sg_x0, src_clr => '0', q => down_sample1_q_net_x0 ); down_sample2: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 22, d_width => 24, ds_ratio => 2, latency => 1, phase => 1, q_arith => xlSigned, q_bin_pt => 22, q_width => 24 ) port map ( d => register_q_net, dest_ce => ce_70_sg_x4, dest_clk => clk_70_sg_x4, dest_clr => '0', en => "1", src_ce => ce_35_sg_x0, src_clk => clk_35_sg_x0, src_clr => '0', q => down_sample2_q_net_x0 ); register1: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_35_sg_x0, clk => clk_35_sg_x0, d => p_amp_out_x0, en(0) => relational1_op_net, rst => "0", q => register1_q_net ); register_x0: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_35_sg_x0, clk => clk_35_sg_x0, d => p_amp_out_x0, en(0) => relational_op_net, rst => "0", q => register_q_net ); relational: entity work.relational_a892e1bf40 port map ( a(0) => p_ch_out_x0, b(0) => constant_op_net, ce => ce_35_sg_x0, clk => clk_35_sg_x0, clr => '0', op(0) => relational_op_net ); relational1: entity work.relational_a892e1bf40 port map ( a(0) => p_ch_out_x0, b(0) => constant1_op_net, ce => ce_35_sg_x0, clk => clk_35_sg_x0, clr => '0', op(0) => relational1_op_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/TBT_amp/TBT_amp0/TBT_CORDIC/TDDM_tbt_cordic/TDDM_tbt_cordic1" entity tddm_tbt_cordic1_entity_d3f44a687c is port ( ce_35: in std_logic; ce_70: in std_logic; ch_in: in std_logic; clk_35: in std_logic; clk_70: in std_logic; din: in std_logic_vector(23 downto 0); dout_ch0: out std_logic_vector(23 downto 0); dout_ch1: out std_logic_vector(23 downto 0) ); end tddm_tbt_cordic1_entity_d3f44a687c; architecture structural of tddm_tbt_cordic1_entity_d3f44a687c is signal ce_35_sg_x1: std_logic; signal ce_70_sg_x5: std_logic; signal clk_35_sg_x1: std_logic; signal clk_70_sg_x5: std_logic; signal constant1_op_net: std_logic; signal constant_op_net: std_logic; signal down_sample1_q_net_x0: std_logic_vector(23 downto 0); signal down_sample2_q_net_x0: std_logic_vector(23 downto 0); signal p_ch_out_x1: std_logic; signal p_phase_out_x0: std_logic_vector(23 downto 0); signal register1_q_net: std_logic_vector(23 downto 0); signal register_q_net: std_logic_vector(23 downto 0); signal relational1_op_net: std_logic; signal relational_op_net: std_logic; begin ce_35_sg_x1 <= ce_35; ce_70_sg_x5 <= ce_70; p_ch_out_x1 <= ch_in; clk_35_sg_x1 <= clk_35; clk_70_sg_x5 <= clk_70; p_phase_out_x0 <= din; dout_ch0 <= down_sample2_q_net_x0; dout_ch1 <= down_sample1_q_net_x0; constant1: entity work.constant_963ed6358a port map ( ce => '0', clk => '0', clr => '0', op(0) => constant1_op_net ); constant_x0: entity work.constant_6293007044 port map ( ce => '0', clk => '0', clr => '0', op(0) => constant_op_net ); down_sample1: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 21, d_width => 24, ds_ratio => 2, latency => 1, phase => 1, q_arith => xlSigned, q_bin_pt => 21, q_width => 24 ) port map ( d => register1_q_net, dest_ce => ce_70_sg_x5, dest_clk => clk_70_sg_x5, dest_clr => '0', en => "1", src_ce => ce_35_sg_x1, src_clk => clk_35_sg_x1, src_clr => '0', q => down_sample1_q_net_x0 ); down_sample2: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 21, d_width => 24, ds_ratio => 2, latency => 1, phase => 1, q_arith => xlSigned, q_bin_pt => 21, q_width => 24 ) port map ( d => register_q_net, dest_ce => ce_70_sg_x5, dest_clk => clk_70_sg_x5, dest_clr => '0', en => "1", src_ce => ce_35_sg_x1, src_clk => clk_35_sg_x1, src_clr => '0', q => down_sample2_q_net_x0 ); register1: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_35_sg_x1, clk => clk_35_sg_x1, d => p_phase_out_x0, en(0) => relational1_op_net, rst => "0", q => register1_q_net ); register_x0: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_35_sg_x1, clk => clk_35_sg_x1, d => p_phase_out_x0, en(0) => relational_op_net, rst => "0", q => register_q_net ); relational: entity work.relational_a892e1bf40 port map ( a(0) => p_ch_out_x1, b(0) => constant_op_net, ce => ce_35_sg_x1, clk => clk_35_sg_x1, clr => '0', op(0) => relational_op_net ); relational1: entity work.relational_a892e1bf40 port map ( a(0) => p_ch_out_x1, b(0) => constant1_op_net, ce => ce_35_sg_x1, clk => clk_35_sg_x1, clr => '0', op(0) => relational1_op_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/TBT_amp/TBT_amp0/TBT_CORDIC/TDDM_tbt_cordic" entity tddm_tbt_cordic_entity_18d3979a26 is port ( ce_35: in std_logic; ce_70: in std_logic; clk_35: in std_logic; clk_70: in std_logic; tbt_cordic_ch_in: in std_logic; tbt_cordic_din: in std_logic_vector(23 downto 0); tbt_cordic_pin: in std_logic_vector(23 downto 0); tbt_cordic_data0_out: out std_logic_vector(23 downto 0); tbt_cordic_data1_out: out std_logic_vector(23 downto 0); tbt_cordic_phase0_out: out std_logic_vector(23 downto 0); tbt_cordic_phase1_out: out std_logic_vector(23 downto 0) ); end tddm_tbt_cordic_entity_18d3979a26; architecture structural of tddm_tbt_cordic_entity_18d3979a26 is signal ce_35_sg_x2: std_logic; signal ce_70_sg_x6: std_logic; signal clk_35_sg_x2: std_logic; signal clk_70_sg_x6: std_logic; signal down_sample1_q_net_x2: std_logic_vector(23 downto 0); signal down_sample1_q_net_x3: std_logic_vector(23 downto 0); signal down_sample2_q_net_x2: std_logic_vector(23 downto 0); signal down_sample2_q_net_x3: std_logic_vector(23 downto 0); signal p_amp_out_x1: std_logic_vector(23 downto 0); signal p_ch_out_x2: std_logic; signal p_phase_out_x1: std_logic_vector(23 downto 0); begin ce_35_sg_x2 <= ce_35; ce_70_sg_x6 <= ce_70; clk_35_sg_x2 <= clk_35; clk_70_sg_x6 <= clk_70; p_ch_out_x2 <= tbt_cordic_ch_in; p_amp_out_x1 <= tbt_cordic_din; p_phase_out_x1 <= tbt_cordic_pin; tbt_cordic_data0_out <= down_sample2_q_net_x2; tbt_cordic_data1_out <= down_sample1_q_net_x2; tbt_cordic_phase0_out <= down_sample2_q_net_x3; tbt_cordic_phase1_out <= down_sample1_q_net_x3; tddm_tbt_cordic1_d3f44a687c: entity work.tddm_tbt_cordic1_entity_d3f44a687c port map ( ce_35 => ce_35_sg_x2, ce_70 => ce_70_sg_x6, ch_in => p_ch_out_x2, clk_35 => clk_35_sg_x2, clk_70 => clk_70_sg_x6, din => p_phase_out_x1, dout_ch0 => down_sample2_q_net_x3, dout_ch1 => down_sample1_q_net_x3 ); tddm_tbt_cordic_5b94be40c5: entity work.tddm_tbt_cordic_entity_5b94be40c5 port map ( ce_35 => ce_35_sg_x2, ce_70 => ce_70_sg_x6, ch_in => p_ch_out_x2, clk_35 => clk_35_sg_x2, clk_70 => clk_70_sg_x6, din => p_amp_out_x1, dout_ch0 => down_sample2_q_net_x2, dout_ch1 => down_sample1_q_net_x2 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/TBT_amp/TBT_amp0/TBT_CORDIC" entity tbt_cordic_entity_232cb2e43e is port ( ce_1: in std_logic; ce_35: in std_logic; ce_70: in std_logic; ch_in_x0: in std_logic; clk_1: in std_logic; clk_35: in std_logic; clk_70: in std_logic; i_in: in std_logic_vector(24 downto 0); q_in: in std_logic_vector(24 downto 0); valid_in_x0: in std_logic; amp_out: out std_logic_vector(23 downto 0); ch_out_x0: out std_logic; tddm_tbt_cordic: out std_logic_vector(23 downto 0); tddm_tbt_cordic_x0: out std_logic_vector(23 downto 0); tddm_tbt_cordic_x1: out std_logic_vector(23 downto 0); tddm_tbt_cordic_x2: out std_logic_vector(23 downto 0) ); end tbt_cordic_entity_232cb2e43e; architecture structural of tbt_cordic_entity_232cb2e43e is signal ce_1_sg_x26: std_logic; signal ce_35_sg_x3: std_logic; signal ce_70_sg_x7: std_logic; signal ch_in: std_logic; signal ch_out: std_logic; signal clk_1_sg_x26: std_logic; signal clk_35_sg_x3: std_logic; signal clk_70_sg_x7: std_logic; signal down_sample1_q_net: std_logic_vector(23 downto 0); signal down_sample1_q_net_x4: std_logic_vector(23 downto 0); signal down_sample1_q_net_x5: std_logic_vector(23 downto 0); signal down_sample2_q_net: std_logic_vector(23 downto 0); signal down_sample2_q_net_x4: std_logic_vector(23 downto 0); signal down_sample2_q_net_x5: std_logic_vector(23 downto 0); signal down_sample4_q_net: std_logic; signal i: std_logic_vector(24 downto 0); signal p_amp_out_x2: std_logic_vector(23 downto 0); signal p_ch_out_x3: std_logic; signal p_phase_out_x1: std_logic_vector(23 downto 0); signal phase: std_logic_vector(23 downto 0); signal q: std_logic_vector(24 downto 0); signal real_x0: std_logic_vector(23 downto 0); signal register1_q_net: std_logic; signal register1_q_net_x1: std_logic_vector(24 downto 0); signal register2_q_net_x0: std_logic; signal register3_q_net_x0: std_logic_vector(24 downto 0); signal register4_q_net: std_logic_vector(23 downto 0); signal register5_q_net: std_logic_vector(23 downto 0); signal register6_q_net_x0: std_logic; signal reinterpret2_output_port_net: std_logic_vector(23 downto 0); signal reinterpret3_output_port_net: std_logic_vector(23 downto 0); signal valid_in: std_logic; signal valid_out: std_logic; begin ce_1_sg_x26 <= ce_1; ce_35_sg_x3 <= ce_35; ce_70_sg_x7 <= ce_70; register2_q_net_x0 <= ch_in_x0; clk_1_sg_x26 <= clk_1; clk_35_sg_x3 <= clk_35; clk_70_sg_x7 <= clk_70; register3_q_net_x0 <= i_in; register1_q_net_x1 <= q_in; register6_q_net_x0 <= valid_in_x0; amp_out <= p_amp_out_x2; ch_out_x0 <= p_ch_out_x3; tddm_tbt_cordic <= down_sample1_q_net_x4; tddm_tbt_cordic_x0 <= down_sample2_q_net_x4; tddm_tbt_cordic_x1 <= down_sample1_q_net_x5; tddm_tbt_cordic_x2 <= down_sample2_q_net_x5; assert1: entity work.xlpassthrough generic map ( din_width => 24, dout_width => 24 ) port map ( din => down_sample1_q_net, dout => p_phase_out_x1 ); assert2: entity work.xlpassthrough generic map ( din_width => 24, dout_width => 24 ) port map ( din => down_sample2_q_net, dout => p_amp_out_x2 ); assert3: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => down_sample4_q_net, dout(0) => p_ch_out_x3 ); down_sample1: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 21, d_width => 24, ds_ratio => 35, latency => 1, phase => 34, q_arith => xlSigned, q_bin_pt => 21, q_width => 24 ) port map ( d => register4_q_net, dest_ce => ce_35_sg_x3, dest_clk => clk_35_sg_x3, dest_clr => '0', en => "1", src_ce => ce_1_sg_x26, src_clk => clk_1_sg_x26, src_clr => '0', q => down_sample1_q_net ); down_sample2: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 22, d_width => 24, ds_ratio => 35, latency => 1, phase => 34, q_arith => xlSigned, q_bin_pt => 22, q_width => 24 ) port map ( d => register5_q_net, dest_ce => ce_35_sg_x3, dest_clk => clk_35_sg_x3, dest_clr => '0', en => "1", src_ce => ce_1_sg_x26, src_clk => clk_1_sg_x26, src_clr => '0', q => down_sample2_q_net ); down_sample4: entity work.xldsamp generic map ( d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, ds_ratio => 35, latency => 1, phase => 34, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => register1_q_net, dest_ce => ce_35_sg_x3, dest_clk => clk_35_sg_x3, dest_clr => '0', en => "1", src_ce => ce_1_sg_x26, src_clk => clk_1_sg_x26, src_clr => '0', q(0) => down_sample4_q_net ); rect2pol: entity work.xlcordic_baddbff1b3cb5131976384a2dda9ffff port map ( ce => ce_1_sg_x26, clk => clk_1_sg_x26, s_axis_cartesian_tdata_imag => q, s_axis_cartesian_tdata_real => i, s_axis_cartesian_tuser_user(0) => ch_in, s_axis_cartesian_tvalid => valid_in, m_axis_dout_tdata_phase => phase, m_axis_dout_tdata_real => real_x0, m_axis_dout_tuser_cartesian_tuser(0) => ch_out, m_axis_dout_tvalid => valid_out ); register1: entity work.xlregister generic map ( d_width => 1, init_value => b"0" ) port map ( ce => ce_1_sg_x26, clk => clk_1_sg_x26, d(0) => ch_out, en(0) => valid_out, rst => "0", q(0) => register1_q_net ); register4: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_1_sg_x26, clk => clk_1_sg_x26, d => reinterpret2_output_port_net, en(0) => valid_out, rst => "0", q => register4_q_net ); register5: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_1_sg_x26, clk => clk_1_sg_x26, d => reinterpret3_output_port_net, en(0) => valid_out, rst => "0", q => register5_q_net ); reinterpret2: entity work.reinterpret_b62f4240f0 port map ( ce => '0', clk => '0', clr => '0', input_port => phase, output_port => reinterpret2_output_port_net ); reinterpret3: entity work.reinterpret_b62f4240f0 port map ( ce => '0', clk => '0', clr => '0', input_port => real_x0, output_port => reinterpret3_output_port_net ); tddm_tbt_cordic_18d3979a26: entity work.tddm_tbt_cordic_entity_18d3979a26 port map ( ce_35 => ce_35_sg_x3, ce_70 => ce_70_sg_x7, clk_35 => clk_35_sg_x3, clk_70 => clk_70_sg_x7, tbt_cordic_ch_in => p_ch_out_x3, tbt_cordic_din => p_amp_out_x2, tbt_cordic_pin => p_phase_out_x1, tbt_cordic_data0_out => down_sample2_q_net_x4, tbt_cordic_data1_out => down_sample1_q_net_x4, tbt_cordic_phase0_out => down_sample2_q_net_x5, tbt_cordic_phase1_out => down_sample1_q_net_x5 ); up_sample: entity work.xlusamp generic map ( copy_samples => 0, d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, latency => 0, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => register6_q_net_x0, dest_ce => ce_1_sg_x26, dest_clk => clk_1_sg_x26, dest_clr => '0', en => "1", src_ce => ce_35_sg_x3, src_clk => clk_35_sg_x3, src_clr => '0', q(0) => valid_in ); up_sample1: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 23, d_width => 25, latency => 0, q_arith => xlSigned, q_bin_pt => 23, q_width => 25 ) port map ( d => register1_q_net_x1, dest_ce => ce_1_sg_x26, dest_clk => clk_1_sg_x26, dest_clr => '0', en => "1", src_ce => ce_35_sg_x3, src_clk => clk_35_sg_x3, src_clr => '0', q => q ); up_sample2: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 23, d_width => 25, latency => 0, q_arith => xlSigned, q_bin_pt => 23, q_width => 25 ) port map ( d => register3_q_net_x0, dest_ce => ce_1_sg_x26, dest_clk => clk_1_sg_x26, dest_clr => '0', en => "1", src_ce => ce_35_sg_x3, src_clk => clk_35_sg_x3, src_clr => '0', q => i ); up_sample3: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, latency => 0, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => register2_q_net_x0, dest_ce => ce_1_sg_x26, dest_clk => clk_1_sg_x26, dest_clr => '0', en => "1", src_ce => ce_35_sg_x3, src_clk => clk_35_sg_x3, src_clr => '0', q(0) => ch_in ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/TBT_amp/TBT_amp0/TBT_poly_decim/TDDM_TBT/TDDM_tbt_poly_i" entity tddm_tbt_poly_i_entity_469601736c is port ( ce_35: in std_logic; ce_70: in std_logic; ch_in: in std_logic; clk_35: in std_logic; clk_70: in std_logic; din: in std_logic_vector(23 downto 0); dout_ch0: out std_logic_vector(23 downto 0); dout_ch1: out std_logic_vector(23 downto 0) ); end tddm_tbt_poly_i_entity_469601736c; architecture structural of tddm_tbt_poly_i_entity_469601736c is signal ce_35_sg_x4: std_logic; signal ce_70_sg_x8: std_logic; signal clk_35_sg_x4: std_logic; signal clk_70_sg_x8: std_logic; signal constant1_op_net: std_logic_vector(1 downto 0); signal constant_op_net: std_logic; signal down_sample1_q_net_x0: std_logic_vector(23 downto 0); signal down_sample2_q_net_x0: std_logic_vector(23 downto 0); signal register1_q_net: std_logic_vector(23 downto 0); signal register2_q_net_x1: std_logic; signal register_q_net: std_logic_vector(23 downto 0); signal reinterpret_output_port_net_x0: std_logic_vector(23 downto 0); signal relational1_op_net: std_logic; signal relational_op_net: std_logic; begin ce_35_sg_x4 <= ce_35; ce_70_sg_x8 <= ce_70; register2_q_net_x1 <= ch_in; clk_35_sg_x4 <= clk_35; clk_70_sg_x8 <= clk_70; reinterpret_output_port_net_x0 <= din; dout_ch0 <= down_sample2_q_net_x0; dout_ch1 <= down_sample1_q_net_x0; constant1: entity work.constant_cda50df78a port map ( ce => '0', clk => '0', clr => '0', op => constant1_op_net ); constant_x0: entity work.constant_6293007044 port map ( ce => '0', clk => '0', clr => '0', op(0) => constant_op_net ); down_sample1: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 22, d_width => 24, ds_ratio => 2, latency => 1, phase => 1, q_arith => xlSigned, q_bin_pt => 22, q_width => 24 ) port map ( d => register1_q_net, dest_ce => ce_70_sg_x8, dest_clk => clk_70_sg_x8, dest_clr => '0', en => "1", src_ce => ce_35_sg_x4, src_clk => clk_35_sg_x4, src_clr => '0', q => down_sample1_q_net_x0 ); down_sample2: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 22, d_width => 24, ds_ratio => 2, latency => 1, phase => 1, q_arith => xlSigned, q_bin_pt => 22, q_width => 24 ) port map ( d => register_q_net, dest_ce => ce_70_sg_x8, dest_clk => clk_70_sg_x8, dest_clr => '0', en => "1", src_ce => ce_35_sg_x4, src_clk => clk_35_sg_x4, src_clr => '0', q => down_sample2_q_net_x0 ); register1: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_35_sg_x4, clk => clk_35_sg_x4, d => reinterpret_output_port_net_x0, en(0) => relational1_op_net, rst => "0", q => register1_q_net ); register_x0: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_35_sg_x4, clk => clk_35_sg_x4, d => reinterpret_output_port_net_x0, en(0) => relational_op_net, rst => "0", q => register_q_net ); relational: entity work.relational_a892e1bf40 port map ( a(0) => register2_q_net_x1, b(0) => constant_op_net, ce => ce_35_sg_x4, clk => clk_35_sg_x4, clr => '0', op(0) => relational_op_net ); relational1: entity work.relational_d29d27b7b3 port map ( a(0) => register2_q_net_x1, b => constant1_op_net, ce => ce_35_sg_x4, clk => clk_35_sg_x4, clr => '0', op(0) => relational1_op_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/TBT_amp/TBT_amp0/TBT_poly_decim/TDDM_TBT" entity tddm_tbt_entity_9ac9f65b0b is port ( ce_35: in std_logic; ce_70: in std_logic; clk_35: in std_logic; clk_70: in std_logic; tbt_ch_in: in std_logic; tbt_i_in: in std_logic_vector(23 downto 0); tbt_q_in: in std_logic_vector(23 downto 0); poly35_ch0_i_out: out std_logic_vector(23 downto 0); poly35_ch0_q_out: out std_logic_vector(23 downto 0); poly35_ch1_i_out: out std_logic_vector(23 downto 0); poly35_ch1_q_out: out std_logic_vector(23 downto 0) ); end tddm_tbt_entity_9ac9f65b0b; architecture structural of tddm_tbt_entity_9ac9f65b0b is signal ce_35_sg_x6: std_logic; signal ce_70_sg_x10: std_logic; signal clk_35_sg_x6: std_logic; signal clk_70_sg_x10: std_logic; signal down_sample1_q_net_x2: std_logic_vector(23 downto 0); signal down_sample1_q_net_x3: std_logic_vector(23 downto 0); signal down_sample2_q_net_x2: std_logic_vector(23 downto 0); signal down_sample2_q_net_x3: std_logic_vector(23 downto 0); signal register2_q_net_x3: std_logic; signal reinterpret_output_port_net_x2: std_logic_vector(23 downto 0); signal reinterpret_output_port_net_x3: std_logic_vector(23 downto 0); begin ce_35_sg_x6 <= ce_35; ce_70_sg_x10 <= ce_70; clk_35_sg_x6 <= clk_35; clk_70_sg_x10 <= clk_70; register2_q_net_x3 <= tbt_ch_in; reinterpret_output_port_net_x3 <= tbt_i_in; reinterpret_output_port_net_x2 <= tbt_q_in; poly35_ch0_i_out <= down_sample2_q_net_x2; poly35_ch0_q_out <= down_sample2_q_net_x3; poly35_ch1_i_out <= down_sample1_q_net_x2; poly35_ch1_q_out <= down_sample1_q_net_x3; tddm_tbt_poly_i_469601736c: entity work.tddm_tbt_poly_i_entity_469601736c port map ( ce_35 => ce_35_sg_x6, ce_70 => ce_70_sg_x10, ch_in => register2_q_net_x3, clk_35 => clk_35_sg_x6, clk_70 => clk_70_sg_x10, din => reinterpret_output_port_net_x3, dout_ch0 => down_sample2_q_net_x2, dout_ch1 => down_sample1_q_net_x2 ); tddm_tbt_poly_q_8011b4e29e: entity work.tddm_tbt_poly_i_entity_469601736c port map ( ce_35 => ce_35_sg_x6, ce_70 => ce_70_sg_x10, ch_in => register2_q_net_x3, clk_35 => clk_35_sg_x6, clk_70 => clk_70_sg_x10, din => reinterpret_output_port_net_x2, dout_ch0 => down_sample2_q_net_x3, dout_ch1 => down_sample1_q_net_x3 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/TBT_amp/TBT_amp0/TBT_poly_decim/Trunc" entity trunc_entity_e5eda8a5ac is port ( din: in std_logic_vector(24 downto 0); dout: out std_logic_vector(23 downto 0) ); end trunc_entity_e5eda8a5ac; architecture structural of trunc_entity_e5eda8a5ac is signal register1_q_net_x2: std_logic_vector(24 downto 0); signal reinterpret_output_port_net_x3: std_logic_vector(23 downto 0); signal slice_y_net: std_logic_vector(23 downto 0); begin register1_q_net_x2 <= din; dout <= reinterpret_output_port_net_x3; reinterpret: entity work.reinterpret_4bf1ad328a port map ( ce => '0', clk => '0', clr => '0', input_port => slice_y_net, output_port => reinterpret_output_port_net_x3 ); slice: entity work.xlslice generic map ( new_lsb => 1, new_msb => 24, x_width => 25, y_width => 24 ) port map ( x => register1_q_net_x2, y => slice_y_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/TBT_amp/TBT_amp0/TBT_poly_decim" entity tbt_poly_decim_entity_4477ec06c2 is port ( ce_1: in std_logic; ce_35: in std_logic; ce_70: in std_logic; ce_logic_1: in std_logic; ch_in: in std_logic; clk_1: in std_logic; clk_35: in std_logic; clk_70: in std_logic; i_in: in std_logic_vector(23 downto 0); q_in: in std_logic_vector(23 downto 0); ch_out: out std_logic; i_out: out std_logic_vector(24 downto 0); q_out: out std_logic_vector(24 downto 0); tbt_poly_x0: out std_logic; tddm_tbt: out std_logic_vector(23 downto 0); tddm_tbt_x0: out std_logic_vector(23 downto 0); tddm_tbt_x1: out std_logic_vector(23 downto 0); tddm_tbt_x2: out std_logic_vector(23 downto 0); valid_out: out std_logic ); end tbt_poly_decim_entity_4477ec06c2; architecture structural of tbt_poly_decim_entity_4477ec06c2 is signal ce_1_sg_x27: std_logic; signal ce_35_sg_x7: std_logic; signal ce_70_sg_x11: std_logic; signal ce_logic_1_sg_x12: std_logic; signal clk_1_sg_x27: std_logic; signal clk_35_sg_x7: std_logic; signal clk_70_sg_x11: std_logic; signal down_sample1_q_net_x4: std_logic_vector(23 downto 0); signal down_sample1_q_net_x5: std_logic_vector(23 downto 0); signal down_sample2_q_net_x4: std_logic_vector(23 downto 0); signal down_sample2_q_net_x5: std_logic_vector(23 downto 0); signal register1_q_net_x3: std_logic_vector(24 downto 0); signal register2_q_net_x4: std_logic; signal register3_q_net_x12: std_logic; signal register3_q_net_x2: std_logic_vector(24 downto 0); signal register4_q_net_x11: std_logic_vector(23 downto 0); signal register5_q_net_x11: std_logic_vector(23 downto 0); signal register6_q_net_x1: std_logic; signal reinterpret1_output_port_net: std_logic_vector(24 downto 0); signal reinterpret_output_port_net: std_logic_vector(24 downto 0); signal reinterpret_output_port_net_x3: std_logic_vector(23 downto 0); signal reinterpret_output_port_net_x4: std_logic_vector(23 downto 0); signal tbt_poly_event_s_data_chanid_incorrect_net_x0: std_logic; signal tbt_poly_m_axis_data_tdata_path0_net: std_logic_vector(24 downto 0); signal tbt_poly_m_axis_data_tdata_path1_net: std_logic_vector(24 downto 0); signal tbt_poly_m_axis_data_tuser_chanid_net: std_logic; signal tbt_poly_m_axis_data_tvalid_net: std_logic; begin ce_1_sg_x27 <= ce_1; ce_35_sg_x7 <= ce_35; ce_70_sg_x11 <= ce_70; ce_logic_1_sg_x12 <= ce_logic_1; register3_q_net_x12 <= ch_in; clk_1_sg_x27 <= clk_1; clk_35_sg_x7 <= clk_35; clk_70_sg_x11 <= clk_70; register4_q_net_x11 <= i_in; register5_q_net_x11 <= q_in; ch_out <= register2_q_net_x4; i_out <= register3_q_net_x2; q_out <= register1_q_net_x3; tbt_poly_x0 <= tbt_poly_event_s_data_chanid_incorrect_net_x0; tddm_tbt <= down_sample1_q_net_x4; tddm_tbt_x0 <= down_sample2_q_net_x4; tddm_tbt_x1 <= down_sample1_q_net_x5; tddm_tbt_x2 <= down_sample2_q_net_x5; valid_out <= register6_q_net_x1; register1: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_35_sg_x7, clk => clk_35_sg_x7, d => reinterpret_output_port_net, en(0) => tbt_poly_m_axis_data_tvalid_net, rst => "0", q => register1_q_net_x3 ); register2: entity work.xlregister generic map ( d_width => 1, init_value => b"0" ) port map ( ce => ce_35_sg_x7, clk => clk_35_sg_x7, d(0) => tbt_poly_m_axis_data_tuser_chanid_net, en => "1", rst => "0", q(0) => register2_q_net_x4 ); register3: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_35_sg_x7, clk => clk_35_sg_x7, d => reinterpret1_output_port_net, en(0) => tbt_poly_m_axis_data_tvalid_net, rst => "0", q => register3_q_net_x2 ); register6: entity work.xlregister generic map ( d_width => 1, init_value => b"0" ) port map ( ce => ce_35_sg_x7, clk => clk_35_sg_x7, d(0) => tbt_poly_m_axis_data_tvalid_net, en => "1", rst => "0", q(0) => register6_q_net_x1 ); reinterpret: entity work.reinterpret_31a4235b32 port map ( ce => '0', clk => '0', clr => '0', input_port => tbt_poly_m_axis_data_tdata_path1_net, output_port => reinterpret_output_port_net ); reinterpret1: entity work.reinterpret_31a4235b32 port map ( ce => '0', clk => '0', clr => '0', input_port => tbt_poly_m_axis_data_tdata_path0_net, output_port => reinterpret1_output_port_net ); tbt_poly: entity work.xlfir_compiler_6508759a07908936c4d12ef4ec464ceb port map ( ce => ce_1_sg_x27, ce_35 => ce_35_sg_x7, ce_logic_1 => ce_logic_1_sg_x12, clk => clk_1_sg_x27, clk_35 => clk_35_sg_x7, clk_logic_1 => clk_1_sg_x27, s_axis_data_tdata_path0 => register4_q_net_x11, s_axis_data_tdata_path1 => register5_q_net_x11, s_axis_data_tuser_chanid(0) => register3_q_net_x12, src_ce => ce_1_sg_x27, src_clk => clk_1_sg_x27, event_s_data_chanid_incorrect => tbt_poly_event_s_data_chanid_incorrect_net_x0, m_axis_data_tdata_path0 => tbt_poly_m_axis_data_tdata_path0_net, m_axis_data_tdata_path1 => tbt_poly_m_axis_data_tdata_path1_net, m_axis_data_tuser_chanid(0) => tbt_poly_m_axis_data_tuser_chanid_net, m_axis_data_tvalid => tbt_poly_m_axis_data_tvalid_net ); tddm_tbt_9ac9f65b0b: entity work.tddm_tbt_entity_9ac9f65b0b port map ( ce_35 => ce_35_sg_x7, ce_70 => ce_70_sg_x11, clk_35 => clk_35_sg_x7, clk_70 => clk_70_sg_x11, tbt_ch_in => register2_q_net_x4, tbt_i_in => reinterpret_output_port_net_x4, tbt_q_in => reinterpret_output_port_net_x3, poly35_ch0_i_out => down_sample2_q_net_x4, poly35_ch0_q_out => down_sample2_q_net_x5, poly35_ch1_i_out => down_sample1_q_net_x4, poly35_ch1_q_out => down_sample1_q_net_x5 ); trunc1_841a61ebcc: entity work.trunc_entity_e5eda8a5ac port map ( din => register3_q_net_x2, dout => reinterpret_output_port_net_x4 ); trunc_e5eda8a5ac: entity work.trunc_entity_e5eda8a5ac port map ( din => register1_q_net_x3, dout => reinterpret_output_port_net_x3 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/TBT_amp/TBT_amp0" entity tbt_amp0_entity_88b1c45f0e is port ( ce_1: in std_logic; ce_35: in std_logic; ce_70: in std_logic; ce_logic_1: in std_logic; ch_in: in std_logic; clk_1: in std_logic; clk_35: in std_logic; clk_70: in std_logic; i_in: in std_logic_vector(23 downto 0); q_in: in std_logic_vector(23 downto 0); amp_out: out std_logic_vector(23 downto 0); ch_out: out std_logic; tbt_cordic: out std_logic_vector(23 downto 0); tbt_cordic_x0: out std_logic_vector(23 downto 0); tbt_cordic_x1: out std_logic_vector(23 downto 0); tbt_cordic_x2: out std_logic_vector(23 downto 0); tbt_poly_decim: out std_logic; tbt_poly_decim_x0: out std_logic_vector(23 downto 0); tbt_poly_decim_x1: out std_logic_vector(23 downto 0); tbt_poly_decim_x2: out std_logic_vector(23 downto 0); tbt_poly_decim_x3: out std_logic_vector(23 downto 0) ); end tbt_amp0_entity_88b1c45f0e; architecture structural of tbt_amp0_entity_88b1c45f0e is signal ce_1_sg_x28: std_logic; signal ce_35_sg_x8: std_logic; signal ce_70_sg_x12: std_logic; signal ce_logic_1_sg_x13: std_logic; signal clk_1_sg_x28: std_logic; signal clk_35_sg_x8: std_logic; signal clk_70_sg_x12: std_logic; signal down_sample1_q_net_x10: std_logic_vector(23 downto 0); signal down_sample1_q_net_x11: std_logic_vector(23 downto 0); signal down_sample1_q_net_x8: std_logic_vector(23 downto 0); signal down_sample1_q_net_x9: std_logic_vector(23 downto 0); signal down_sample2_q_net_x10: std_logic_vector(23 downto 0); signal down_sample2_q_net_x11: std_logic_vector(23 downto 0); signal down_sample2_q_net_x8: std_logic_vector(23 downto 0); signal down_sample2_q_net_x9: std_logic_vector(23 downto 0); signal p_amp_out_x3: std_logic_vector(23 downto 0); signal p_ch_out_x4: std_logic; signal register1_q_net_x3: std_logic_vector(24 downto 0); signal register2_q_net_x4: std_logic; signal register3_q_net_x13: std_logic; signal register3_q_net_x2: std_logic_vector(24 downto 0); signal register4_q_net_x12: std_logic_vector(23 downto 0); signal register5_q_net_x12: std_logic_vector(23 downto 0); signal register6_q_net_x1: std_logic; signal tbt_poly_event_s_data_chanid_incorrect_net_x1: std_logic; begin ce_1_sg_x28 <= ce_1; ce_35_sg_x8 <= ce_35; ce_70_sg_x12 <= ce_70; ce_logic_1_sg_x13 <= ce_logic_1; register3_q_net_x13 <= ch_in; clk_1_sg_x28 <= clk_1; clk_35_sg_x8 <= clk_35; clk_70_sg_x12 <= clk_70; register4_q_net_x12 <= i_in; register5_q_net_x12 <= q_in; amp_out <= p_amp_out_x3; ch_out <= p_ch_out_x4; tbt_cordic <= down_sample1_q_net_x8; tbt_cordic_x0 <= down_sample2_q_net_x8; tbt_cordic_x1 <= down_sample1_q_net_x9; tbt_cordic_x2 <= down_sample2_q_net_x9; tbt_poly_decim <= tbt_poly_event_s_data_chanid_incorrect_net_x1; tbt_poly_decim_x0 <= down_sample1_q_net_x10; tbt_poly_decim_x1 <= down_sample2_q_net_x10; tbt_poly_decim_x2 <= down_sample1_q_net_x11; tbt_poly_decim_x3 <= down_sample2_q_net_x11; tbt_cordic_232cb2e43e: entity work.tbt_cordic_entity_232cb2e43e port map ( ce_1 => ce_1_sg_x28, ce_35 => ce_35_sg_x8, ce_70 => ce_70_sg_x12, ch_in_x0 => register2_q_net_x4, clk_1 => clk_1_sg_x28, clk_35 => clk_35_sg_x8, clk_70 => clk_70_sg_x12, i_in => register3_q_net_x2, q_in => register1_q_net_x3, valid_in_x0 => register6_q_net_x1, amp_out => p_amp_out_x3, ch_out_x0 => p_ch_out_x4, tddm_tbt_cordic => down_sample1_q_net_x8, tddm_tbt_cordic_x0 => down_sample2_q_net_x8, tddm_tbt_cordic_x1 => down_sample1_q_net_x9, tddm_tbt_cordic_x2 => down_sample2_q_net_x9 ); tbt_poly_decim_4477ec06c2: entity work.tbt_poly_decim_entity_4477ec06c2 port map ( ce_1 => ce_1_sg_x28, ce_35 => ce_35_sg_x8, ce_70 => ce_70_sg_x12, ce_logic_1 => ce_logic_1_sg_x13, ch_in => register3_q_net_x13, clk_1 => clk_1_sg_x28, clk_35 => clk_35_sg_x8, clk_70 => clk_70_sg_x12, i_in => register4_q_net_x12, q_in => register5_q_net_x12, ch_out => register2_q_net_x4, i_out => register3_q_net_x2, q_out => register1_q_net_x3, tbt_poly_x0 => tbt_poly_event_s_data_chanid_incorrect_net_x1, tddm_tbt => down_sample1_q_net_x10, tddm_tbt_x0 => down_sample2_q_net_x10, tddm_tbt_x1 => down_sample1_q_net_x11, tddm_tbt_x2 => down_sample2_q_net_x11, valid_out => register6_q_net_x1 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/TBT_amp/TBT_amp1/TBT_CORDIC/TDDM_tbt_cordic" entity tddm_tbt_cordic_entity_9e99bd206d is port ( ce_35: in std_logic; ce_70: in std_logic; clk_35: in std_logic; clk_70: in std_logic; tbt_cordic_ch_in: in std_logic; tbt_cordic_din: in std_logic_vector(23 downto 0); tbt_cordic_pin: in std_logic_vector(23 downto 0); tbt_cordic_ch2_out: out std_logic_vector(23 downto 0); tbt_cordic_ch3_out: out std_logic_vector(23 downto 0); tbt_cordic_phase0_out: out std_logic_vector(23 downto 0); tbt_cordic_phase1_out: out std_logic_vector(23 downto 0) ); end tddm_tbt_cordic_entity_9e99bd206d; architecture structural of tddm_tbt_cordic_entity_9e99bd206d is signal ce_35_sg_x11: std_logic; signal ce_70_sg_x15: std_logic; signal clk_35_sg_x11: std_logic; signal clk_70_sg_x15: std_logic; signal down_sample1_q_net_x2: std_logic_vector(23 downto 0); signal down_sample1_q_net_x3: std_logic_vector(23 downto 0); signal down_sample2_q_net_x2: std_logic_vector(23 downto 0); signal down_sample2_q_net_x3: std_logic_vector(23 downto 0); signal p_amp_out_x1: std_logic_vector(23 downto 0); signal p_ch_out_x2: std_logic; signal p_phase_out_x1: std_logic_vector(23 downto 0); begin ce_35_sg_x11 <= ce_35; ce_70_sg_x15 <= ce_70; clk_35_sg_x11 <= clk_35; clk_70_sg_x15 <= clk_70; p_ch_out_x2 <= tbt_cordic_ch_in; p_amp_out_x1 <= tbt_cordic_din; p_phase_out_x1 <= tbt_cordic_pin; tbt_cordic_ch2_out <= down_sample2_q_net_x2; tbt_cordic_ch3_out <= down_sample1_q_net_x2; tbt_cordic_phase0_out <= down_sample2_q_net_x3; tbt_cordic_phase1_out <= down_sample1_q_net_x3; tddm_tbt_cordic1_d22fbdac88: entity work.tddm_tbt_cordic1_entity_d3f44a687c port map ( ce_35 => ce_35_sg_x11, ce_70 => ce_70_sg_x15, ch_in => p_ch_out_x2, clk_35 => clk_35_sg_x11, clk_70 => clk_70_sg_x15, din => p_phase_out_x1, dout_ch0 => down_sample2_q_net_x3, dout_ch1 => down_sample1_q_net_x3 ); tddm_tbt_cordic_f04a48283a: entity work.tddm_tbt_cordic_entity_5b94be40c5 port map ( ce_35 => ce_35_sg_x11, ce_70 => ce_70_sg_x15, ch_in => p_ch_out_x2, clk_35 => clk_35_sg_x11, clk_70 => clk_70_sg_x15, din => p_amp_out_x1, dout_ch0 => down_sample2_q_net_x2, dout_ch1 => down_sample1_q_net_x2 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/TBT_amp/TBT_amp1/TBT_CORDIC" entity tbt_cordic_entity_9dc3371de2 is port ( ce_1: in std_logic; ce_35: in std_logic; ce_70: in std_logic; ch_in_x0: in std_logic; clk_1: in std_logic; clk_35: in std_logic; clk_70: in std_logic; i_in: in std_logic_vector(24 downto 0); q_in: in std_logic_vector(24 downto 0); valid_in_x0: in std_logic; amp_out: out std_logic_vector(23 downto 0); ch_out_x0: out std_logic; tddm_tbt_cordic: out std_logic_vector(23 downto 0); tddm_tbt_cordic_x0: out std_logic_vector(23 downto 0); tddm_tbt_cordic_x1: out std_logic_vector(23 downto 0); tddm_tbt_cordic_x2: out std_logic_vector(23 downto 0) ); end tbt_cordic_entity_9dc3371de2; architecture structural of tbt_cordic_entity_9dc3371de2 is signal ce_1_sg_x29: std_logic; signal ce_35_sg_x12: std_logic; signal ce_70_sg_x16: std_logic; signal ch_in: std_logic; signal ch_out: std_logic; signal clk_1_sg_x29: std_logic; signal clk_35_sg_x12: std_logic; signal clk_70_sg_x16: std_logic; signal down_sample1_q_net: std_logic_vector(23 downto 0); signal down_sample1_q_net_x4: std_logic_vector(23 downto 0); signal down_sample1_q_net_x5: std_logic_vector(23 downto 0); signal down_sample2_q_net: std_logic_vector(23 downto 0); signal down_sample2_q_net_x4: std_logic_vector(23 downto 0); signal down_sample2_q_net_x5: std_logic_vector(23 downto 0); signal down_sample4_q_net: std_logic; signal i: std_logic_vector(24 downto 0); signal p_amp_out_x2: std_logic_vector(23 downto 0); signal p_ch_out_x3: std_logic; signal p_phase_out_x1: std_logic_vector(23 downto 0); signal phase: std_logic_vector(23 downto 0); signal q: std_logic_vector(24 downto 0); signal real_x0: std_logic_vector(23 downto 0); signal register1_q_net: std_logic; signal register1_q_net_x1: std_logic_vector(24 downto 0); signal register2_q_net_x0: std_logic; signal register3_q_net_x0: std_logic_vector(24 downto 0); signal register4_q_net: std_logic_vector(23 downto 0); signal register5_q_net: std_logic_vector(23 downto 0); signal register6_q_net_x0: std_logic; signal reinterpret2_output_port_net: std_logic_vector(23 downto 0); signal reinterpret3_output_port_net: std_logic_vector(23 downto 0); signal valid_in: std_logic; signal valid_out: std_logic; begin ce_1_sg_x29 <= ce_1; ce_35_sg_x12 <= ce_35; ce_70_sg_x16 <= ce_70; register2_q_net_x0 <= ch_in_x0; clk_1_sg_x29 <= clk_1; clk_35_sg_x12 <= clk_35; clk_70_sg_x16 <= clk_70; register3_q_net_x0 <= i_in; register1_q_net_x1 <= q_in; register6_q_net_x0 <= valid_in_x0; amp_out <= p_amp_out_x2; ch_out_x0 <= p_ch_out_x3; tddm_tbt_cordic <= down_sample1_q_net_x4; tddm_tbt_cordic_x0 <= down_sample2_q_net_x4; tddm_tbt_cordic_x1 <= down_sample1_q_net_x5; tddm_tbt_cordic_x2 <= down_sample2_q_net_x5; assert1: entity work.xlpassthrough generic map ( din_width => 24, dout_width => 24 ) port map ( din => down_sample1_q_net, dout => p_phase_out_x1 ); assert2: entity work.xlpassthrough generic map ( din_width => 24, dout_width => 24 ) port map ( din => down_sample2_q_net, dout => p_amp_out_x2 ); assert3: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => down_sample4_q_net, dout(0) => p_ch_out_x3 ); down_sample1: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 21, d_width => 24, ds_ratio => 35, latency => 1, phase => 34, q_arith => xlSigned, q_bin_pt => 21, q_width => 24 ) port map ( d => register4_q_net, dest_ce => ce_35_sg_x12, dest_clk => clk_35_sg_x12, dest_clr => '0', en => "1", src_ce => ce_1_sg_x29, src_clk => clk_1_sg_x29, src_clr => '0', q => down_sample1_q_net ); down_sample2: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 22, d_width => 24, ds_ratio => 35, latency => 1, phase => 34, q_arith => xlSigned, q_bin_pt => 22, q_width => 24 ) port map ( d => register5_q_net, dest_ce => ce_35_sg_x12, dest_clk => clk_35_sg_x12, dest_clr => '0', en => "1", src_ce => ce_1_sg_x29, src_clk => clk_1_sg_x29, src_clr => '0', q => down_sample2_q_net ); down_sample4: entity work.xldsamp generic map ( d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, ds_ratio => 35, latency => 1, phase => 34, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => register1_q_net, dest_ce => ce_35_sg_x12, dest_clk => clk_35_sg_x12, dest_clr => '0', en => "1", src_ce => ce_1_sg_x29, src_clk => clk_1_sg_x29, src_clr => '0', q(0) => down_sample4_q_net ); rect2pol: entity work.xlcordic_baddbff1b3cb5131976384a2dda9ffff port map ( ce => ce_1_sg_x29, clk => clk_1_sg_x29, s_axis_cartesian_tdata_imag => q, s_axis_cartesian_tdata_real => i, s_axis_cartesian_tuser_user(0) => ch_in, s_axis_cartesian_tvalid => valid_in, m_axis_dout_tdata_phase => phase, m_axis_dout_tdata_real => real_x0, m_axis_dout_tuser_cartesian_tuser(0) => ch_out, m_axis_dout_tvalid => valid_out ); register1: entity work.xlregister generic map ( d_width => 1, init_value => b"0" ) port map ( ce => ce_1_sg_x29, clk => clk_1_sg_x29, d(0) => ch_out, en(0) => valid_out, rst => "0", q(0) => register1_q_net ); register4: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_1_sg_x29, clk => clk_1_sg_x29, d => reinterpret2_output_port_net, en(0) => valid_out, rst => "0", q => register4_q_net ); register5: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_1_sg_x29, clk => clk_1_sg_x29, d => reinterpret3_output_port_net, en(0) => valid_out, rst => "0", q => register5_q_net ); reinterpret2: entity work.reinterpret_b62f4240f0 port map ( ce => '0', clk => '0', clr => '0', input_port => phase, output_port => reinterpret2_output_port_net ); reinterpret3: entity work.reinterpret_b62f4240f0 port map ( ce => '0', clk => '0', clr => '0', input_port => real_x0, output_port => reinterpret3_output_port_net ); tddm_tbt_cordic_9e99bd206d: entity work.tddm_tbt_cordic_entity_9e99bd206d port map ( ce_35 => ce_35_sg_x12, ce_70 => ce_70_sg_x16, clk_35 => clk_35_sg_x12, clk_70 => clk_70_sg_x16, tbt_cordic_ch_in => p_ch_out_x3, tbt_cordic_din => p_amp_out_x2, tbt_cordic_pin => p_phase_out_x1, tbt_cordic_ch2_out => down_sample2_q_net_x4, tbt_cordic_ch3_out => down_sample1_q_net_x4, tbt_cordic_phase0_out => down_sample2_q_net_x5, tbt_cordic_phase1_out => down_sample1_q_net_x5 ); up_sample: entity work.xlusamp generic map ( copy_samples => 0, d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, latency => 0, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => register6_q_net_x0, dest_ce => ce_1_sg_x29, dest_clk => clk_1_sg_x29, dest_clr => '0', en => "1", src_ce => ce_35_sg_x12, src_clk => clk_35_sg_x12, src_clr => '0', q(0) => valid_in ); up_sample1: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 23, d_width => 25, latency => 0, q_arith => xlSigned, q_bin_pt => 23, q_width => 25 ) port map ( d => register1_q_net_x1, dest_ce => ce_1_sg_x29, dest_clk => clk_1_sg_x29, dest_clr => '0', en => "1", src_ce => ce_35_sg_x12, src_clk => clk_35_sg_x12, src_clr => '0', q => q ); up_sample2: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 23, d_width => 25, latency => 0, q_arith => xlSigned, q_bin_pt => 23, q_width => 25 ) port map ( d => register3_q_net_x0, dest_ce => ce_1_sg_x29, dest_clk => clk_1_sg_x29, dest_clr => '0', en => "1", src_ce => ce_35_sg_x12, src_clk => clk_35_sg_x12, src_clr => '0', q => i ); up_sample3: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, latency => 0, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => register2_q_net_x0, dest_ce => ce_1_sg_x29, dest_clk => clk_1_sg_x29, dest_clr => '0', en => "1", src_ce => ce_35_sg_x12, src_clk => clk_35_sg_x12, src_clr => '0', q(0) => ch_in ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/TBT_amp/TBT_amp1/TBT_poly_decim/TDDM_TBT" entity tddm_tbt_entity_1f4b61e651 is port ( ce_35: in std_logic; ce_70: in std_logic; clk_35: in std_logic; clk_70: in std_logic; tbt_ch_in: in std_logic; tbt_i_in: in std_logic_vector(23 downto 0); tbt_q_in: in std_logic_vector(23 downto 0); poly35_ch2_i_out: out std_logic_vector(23 downto 0); poly35_ch2_q_out: out std_logic_vector(23 downto 0); poly35_ch3_i_out: out std_logic_vector(23 downto 0); poly35_ch3_q_out: out std_logic_vector(23 downto 0) ); end tddm_tbt_entity_1f4b61e651; architecture structural of tddm_tbt_entity_1f4b61e651 is signal ce_35_sg_x15: std_logic; signal ce_70_sg_x19: std_logic; signal clk_35_sg_x15: std_logic; signal clk_70_sg_x19: std_logic; signal down_sample1_q_net_x2: std_logic_vector(23 downto 0); signal down_sample1_q_net_x3: std_logic_vector(23 downto 0); signal down_sample2_q_net_x2: std_logic_vector(23 downto 0); signal down_sample2_q_net_x3: std_logic_vector(23 downto 0); signal register2_q_net_x3: std_logic; signal reinterpret_output_port_net_x2: std_logic_vector(23 downto 0); signal reinterpret_output_port_net_x3: std_logic_vector(23 downto 0); begin ce_35_sg_x15 <= ce_35; ce_70_sg_x19 <= ce_70; clk_35_sg_x15 <= clk_35; clk_70_sg_x19 <= clk_70; register2_q_net_x3 <= tbt_ch_in; reinterpret_output_port_net_x3 <= tbt_i_in; reinterpret_output_port_net_x2 <= tbt_q_in; poly35_ch2_i_out <= down_sample2_q_net_x2; poly35_ch2_q_out <= down_sample2_q_net_x3; poly35_ch3_i_out <= down_sample1_q_net_x2; poly35_ch3_q_out <= down_sample1_q_net_x3; tddm_tbt_poly_i_b74b709553: entity work.tddm_tbt_cordic_entity_5b94be40c5 port map ( ce_35 => ce_35_sg_x15, ce_70 => ce_70_sg_x19, ch_in => register2_q_net_x3, clk_35 => clk_35_sg_x15, clk_70 => clk_70_sg_x19, din => reinterpret_output_port_net_x3, dout_ch0 => down_sample2_q_net_x2, dout_ch1 => down_sample1_q_net_x2 ); tddm_tbt_poly_q_4f85d7362a: entity work.tddm_tbt_cordic_entity_5b94be40c5 port map ( ce_35 => ce_35_sg_x15, ce_70 => ce_70_sg_x19, ch_in => register2_q_net_x3, clk_35 => clk_35_sg_x15, clk_70 => clk_70_sg_x19, din => reinterpret_output_port_net_x2, dout_ch0 => down_sample2_q_net_x3, dout_ch1 => down_sample1_q_net_x3 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/TBT_amp/TBT_amp1/TBT_poly_decim" entity tbt_poly_decim_entity_bb6f6b5b6a is port ( ce_1: in std_logic; ce_35: in std_logic; ce_70: in std_logic; ce_logic_1: in std_logic; ch_in: in std_logic; clk_1: in std_logic; clk_35: in std_logic; clk_70: in std_logic; i_in: in std_logic_vector(23 downto 0); q_in: in std_logic_vector(23 downto 0); ch_out: out std_logic; i_out: out std_logic_vector(24 downto 0); q_out: out std_logic_vector(24 downto 0); tbt_poly_x0: out std_logic; tddm_tbt: out std_logic_vector(23 downto 0); tddm_tbt_x0: out std_logic_vector(23 downto 0); tddm_tbt_x1: out std_logic_vector(23 downto 0); tddm_tbt_x2: out std_logic_vector(23 downto 0); valid_out: out std_logic ); end tbt_poly_decim_entity_bb6f6b5b6a; architecture structural of tbt_poly_decim_entity_bb6f6b5b6a is signal ce_1_sg_x30: std_logic; signal ce_35_sg_x16: std_logic; signal ce_70_sg_x20: std_logic; signal ce_logic_1_sg_x14: std_logic; signal clk_1_sg_x30: std_logic; signal clk_35_sg_x16: std_logic; signal clk_70_sg_x20: std_logic; signal down_sample1_q_net_x4: std_logic_vector(23 downto 0); signal down_sample1_q_net_x5: std_logic_vector(23 downto 0); signal down_sample2_q_net_x4: std_logic_vector(23 downto 0); signal down_sample2_q_net_x5: std_logic_vector(23 downto 0); signal register1_q_net_x3: std_logic_vector(24 downto 0); signal register2_q_net_x4: std_logic; signal register3_q_net_x13: std_logic; signal register3_q_net_x2: std_logic_vector(24 downto 0); signal register4_q_net_x12: std_logic_vector(23 downto 0); signal register5_q_net_x12: std_logic_vector(23 downto 0); signal register6_q_net_x1: std_logic; signal reinterpret1_output_port_net: std_logic_vector(24 downto 0); signal reinterpret_output_port_net: std_logic_vector(24 downto 0); signal reinterpret_output_port_net_x3: std_logic_vector(23 downto 0); signal reinterpret_output_port_net_x4: std_logic_vector(23 downto 0); signal tbt_poly_event_s_data_chanid_incorrect_net_x0: std_logic; signal tbt_poly_m_axis_data_tdata_path0_net: std_logic_vector(24 downto 0); signal tbt_poly_m_axis_data_tdata_path1_net: std_logic_vector(24 downto 0); signal tbt_poly_m_axis_data_tuser_chanid_net: std_logic; signal tbt_poly_m_axis_data_tvalid_net: std_logic; begin ce_1_sg_x30 <= ce_1; ce_35_sg_x16 <= ce_35; ce_70_sg_x20 <= ce_70; ce_logic_1_sg_x14 <= ce_logic_1; register3_q_net_x13 <= ch_in; clk_1_sg_x30 <= clk_1; clk_35_sg_x16 <= clk_35; clk_70_sg_x20 <= clk_70; register4_q_net_x12 <= i_in; register5_q_net_x12 <= q_in; ch_out <= register2_q_net_x4; i_out <= register3_q_net_x2; q_out <= register1_q_net_x3; tbt_poly_x0 <= tbt_poly_event_s_data_chanid_incorrect_net_x0; tddm_tbt <= down_sample1_q_net_x4; tddm_tbt_x0 <= down_sample2_q_net_x4; tddm_tbt_x1 <= down_sample1_q_net_x5; tddm_tbt_x2 <= down_sample2_q_net_x5; valid_out <= register6_q_net_x1; register1: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_35_sg_x16, clk => clk_35_sg_x16, d => reinterpret_output_port_net, en(0) => tbt_poly_m_axis_data_tvalid_net, rst => "0", q => register1_q_net_x3 ); register2: entity work.xlregister generic map ( d_width => 1, init_value => b"0" ) port map ( ce => ce_35_sg_x16, clk => clk_35_sg_x16, d(0) => tbt_poly_m_axis_data_tuser_chanid_net, en => "1", rst => "0", q(0) => register2_q_net_x4 ); register3: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_35_sg_x16, clk => clk_35_sg_x16, d => reinterpret1_output_port_net, en(0) => tbt_poly_m_axis_data_tvalid_net, rst => "0", q => register3_q_net_x2 ); register6: entity work.xlregister generic map ( d_width => 1, init_value => b"0" ) port map ( ce => ce_35_sg_x16, clk => clk_35_sg_x16, d(0) => tbt_poly_m_axis_data_tvalid_net, en => "1", rst => "0", q(0) => register6_q_net_x1 ); reinterpret: entity work.reinterpret_31a4235b32 port map ( ce => '0', clk => '0', clr => '0', input_port => tbt_poly_m_axis_data_tdata_path1_net, output_port => reinterpret_output_port_net ); reinterpret1: entity work.reinterpret_31a4235b32 port map ( ce => '0', clk => '0', clr => '0', input_port => tbt_poly_m_axis_data_tdata_path0_net, output_port => reinterpret1_output_port_net ); tbt_poly: entity work.xlfir_compiler_6508759a07908936c4d12ef4ec464ceb port map ( ce => ce_1_sg_x30, ce_35 => ce_35_sg_x16, ce_logic_1 => ce_logic_1_sg_x14, clk => clk_1_sg_x30, clk_35 => clk_35_sg_x16, clk_logic_1 => clk_1_sg_x30, s_axis_data_tdata_path0 => register4_q_net_x12, s_axis_data_tdata_path1 => register5_q_net_x12, s_axis_data_tuser_chanid(0) => register3_q_net_x13, src_ce => ce_1_sg_x30, src_clk => clk_1_sg_x30, event_s_data_chanid_incorrect => tbt_poly_event_s_data_chanid_incorrect_net_x0, m_axis_data_tdata_path0 => tbt_poly_m_axis_data_tdata_path0_net, m_axis_data_tdata_path1 => tbt_poly_m_axis_data_tdata_path1_net, m_axis_data_tuser_chanid(0) => tbt_poly_m_axis_data_tuser_chanid_net, m_axis_data_tvalid => tbt_poly_m_axis_data_tvalid_net ); tddm_tbt_1f4b61e651: entity work.tddm_tbt_entity_1f4b61e651 port map ( ce_35 => ce_35_sg_x16, ce_70 => ce_70_sg_x20, clk_35 => clk_35_sg_x16, clk_70 => clk_70_sg_x20, tbt_ch_in => register2_q_net_x4, tbt_i_in => reinterpret_output_port_net_x4, tbt_q_in => reinterpret_output_port_net_x3, poly35_ch2_i_out => down_sample2_q_net_x4, poly35_ch2_q_out => down_sample2_q_net_x5, poly35_ch3_i_out => down_sample1_q_net_x4, poly35_ch3_q_out => down_sample1_q_net_x5 ); trunc1_c3e3bdeec5: entity work.trunc_entity_e5eda8a5ac port map ( din => register3_q_net_x2, dout => reinterpret_output_port_net_x4 ); trunc_6a2a4db298: entity work.trunc_entity_e5eda8a5ac port map ( din => register1_q_net_x3, dout => reinterpret_output_port_net_x3 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/TBT_amp/TBT_amp1" entity tbt_amp1_entity_6e98f85f9f is port ( ce_1: in std_logic; ce_35: in std_logic; ce_70: in std_logic; ce_logic_1: in std_logic; ch_in: in std_logic; clk_1: in std_logic; clk_35: in std_logic; clk_70: in std_logic; i_in: in std_logic_vector(23 downto 0); q_in: in std_logic_vector(23 downto 0); amp_out: out std_logic_vector(23 downto 0); ch_out: out std_logic; tbt_cordic: out std_logic_vector(23 downto 0); tbt_cordic_x0: out std_logic_vector(23 downto 0); tbt_cordic_x1: out std_logic_vector(23 downto 0); tbt_cordic_x2: out std_logic_vector(23 downto 0); tbt_poly_decim: out std_logic; tbt_poly_decim_x0: out std_logic_vector(23 downto 0); tbt_poly_decim_x1: out std_logic_vector(23 downto 0); tbt_poly_decim_x2: out std_logic_vector(23 downto 0); tbt_poly_decim_x3: out std_logic_vector(23 downto 0) ); end tbt_amp1_entity_6e98f85f9f; architecture structural of tbt_amp1_entity_6e98f85f9f is signal ce_1_sg_x31: std_logic; signal ce_35_sg_x17: std_logic; signal ce_70_sg_x21: std_logic; signal ce_logic_1_sg_x15: std_logic; signal clk_1_sg_x31: std_logic; signal clk_35_sg_x17: std_logic; signal clk_70_sg_x21: std_logic; signal down_sample1_q_net_x10: std_logic_vector(23 downto 0); signal down_sample1_q_net_x11: std_logic_vector(23 downto 0); signal down_sample1_q_net_x8: std_logic_vector(23 downto 0); signal down_sample1_q_net_x9: std_logic_vector(23 downto 0); signal down_sample2_q_net_x10: std_logic_vector(23 downto 0); signal down_sample2_q_net_x11: std_logic_vector(23 downto 0); signal down_sample2_q_net_x8: std_logic_vector(23 downto 0); signal down_sample2_q_net_x9: std_logic_vector(23 downto 0); signal p_amp_out_x3: std_logic_vector(23 downto 0); signal p_ch_out_x4: std_logic; signal register1_q_net_x3: std_logic_vector(24 downto 0); signal register2_q_net_x4: std_logic; signal register3_q_net_x14: std_logic; signal register3_q_net_x2: std_logic_vector(24 downto 0); signal register4_q_net_x13: std_logic_vector(23 downto 0); signal register5_q_net_x13: std_logic_vector(23 downto 0); signal register6_q_net_x1: std_logic; signal tbt_poly_event_s_data_chanid_incorrect_net_x1: std_logic; begin ce_1_sg_x31 <= ce_1; ce_35_sg_x17 <= ce_35; ce_70_sg_x21 <= ce_70; ce_logic_1_sg_x15 <= ce_logic_1; register3_q_net_x14 <= ch_in; clk_1_sg_x31 <= clk_1; clk_35_sg_x17 <= clk_35; clk_70_sg_x21 <= clk_70; register4_q_net_x13 <= i_in; register5_q_net_x13 <= q_in; amp_out <= p_amp_out_x3; ch_out <= p_ch_out_x4; tbt_cordic <= down_sample1_q_net_x8; tbt_cordic_x0 <= down_sample2_q_net_x8; tbt_cordic_x1 <= down_sample1_q_net_x9; tbt_cordic_x2 <= down_sample2_q_net_x9; tbt_poly_decim <= tbt_poly_event_s_data_chanid_incorrect_net_x1; tbt_poly_decim_x0 <= down_sample1_q_net_x10; tbt_poly_decim_x1 <= down_sample2_q_net_x10; tbt_poly_decim_x2 <= down_sample1_q_net_x11; tbt_poly_decim_x3 <= down_sample2_q_net_x11; tbt_cordic_9dc3371de2: entity work.tbt_cordic_entity_9dc3371de2 port map ( ce_1 => ce_1_sg_x31, ce_35 => ce_35_sg_x17, ce_70 => ce_70_sg_x21, ch_in_x0 => register2_q_net_x4, clk_1 => clk_1_sg_x31, clk_35 => clk_35_sg_x17, clk_70 => clk_70_sg_x21, i_in => register3_q_net_x2, q_in => register1_q_net_x3, valid_in_x0 => register6_q_net_x1, amp_out => p_amp_out_x3, ch_out_x0 => p_ch_out_x4, tddm_tbt_cordic => down_sample1_q_net_x8, tddm_tbt_cordic_x0 => down_sample2_q_net_x8, tddm_tbt_cordic_x1 => down_sample1_q_net_x9, tddm_tbt_cordic_x2 => down_sample2_q_net_x9 ); tbt_poly_decim_bb6f6b5b6a: entity work.tbt_poly_decim_entity_bb6f6b5b6a port map ( ce_1 => ce_1_sg_x31, ce_35 => ce_35_sg_x17, ce_70 => ce_70_sg_x21, ce_logic_1 => ce_logic_1_sg_x15, ch_in => register3_q_net_x14, clk_1 => clk_1_sg_x31, clk_35 => clk_35_sg_x17, clk_70 => clk_70_sg_x21, i_in => register4_q_net_x13, q_in => register5_q_net_x13, ch_out => register2_q_net_x4, i_out => register3_q_net_x2, q_out => register1_q_net_x3, tbt_poly_x0 => tbt_poly_event_s_data_chanid_incorrect_net_x1, tddm_tbt => down_sample1_q_net_x10, tddm_tbt_x0 => down_sample2_q_net_x10, tddm_tbt_x1 => down_sample1_q_net_x11, tddm_tbt_x2 => down_sample2_q_net_x11, valid_out => register6_q_net_x1 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/TBT_amp/TDDM_tbt_amp_4ch" entity tddm_tbt_amp_4ch_entity_9f3ac0073e is port ( amp_in0: in std_logic_vector(23 downto 0); amp_in1: in std_logic_vector(23 downto 0); ce_35: in std_logic; ce_70: in std_logic; ch_in0: in std_logic; ch_in1: in std_logic; clk_35: in std_logic; clk_70: in std_logic; amp_out0: out std_logic_vector(23 downto 0); amp_out1: out std_logic_vector(23 downto 0); amp_out2: out std_logic_vector(23 downto 0); amp_out3: out std_logic_vector(23 downto 0) ); end tddm_tbt_amp_4ch_entity_9f3ac0073e; architecture structural of tddm_tbt_amp_4ch_entity_9f3ac0073e is signal ce_35_sg_x20: std_logic; signal ce_70_sg_x24: std_logic; signal clk_35_sg_x20: std_logic; signal clk_70_sg_x24: std_logic; signal down_sample1_q_net_x2: std_logic_vector(23 downto 0); signal down_sample1_q_net_x3: std_logic_vector(23 downto 0); signal down_sample2_q_net_x2: std_logic_vector(23 downto 0); signal down_sample2_q_net_x3: std_logic_vector(23 downto 0); signal p_amp_out_x6: std_logic_vector(23 downto 0); signal p_amp_out_x7: std_logic_vector(23 downto 0); signal p_ch_out_x7: std_logic; signal p_ch_out_x8: std_logic; begin p_amp_out_x6 <= amp_in0; p_amp_out_x7 <= amp_in1; ce_35_sg_x20 <= ce_35; ce_70_sg_x24 <= ce_70; p_ch_out_x7 <= ch_in0; p_ch_out_x8 <= ch_in1; clk_35_sg_x20 <= clk_35; clk_70_sg_x24 <= clk_70; amp_out0 <= down_sample2_q_net_x2; amp_out1 <= down_sample1_q_net_x2; amp_out2 <= down_sample2_q_net_x3; amp_out3 <= down_sample1_q_net_x3; tddm_tbt_amp0_8f2b25894a: entity work.tddm_tbt_cordic_entity_5b94be40c5 port map ( ce_35 => ce_35_sg_x20, ce_70 => ce_70_sg_x24, ch_in => p_ch_out_x7, clk_35 => clk_35_sg_x20, clk_70 => clk_70_sg_x24, din => p_amp_out_x6, dout_ch0 => down_sample2_q_net_x2, dout_ch1 => down_sample1_q_net_x2 ); tddm_tbt_amp1_0c4a2e4770: entity work.tddm_tbt_cordic_entity_5b94be40c5 port map ( ce_35 => ce_35_sg_x20, ce_70 => ce_70_sg_x24, ch_in => p_ch_out_x8, clk_35 => clk_35_sg_x20, clk_70 => clk_70_sg_x24, din => p_amp_out_x7, dout_ch0 => down_sample2_q_net_x3, dout_ch1 => down_sample1_q_net_x3 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/TBT_amp" entity tbt_amp_entity_cbd277bb0c is port ( ce_1: in std_logic; ce_35: in std_logic; ce_70: in std_logic; ce_logic_1: in std_logic; ch_in0: in std_logic; ch_in1: in std_logic; clk_1: in std_logic; clk_35: in std_logic; clk_70: in std_logic; i_in0: in std_logic_vector(23 downto 0); i_in1: in std_logic_vector(23 downto 0); q_in0: in std_logic_vector(23 downto 0); q_in1: in std_logic_vector(23 downto 0); amp_out0: out std_logic_vector(23 downto 0); amp_out1: out std_logic_vector(23 downto 0); amp_out2: out std_logic_vector(23 downto 0); amp_out3: out std_logic_vector(23 downto 0); tbt_amp0: out std_logic_vector(23 downto 0); tbt_amp0_x0: out std_logic_vector(23 downto 0); tbt_amp0_x1: out std_logic_vector(23 downto 0); tbt_amp0_x2: out std_logic_vector(23 downto 0); tbt_amp0_x3: out std_logic; tbt_amp0_x4: out std_logic_vector(23 downto 0); tbt_amp0_x5: out std_logic_vector(23 downto 0); tbt_amp0_x6: out std_logic_vector(23 downto 0); tbt_amp0_x7: out std_logic_vector(23 downto 0); tbt_amp1: out std_logic_vector(23 downto 0); tbt_amp1_x0: out std_logic_vector(23 downto 0); tbt_amp1_x1: out std_logic_vector(23 downto 0); tbt_amp1_x2: out std_logic_vector(23 downto 0); tbt_amp1_x3: out std_logic; tbt_amp1_x4: out std_logic_vector(23 downto 0); tbt_amp1_x5: out std_logic_vector(23 downto 0); tbt_amp1_x6: out std_logic_vector(23 downto 0); tbt_amp1_x7: out std_logic_vector(23 downto 0) ); end tbt_amp_entity_cbd277bb0c; architecture structural of tbt_amp_entity_cbd277bb0c is signal ce_1_sg_x32: std_logic; signal ce_35_sg_x21: std_logic; signal ce_70_sg_x25: std_logic; signal ce_logic_1_sg_x16: std_logic; signal clk_1_sg_x32: std_logic; signal clk_35_sg_x21: std_logic; signal clk_70_sg_x25: std_logic; signal down_sample1_q_net_x16: std_logic_vector(23 downto 0); signal down_sample1_q_net_x17: std_logic_vector(23 downto 0); signal down_sample1_q_net_x18: std_logic_vector(23 downto 0); signal down_sample1_q_net_x19: std_logic_vector(23 downto 0); signal down_sample1_q_net_x20: std_logic_vector(23 downto 0); signal down_sample1_q_net_x21: std_logic_vector(23 downto 0); signal down_sample1_q_net_x22: std_logic_vector(23 downto 0); signal down_sample1_q_net_x23: std_logic_vector(23 downto 0); signal down_sample1_q_net_x24: std_logic_vector(23 downto 0); signal down_sample1_q_net_x25: std_logic_vector(23 downto 0); signal down_sample2_q_net_x16: std_logic_vector(23 downto 0); signal down_sample2_q_net_x17: std_logic_vector(23 downto 0); signal down_sample2_q_net_x18: std_logic_vector(23 downto 0); signal down_sample2_q_net_x19: std_logic_vector(23 downto 0); signal down_sample2_q_net_x20: std_logic_vector(23 downto 0); signal down_sample2_q_net_x21: std_logic_vector(23 downto 0); signal down_sample2_q_net_x22: std_logic_vector(23 downto 0); signal down_sample2_q_net_x23: std_logic_vector(23 downto 0); signal down_sample2_q_net_x24: std_logic_vector(23 downto 0); signal down_sample2_q_net_x25: std_logic_vector(23 downto 0); signal p_amp_out_x6: std_logic_vector(23 downto 0); signal p_amp_out_x7: std_logic_vector(23 downto 0); signal p_ch_out_x7: std_logic; signal p_ch_out_x8: std_logic; signal register3_q_net_x15: std_logic; signal register3_q_net_x16: std_logic; signal register4_q_net_x14: std_logic_vector(23 downto 0); signal register4_q_net_x15: std_logic_vector(23 downto 0); signal register5_q_net_x14: std_logic_vector(23 downto 0); signal register5_q_net_x15: std_logic_vector(23 downto 0); signal tbt_poly_event_s_data_chanid_incorrect_net_x3: std_logic; signal tbt_poly_event_s_data_chanid_incorrect_net_x4: std_logic; begin ce_1_sg_x32 <= ce_1; ce_35_sg_x21 <= ce_35; ce_70_sg_x25 <= ce_70; ce_logic_1_sg_x16 <= ce_logic_1; register3_q_net_x15 <= ch_in0; register3_q_net_x16 <= ch_in1; clk_1_sg_x32 <= clk_1; clk_35_sg_x21 <= clk_35; clk_70_sg_x25 <= clk_70; register4_q_net_x14 <= i_in0; register4_q_net_x15 <= i_in1; register5_q_net_x14 <= q_in0; register5_q_net_x15 <= q_in1; amp_out0 <= down_sample2_q_net_x24; amp_out1 <= down_sample1_q_net_x24; amp_out2 <= down_sample2_q_net_x25; amp_out3 <= down_sample1_q_net_x25; tbt_amp0 <= down_sample1_q_net_x16; tbt_amp0_x0 <= down_sample2_q_net_x16; tbt_amp0_x1 <= down_sample1_q_net_x17; tbt_amp0_x2 <= down_sample2_q_net_x17; tbt_amp0_x3 <= tbt_poly_event_s_data_chanid_incorrect_net_x3; tbt_amp0_x4 <= down_sample1_q_net_x18; tbt_amp0_x5 <= down_sample2_q_net_x18; tbt_amp0_x6 <= down_sample1_q_net_x19; tbt_amp0_x7 <= down_sample2_q_net_x19; tbt_amp1 <= down_sample1_q_net_x20; tbt_amp1_x0 <= down_sample2_q_net_x20; tbt_amp1_x1 <= down_sample1_q_net_x21; tbt_amp1_x2 <= down_sample2_q_net_x21; tbt_amp1_x3 <= tbt_poly_event_s_data_chanid_incorrect_net_x4; tbt_amp1_x4 <= down_sample1_q_net_x22; tbt_amp1_x5 <= down_sample2_q_net_x22; tbt_amp1_x6 <= down_sample1_q_net_x23; tbt_amp1_x7 <= down_sample2_q_net_x23; tbt_amp0_88b1c45f0e: entity work.tbt_amp0_entity_88b1c45f0e port map ( ce_1 => ce_1_sg_x32, ce_35 => ce_35_sg_x21, ce_70 => ce_70_sg_x25, ce_logic_1 => ce_logic_1_sg_x16, ch_in => register3_q_net_x15, clk_1 => clk_1_sg_x32, clk_35 => clk_35_sg_x21, clk_70 => clk_70_sg_x25, i_in => register4_q_net_x14, q_in => register5_q_net_x14, amp_out => p_amp_out_x6, ch_out => p_ch_out_x7, tbt_cordic => down_sample1_q_net_x16, tbt_cordic_x0 => down_sample2_q_net_x16, tbt_cordic_x1 => down_sample1_q_net_x17, tbt_cordic_x2 => down_sample2_q_net_x17, tbt_poly_decim => tbt_poly_event_s_data_chanid_incorrect_net_x3, tbt_poly_decim_x0 => down_sample1_q_net_x18, tbt_poly_decim_x1 => down_sample2_q_net_x18, tbt_poly_decim_x2 => down_sample1_q_net_x19, tbt_poly_decim_x3 => down_sample2_q_net_x19 ); tbt_amp1_6e98f85f9f: entity work.tbt_amp1_entity_6e98f85f9f port map ( ce_1 => ce_1_sg_x32, ce_35 => ce_35_sg_x21, ce_70 => ce_70_sg_x25, ce_logic_1 => ce_logic_1_sg_x16, ch_in => register3_q_net_x16, clk_1 => clk_1_sg_x32, clk_35 => clk_35_sg_x21, clk_70 => clk_70_sg_x25, i_in => register4_q_net_x15, q_in => register5_q_net_x15, amp_out => p_amp_out_x7, ch_out => p_ch_out_x8, tbt_cordic => down_sample1_q_net_x20, tbt_cordic_x0 => down_sample2_q_net_x20, tbt_cordic_x1 => down_sample1_q_net_x21, tbt_cordic_x2 => down_sample2_q_net_x21, tbt_poly_decim => tbt_poly_event_s_data_chanid_incorrect_net_x4, tbt_poly_decim_x0 => down_sample1_q_net_x22, tbt_poly_decim_x1 => down_sample2_q_net_x22, tbt_poly_decim_x2 => down_sample1_q_net_x23, tbt_poly_decim_x3 => down_sample2_q_net_x23 ); tddm_tbt_amp_4ch_9f3ac0073e: entity work.tddm_tbt_amp_4ch_entity_9f3ac0073e port map ( amp_in0 => p_amp_out_x6, amp_in1 => p_amp_out_x7, ce_35 => ce_35_sg_x21, ce_70 => ce_70_sg_x25, ch_in0 => p_ch_out_x7, ch_in1 => p_ch_out_x8, clk_35 => clk_35_sg_x21, clk_70 => clk_70_sg_x25, amp_out0 => down_sample2_q_net_x24, amp_out1 => down_sample1_q_net_x24, amp_out2 => down_sample2_q_net_x25, amp_out3 => down_sample1_q_net_x25 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/TDM_mix/TDM_mix_ch0_1" entity tdm_mix_ch0_1_entity_b9bb73dd5f is port ( ce_1: in std_logic; ce_2: in std_logic; ce_logic_1: in std_logic; clk_1: in std_logic; clk_2: in std_logic; din_ch0: in std_logic_vector(23 downto 0); din_ch1: in std_logic_vector(23 downto 0); rst: in std_logic; ch_out: out std_logic; dout: out std_logic_vector(23 downto 0) ); end tdm_mix_ch0_1_entity_b9bb73dd5f; architecture structural of tdm_mix_ch0_1_entity_b9bb73dd5f is signal ce_1_sg_x33: std_logic; signal ce_2_sg_x31: std_logic; signal ce_logic_1_sg_x17: std_logic; signal clk_1_sg_x33: std_logic; signal clk_2_sg_x31: std_logic; signal clock_enable_probe_q_net: std_logic; signal constant10_op_net_x0: std_logic; signal mux_sel1_op_net: std_logic; signal mux_y_net: std_logic_vector(23 downto 0); signal register1_q_net_x4: std_logic; signal register_q_net_x17: std_logic_vector(23 downto 0); signal reinterpret2_output_port_net_x8: std_logic_vector(23 downto 0); signal reinterpret2_output_port_net_x9: std_logic_vector(23 downto 0); signal up_sample_ch0_q_net: std_logic_vector(23 downto 0); signal up_sample_ch1_q_net: std_logic_vector(23 downto 0); begin ce_1_sg_x33 <= ce_1; ce_2_sg_x31 <= ce_2; ce_logic_1_sg_x17 <= ce_logic_1; clk_1_sg_x33 <= clk_1; clk_2_sg_x31 <= clk_2; reinterpret2_output_port_net_x9 <= din_ch0; reinterpret2_output_port_net_x8 <= din_ch1; constant10_op_net_x0 <= rst; ch_out <= register1_q_net_x4; dout <= register_q_net_x17; clock_enable_probe: entity work.xlceprobe generic map ( d_width => 24, q_width => 1 ) port map ( ce => ce_logic_1_sg_x17, clk => clk_1_sg_x33, d => up_sample_ch0_q_net, q(0) => clock_enable_probe_q_net ); mux: entity work.mux_a2121d82da port map ( ce => '0', clk => '0', clr => '0', d0 => up_sample_ch0_q_net, d1 => up_sample_ch1_q_net, sel(0) => mux_sel1_op_net, y => mux_y_net ); mux_sel1: entity work.counter_41314d726b port map ( ce => ce_1_sg_x33, clk => clk_1_sg_x33, clr => '0', en(0) => clock_enable_probe_q_net, rst(0) => constant10_op_net_x0, op(0) => mux_sel1_op_net ); register1: entity work.xlregister generic map ( d_width => 1, init_value => b"0" ) port map ( ce => ce_1_sg_x33, clk => clk_1_sg_x33, d(0) => mux_sel1_op_net, en => "1", rst => "0", q(0) => register1_q_net_x4 ); register_x0: entity work.xlregister generic map ( d_width => 24, init_value => b"000000000000000000000000" ) port map ( ce => ce_1_sg_x33, clk => clk_1_sg_x33, d => mux_y_net, en => "1", rst => "0", q => register_q_net_x17 ); up_sample_ch0: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 22, d_width => 24, latency => 0, q_arith => xlSigned, q_bin_pt => 22, q_width => 24 ) port map ( d => reinterpret2_output_port_net_x9, dest_ce => ce_1_sg_x33, dest_clk => clk_1_sg_x33, dest_clr => '0', en => "1", src_ce => ce_2_sg_x31, src_clk => clk_2_sg_x31, src_clr => '0', q => up_sample_ch0_q_net ); up_sample_ch1: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 22, d_width => 24, latency => 0, q_arith => xlSigned, q_bin_pt => 22, q_width => 24 ) port map ( d => reinterpret2_output_port_net_x8, dest_ce => ce_1_sg_x33, dest_clk => clk_1_sg_x33, dest_clr => '0', en => "1", src_ce => ce_2_sg_x31, src_clk => clk_2_sg_x31, src_clr => '0', q => up_sample_ch1_q_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/TDM_mix" entity tdm_mix_entity_54ce67e6e8 is port ( ce_1: in std_logic; ce_2: in std_logic; ce_logic_1: in std_logic; clk_1: in std_logic; clk_2: in std_logic; din_ch0: in std_logic_vector(23 downto 0); din_ch1: in std_logic_vector(23 downto 0); din_ch2: in std_logic_vector(23 downto 0); din_ch3: in std_logic_vector(23 downto 0); ch_out0: out std_logic; ch_out1: out std_logic; dout0: out std_logic_vector(23 downto 0); dout1: out std_logic_vector(23 downto 0) ); end tdm_mix_entity_54ce67e6e8; architecture structural of tdm_mix_entity_54ce67e6e8 is signal ce_1_sg_x35: std_logic; signal ce_2_sg_x33: std_logic; signal ce_logic_1_sg_x19: std_logic; signal clk_1_sg_x35: std_logic; signal clk_2_sg_x33: std_logic; signal constant10_op_net_x0: std_logic; signal constant11_op_net_x0: std_logic; signal register1_q_net_x6: std_logic; signal register1_q_net_x7: std_logic; signal register_q_net_x19: std_logic_vector(23 downto 0); signal register_q_net_x20: std_logic_vector(23 downto 0); signal reinterpret2_output_port_net_x11: std_logic_vector(23 downto 0); signal reinterpret2_output_port_net_x12: std_logic_vector(23 downto 0); signal reinterpret2_output_port_net_x13: std_logic_vector(23 downto 0); signal reinterpret2_output_port_net_x14: std_logic_vector(23 downto 0); begin ce_1_sg_x35 <= ce_1; ce_2_sg_x33 <= ce_2; ce_logic_1_sg_x19 <= ce_logic_1; clk_1_sg_x35 <= clk_1; clk_2_sg_x33 <= clk_2; reinterpret2_output_port_net_x14 <= din_ch0; reinterpret2_output_port_net_x11 <= din_ch1; reinterpret2_output_port_net_x12 <= din_ch2; reinterpret2_output_port_net_x13 <= din_ch3; ch_out0 <= register1_q_net_x6; ch_out1 <= register1_q_net_x7; dout0 <= register_q_net_x19; dout1 <= register_q_net_x20; constant10: entity work.constant_963ed6358a port map ( ce => '0', clk => '0', clr => '0', op(0) => constant10_op_net_x0 ); constant11: entity work.constant_963ed6358a port map ( ce => '0', clk => '0', clr => '0', op(0) => constant11_op_net_x0 ); tdm_mix_ch0_1_b9bb73dd5f: entity work.tdm_mix_ch0_1_entity_b9bb73dd5f port map ( ce_1 => ce_1_sg_x35, ce_2 => ce_2_sg_x33, ce_logic_1 => ce_logic_1_sg_x19, clk_1 => clk_1_sg_x35, clk_2 => clk_2_sg_x33, din_ch0 => reinterpret2_output_port_net_x14, din_ch1 => reinterpret2_output_port_net_x11, rst => constant10_op_net_x0, ch_out => register1_q_net_x6, dout => register_q_net_x19 ); tdm_mix_ch0_2_e9327141fc: entity work.tdm_mix_ch0_1_entity_b9bb73dd5f port map ( ce_1 => ce_1_sg_x35, ce_2 => ce_2_sg_x33, ce_logic_1 => ce_logic_1_sg_x19, clk_1 => clk_1_sg_x35, clk_2 => clk_2_sg_x33, din_ch0 => reinterpret2_output_port_net_x12, din_ch1 => reinterpret2_output_port_net_x13, rst => constant11_op_net_x0, ch_out => register1_q_net_x7, dout => register_q_net_x20 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/TDM_monit" entity tdm_monit_entity_6e38292ecb is port ( ce_1: in std_logic; ce_2240: in std_logic; ce_560: in std_logic; ce_logic_560: in std_logic; clk_1: in std_logic; clk_2240: in std_logic; clk_560: in std_logic; din_ch0: in std_logic_vector(23 downto 0); din_ch1: in std_logic_vector(23 downto 0); din_ch2: in std_logic_vector(23 downto 0); din_ch3: in std_logic_vector(23 downto 0); rst: in std_logic; ch_out: out std_logic_vector(1 downto 0); dout: out std_logic_vector(23 downto 0) ); end tdm_monit_entity_6e38292ecb; architecture structural of tdm_monit_entity_6e38292ecb is signal ce_1_sg_x36: std_logic; signal ce_2240_sg_x26: std_logic; signal ce_560_sg_x2: std_logic; signal ce_logic_560_sg_x2: std_logic; signal ch_out_x2: std_logic_vector(1 downto 0); signal clk_1_sg_x36: std_logic; signal clk_2240_sg_x26: std_logic; signal clk_560_sg_x2: std_logic; signal clock_enable_probe_q_net: std_logic; signal constant10_op_net_x0: std_logic; signal dout_x2: std_logic_vector(23 downto 0); signal down_sample1_q_net_x18: std_logic_vector(23 downto 0); signal down_sample1_q_net_x19: std_logic_vector(23 downto 0); signal down_sample2_q_net_x18: std_logic_vector(23 downto 0); signal down_sample2_q_net_x19: std_logic_vector(23 downto 0); signal mux_sel_op_net: std_logic_vector(1 downto 0); signal mux_y_net: std_logic_vector(23 downto 0); signal up_sample_ch0_q_net: std_logic_vector(23 downto 0); signal up_sample_ch1_q_net: std_logic_vector(23 downto 0); signal up_sample_ch2_q_net: std_logic_vector(23 downto 0); signal up_sample_ch3_q_net: std_logic_vector(23 downto 0); begin ce_1_sg_x36 <= ce_1; ce_2240_sg_x26 <= ce_2240; ce_560_sg_x2 <= ce_560; ce_logic_560_sg_x2 <= ce_logic_560; clk_1_sg_x36 <= clk_1; clk_2240_sg_x26 <= clk_2240; clk_560_sg_x2 <= clk_560; down_sample2_q_net_x18 <= din_ch0; down_sample1_q_net_x18 <= din_ch1; down_sample2_q_net_x19 <= din_ch2; down_sample1_q_net_x19 <= din_ch3; constant10_op_net_x0 <= rst; ch_out <= ch_out_x2; dout <= dout_x2; clock_enable_probe: entity work.xlceprobe generic map ( d_width => 24, q_width => 1 ) port map ( ce => ce_logic_560_sg_x2, clk => clk_560_sg_x2, d => up_sample_ch0_q_net, q(0) => clock_enable_probe_q_net ); down_sample: entity work.xldsamp generic map ( d_arith => xlUnsigned, d_bin_pt => 0, d_width => 2, ds_ratio => 560, latency => 1, phase => 559, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 2 ) port map ( d => mux_sel_op_net, dest_ce => ce_560_sg_x2, dest_clk => clk_560_sg_x2, dest_clr => '0', en => "1", src_ce => ce_1_sg_x36, src_clk => clk_1_sg_x36, src_clr => '0', q => ch_out_x2 ); down_sample1: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 22, d_width => 24, ds_ratio => 560, latency => 1, phase => 559, q_arith => xlSigned, q_bin_pt => 22, q_width => 24 ) port map ( d => mux_y_net, dest_ce => ce_560_sg_x2, dest_clk => clk_560_sg_x2, dest_clr => '0', en => "1", src_ce => ce_1_sg_x36, src_clk => clk_1_sg_x36, src_clr => '0', q => dout_x2 ); mux: entity work.mux_f062741975 port map ( ce => '0', clk => '0', clr => '0', d0 => up_sample_ch0_q_net, d1 => up_sample_ch1_q_net, d2 => up_sample_ch2_q_net, d3 => up_sample_ch3_q_net, sel => mux_sel_op_net, y => mux_y_net ); mux_sel: entity work.xlcounter_free generic map ( core_name0 => "cntr_11_0_eb46eda57512a5a4", op_arith => xlUnsigned, op_width => 2 ) port map ( ce => ce_1_sg_x36, clk => clk_1_sg_x36, clr => '0', en(0) => clock_enable_probe_q_net, rst(0) => constant10_op_net_x0, op => mux_sel_op_net ); up_sample_ch0: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 22, d_width => 24, latency => 0, q_arith => xlSigned, q_bin_pt => 22, q_width => 24 ) port map ( d => down_sample2_q_net_x18, dest_ce => ce_560_sg_x2, dest_clk => clk_560_sg_x2, dest_clr => '0', en => "1", src_ce => ce_2240_sg_x26, src_clk => clk_2240_sg_x26, src_clr => '0', q => up_sample_ch0_q_net ); up_sample_ch1: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 22, d_width => 24, latency => 0, q_arith => xlSigned, q_bin_pt => 22, q_width => 24 ) port map ( d => down_sample1_q_net_x18, dest_ce => ce_560_sg_x2, dest_clk => clk_560_sg_x2, dest_clr => '0', en => "1", src_ce => ce_2240_sg_x26, src_clk => clk_2240_sg_x26, src_clr => '0', q => up_sample_ch1_q_net ); up_sample_ch2: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 22, d_width => 24, latency => 0, q_arith => xlSigned, q_bin_pt => 22, q_width => 24 ) port map ( d => down_sample2_q_net_x19, dest_ce => ce_560_sg_x2, dest_clk => clk_560_sg_x2, dest_clr => '0', en => "1", src_ce => ce_2240_sg_x26, src_clk => clk_2240_sg_x26, src_clr => '0', q => up_sample_ch2_q_net ); up_sample_ch3: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 22, d_width => 24, latency => 0, q_arith => xlSigned, q_bin_pt => 22, q_width => 24 ) port map ( d => down_sample1_q_net_x19, dest_ce => ce_560_sg_x2, dest_clk => clk_560_sg_x2, dest_clr => '0', en => "1", src_ce => ce_2240_sg_x26, src_clk => clk_2240_sg_x26, src_clr => '0', q => up_sample_ch3_q_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/TDM_monit_1/downsample" entity downsample_entity_f33f90217c is port ( ce_1: in std_logic; ce_2500: in std_logic; ce_5600000: in std_logic; clk_1: in std_logic; clk_2500: in std_logic; clk_5600000: in std_logic; din: in std_logic_vector(1 downto 0); dout: out std_logic_vector(1 downto 0) ); end downsample_entity_f33f90217c; architecture structural of downsample_entity_f33f90217c is signal ce_1_sg_x37: std_logic; signal ce_2500_sg_x0: std_logic; signal ce_5600000_sg_x8: std_logic; signal clk_1_sg_x37: std_logic; signal clk_2500_sg_x0: std_logic; signal clk_5600000_sg_x8: std_logic; signal down_sample5_q_net: std_logic_vector(1 downto 0); signal down_sample_q_net_x0: std_logic_vector(1 downto 0); signal mux_sel_op_net_x0: std_logic_vector(1 downto 0); begin ce_1_sg_x37 <= ce_1; ce_2500_sg_x0 <= ce_2500; ce_5600000_sg_x8 <= ce_5600000; clk_1_sg_x37 <= clk_1; clk_2500_sg_x0 <= clk_2500; clk_5600000_sg_x8 <= clk_5600000; mux_sel_op_net_x0 <= din; dout <= down_sample_q_net_x0; down_sample: entity work.xldsamp generic map ( d_arith => xlUnsigned, d_bin_pt => 0, d_width => 2, ds_ratio => 2240, latency => 1, phase => 2239, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 2 ) port map ( d => down_sample5_q_net, dest_ce => ce_5600000_sg_x8, dest_clk => clk_5600000_sg_x8, dest_clr => '0', en => "1", src_ce => ce_2500_sg_x0, src_clk => clk_2500_sg_x0, src_clr => '0', q => down_sample_q_net_x0 ); down_sample5: entity work.xldsamp generic map ( d_arith => xlUnsigned, d_bin_pt => 0, d_width => 2, ds_ratio => 2500, latency => 1, phase => 2499, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 2 ) port map ( d => mux_sel_op_net_x0, dest_ce => ce_2500_sg_x0, dest_clk => clk_2500_sg_x0, dest_clr => '0', en => "1", src_ce => ce_1_sg_x37, src_clk => clk_1_sg_x37, src_clr => '0', q => down_sample5_q_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/TDM_monit_1/downsample1" entity downsample1_entity_312d531c6b is port ( ce_1: in std_logic; ce_2500: in std_logic; ce_5600000: in std_logic; clk_1: in std_logic; clk_2500: in std_logic; clk_5600000: in std_logic; din: in std_logic_vector(25 downto 0); dout: out std_logic_vector(25 downto 0) ); end downsample1_entity_312d531c6b; architecture structural of downsample1_entity_312d531c6b is signal ce_1_sg_x38: std_logic; signal ce_2500_sg_x1: std_logic; signal ce_5600000_sg_x9: std_logic; signal clk_1_sg_x38: std_logic; signal clk_2500_sg_x1: std_logic; signal clk_5600000_sg_x9: std_logic; signal down_sample5_q_net: std_logic_vector(25 downto 0); signal down_sample_q_net_x0: std_logic_vector(25 downto 0); signal mux_y_net_x0: std_logic_vector(25 downto 0); begin ce_1_sg_x38 <= ce_1; ce_2500_sg_x1 <= ce_2500; ce_5600000_sg_x9 <= ce_5600000; clk_1_sg_x38 <= clk_1; clk_2500_sg_x1 <= clk_2500; clk_5600000_sg_x9 <= clk_5600000; mux_y_net_x0 <= din; dout <= down_sample_q_net_x0; down_sample: entity work.xldsamp generic map ( d_arith => xlUnsigned, d_bin_pt => 0, d_width => 26, ds_ratio => 2240, latency => 1, phase => 2239, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 26 ) port map ( d => down_sample5_q_net, dest_ce => ce_5600000_sg_x9, dest_clk => clk_5600000_sg_x9, dest_clr => '0', en => "1", src_ce => ce_2500_sg_x1, src_clk => clk_2500_sg_x1, src_clr => '0', q => down_sample_q_net_x0 ); down_sample5: entity work.xldsamp generic map ( d_arith => xlUnsigned, d_bin_pt => 0, d_width => 26, ds_ratio => 2500, latency => 1, phase => 2499, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 26 ) port map ( d => mux_y_net_x0, dest_ce => ce_2500_sg_x1, dest_clk => clk_2500_sg_x1, dest_clr => '0', en => "1", src_ce => ce_1_sg_x38, src_clk => clk_1_sg_x38, src_clr => '0', q => down_sample5_q_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/TDM_monit_1" entity tdm_monit_1_entity_746ecf54b0 is port ( ce_1: in std_logic; ce_22400000: in std_logic; ce_2500: in std_logic; ce_5600000: in std_logic; ce_logic_5600000: in std_logic; clk_1: in std_logic; clk_22400000: in std_logic; clk_2500: in std_logic; clk_5600000: in std_logic; din_ch0: in std_logic_vector(25 downto 0); din_ch1: in std_logic_vector(25 downto 0); din_ch2: in std_logic_vector(25 downto 0); din_ch3: in std_logic_vector(25 downto 0); rst: in std_logic; ch_out: out std_logic_vector(1 downto 0); dout: out std_logic_vector(25 downto 0) ); end tdm_monit_1_entity_746ecf54b0; architecture structural of tdm_monit_1_entity_746ecf54b0 is signal ce_1_sg_x39: std_logic; signal ce_22400000_sg_x10: std_logic; signal ce_2500_sg_x2: std_logic; signal ce_5600000_sg_x10: std_logic; signal ce_logic_5600000_sg_x0: std_logic; signal clk_1_sg_x39: std_logic; signal clk_22400000_sg_x10: std_logic; signal clk_2500_sg_x2: std_logic; signal clk_5600000_sg_x10: std_logic; signal clock_enable_probe_q_net: std_logic; signal concat1_y_net_x0: std_logic_vector(25 downto 0); signal concat2_y_net_x0: std_logic_vector(25 downto 0); signal concat3_y_net_x0: std_logic_vector(25 downto 0); signal concat_y_net_x0: std_logic_vector(25 downto 0); signal constant11_op_net_x0: std_logic; signal down_sample_q_net_x2: std_logic_vector(1 downto 0); signal down_sample_q_net_x3: std_logic_vector(25 downto 0); signal mux_sel_op_net_x0: std_logic_vector(1 downto 0); signal mux_y_net_x0: std_logic_vector(25 downto 0); signal up_sample_ch0_q_net: std_logic_vector(25 downto 0); signal up_sample_ch1_q_net: std_logic_vector(25 downto 0); signal up_sample_ch2_q_net: std_logic_vector(25 downto 0); signal up_sample_ch3_q_net: std_logic_vector(25 downto 0); begin ce_1_sg_x39 <= ce_1; ce_22400000_sg_x10 <= ce_22400000; ce_2500_sg_x2 <= ce_2500; ce_5600000_sg_x10 <= ce_5600000; ce_logic_5600000_sg_x0 <= ce_logic_5600000; clk_1_sg_x39 <= clk_1; clk_22400000_sg_x10 <= clk_22400000; clk_2500_sg_x2 <= clk_2500; clk_5600000_sg_x10 <= clk_5600000; concat_y_net_x0 <= din_ch0; concat1_y_net_x0 <= din_ch1; concat2_y_net_x0 <= din_ch2; concat3_y_net_x0 <= din_ch3; constant11_op_net_x0 <= rst; ch_out <= down_sample_q_net_x2; dout <= down_sample_q_net_x3; clock_enable_probe: entity work.xlceprobe generic map ( d_width => 26, q_width => 1 ) port map ( ce => ce_logic_5600000_sg_x0, clk => clk_5600000_sg_x10, d => up_sample_ch0_q_net, q(0) => clock_enable_probe_q_net ); downsample1_312d531c6b: entity work.downsample1_entity_312d531c6b port map ( ce_1 => ce_1_sg_x39, ce_2500 => ce_2500_sg_x2, ce_5600000 => ce_5600000_sg_x10, clk_1 => clk_1_sg_x39, clk_2500 => clk_2500_sg_x2, clk_5600000 => clk_5600000_sg_x10, din => mux_y_net_x0, dout => down_sample_q_net_x3 ); downsample_f33f90217c: entity work.downsample_entity_f33f90217c port map ( ce_1 => ce_1_sg_x39, ce_2500 => ce_2500_sg_x2, ce_5600000 => ce_5600000_sg_x10, clk_1 => clk_1_sg_x39, clk_2500 => clk_2500_sg_x2, clk_5600000 => clk_5600000_sg_x10, din => mux_sel_op_net_x0, dout => down_sample_q_net_x2 ); mux: entity work.mux_187c900130 port map ( ce => '0', clk => '0', clr => '0', d0 => up_sample_ch0_q_net, d1 => up_sample_ch1_q_net, d2 => up_sample_ch2_q_net, d3 => up_sample_ch3_q_net, sel => mux_sel_op_net_x0, y => mux_y_net_x0 ); mux_sel: entity work.xlcounter_free generic map ( core_name0 => "cntr_11_0_eb46eda57512a5a4", op_arith => xlUnsigned, op_width => 2 ) port map ( ce => ce_1_sg_x39, clk => clk_1_sg_x39, clr => '0', en(0) => clock_enable_probe_q_net, rst(0) => constant11_op_net_x0, op => mux_sel_op_net_x0 ); up_sample_ch0: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlUnsigned, d_bin_pt => 0, d_width => 26, latency => 0, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 26 ) port map ( d => concat_y_net_x0, dest_ce => ce_5600000_sg_x10, dest_clk => clk_5600000_sg_x10, dest_clr => '0', en => "1", src_ce => ce_22400000_sg_x10, src_clk => clk_22400000_sg_x10, src_clr => '0', q => up_sample_ch0_q_net ); up_sample_ch1: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlUnsigned, d_bin_pt => 0, d_width => 26, latency => 0, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 26 ) port map ( d => concat1_y_net_x0, dest_ce => ce_5600000_sg_x10, dest_clk => clk_5600000_sg_x10, dest_clr => '0', en => "1", src_ce => ce_22400000_sg_x10, src_clk => clk_22400000_sg_x10, src_clr => '0', q => up_sample_ch1_q_net ); up_sample_ch2: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlUnsigned, d_bin_pt => 0, d_width => 26, latency => 0, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 26 ) port map ( d => concat2_y_net_x0, dest_ce => ce_5600000_sg_x10, dest_clk => clk_5600000_sg_x10, dest_clr => '0', en => "1", src_ce => ce_22400000_sg_x10, src_clk => clk_22400000_sg_x10, src_clr => '0', q => up_sample_ch2_q_net ); up_sample_ch3: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlUnsigned, d_bin_pt => 0, d_width => 26, latency => 0, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 26 ) port map ( d => concat3_y_net_x0, dest_ce => ce_5600000_sg_x10, dest_clk => clk_5600000_sg_x10, dest_clr => '0', en => "1", src_ce => ce_22400000_sg_x10, src_clk => clk_22400000_sg_x10, src_clr => '0', q => up_sample_ch3_q_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/convert_filt" entity convert_filt_entity_fda412c1bf is port ( din: in std_logic_vector(25 downto 0); dout: out std_logic_vector(24 downto 0) ); end convert_filt_entity_fda412c1bf; architecture structural of convert_filt_entity_fda412c1bf is signal down_sample_q_net_x4: std_logic_vector(25 downto 0); signal extractor1_dout_net: std_logic_vector(24 downto 0); signal reinterpret5_output_port_net_x0: std_logic_vector(24 downto 0); begin down_sample_q_net_x4 <= din; dout <= reinterpret5_output_port_net_x0; extractor1: entity work.bitbasher_a756ba0096 port map ( ce => '0', clk => '0', clr => '0', din => down_sample_q_net_x4, dout => extractor1_dout_net ); reinterpret5: entity work.reinterpret_60ea556961 port map ( ce => '0', clk => '0', clr => '0', input_port => extractor1_dout_net, output_port => reinterpret5_output_port_net_x0 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/delta-sigma_fofb/DataReg_En" entity datareg_en_entity_79473f9ed1 is port ( ce_1: in std_logic; clk_1: in std_logic; din: in std_logic_vector(24 downto 0); en: in std_logic; dout: out std_logic_vector(24 downto 0); valid: out std_logic ); end datareg_en_entity_79473f9ed1; architecture structural of datareg_en_entity_79473f9ed1 is signal ce_1_sg_x40: std_logic; signal clk_1_sg_x40: std_logic; signal divider_dout_valid_x0: std_logic; signal register1_q_net_x0: std_logic; signal register_q_net_x0: std_logic_vector(24 downto 0); signal reinterpret1_output_port_net_x0: std_logic_vector(24 downto 0); begin ce_1_sg_x40 <= ce_1; clk_1_sg_x40 <= clk_1; reinterpret1_output_port_net_x0 <= din; divider_dout_valid_x0 <= en; dout <= register_q_net_x0; valid <= register1_q_net_x0; register1: entity work.xlregister generic map ( d_width => 1, init_value => b"0" ) port map ( ce => ce_1_sg_x40, clk => clk_1_sg_x40, d(0) => divider_dout_valid_x0, en => "1", rst => "0", q(0) => register1_q_net_x0 ); register_x0: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_1_sg_x40, clk => clk_1_sg_x40, d => reinterpret1_output_port_net_x0, en(0) => divider_dout_valid_x0, rst => "0", q => register_q_net_x0 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/delta-sigma_fofb/DataReg_En3" entity datareg_en3_entity_6643090018 is port ( ce_1: in std_logic; clk_1: in std_logic; din: in std_logic_vector(24 downto 0); en: in std_logic; dout: out std_logic_vector(24 downto 0); valid: out std_logic ); end datareg_en3_entity_6643090018; architecture structural of datareg_en3_entity_6643090018 is signal ce_1_sg_x43: std_logic; signal clk_1_sg_x43: std_logic; signal convert_dout_net_x0: std_logic_vector(24 downto 0); signal delay1_q_net_x0: std_logic; signal register1_q_net_x0: std_logic; signal register_q_net_x0: std_logic_vector(24 downto 0); begin ce_1_sg_x43 <= ce_1; clk_1_sg_x43 <= clk_1; convert_dout_net_x0 <= din; delay1_q_net_x0 <= en; dout <= register_q_net_x0; valid <= register1_q_net_x0; register1: entity work.xlregister generic map ( d_width => 1, init_value => b"0" ) port map ( ce => ce_1_sg_x43, clk => clk_1_sg_x43, d(0) => delay1_q_net_x0, en => "1", rst => "0", q(0) => register1_q_net_x0 ); register_x0: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_1_sg_x43, clk => clk_1_sg_x43, d => convert_dout_net_x0, en(0) => delay1_q_net_x0, rst => "0", q => register_q_net_x0 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/delta-sigma_fofb/pulse_stretcher" entity pulse_stretcher_entity_9893378b63 is port ( ce_1: in std_logic; clk_1: in std_logic; clr: in std_logic; pulse_in: in std_logic; extd_out: out std_logic ); end pulse_stretcher_entity_9893378b63; architecture structural of pulse_stretcher_entity_9893378b63 is signal ce_1_sg_x44: std_logic; signal ce_70_x0: std_logic; signal clk_1_sg_x44: std_logic; signal inverter_op_net: std_logic; signal logical1_y_net: std_logic; signal logical2_y_net: std_logic; signal logical3_y_net_x0: std_logic; signal register1_q_net_x1: std_logic; signal register_q_net: std_logic; begin ce_1_sg_x44 <= ce_1; clk_1_sg_x44 <= clk_1; ce_70_x0 <= clr; register1_q_net_x1 <= pulse_in; extd_out <= logical3_y_net_x0; inverter: entity work.inverter_e5b38cca3b port map ( ce => ce_1_sg_x44, clk => clk_1_sg_x44, clr => '0', ip(0) => ce_70_x0, op(0) => inverter_op_net ); logical1: entity work.logical_80f90b97d0 port map ( ce => '0', clk => '0', clr => '0', d0(0) => register_q_net, d1(0) => inverter_op_net, y(0) => logical1_y_net ); logical2: entity work.logical_aacf6e1b0e port map ( ce => '0', clk => '0', clr => '0', d0(0) => register1_q_net_x1, d1(0) => logical1_y_net, y(0) => logical2_y_net ); logical3: entity work.logical_aacf6e1b0e port map ( ce => '0', clk => '0', clr => '0', d0(0) => register1_q_net_x1, d1(0) => register_q_net, y(0) => logical3_y_net_x0 ); register_x0: entity work.xlregister generic map ( d_width => 1, init_value => b"0" ) port map ( ce => ce_1_sg_x44, clk => clk_1_sg_x44, d(0) => logical2_y_net, en => "1", rst => "0", q(0) => register_q_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/delta-sigma_fofb" entity delta_sigma_fofb_entity_ee61e649ea is port ( a: in std_logic_vector(23 downto 0); b: in std_logic_vector(23 downto 0); c: in std_logic_vector(23 downto 0); ce_1: in std_logic; ce_2: in std_logic; ce_2240: in std_logic; ce_logic_2240: in std_logic; clk_1: in std_logic; clk_2: in std_logic; clk_2240: in std_logic; d: in std_logic_vector(23 downto 0); ds_thres: in std_logic_vector(25 downto 0); q: out std_logic_vector(24 downto 0); q_valid: out std_logic; sum_valid: out std_logic; sum_x0: out std_logic_vector(24 downto 0); x: out std_logic_vector(24 downto 0); x_valid: out std_logic; y: out std_logic_vector(24 downto 0); y_valid: out std_logic ); end delta_sigma_fofb_entity_ee61e649ea; architecture structural of delta_sigma_fofb_entity_ee61e649ea is signal a_plus_b_s_net: std_logic_vector(24 downto 0); signal a_plus_c_s_net: std_logic_vector(24 downto 0); signal a_plus_d_s_net: std_logic_vector(24 downto 0); signal assert10_dout_net_x1: std_logic; signal assert11_dout_net_x1: std_logic_vector(24 downto 0); signal assert12_dout_net_x1: std_logic; signal assert1_dout_net_x0: std_logic; signal assert5_dout_net_x1: std_logic_vector(24 downto 0); signal assert6_dout_net_x0: std_logic; signal assert8_dout_net_x1: std_logic_vector(24 downto 0); signal assert9_dout_net_x1: std_logic; signal assert_dout_net: std_logic; signal b_plus_c_s_net: std_logic_vector(24 downto 0); signal b_plus_d_s_net: std_logic_vector(24 downto 0); signal c_plus_d_s_net: std_logic_vector(24 downto 0); signal ce_1_sg_x52: std_logic; signal ce_2240_sg_x27: std_logic; signal ce_2_sg_x34: std_logic; signal ce_70_x3: std_logic; signal ce_logic_2240_sg_x0: std_logic; signal clk_1_sg_x52: std_logic; signal clk_2240_sg_x27: std_logic; signal clk_2_sg_x34: std_logic; signal convert_dout_net_x0: std_logic_vector(24 downto 0); signal del_sig_div_fofb_thres_i_net_x0: std_logic_vector(25 downto 0); signal delay1_q_net_x0: std_logic; signal delay_q_net: std_logic_vector(25 downto 0); signal delta_q_s_net: std_logic_vector(25 downto 0); signal delta_x_s_net: std_logic_vector(25 downto 0); signal delta_y_s_net: std_logic_vector(25 downto 0); signal din: std_logic_vector(25 downto 0); signal dividend_data: std_logic_vector(25 downto 0); signal dividend_ready: std_logic; signal dividend_ready_x0: std_logic; signal dividend_valid_x0: std_logic; signal dividend_valid_x1: std_logic; signal dividend_valid_x2: std_logic; signal divider_dout_fracc: std_logic_vector(24 downto 0); signal divider_dout_valid_x0: std_logic; signal divisor_data: std_logic_vector(25 downto 0); signal divisor_data_x0: std_logic_vector(25 downto 0); signal divisor_ready: std_logic; signal divisor_valid_x0: std_logic; signal dout_down_x1: std_logic_vector(24 downto 0); signal dout_stretch: std_logic_vector(24 downto 0); signal down_sample1_q_net: std_logic_vector(24 downto 0); signal down_sample1_q_net_x20: std_logic_vector(23 downto 0); signal down_sample1_q_net_x21: std_logic_vector(23 downto 0); signal down_sample2_q_net: std_logic; signal down_sample2_q_net_x20: std_logic_vector(23 downto 0); signal down_sample2_q_net_x21: std_logic_vector(23 downto 0); signal down_sample3_q_net: std_logic_vector(24 downto 0); signal down_sample4_q_net: std_logic; signal down_sample5_q_net: std_logic_vector(24 downto 0); signal down_sample6_q_net: std_logic; signal down_sample7_q_net: std_logic_vector(24 downto 0); signal down_sample8_q_net: std_logic; signal down_sample_q_net: std_logic_vector(25 downto 0); signal expression1_dout_net: std_logic; signal logical3_y_net_x0: std_logic; signal logical3_y_net_x1: std_logic; signal logical3_y_net_x2: std_logic; signal logical3_y_net_x3: std_logic; signal logical3_y_net_x4: std_logic; signal logical3_y_net_x5: std_logic; signal logical3_y_net_x6: std_logic; signal logical3_y_net_x7: std_logic; signal q_divider_m_axis_dout_tdata_fractional_net: std_logic_vector(24 downto 0); signal q_divider_m_axis_dout_tvalid_net_x0: std_logic; signal q_divider_s_axis_dividend_tready_net: std_logic; signal q_divider_s_axis_divisor_tready_net: std_logic; signal re_x0: std_logic; signal re_x1: std_logic; signal register10_q_net: std_logic_vector(25 downto 0); signal register11_q_net: std_logic_vector(24 downto 0); signal register12_q_net: std_logic_vector(24 downto 0); signal register13_q_net: std_logic_vector(24 downto 0); signal register14_q_net: std_logic_vector(25 downto 0); signal register1_q_net: std_logic_vector(24 downto 0); signal register1_q_net_x1: std_logic; signal register1_q_net_x2: std_logic; signal register1_q_net_x3: std_logic; signal register1_q_net_x4: std_logic; signal register2_q_net: std_logic_vector(24 downto 0); signal register3_q_net: std_logic_vector(24 downto 0); signal register4_q_net: std_logic_vector(24 downto 0); signal register5_q_net: std_logic_vector(24 downto 0); signal register6_q_net: std_logic_vector(24 downto 0); signal register7_q_net: std_logic_vector(25 downto 0); signal register_q_net_x0: std_logic_vector(24 downto 0); signal register_q_net_x1: std_logic_vector(24 downto 0); signal register_q_net_x2: std_logic_vector(24 downto 0); signal register_q_net_x3: std_logic_vector(24 downto 0); signal reinterpret1_output_port_net_x0: std_logic_vector(24 downto 0); signal reinterpret2_output_port_net_x0: std_logic_vector(24 downto 0); signal reinterpret3_output_port_net_x0: std_logic_vector(24 downto 0); signal reinterpret4_output_port_net: std_logic_vector(25 downto 0); signal reinterpret7_output_port_net: std_logic_vector(25 downto 0); signal reinterpret8_output_port_net: std_logic_vector(25 downto 0); signal relational_op_net: std_logic; signal sum_s_net: std_logic_vector(25 downto 0); signal up_sample2_q_net: std_logic_vector(25 downto 0); signal up_sample4_q_net: std_logic_vector(25 downto 0); signal up_sample6_q_net: std_logic_vector(25 downto 0); signal up_sample_q_net: std_logic_vector(25 downto 0); signal valid_ds_down_x1: std_logic; signal x_divider_m_axis_dout_tdata_fractional_net: std_logic_vector(24 downto 0); signal x_divider_m_axis_dout_tvalid_net_x0: std_logic; signal x_divider_s_axis_divisor_tready_net: std_logic; begin down_sample2_q_net_x20 <= a; down_sample1_q_net_x20 <= b; down_sample2_q_net_x21 <= c; ce_1_sg_x52 <= ce_1; ce_2_sg_x34 <= ce_2; ce_2240_sg_x27 <= ce_2240; ce_logic_2240_sg_x0 <= ce_logic_2240; clk_1_sg_x52 <= clk_1; clk_2_sg_x34 <= clk_2; clk_2240_sg_x27 <= clk_2240; down_sample1_q_net_x21 <= d; del_sig_div_fofb_thres_i_net_x0 <= ds_thres; q <= assert8_dout_net_x1; q_valid <= assert9_dout_net_x1; sum_valid <= assert12_dout_net_x1; sum_x0 <= assert11_dout_net_x1; x <= assert5_dout_net_x1; x_valid <= assert10_dout_net_x1; y <= dout_down_x1; y_valid <= valid_ds_down_x1; a_plus_b: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 24, b_arith => xlSigned, b_bin_pt => 22, b_width => 24, c_has_c_out => 0, c_latency => 0, c_output_width => 25, core_name0 => "addsb_11_0_293aa5f110d040c2", extra_registers => 0, full_s_arith => 2, full_s_width => 25, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 25 ) port map ( a => down_sample2_q_net_x20, b => down_sample1_q_net_x20, ce => ce_2240_sg_x27, clk => clk_2240_sg_x27, clr => '0', en => "1", s => a_plus_b_s_net ); a_plus_c: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 24, b_arith => xlSigned, b_bin_pt => 22, b_width => 24, c_has_c_out => 0, c_latency => 0, c_output_width => 25, core_name0 => "addsb_11_0_293aa5f110d040c2", extra_registers => 0, full_s_arith => 2, full_s_width => 25, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 25 ) port map ( a => down_sample2_q_net_x20, b => down_sample2_q_net_x21, ce => ce_2240_sg_x27, clk => clk_2240_sg_x27, clr => '0', en => "1", s => a_plus_c_s_net ); a_plus_d: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 24, b_arith => xlSigned, b_bin_pt => 22, b_width => 24, c_has_c_out => 0, c_latency => 0, c_output_width => 25, core_name0 => "addsb_11_0_293aa5f110d040c2", extra_registers => 0, full_s_arith => 2, full_s_width => 25, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 25 ) port map ( a => down_sample2_q_net_x20, b => down_sample1_q_net_x21, ce => ce_2240_sg_x27, clk => clk_2240_sg_x27, clr => '0', en => "1", s => a_plus_d_s_net ); assert1: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => q_divider_s_axis_dividend_tready_net, dout(0) => assert1_dout_net_x0 ); assert10: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => down_sample6_q_net, dout(0) => assert10_dout_net_x1 ); assert11: entity work.xlpassthrough generic map ( din_width => 25, dout_width => 25 ) port map ( din => down_sample7_q_net, dout => assert11_dout_net_x1 ); assert12: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => down_sample8_q_net, dout(0) => assert12_dout_net_x1 ); assert2: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => dividend_ready_x0, dout(0) => re_x0 ); assert3: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => dividend_ready, dout(0) => re_x1 ); assert4: entity work.xlpassthrough generic map ( din_width => 25, dout_width => 25 ) port map ( din => down_sample1_q_net, dout => dout_down_x1 ); assert5: entity work.xlpassthrough generic map ( din_width => 25, dout_width => 25 ) port map ( din => down_sample5_q_net, dout => assert5_dout_net_x1 ); assert6: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => expression1_dout_net, dout(0) => assert6_dout_net_x0 ); assert7: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => down_sample2_q_net, dout(0) => valid_ds_down_x1 ); assert8: entity work.xlpassthrough generic map ( din_width => 25, dout_width => 25 ) port map ( din => down_sample3_q_net, dout => assert8_dout_net_x1 ); assert9: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => down_sample4_q_net, dout(0) => assert9_dout_net_x1 ); assert_x0: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => relational_op_net, dout(0) => assert_dout_net ); b_plus_c: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 24, b_arith => xlSigned, b_bin_pt => 22, b_width => 24, c_has_c_out => 0, c_latency => 0, c_output_width => 25, core_name0 => "addsb_11_0_293aa5f110d040c2", extra_registers => 0, full_s_arith => 2, full_s_width => 25, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 25 ) port map ( a => down_sample1_q_net_x20, b => down_sample2_q_net_x21, ce => ce_2240_sg_x27, clk => clk_2240_sg_x27, clr => '0', en => "1", s => b_plus_c_s_net ); b_plus_d: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 24, b_arith => xlSigned, b_bin_pt => 22, b_width => 24, c_has_c_out => 0, c_latency => 0, c_output_width => 25, core_name0 => "addsb_11_0_293aa5f110d040c2", extra_registers => 0, full_s_arith => 2, full_s_width => 25, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 25 ) port map ( a => down_sample1_q_net_x20, b => down_sample1_q_net_x21, ce => ce_2240_sg_x27, clk => clk_2240_sg_x27, clr => '0', en => "1", s => b_plus_d_s_net ); c_plus_d: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 24, b_arith => xlSigned, b_bin_pt => 22, b_width => 24, c_has_c_out => 0, c_latency => 0, c_output_width => 25, core_name0 => "addsb_11_0_293aa5f110d040c2", extra_registers => 0, full_s_arith => 2, full_s_width => 25, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 25 ) port map ( a => down_sample2_q_net_x21, b => down_sample1_q_net_x21, ce => ce_2240_sg_x27, clk => clk_2240_sg_x27, clr => '0', en => "1", s => c_plus_d_s_net ); ce1: entity work.xlceprobe generic map ( d_width => 1, q_width => 1 ) port map ( ce => ce_logic_2240_sg_x0, clk => clk_2240_sg_x27, d(0) => assert_dout_net, q(0) => ce_70_x3 ); convert: entity work.xlconvert generic map ( bool_conversion => 0, din_arith => 2, din_bin_pt => 22, din_width => 26, dout_arith => 2, dout_bin_pt => 21, dout_width => 25, latency => 0, overflow => xlSaturate, quantization => xlRound ) port map ( ce => ce_1_sg_x52, clk => clk_1_sg_x52, clr => '0', din => delay_q_net, en => "1", dout => convert_dout_net_x0 ); datareg_en1_3225c09afc: entity work.datareg_en_entity_79473f9ed1 port map ( ce_1 => ce_1_sg_x52, clk_1 => clk_1_sg_x52, din => reinterpret2_output_port_net_x0, en => q_divider_m_axis_dout_tvalid_net_x0, dout => register_q_net_x1, valid => register1_q_net_x2 ); datareg_en2_5b5f4b61b7: entity work.datareg_en_entity_79473f9ed1 port map ( ce_1 => ce_1_sg_x52, clk_1 => clk_1_sg_x52, din => reinterpret3_output_port_net_x0, en => x_divider_m_axis_dout_tvalid_net_x0, dout => register_q_net_x2, valid => register1_q_net_x3 ); datareg_en3_6643090018: entity work.datareg_en3_entity_6643090018 port map ( ce_1 => ce_1_sg_x52, clk_1 => clk_1_sg_x52, din => convert_dout_net_x0, en => delay1_q_net_x0, dout => register_q_net_x3, valid => register1_q_net_x4 ); datareg_en_79473f9ed1: entity work.datareg_en_entity_79473f9ed1 port map ( ce_1 => ce_1_sg_x52, clk_1 => clk_1_sg_x52, din => reinterpret1_output_port_net_x0, en => divider_dout_valid_x0, dout => register_q_net_x0, valid => register1_q_net_x1 ); delay: entity work.xldelay generic map ( latency => 56, reg_retiming => 0, reset => 0, width => 26 ) port map ( ce => ce_1_sg_x52, clk => clk_1_sg_x52, d => reinterpret8_output_port_net, en => '1', rst => '1', q => delay_q_net ); delay1: entity work.xldelay generic map ( latency => 56, reg_retiming => 0, reset => 0, width => 1 ) port map ( ce => ce_1_sg_x52, clk => clk_1_sg_x52, d(0) => logical3_y_net_x4, en => '1', rst => '1', q(0) => delay1_q_net_x0 ); delta_q: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 25, b_arith => xlSigned, b_bin_pt => 22, b_width => 25, c_has_c_out => 0, c_latency => 0, c_output_width => 26, core_name0 => "addsb_11_0_44053abf11139d96", extra_registers => 0, full_s_arith => 2, full_s_width => 26, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 26 ) port map ( a => register5_q_net, b => register6_q_net, ce => ce_2240_sg_x27, clk => clk_2240_sg_x27, clr => '0', en => "1", s => delta_q_s_net ); delta_x: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 25, b_arith => xlSigned, b_bin_pt => 22, b_width => 25, c_has_c_out => 0, c_latency => 0, c_output_width => 26, core_name0 => "addsb_11_0_44053abf11139d96", extra_registers => 0, full_s_arith => 2, full_s_width => 26, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 26 ) port map ( a => register1_q_net, b => register3_q_net, ce => ce_2240_sg_x27, clk => clk_2240_sg_x27, clr => '0', en => "1", s => delta_x_s_net ); delta_y: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 25, b_arith => xlSigned, b_bin_pt => 22, b_width => 25, c_has_c_out => 0, c_latency => 0, c_output_width => 26, core_name0 => "addsb_11_0_44053abf11139d96", extra_registers => 0, full_s_arith => 2, full_s_width => 26, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 26 ) port map ( a => register2_q_net, b => register4_q_net, ce => ce_2240_sg_x27, clk => clk_2240_sg_x27, clr => '0', en => "1", s => delta_y_s_net ); down_sample: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 22, d_width => 26, ds_ratio => 1120, latency => 1, phase => 1119, q_arith => xlSigned, q_bin_pt => 22, q_width => 26 ) port map ( d => register14_q_net, dest_ce => ce_2240_sg_x27, dest_clk => clk_2240_sg_x27, dest_clr => '0', en => "1", src_ce => ce_2_sg_x34, src_clk => clk_2_sg_x34, src_clr => '0', q => down_sample_q_net ); down_sample1: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 24, d_width => 25, ds_ratio => 2240, latency => 1, phase => 2239, q_arith => xlSigned, q_bin_pt => 24, q_width => 25 ) port map ( d => dout_stretch, dest_ce => ce_2240_sg_x27, dest_clk => clk_2240_sg_x27, dest_clr => '0', en => "1", src_ce => ce_1_sg_x52, src_clk => clk_1_sg_x52, src_clr => '0', q => down_sample1_q_net ); down_sample2: entity work.xldsamp generic map ( d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, ds_ratio => 2240, latency => 1, phase => 2239, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => logical3_y_net_x0, dest_ce => ce_2240_sg_x27, dest_clk => clk_2240_sg_x27, dest_clr => '0', en => "1", src_ce => ce_1_sg_x52, src_clk => clk_1_sg_x52, src_clr => '0', q(0) => down_sample2_q_net ); down_sample3: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 24, d_width => 25, ds_ratio => 2240, latency => 1, phase => 2239, q_arith => xlSigned, q_bin_pt => 24, q_width => 25 ) port map ( d => register11_q_net, dest_ce => ce_2240_sg_x27, dest_clk => clk_2240_sg_x27, dest_clr => '0', en => "1", src_ce => ce_1_sg_x52, src_clk => clk_1_sg_x52, src_clr => '0', q => down_sample3_q_net ); down_sample4: entity work.xldsamp generic map ( d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, ds_ratio => 2240, latency => 1, phase => 2239, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => logical3_y_net_x1, dest_ce => ce_2240_sg_x27, dest_clk => clk_2240_sg_x27, dest_clr => '0', en => "1", src_ce => ce_1_sg_x52, src_clk => clk_1_sg_x52, src_clr => '0', q(0) => down_sample4_q_net ); down_sample5: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 24, d_width => 25, ds_ratio => 2240, latency => 1, phase => 2239, q_arith => xlSigned, q_bin_pt => 24, q_width => 25 ) port map ( d => register12_q_net, dest_ce => ce_2240_sg_x27, dest_clk => clk_2240_sg_x27, dest_clr => '0', en => "1", src_ce => ce_1_sg_x52, src_clk => clk_1_sg_x52, src_clr => '0', q => down_sample5_q_net ); down_sample6: entity work.xldsamp generic map ( d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, ds_ratio => 2240, latency => 1, phase => 2239, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => logical3_y_net_x2, dest_ce => ce_2240_sg_x27, dest_clk => clk_2240_sg_x27, dest_clr => '0', en => "1", src_ce => ce_1_sg_x52, src_clk => clk_1_sg_x52, src_clr => '0', q(0) => down_sample6_q_net ); down_sample7: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 21, d_width => 25, ds_ratio => 2240, latency => 1, phase => 2239, q_arith => xlSigned, q_bin_pt => 21, q_width => 25 ) port map ( d => register13_q_net, dest_ce => ce_2240_sg_x27, dest_clk => clk_2240_sg_x27, dest_clr => '0', en => "1", src_ce => ce_1_sg_x52, src_clk => clk_1_sg_x52, src_clr => '0', q => down_sample7_q_net ); down_sample8: entity work.xldsamp generic map ( d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, ds_ratio => 2240, latency => 1, phase => 2239, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => logical3_y_net_x3, dest_ce => ce_2240_sg_x27, dest_clk => clk_2240_sg_x27, dest_clr => '0', en => "1", src_ce => ce_1_sg_x52, src_clk => clk_1_sg_x52, src_clr => '0', q(0) => down_sample8_q_net ); expression1: entity work.expr_375d7bbece port map ( a(0) => x_divider_s_axis_divisor_tready_net, b(0) => divisor_ready, c(0) => q_divider_s_axis_divisor_tready_net, ce => '0', clk => '0', clr => '0', dout(0) => expression1_dout_net ); pulse_stretcher1_f6401a1a3d: entity work.pulse_stretcher_entity_9893378b63 port map ( ce_1 => ce_1_sg_x52, clk_1 => clk_1_sg_x52, clr => ce_70_x3, pulse_in => register1_q_net_x2, extd_out => logical3_y_net_x1 ); pulse_stretcher2_38948aaba0: entity work.pulse_stretcher_entity_9893378b63 port map ( ce_1 => ce_1_sg_x52, clk_1 => clk_1_sg_x52, clr => ce_70_x3, pulse_in => register1_q_net_x3, extd_out => logical3_y_net_x2 ); pulse_stretcher3_816d954034: entity work.pulse_stretcher_entity_9893378b63 port map ( ce_1 => ce_1_sg_x52, clk_1 => clk_1_sg_x52, clr => ce_70_x3, pulse_in => register1_q_net_x4, extd_out => logical3_y_net_x3 ); pulse_stretcher4_5d505b900f: entity work.pulse_stretcher_entity_9893378b63 port map ( ce_1 => ce_1_sg_x52, clk_1 => clk_1_sg_x52, clr => assert6_dout_net_x0, pulse_in => divisor_valid_x0, extd_out => logical3_y_net_x4 ); pulse_stretcher5_bee4540339: entity work.pulse_stretcher_entity_9893378b63 port map ( ce_1 => ce_1_sg_x52, clk_1 => clk_1_sg_x52, clr => re_x0, pulse_in => dividend_valid_x0, extd_out => logical3_y_net_x5 ); pulse_stretcher6_f82d879b1c: entity work.pulse_stretcher_entity_9893378b63 port map ( ce_1 => ce_1_sg_x52, clk_1 => clk_1_sg_x52, clr => assert1_dout_net_x0, pulse_in => dividend_valid_x1, extd_out => logical3_y_net_x6 ); pulse_stretcher7_2406c4a105: entity work.pulse_stretcher_entity_9893378b63 port map ( ce_1 => ce_1_sg_x52, clk_1 => clk_1_sg_x52, clr => re_x1, pulse_in => dividend_valid_x2, extd_out => logical3_y_net_x7 ); pulse_stretcher_9893378b63: entity work.pulse_stretcher_entity_9893378b63 port map ( ce_1 => ce_1_sg_x52, clk_1 => clk_1_sg_x52, clr => ce_70_x3, pulse_in => register1_q_net_x1, extd_out => logical3_y_net_x0 ); q_divider: entity work.xldivider_generator_47dc3a44bd8d9df86e42dac34ee6a9fc port map ( ce => ce_1_sg_x52, clk => clk_1_sg_x52, s_axis_dividend_tdata_dividend => reinterpret7_output_port_net, s_axis_dividend_tvalid => logical3_y_net_x6, s_axis_divisor_tdata_divisor => divisor_data_x0, s_axis_divisor_tvalid => logical3_y_net_x4, m_axis_dout_tdata_fractional => q_divider_m_axis_dout_tdata_fractional_net, m_axis_dout_tvalid => q_divider_m_axis_dout_tvalid_net_x0, s_axis_dividend_tready => q_divider_s_axis_dividend_tready_net, s_axis_divisor_tready => q_divider_s_axis_divisor_tready_net ); register1: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_2240_sg_x27, clk => clk_2240_sg_x27, d => b_plus_c_s_net, en => "1", rst => "0", q => register1_q_net ); register10: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_2240_sg_x27, clk => clk_2240_sg_x27, d => delta_q_s_net, en => "1", rst => "0", q => register10_q_net ); register11: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_1_sg_x52, clk => clk_1_sg_x52, d => register_q_net_x1, en => "1", rst => "0", q => register11_q_net ); register12: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_1_sg_x52, clk => clk_1_sg_x52, d => register_q_net_x2, en => "1", rst => "0", q => register12_q_net ); register13: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_1_sg_x52, clk => clk_1_sg_x52, d => register_q_net_x3, en => "1", rst => "0", q => register13_q_net ); register14: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_2_sg_x34, clk => clk_2_sg_x34, d => del_sig_div_fofb_thres_i_net_x0, en => "1", rst => "0", q => register14_q_net ); register2: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_2240_sg_x27, clk => clk_2240_sg_x27, d => a_plus_b_s_net, en => "1", rst => "0", q => register2_q_net ); register3: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_2240_sg_x27, clk => clk_2240_sg_x27, d => a_plus_d_s_net, en => "1", rst => "0", q => register3_q_net ); register4: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_2240_sg_x27, clk => clk_2240_sg_x27, d => c_plus_d_s_net, en => "1", rst => "0", q => register4_q_net ); register5: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_2240_sg_x27, clk => clk_2240_sg_x27, d => a_plus_c_s_net, en => "1", rst => "0", q => register5_q_net ); register6: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_2240_sg_x27, clk => clk_2240_sg_x27, d => b_plus_d_s_net, en => "1", rst => "0", q => register6_q_net ); register7: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_2240_sg_x27, clk => clk_2240_sg_x27, d => delta_x_s_net, en => "1", rst => "0", q => register7_q_net ); register8: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_2240_sg_x27, clk => clk_2240_sg_x27, d => sum_s_net, en => "1", rst => "0", q => divisor_data ); register9: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_2240_sg_x27, clk => clk_2240_sg_x27, d => delta_y_s_net, en => "1", rst => "0", q => din ); register_x0: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_1_sg_x52, clk => clk_1_sg_x52, d => register_q_net_x0, en => "1", rst => "0", q => dout_stretch ); reinterpret1: entity work.reinterpret_31a4235b32 port map ( ce => '0', clk => '0', clr => '0', input_port => divider_dout_fracc, output_port => reinterpret1_output_port_net_x0 ); reinterpret2: entity work.reinterpret_31a4235b32 port map ( ce => '0', clk => '0', clr => '0', input_port => q_divider_m_axis_dout_tdata_fractional_net, output_port => reinterpret2_output_port_net_x0 ); reinterpret3: entity work.reinterpret_31a4235b32 port map ( ce => '0', clk => '0', clr => '0', input_port => x_divider_m_axis_dout_tdata_fractional_net, output_port => reinterpret3_output_port_net_x0 ); reinterpret4: entity work.reinterpret_040ef1b598 port map ( ce => '0', clk => '0', clr => '0', input_port => up_sample6_q_net, output_port => reinterpret4_output_port_net ); reinterpret5: entity work.reinterpret_040ef1b598 port map ( ce => '0', clk => '0', clr => '0', input_port => up_sample2_q_net, output_port => divisor_data_x0 ); reinterpret6: entity work.reinterpret_040ef1b598 port map ( ce => '0', clk => '0', clr => '0', input_port => up_sample_q_net, output_port => dividend_data ); reinterpret7: entity work.reinterpret_040ef1b598 port map ( ce => '0', clk => '0', clr => '0', input_port => up_sample4_q_net, output_port => reinterpret7_output_port_net ); reinterpret8: entity work.reinterpret_040ef1b598 port map ( ce => '0', clk => '0', clr => '0', input_port => divisor_data_x0, output_port => reinterpret8_output_port_net ); relational: entity work.relational_416cfcae1e port map ( a => divisor_data, b => down_sample_q_net, ce => ce_2240_sg_x27, clk => clk_2240_sg_x27, clr => '0', op(0) => relational_op_net ); sum: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 25, b_arith => xlSigned, b_bin_pt => 22, b_width => 25, c_has_c_out => 0, c_latency => 0, c_output_width => 26, core_name0 => "addsb_11_0_3537d66a2361cd1e", extra_registers => 0, full_s_arith => 2, full_s_width => 26, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 26 ) port map ( a => register3_q_net, b => register1_q_net, ce => ce_2240_sg_x27, clk => clk_2240_sg_x27, clr => '0', en => "1", s => sum_s_net ); up_sample: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 22, d_width => 26, latency => 0, q_arith => xlSigned, q_bin_pt => 22, q_width => 26 ) port map ( d => din, dest_ce => ce_1_sg_x52, dest_clk => clk_1_sg_x52, dest_clr => '0', en => "1", src_ce => ce_2240_sg_x27, src_clk => clk_2240_sg_x27, src_clr => '0', q => up_sample_q_net ); up_sample1: entity work.xlusamp generic map ( copy_samples => 0, d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, latency => 0, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => assert_dout_net, dest_ce => ce_1_sg_x52, dest_clk => clk_1_sg_x52, dest_clr => '0', en => "1", src_ce => ce_2240_sg_x27, src_clk => clk_2240_sg_x27, src_clr => '0', q(0) => dividend_valid_x0 ); up_sample2: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 22, d_width => 26, latency => 0, q_arith => xlSigned, q_bin_pt => 22, q_width => 26 ) port map ( d => divisor_data, dest_ce => ce_1_sg_x52, dest_clk => clk_1_sg_x52, dest_clr => '0', en => "1", src_ce => ce_2240_sg_x27, src_clk => clk_2240_sg_x27, src_clr => '0', q => up_sample2_q_net ); up_sample3: entity work.xlusamp generic map ( copy_samples => 0, d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, latency => 0, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => assert_dout_net, dest_ce => ce_1_sg_x52, dest_clk => clk_1_sg_x52, dest_clr => '0', en => "1", src_ce => ce_2240_sg_x27, src_clk => clk_2240_sg_x27, src_clr => '0', q(0) => divisor_valid_x0 ); up_sample4: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 22, d_width => 26, latency => 0, q_arith => xlSigned, q_bin_pt => 22, q_width => 26 ) port map ( d => register10_q_net, dest_ce => ce_1_sg_x52, dest_clk => clk_1_sg_x52, dest_clr => '0', en => "1", src_ce => ce_2240_sg_x27, src_clk => clk_2240_sg_x27, src_clr => '0', q => up_sample4_q_net ); up_sample5: entity work.xlusamp generic map ( copy_samples => 0, d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, latency => 0, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => assert_dout_net, dest_ce => ce_1_sg_x52, dest_clk => clk_1_sg_x52, dest_clr => '0', en => "1", src_ce => ce_2240_sg_x27, src_clk => clk_2240_sg_x27, src_clr => '0', q(0) => dividend_valid_x1 ); up_sample6: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 22, d_width => 26, latency => 0, q_arith => xlSigned, q_bin_pt => 22, q_width => 26 ) port map ( d => register7_q_net, dest_ce => ce_1_sg_x52, dest_clk => clk_1_sg_x52, dest_clr => '0', en => "1", src_ce => ce_2240_sg_x27, src_clk => clk_2240_sg_x27, src_clr => '0', q => up_sample6_q_net ); up_sample7: entity work.xlusamp generic map ( copy_samples => 0, d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, latency => 0, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => assert_dout_net, dest_ce => ce_1_sg_x52, dest_clk => clk_1_sg_x52, dest_clr => '0', en => "1", src_ce => ce_2240_sg_x27, src_clk => clk_2240_sg_x27, src_clr => '0', q(0) => dividend_valid_x2 ); x_divider: entity work.xldivider_generator_47dc3a44bd8d9df86e42dac34ee6a9fc port map ( ce => ce_1_sg_x52, clk => clk_1_sg_x52, s_axis_dividend_tdata_dividend => reinterpret4_output_port_net, s_axis_dividend_tvalid => logical3_y_net_x7, s_axis_divisor_tdata_divisor => divisor_data_x0, s_axis_divisor_tvalid => logical3_y_net_x4, m_axis_dout_tdata_fractional => x_divider_m_axis_dout_tdata_fractional_net, m_axis_dout_tvalid => x_divider_m_axis_dout_tvalid_net_x0, s_axis_dividend_tready => dividend_ready, s_axis_divisor_tready => x_divider_s_axis_divisor_tready_net ); y_divider: entity work.xldivider_generator_47dc3a44bd8d9df86e42dac34ee6a9fc port map ( ce => ce_1_sg_x52, clk => clk_1_sg_x52, s_axis_dividend_tdata_dividend => dividend_data, s_axis_dividend_tvalid => logical3_y_net_x5, s_axis_divisor_tdata_divisor => divisor_data_x0, s_axis_divisor_tvalid => logical3_y_net_x4, m_axis_dout_tdata_fractional => divider_dout_fracc, m_axis_dout_tvalid => divider_dout_valid_x0, s_axis_dividend_tready => dividend_ready_x0, s_axis_divisor_tready => divisor_ready ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/delta-sigma_monit/downsample1" entity downsample1_entity_4c88924603 is port ( ce_1: in std_logic; ce_22400000: in std_logic; ce_5000: in std_logic; clk_1: in std_logic; clk_22400000: in std_logic; clk_5000: in std_logic; din: in std_logic_vector(24 downto 0); dout: out std_logic_vector(24 downto 0) ); end downsample1_entity_4c88924603; architecture structural of downsample1_entity_4c88924603 is signal ce_1_sg_x57: std_logic; signal ce_22400000_sg_x11: std_logic; signal ce_5000_sg_x0: std_logic; signal clk_1_sg_x57: std_logic; signal clk_22400000_sg_x11: std_logic; signal clk_5000_sg_x0: std_logic; signal down_sample5_q_net: std_logic_vector(24 downto 0); signal down_sample_q_net_x0: std_logic_vector(24 downto 0); signal register13_q_net_x0: std_logic_vector(24 downto 0); begin ce_1_sg_x57 <= ce_1; ce_22400000_sg_x11 <= ce_22400000; ce_5000_sg_x0 <= ce_5000; clk_1_sg_x57 <= clk_1; clk_22400000_sg_x11 <= clk_22400000; clk_5000_sg_x0 <= clk_5000; register13_q_net_x0 <= din; dout <= down_sample_q_net_x0; down_sample: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 21, d_width => 25, ds_ratio => 4480, latency => 1, phase => 4479, q_arith => xlSigned, q_bin_pt => 21, q_width => 25 ) port map ( d => down_sample5_q_net, dest_ce => ce_22400000_sg_x11, dest_clk => clk_22400000_sg_x11, dest_clr => '0', en => "1", src_ce => ce_5000_sg_x0, src_clk => clk_5000_sg_x0, src_clr => '0', q => down_sample_q_net_x0 ); down_sample5: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 21, d_width => 25, ds_ratio => 5000, latency => 1, phase => 4999, q_arith => xlSigned, q_bin_pt => 21, q_width => 25 ) port map ( d => register13_q_net_x0, dest_ce => ce_5000_sg_x0, dest_clk => clk_5000_sg_x0, dest_clr => '0', en => "1", src_ce => ce_1_sg_x57, src_clk => clk_1_sg_x57, src_clr => '0', q => down_sample5_q_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/delta-sigma_monit/downsample2" entity downsample2_entity_891f07b1a7 is port ( ce_1: in std_logic; ce_22400000: in std_logic; ce_5000: in std_logic; clk_1: in std_logic; clk_22400000: in std_logic; clk_5000: in std_logic; din: in std_logic; dout: out std_logic ); end downsample2_entity_891f07b1a7; architecture structural of downsample2_entity_891f07b1a7 is signal ce_1_sg_x58: std_logic; signal ce_22400000_sg_x12: std_logic; signal ce_5000_sg_x1: std_logic; signal clk_1_sg_x58: std_logic; signal clk_22400000_sg_x12: std_logic; signal clk_5000_sg_x1: std_logic; signal down_sample5_q_net: std_logic; signal down_sample_q_net_x0: std_logic; signal logical3_y_net_x0: std_logic; begin ce_1_sg_x58 <= ce_1; ce_22400000_sg_x12 <= ce_22400000; ce_5000_sg_x1 <= ce_5000; clk_1_sg_x58 <= clk_1; clk_22400000_sg_x12 <= clk_22400000; clk_5000_sg_x1 <= clk_5000; logical3_y_net_x0 <= din; dout <= down_sample_q_net_x0; down_sample: entity work.xldsamp generic map ( d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, ds_ratio => 4480, latency => 1, phase => 4479, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => down_sample5_q_net, dest_ce => ce_22400000_sg_x12, dest_clk => clk_22400000_sg_x12, dest_clr => '0', en => "1", src_ce => ce_5000_sg_x1, src_clk => clk_5000_sg_x1, src_clr => '0', q(0) => down_sample_q_net_x0 ); down_sample5: entity work.xldsamp generic map ( d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, ds_ratio => 5000, latency => 1, phase => 4999, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => logical3_y_net_x0, dest_ce => ce_5000_sg_x1, dest_clk => clk_5000_sg_x1, dest_clr => '0', en => "1", src_ce => ce_1_sg_x58, src_clk => clk_1_sg_x58, src_clr => '0', q(0) => down_sample5_q_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/delta-sigma_monit/downsample3" entity downsample3_entity_dba589aaee is port ( ce_1: in std_logic; ce_22400000: in std_logic; ce_5000: in std_logic; clk_1: in std_logic; clk_22400000: in std_logic; clk_5000: in std_logic; din: in std_logic_vector(24 downto 0); dout: out std_logic_vector(24 downto 0) ); end downsample3_entity_dba589aaee; architecture structural of downsample3_entity_dba589aaee is signal ce_1_sg_x59: std_logic; signal ce_22400000_sg_x13: std_logic; signal ce_5000_sg_x2: std_logic; signal clk_1_sg_x59: std_logic; signal clk_22400000_sg_x13: std_logic; signal clk_5000_sg_x2: std_logic; signal down_sample5_q_net: std_logic_vector(24 downto 0); signal down_sample_q_net_x0: std_logic_vector(24 downto 0); signal register12_q_net_x0: std_logic_vector(24 downto 0); begin ce_1_sg_x59 <= ce_1; ce_22400000_sg_x13 <= ce_22400000; ce_5000_sg_x2 <= ce_5000; clk_1_sg_x59 <= clk_1; clk_22400000_sg_x13 <= clk_22400000; clk_5000_sg_x2 <= clk_5000; register12_q_net_x0 <= din; dout <= down_sample_q_net_x0; down_sample: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 24, d_width => 25, ds_ratio => 4480, latency => 1, phase => 4479, q_arith => xlSigned, q_bin_pt => 24, q_width => 25 ) port map ( d => down_sample5_q_net, dest_ce => ce_22400000_sg_x13, dest_clk => clk_22400000_sg_x13, dest_clr => '0', en => "1", src_ce => ce_5000_sg_x2, src_clk => clk_5000_sg_x2, src_clr => '0', q => down_sample_q_net_x0 ); down_sample5: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 24, d_width => 25, ds_ratio => 5000, latency => 1, phase => 4999, q_arith => xlSigned, q_bin_pt => 24, q_width => 25 ) port map ( d => register12_q_net_x0, dest_ce => ce_5000_sg_x2, dest_clk => clk_5000_sg_x2, dest_clr => '0', en => "1", src_ce => ce_1_sg_x59, src_clk => clk_1_sg_x59, src_clr => '0', q => down_sample5_q_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/delta-sigma_monit/downsample7" entity downsample7_entity_b85055cb62 is port ( ce_10000: in std_logic; ce_2: in std_logic; ce_44800000: in std_logic; clk_10000: in std_logic; clk_2: in std_logic; clk_44800000: in std_logic; din: in std_logic_vector(25 downto 0); dout: out std_logic_vector(25 downto 0) ); end downsample7_entity_b85055cb62; architecture structural of downsample7_entity_b85055cb62 is signal ce_10000_sg_x0: std_logic; signal ce_2_sg_x35: std_logic; signal ce_44800000_sg_x0: std_logic; signal clk_10000_sg_x0: std_logic; signal clk_2_sg_x35: std_logic; signal clk_44800000_sg_x0: std_logic; signal down_sample5_q_net: std_logic_vector(25 downto 0); signal down_sample_q_net_x0: std_logic_vector(25 downto 0); signal register14_q_net_x0: std_logic_vector(25 downto 0); begin ce_10000_sg_x0 <= ce_10000; ce_2_sg_x35 <= ce_2; ce_44800000_sg_x0 <= ce_44800000; clk_10000_sg_x0 <= clk_10000; clk_2_sg_x35 <= clk_2; clk_44800000_sg_x0 <= clk_44800000; register14_q_net_x0 <= din; dout <= down_sample_q_net_x0; down_sample: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 22, d_width => 26, ds_ratio => 4480, latency => 1, phase => 4479, q_arith => xlSigned, q_bin_pt => 22, q_width => 26 ) port map ( d => down_sample5_q_net, dest_ce => ce_44800000_sg_x0, dest_clk => clk_44800000_sg_x0, dest_clr => '0', en => "1", src_ce => ce_10000_sg_x0, src_clk => clk_10000_sg_x0, src_clr => '0', q => down_sample_q_net_x0 ); down_sample5: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 22, d_width => 26, ds_ratio => 5000, latency => 1, phase => 4999, q_arith => xlSigned, q_bin_pt => 22, q_width => 26 ) port map ( d => register14_q_net_x0, dest_ce => ce_10000_sg_x0, dest_clk => clk_10000_sg_x0, dest_clr => '0', en => "1", src_ce => ce_2_sg_x35, src_clk => clk_2_sg_x35, src_clr => '0', q => down_sample5_q_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/delta-sigma_monit/upsample_copy_pad" entity upsample_copy_pad_entity_86c97eac4f is port ( ce_1: in std_logic; ce_22400000: in std_logic; ce_4480: in std_logic; clk_1: in std_logic; clk_22400000: in std_logic; clk_4480: in std_logic; din: in std_logic_vector(25 downto 0); dout: out std_logic_vector(25 downto 0) ); end upsample_copy_pad_entity_86c97eac4f; architecture structural of upsample_copy_pad_entity_86c97eac4f is signal ce_1_sg_x73: std_logic; signal ce_22400000_sg_x19: std_logic; signal ce_4480_sg_x0: std_logic; signal clk_1_sg_x73: std_logic; signal clk_22400000_sg_x19: std_logic; signal clk_4480_sg_x0: std_logic; signal register10_q_net_x0: std_logic_vector(25 downto 0); signal up_sample1_q_net_x0: std_logic_vector(25 downto 0); signal up_sample5_q_net: std_logic_vector(25 downto 0); begin ce_1_sg_x73 <= ce_1; ce_22400000_sg_x19 <= ce_22400000; ce_4480_sg_x0 <= ce_4480; clk_1_sg_x73 <= clk_1; clk_22400000_sg_x19 <= clk_22400000; clk_4480_sg_x0 <= clk_4480; register10_q_net_x0 <= din; dout <= up_sample1_q_net_x0; up_sample1: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 22, d_width => 26, latency => 0, q_arith => xlSigned, q_bin_pt => 22, q_width => 26 ) port map ( d => up_sample5_q_net, dest_ce => ce_1_sg_x73, dest_clk => clk_1_sg_x73, dest_clr => '0', en => "1", src_ce => ce_4480_sg_x0, src_clk => clk_4480_sg_x0, src_clr => '0', q => up_sample1_q_net_x0 ); up_sample5: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 22, d_width => 26, latency => 0, q_arith => xlSigned, q_bin_pt => 22, q_width => 26 ) port map ( d => register10_q_net_x0, dest_ce => ce_4480_sg_x0, dest_clk => clk_4480_sg_x0, dest_clr => '0', en => "1", src_ce => ce_22400000_sg_x19, src_clk => clk_22400000_sg_x19, src_clr => '0', q => up_sample5_q_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/delta-sigma_monit/upsample_copy_pad1" entity upsample_copy_pad1_entity_edde199d79 is port ( ce_1: in std_logic; ce_22400000: in std_logic; ce_4480: in std_logic; clk_1: in std_logic; clk_22400000: in std_logic; clk_4480: in std_logic; din_x0: in std_logic_vector(25 downto 0); dout: out std_logic_vector(25 downto 0) ); end upsample_copy_pad1_entity_edde199d79; architecture structural of upsample_copy_pad1_entity_edde199d79 is signal ce_1_sg_x74: std_logic; signal ce_22400000_sg_x20: std_logic; signal ce_4480_sg_x1: std_logic; signal clk_1_sg_x74: std_logic; signal clk_22400000_sg_x20: std_logic; signal clk_4480_sg_x1: std_logic; signal din_x1: std_logic_vector(25 downto 0); signal up_sample1_q_net_x0: std_logic_vector(25 downto 0); signal up_sample5_q_net: std_logic_vector(25 downto 0); begin ce_1_sg_x74 <= ce_1; ce_22400000_sg_x20 <= ce_22400000; ce_4480_sg_x1 <= ce_4480; clk_1_sg_x74 <= clk_1; clk_22400000_sg_x20 <= clk_22400000; clk_4480_sg_x1 <= clk_4480; din_x1 <= din_x0; dout <= up_sample1_q_net_x0; up_sample1: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 22, d_width => 26, latency => 0, q_arith => xlSigned, q_bin_pt => 22, q_width => 26 ) port map ( d => up_sample5_q_net, dest_ce => ce_1_sg_x74, dest_clk => clk_1_sg_x74, dest_clr => '0', en => "1", src_ce => ce_4480_sg_x1, src_clk => clk_4480_sg_x1, src_clr => '0', q => up_sample1_q_net_x0 ); up_sample5: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 22, d_width => 26, latency => 0, q_arith => xlSigned, q_bin_pt => 22, q_width => 26 ) port map ( d => din_x1, dest_ce => ce_4480_sg_x1, dest_clk => clk_4480_sg_x1, dest_clr => '0', en => "1", src_ce => ce_22400000_sg_x20, src_clk => clk_22400000_sg_x20, src_clr => '0', q => up_sample5_q_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/delta-sigma_monit/upsample_zero_pad" entity upsample_zero_pad_entity_e334b63be9 is port ( ce_1: in std_logic; ce_22400000: in std_logic; ce_4480: in std_logic; clk_1: in std_logic; clk_22400000: in std_logic; clk_4480: in std_logic; din: in std_logic; dout: out std_logic ); end upsample_zero_pad_entity_e334b63be9; architecture structural of upsample_zero_pad_entity_e334b63be9 is signal assert13_dout_net_x0: std_logic; signal ce_1_sg_x77: std_logic; signal ce_22400000_sg_x23: std_logic; signal ce_4480_sg_x4: std_logic; signal clk_1_sg_x77: std_logic; signal clk_22400000_sg_x23: std_logic; signal clk_4480_sg_x4: std_logic; signal up_sample1_q_net_x1: std_logic; signal up_sample5_q_net: std_logic; begin ce_1_sg_x77 <= ce_1; ce_22400000_sg_x23 <= ce_22400000; ce_4480_sg_x4 <= ce_4480; clk_1_sg_x77 <= clk_1; clk_22400000_sg_x23 <= clk_22400000; clk_4480_sg_x4 <= clk_4480; assert13_dout_net_x0 <= din; dout <= up_sample1_q_net_x1; up_sample1: entity work.xlusamp generic map ( copy_samples => 0, d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, latency => 0, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => up_sample5_q_net, dest_ce => ce_1_sg_x77, dest_clk => clk_1_sg_x77, dest_clr => '0', en => "1", src_ce => ce_4480_sg_x4, src_clk => clk_4480_sg_x4, src_clr => '0', q(0) => up_sample1_q_net_x1 ); up_sample5: entity work.xlusamp generic map ( copy_samples => 0, d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, latency => 0, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => assert13_dout_net_x0, dest_ce => ce_4480_sg_x4, dest_clk => clk_4480_sg_x4, dest_clr => '0', en => "1", src_ce => ce_22400000_sg_x23, src_clk => clk_22400000_sg_x23, src_clr => '0', q(0) => up_sample5_q_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/delta-sigma_monit" entity delta_sigma_monit_entity_a8f8b81626 is port ( a: in std_logic_vector(23 downto 0); b: in std_logic_vector(23 downto 0); c: in std_logic_vector(23 downto 0); ce_1: in std_logic; ce_10000: in std_logic; ce_2: in std_logic; ce_22400000: in std_logic; ce_4480: in std_logic; ce_44800000: in std_logic; ce_5000: in std_logic; ce_logic_22400000: in std_logic; clk_1: in std_logic; clk_10000: in std_logic; clk_2: in std_logic; clk_22400000: in std_logic; clk_4480: in std_logic; clk_44800000: in std_logic; clk_5000: in std_logic; d: in std_logic_vector(23 downto 0); ds_thres: in std_logic_vector(25 downto 0); q: out std_logic_vector(24 downto 0); q_valid: out std_logic; sum_valid: out std_logic; sum_x0: out std_logic_vector(24 downto 0); x: out std_logic_vector(24 downto 0); x_valid: out std_logic; y: out std_logic_vector(24 downto 0); y_valid: out std_logic ); end delta_sigma_monit_entity_a8f8b81626; architecture structural of delta_sigma_monit_entity_a8f8b81626 is signal a_plus_b_s_net: std_logic_vector(24 downto 0); signal a_plus_c_s_net: std_logic_vector(24 downto 0); signal a_plus_d_s_net: std_logic_vector(24 downto 0); signal assert10_dout_net_x1: std_logic; signal assert11_dout_net_x1: std_logic_vector(24 downto 0); signal assert12_dout_net_x1: std_logic; signal assert13_dout_net_x3: std_logic; signal assert2_dout_net_x0: std_logic; signal assert4_dout_net_x1: std_logic_vector(24 downto 0); signal assert5_dout_net_x1: std_logic_vector(24 downto 0); signal assert6_dout_net_x0: std_logic; signal assert9_dout_net_x1: std_logic; signal b_plus_c_s_net: std_logic_vector(24 downto 0); signal b_plus_d_s_net: std_logic_vector(24 downto 0); signal c_plus_d_s_net: std_logic_vector(24 downto 0); signal ce_10000_sg_x1: std_logic; signal ce_1_sg_x81: std_logic; signal ce_22400000_sg_x27: std_logic; signal ce_2_sg_x36: std_logic; signal ce_44800000_sg_x1: std_logic; signal ce_4480_sg_x8: std_logic; signal ce_5000_sg_x8: std_logic; signal ce_70_x3: std_logic; signal ce_logic_22400000_sg_x0: std_logic; signal clk_10000_sg_x1: std_logic; signal clk_1_sg_x81: std_logic; signal clk_22400000_sg_x27: std_logic; signal clk_2_sg_x36: std_logic; signal clk_44800000_sg_x1: std_logic; signal clk_4480_sg_x8: std_logic; signal clk_5000_sg_x8: std_logic; signal convert_dout_net_x0: std_logic_vector(24 downto 0); signal del_sig_div_monit_thres_i_net_x0: std_logic_vector(25 downto 0); signal delay1_q_net_x0: std_logic; signal delay_q_net: std_logic_vector(25 downto 0); signal delta_q_s_net: std_logic_vector(25 downto 0); signal delta_x_s_net: std_logic_vector(25 downto 0); signal delta_y_s_net: std_logic_vector(25 downto 0); signal din_x1: std_logic_vector(25 downto 0); signal dividend_data: std_logic_vector(25 downto 0); signal dividend_ready: std_logic; signal dividend_ready_x0: std_logic; signal divider_dout_fracc: std_logic_vector(24 downto 0); signal divider_dout_valid_x0: std_logic; signal divisor_data: std_logic_vector(25 downto 0); signal divisor_data_x0: std_logic_vector(25 downto 0); signal divisor_ready: std_logic; signal dout_down_x1: std_logic_vector(24 downto 0); signal dout_stretch_x0: std_logic_vector(24 downto 0); signal down_sample1_q_net_x5: std_logic_vector(23 downto 0); signal down_sample2_q_net_x5: std_logic_vector(23 downto 0); signal down_sample3_q_net_x5: std_logic_vector(23 downto 0); signal down_sample4_q_net_x5: std_logic_vector(23 downto 0); signal down_sample_q_net_x0: std_logic_vector(24 downto 0); signal down_sample_q_net_x1: std_logic; signal down_sample_q_net_x2: std_logic_vector(24 downto 0); signal down_sample_q_net_x3: std_logic; signal down_sample_q_net_x4: std_logic_vector(24 downto 0); signal down_sample_q_net_x5: std_logic; signal down_sample_q_net_x6: std_logic_vector(25 downto 0); signal down_sample_q_net_x7: std_logic_vector(24 downto 0); signal down_sample_q_net_x8: std_logic; signal expression1_dout_net: std_logic; signal logical3_y_net_x0: std_logic; signal logical3_y_net_x1: std_logic; signal logical3_y_net_x2: std_logic; signal logical3_y_net_x3: std_logic; signal logical3_y_net_x4: std_logic; signal logical3_y_net_x5: std_logic; signal logical3_y_net_x6: std_logic; signal logical3_y_net_x7: std_logic; signal q_divider_m_axis_dout_tdata_fractional_net: std_logic_vector(24 downto 0); signal q_divider_m_axis_dout_tvalid_net_x0: std_logic; signal q_divider_s_axis_dividend_tready_net: std_logic; signal q_divider_s_axis_divisor_tready_net: std_logic; signal re_x0: std_logic; signal re_x1: std_logic; signal register10_q_net_x0: std_logic_vector(25 downto 0); signal register11_q_net_x0: std_logic_vector(24 downto 0); signal register12_q_net_x0: std_logic_vector(24 downto 0); signal register13_q_net_x0: std_logic_vector(24 downto 0); signal register14_q_net_x0: std_logic_vector(25 downto 0); signal register1_q_net: std_logic_vector(24 downto 0); signal register1_q_net_x1: std_logic; signal register1_q_net_x2: std_logic; signal register1_q_net_x3: std_logic; signal register1_q_net_x4: std_logic; signal register2_q_net: std_logic_vector(24 downto 0); signal register3_q_net: std_logic_vector(24 downto 0); signal register4_q_net: std_logic_vector(24 downto 0); signal register5_q_net: std_logic_vector(24 downto 0); signal register6_q_net: std_logic_vector(24 downto 0); signal register7_q_net_x0: std_logic_vector(25 downto 0); signal register_q_net_x0: std_logic_vector(24 downto 0); signal register_q_net_x1: std_logic_vector(24 downto 0); signal register_q_net_x2: std_logic_vector(24 downto 0); signal register_q_net_x3: std_logic_vector(24 downto 0); signal reinterpret1_output_port_net_x0: std_logic_vector(24 downto 0); signal reinterpret2_output_port_net_x0: std_logic_vector(24 downto 0); signal reinterpret3_output_port_net_x0: std_logic_vector(24 downto 0); signal reinterpret4_output_port_net: std_logic_vector(25 downto 0); signal reinterpret7_output_port_net: std_logic_vector(25 downto 0); signal reinterpret8_output_port_net: std_logic_vector(25 downto 0); signal relational_op_net: std_logic; signal sum_s_net: std_logic_vector(25 downto 0); signal up_sample1_q_net_x0: std_logic_vector(25 downto 0); signal up_sample1_q_net_x1: std_logic_vector(25 downto 0); signal up_sample1_q_net_x2: std_logic_vector(25 downto 0); signal up_sample1_q_net_x3: std_logic_vector(25 downto 0); signal up_sample1_q_net_x4: std_logic; signal up_sample1_q_net_x5: std_logic; signal up_sample1_q_net_x6: std_logic; signal up_sample1_q_net_x7: std_logic; signal valid_ds_down_x1: std_logic; signal x_divider_m_axis_dout_tdata_fractional_net: std_logic_vector(24 downto 0); signal x_divider_m_axis_dout_tvalid_net_x0: std_logic; signal x_divider_s_axis_divisor_tready_net: std_logic; begin down_sample2_q_net_x5 <= a; down_sample1_q_net_x5 <= b; down_sample3_q_net_x5 <= c; ce_1_sg_x81 <= ce_1; ce_10000_sg_x1 <= ce_10000; ce_2_sg_x36 <= ce_2; ce_22400000_sg_x27 <= ce_22400000; ce_4480_sg_x8 <= ce_4480; ce_44800000_sg_x1 <= ce_44800000; ce_5000_sg_x8 <= ce_5000; ce_logic_22400000_sg_x0 <= ce_logic_22400000; clk_1_sg_x81 <= clk_1; clk_10000_sg_x1 <= clk_10000; clk_2_sg_x36 <= clk_2; clk_22400000_sg_x27 <= clk_22400000; clk_4480_sg_x8 <= clk_4480; clk_44800000_sg_x1 <= clk_44800000; clk_5000_sg_x8 <= clk_5000; down_sample4_q_net_x5 <= d; del_sig_div_monit_thres_i_net_x0 <= ds_thres; q <= assert4_dout_net_x1; q_valid <= assert9_dout_net_x1; sum_valid <= assert10_dout_net_x1; sum_x0 <= assert5_dout_net_x1; x <= assert11_dout_net_x1; x_valid <= assert12_dout_net_x1; y <= dout_down_x1; y_valid <= valid_ds_down_x1; a_plus_b: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 24, b_arith => xlSigned, b_bin_pt => 22, b_width => 24, c_has_c_out => 0, c_latency => 0, c_output_width => 25, core_name0 => "addsb_11_0_293aa5f110d040c2", extra_registers => 0, full_s_arith => 2, full_s_width => 25, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 25 ) port map ( a => down_sample2_q_net_x5, b => down_sample1_q_net_x5, ce => ce_22400000_sg_x27, clk => clk_22400000_sg_x27, clr => '0', en => "1", s => a_plus_b_s_net ); a_plus_c: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 24, b_arith => xlSigned, b_bin_pt => 22, b_width => 24, c_has_c_out => 0, c_latency => 0, c_output_width => 25, core_name0 => "addsb_11_0_293aa5f110d040c2", extra_registers => 0, full_s_arith => 2, full_s_width => 25, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 25 ) port map ( a => down_sample2_q_net_x5, b => down_sample3_q_net_x5, ce => ce_22400000_sg_x27, clk => clk_22400000_sg_x27, clr => '0', en => "1", s => a_plus_c_s_net ); a_plus_d: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 24, b_arith => xlSigned, b_bin_pt => 22, b_width => 24, c_has_c_out => 0, c_latency => 0, c_output_width => 25, core_name0 => "addsb_11_0_293aa5f110d040c2", extra_registers => 0, full_s_arith => 2, full_s_width => 25, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 25 ) port map ( a => down_sample2_q_net_x5, b => down_sample4_q_net_x5, ce => ce_22400000_sg_x27, clk => clk_22400000_sg_x27, clr => '0', en => "1", s => a_plus_d_s_net ); assert1: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => dividend_ready_x0, dout(0) => re_x0 ); assert10: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => down_sample_q_net_x1, dout(0) => assert10_dout_net_x1 ); assert11: entity work.xlpassthrough generic map ( din_width => 25, dout_width => 25 ) port map ( din => down_sample_q_net_x2, dout => assert11_dout_net_x1 ); assert12: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => down_sample_q_net_x3, dout(0) => assert12_dout_net_x1 ); assert13: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => relational_op_net, dout(0) => assert13_dout_net_x3 ); assert2: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => q_divider_s_axis_dividend_tready_net, dout(0) => assert2_dout_net_x0 ); assert3: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => dividend_ready, dout(0) => re_x1 ); assert4: entity work.xlpassthrough generic map ( din_width => 25, dout_width => 25 ) port map ( din => down_sample_q_net_x7, dout => assert4_dout_net_x1 ); assert5: entity work.xlpassthrough generic map ( din_width => 25, dout_width => 25 ) port map ( din => down_sample_q_net_x0, dout => assert5_dout_net_x1 ); assert6: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => expression1_dout_net, dout(0) => assert6_dout_net_x0 ); assert7: entity work.xlpassthrough generic map ( din_width => 25, dout_width => 25 ) port map ( din => down_sample_q_net_x4, dout => dout_down_x1 ); assert8: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => down_sample_q_net_x5, dout(0) => valid_ds_down_x1 ); assert9: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => down_sample_q_net_x8, dout(0) => assert9_dout_net_x1 ); b_plus_c: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 24, b_arith => xlSigned, b_bin_pt => 22, b_width => 24, c_has_c_out => 0, c_latency => 0, c_output_width => 25, core_name0 => "addsb_11_0_293aa5f110d040c2", extra_registers => 0, full_s_arith => 2, full_s_width => 25, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 25 ) port map ( a => down_sample1_q_net_x5, b => down_sample3_q_net_x5, ce => ce_22400000_sg_x27, clk => clk_22400000_sg_x27, clr => '0', en => "1", s => b_plus_c_s_net ); b_plus_d: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 24, b_arith => xlSigned, b_bin_pt => 22, b_width => 24, c_has_c_out => 0, c_latency => 0, c_output_width => 25, core_name0 => "addsb_11_0_293aa5f110d040c2", extra_registers => 0, full_s_arith => 2, full_s_width => 25, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 25 ) port map ( a => down_sample1_q_net_x5, b => down_sample4_q_net_x5, ce => ce_22400000_sg_x27, clk => clk_22400000_sg_x27, clr => '0', en => "1", s => b_plus_d_s_net ); c_plus_d: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 24, b_arith => xlSigned, b_bin_pt => 22, b_width => 24, c_has_c_out => 0, c_latency => 0, c_output_width => 25, core_name0 => "addsb_11_0_293aa5f110d040c2", extra_registers => 0, full_s_arith => 2, full_s_width => 25, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 25 ) port map ( a => down_sample3_q_net_x5, b => down_sample4_q_net_x5, ce => ce_22400000_sg_x27, clk => clk_22400000_sg_x27, clr => '0', en => "1", s => c_plus_d_s_net ); ce1: entity work.xlceprobe generic map ( d_width => 1, q_width => 1 ) port map ( ce => ce_logic_22400000_sg_x0, clk => clk_22400000_sg_x27, d(0) => assert13_dout_net_x3, q(0) => ce_70_x3 ); convert: entity work.xlconvert generic map ( bool_conversion => 0, din_arith => 2, din_bin_pt => 22, din_width => 26, dout_arith => 2, dout_bin_pt => 21, dout_width => 25, latency => 0, overflow => xlSaturate, quantization => xlRound ) port map ( ce => ce_1_sg_x81, clk => clk_1_sg_x81, clr => '0', din => delay_q_net, en => "1", dout => convert_dout_net_x0 ); datareg_en1_0658df0e73: entity work.datareg_en_entity_79473f9ed1 port map ( ce_1 => ce_1_sg_x81, clk_1 => clk_1_sg_x81, din => reinterpret2_output_port_net_x0, en => q_divider_m_axis_dout_tvalid_net_x0, dout => register_q_net_x1, valid => register1_q_net_x2 ); datareg_en2_b216d22f41: entity work.datareg_en_entity_79473f9ed1 port map ( ce_1 => ce_1_sg_x81, clk_1 => clk_1_sg_x81, din => reinterpret3_output_port_net_x0, en => x_divider_m_axis_dout_tvalid_net_x0, dout => register_q_net_x2, valid => register1_q_net_x3 ); datareg_en3_352b935ccb: entity work.datareg_en3_entity_6643090018 port map ( ce_1 => ce_1_sg_x81, clk_1 => clk_1_sg_x81, din => convert_dout_net_x0, en => delay1_q_net_x0, dout => register_q_net_x3, valid => register1_q_net_x4 ); datareg_en_8be792d5b9: entity work.datareg_en_entity_79473f9ed1 port map ( ce_1 => ce_1_sg_x81, clk_1 => clk_1_sg_x81, din => reinterpret1_output_port_net_x0, en => divider_dout_valid_x0, dout => register_q_net_x0, valid => register1_q_net_x1 ); delay: entity work.xldelay generic map ( latency => 56, reg_retiming => 0, reset => 0, width => 26 ) port map ( ce => ce_1_sg_x81, clk => clk_1_sg_x81, d => reinterpret8_output_port_net, en => '1', rst => '1', q => delay_q_net ); delay1: entity work.xldelay generic map ( latency => 56, reg_retiming => 0, reset => 0, width => 1 ) port map ( ce => ce_1_sg_x81, clk => clk_1_sg_x81, d(0) => logical3_y_net_x0, en => '1', rst => '1', q(0) => delay1_q_net_x0 ); delta_q: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 25, b_arith => xlSigned, b_bin_pt => 22, b_width => 25, c_has_c_out => 0, c_latency => 0, c_output_width => 26, core_name0 => "addsb_11_0_44053abf11139d96", extra_registers => 0, full_s_arith => 2, full_s_width => 26, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 26 ) port map ( a => register5_q_net, b => register6_q_net, ce => ce_22400000_sg_x27, clk => clk_22400000_sg_x27, clr => '0', en => "1", s => delta_q_s_net ); delta_x: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 25, b_arith => xlSigned, b_bin_pt => 22, b_width => 25, c_has_c_out => 0, c_latency => 0, c_output_width => 26, core_name0 => "addsb_11_0_44053abf11139d96", extra_registers => 0, full_s_arith => 2, full_s_width => 26, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 26 ) port map ( a => register1_q_net, b => register3_q_net, ce => ce_22400000_sg_x27, clk => clk_22400000_sg_x27, clr => '0', en => "1", s => delta_x_s_net ); delta_y: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 25, b_arith => xlSigned, b_bin_pt => 22, b_width => 25, c_has_c_out => 0, c_latency => 0, c_output_width => 26, core_name0 => "addsb_11_0_44053abf11139d96", extra_registers => 0, full_s_arith => 2, full_s_width => 26, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 26 ) port map ( a => register2_q_net, b => register4_q_net, ce => ce_22400000_sg_x27, clk => clk_22400000_sg_x27, clr => '0', en => "1", s => delta_y_s_net ); downsample1_4c88924603: entity work.downsample1_entity_4c88924603 port map ( ce_1 => ce_1_sg_x81, ce_22400000 => ce_22400000_sg_x27, ce_5000 => ce_5000_sg_x8, clk_1 => clk_1_sg_x81, clk_22400000 => clk_22400000_sg_x27, clk_5000 => clk_5000_sg_x8, din => register13_q_net_x0, dout => down_sample_q_net_x0 ); downsample2_891f07b1a7: entity work.downsample2_entity_891f07b1a7 port map ( ce_1 => ce_1_sg_x81, ce_22400000 => ce_22400000_sg_x27, ce_5000 => ce_5000_sg_x8, clk_1 => clk_1_sg_x81, clk_22400000 => clk_22400000_sg_x27, clk_5000 => clk_5000_sg_x8, din => logical3_y_net_x4, dout => down_sample_q_net_x1 ); downsample3_dba589aaee: entity work.downsample3_entity_dba589aaee port map ( ce_1 => ce_1_sg_x81, ce_22400000 => ce_22400000_sg_x27, ce_5000 => ce_5000_sg_x8, clk_1 => clk_1_sg_x81, clk_22400000 => clk_22400000_sg_x27, clk_5000 => clk_5000_sg_x8, din => register12_q_net_x0, dout => down_sample_q_net_x2 ); downsample4_c9912c17cb: entity work.downsample2_entity_891f07b1a7 port map ( ce_1 => ce_1_sg_x81, ce_22400000 => ce_22400000_sg_x27, ce_5000 => ce_5000_sg_x8, clk_1 => clk_1_sg_x81, clk_22400000 => clk_22400000_sg_x27, clk_5000 => clk_5000_sg_x8, din => logical3_y_net_x3, dout => down_sample_q_net_x3 ); downsample5_5d411d5dea: entity work.downsample3_entity_dba589aaee port map ( ce_1 => ce_1_sg_x81, ce_22400000 => ce_22400000_sg_x27, ce_5000 => ce_5000_sg_x8, clk_1 => clk_1_sg_x81, clk_22400000 => clk_22400000_sg_x27, clk_5000 => clk_5000_sg_x8, din => dout_stretch_x0, dout => down_sample_q_net_x4 ); downsample6_d7e68015e5: entity work.downsample2_entity_891f07b1a7 port map ( ce_1 => ce_1_sg_x81, ce_22400000 => ce_22400000_sg_x27, ce_5000 => ce_5000_sg_x8, clk_1 => clk_1_sg_x81, clk_22400000 => clk_22400000_sg_x27, clk_5000 => clk_5000_sg_x8, din => logical3_y_net_x1, dout => down_sample_q_net_x5 ); downsample7_b85055cb62: entity work.downsample7_entity_b85055cb62 port map ( ce_10000 => ce_10000_sg_x1, ce_2 => ce_2_sg_x36, ce_44800000 => ce_44800000_sg_x1, clk_10000 => clk_10000_sg_x1, clk_2 => clk_2_sg_x36, clk_44800000 => clk_44800000_sg_x1, din => register14_q_net_x0, dout => down_sample_q_net_x6 ); downsample8_69d7284f0d: entity work.downsample3_entity_dba589aaee port map ( ce_1 => ce_1_sg_x81, ce_22400000 => ce_22400000_sg_x27, ce_5000 => ce_5000_sg_x8, clk_1 => clk_1_sg_x81, clk_22400000 => clk_22400000_sg_x27, clk_5000 => clk_5000_sg_x8, din => register11_q_net_x0, dout => down_sample_q_net_x7 ); downsample9_f5ac9b8db2: entity work.downsample2_entity_891f07b1a7 port map ( ce_1 => ce_1_sg_x81, ce_22400000 => ce_22400000_sg_x27, ce_5000 => ce_5000_sg_x8, clk_1 => clk_1_sg_x81, clk_22400000 => clk_22400000_sg_x27, clk_5000 => clk_5000_sg_x8, din => logical3_y_net_x2, dout => down_sample_q_net_x8 ); expression1: entity work.expr_375d7bbece port map ( a(0) => x_divider_s_axis_divisor_tready_net, b(0) => divisor_ready, c(0) => q_divider_s_axis_divisor_tready_net, ce => '0', clk => '0', clr => '0', dout(0) => expression1_dout_net ); pulse_stretcher1_427f70e3c7: entity work.pulse_stretcher_entity_9893378b63 port map ( ce_1 => ce_1_sg_x81, clk_1 => clk_1_sg_x81, clr => ce_70_x3, pulse_in => register1_q_net_x2, extd_out => logical3_y_net_x2 ); pulse_stretcher2_9a61283281: entity work.pulse_stretcher_entity_9893378b63 port map ( ce_1 => ce_1_sg_x81, clk_1 => clk_1_sg_x81, clr => ce_70_x3, pulse_in => register1_q_net_x3, extd_out => logical3_y_net_x3 ); pulse_stretcher3_864c3e16a6: entity work.pulse_stretcher_entity_9893378b63 port map ( ce_1 => ce_1_sg_x81, clk_1 => clk_1_sg_x81, clr => ce_70_x3, pulse_in => register1_q_net_x4, extd_out => logical3_y_net_x4 ); pulse_stretcher4_8dfd1c8928: entity work.pulse_stretcher_entity_9893378b63 port map ( ce_1 => ce_1_sg_x81, clk_1 => clk_1_sg_x81, clr => assert6_dout_net_x0, pulse_in => up_sample1_q_net_x6, extd_out => logical3_y_net_x0 ); pulse_stretcher5_ac376595d0: entity work.pulse_stretcher_entity_9893378b63 port map ( ce_1 => ce_1_sg_x81, clk_1 => clk_1_sg_x81, clr => re_x0, pulse_in => up_sample1_q_net_x5, extd_out => logical3_y_net_x5 ); pulse_stretcher6_694b81e6b2: entity work.pulse_stretcher_entity_9893378b63 port map ( ce_1 => ce_1_sg_x81, clk_1 => clk_1_sg_x81, clr => assert2_dout_net_x0, pulse_in => up_sample1_q_net_x4, extd_out => logical3_y_net_x6 ); pulse_stretcher7_bb8174efbd: entity work.pulse_stretcher_entity_9893378b63 port map ( ce_1 => ce_1_sg_x81, clk_1 => clk_1_sg_x81, clr => re_x1, pulse_in => up_sample1_q_net_x7, extd_out => logical3_y_net_x7 ); pulse_stretcher_6bf297451d: entity work.pulse_stretcher_entity_9893378b63 port map ( ce_1 => ce_1_sg_x81, clk_1 => clk_1_sg_x81, clr => ce_70_x3, pulse_in => register1_q_net_x1, extd_out => logical3_y_net_x1 ); q_divider: entity work.xldivider_generator_47dc3a44bd8d9df86e42dac34ee6a9fc port map ( ce => ce_1_sg_x81, clk => clk_1_sg_x81, s_axis_dividend_tdata_dividend => reinterpret7_output_port_net, s_axis_dividend_tvalid => logical3_y_net_x6, s_axis_divisor_tdata_divisor => divisor_data, s_axis_divisor_tvalid => logical3_y_net_x0, m_axis_dout_tdata_fractional => q_divider_m_axis_dout_tdata_fractional_net, m_axis_dout_tvalid => q_divider_m_axis_dout_tvalid_net_x0, s_axis_dividend_tready => q_divider_s_axis_dividend_tready_net, s_axis_divisor_tready => q_divider_s_axis_divisor_tready_net ); register1: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_22400000_sg_x27, clk => clk_22400000_sg_x27, d => b_plus_c_s_net, en => "1", rst => "0", q => register1_q_net ); register10: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_22400000_sg_x27, clk => clk_22400000_sg_x27, d => delta_q_s_net, en => "1", rst => "0", q => register10_q_net_x0 ); register11: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_1_sg_x81, clk => clk_1_sg_x81, d => register_q_net_x1, en => "1", rst => "0", q => register11_q_net_x0 ); register12: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_1_sg_x81, clk => clk_1_sg_x81, d => register_q_net_x2, en => "1", rst => "0", q => register12_q_net_x0 ); register13: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_1_sg_x81, clk => clk_1_sg_x81, d => register_q_net_x3, en => "1", rst => "0", q => register13_q_net_x0 ); register14: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_2_sg_x36, clk => clk_2_sg_x36, d => del_sig_div_monit_thres_i_net_x0, en => "1", rst => "0", q => register14_q_net_x0 ); register2: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_22400000_sg_x27, clk => clk_22400000_sg_x27, d => a_plus_b_s_net, en => "1", rst => "0", q => register2_q_net ); register3: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_22400000_sg_x27, clk => clk_22400000_sg_x27, d => a_plus_d_s_net, en => "1", rst => "0", q => register3_q_net ); register4: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_22400000_sg_x27, clk => clk_22400000_sg_x27, d => c_plus_d_s_net, en => "1", rst => "0", q => register4_q_net ); register5: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_22400000_sg_x27, clk => clk_22400000_sg_x27, d => a_plus_c_s_net, en => "1", rst => "0", q => register5_q_net ); register6: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_22400000_sg_x27, clk => clk_22400000_sg_x27, d => b_plus_d_s_net, en => "1", rst => "0", q => register6_q_net ); register7: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_22400000_sg_x27, clk => clk_22400000_sg_x27, d => delta_x_s_net, en => "1", rst => "0", q => register7_q_net_x0 ); register8: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_22400000_sg_x27, clk => clk_22400000_sg_x27, d => sum_s_net, en => "1", rst => "0", q => divisor_data_x0 ); register9: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_22400000_sg_x27, clk => clk_22400000_sg_x27, d => delta_y_s_net, en => "1", rst => "0", q => din_x1 ); register_x0: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_1_sg_x81, clk => clk_1_sg_x81, d => register_q_net_x0, en => "1", rst => "0", q => dout_stretch_x0 ); reinterpret1: entity work.reinterpret_31a4235b32 port map ( ce => '0', clk => '0', clr => '0', input_port => divider_dout_fracc, output_port => reinterpret1_output_port_net_x0 ); reinterpret2: entity work.reinterpret_31a4235b32 port map ( ce => '0', clk => '0', clr => '0', input_port => q_divider_m_axis_dout_tdata_fractional_net, output_port => reinterpret2_output_port_net_x0 ); reinterpret3: entity work.reinterpret_31a4235b32 port map ( ce => '0', clk => '0', clr => '0', input_port => x_divider_m_axis_dout_tdata_fractional_net, output_port => reinterpret3_output_port_net_x0 ); reinterpret4: entity work.reinterpret_040ef1b598 port map ( ce => '0', clk => '0', clr => '0', input_port => up_sample1_q_net_x3, output_port => reinterpret4_output_port_net ); reinterpret5: entity work.reinterpret_040ef1b598 port map ( ce => '0', clk => '0', clr => '0', input_port => up_sample1_q_net_x2, output_port => divisor_data ); reinterpret6: entity work.reinterpret_040ef1b598 port map ( ce => '0', clk => '0', clr => '0', input_port => up_sample1_q_net_x1, output_port => dividend_data ); reinterpret7: entity work.reinterpret_040ef1b598 port map ( ce => '0', clk => '0', clr => '0', input_port => up_sample1_q_net_x0, output_port => reinterpret7_output_port_net ); reinterpret8: entity work.reinterpret_040ef1b598 port map ( ce => '0', clk => '0', clr => '0', input_port => divisor_data, output_port => reinterpret8_output_port_net ); relational: entity work.relational_416cfcae1e port map ( a => divisor_data_x0, b => down_sample_q_net_x6, ce => ce_22400000_sg_x27, clk => clk_22400000_sg_x27, clr => '0', op(0) => relational_op_net ); sum: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 25, b_arith => xlSigned, b_bin_pt => 22, b_width => 25, c_has_c_out => 0, c_latency => 0, c_output_width => 26, core_name0 => "addsb_11_0_3537d66a2361cd1e", extra_registers => 0, full_s_arith => 2, full_s_width => 26, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 26 ) port map ( a => register3_q_net, b => register1_q_net, ce => ce_22400000_sg_x27, clk => clk_22400000_sg_x27, clr => '0', en => "1", s => sum_s_net ); upsample_copy_pad1_edde199d79: entity work.upsample_copy_pad1_entity_edde199d79 port map ( ce_1 => ce_1_sg_x81, ce_22400000 => ce_22400000_sg_x27, ce_4480 => ce_4480_sg_x8, clk_1 => clk_1_sg_x81, clk_22400000 => clk_22400000_sg_x27, clk_4480 => clk_4480_sg_x8, din_x0 => din_x1, dout => up_sample1_q_net_x1 ); upsample_copy_pad2_46599e345b: entity work.upsample_copy_pad_entity_86c97eac4f port map ( ce_1 => ce_1_sg_x81, ce_22400000 => ce_22400000_sg_x27, ce_4480 => ce_4480_sg_x8, clk_1 => clk_1_sg_x81, clk_22400000 => clk_22400000_sg_x27, clk_4480 => clk_4480_sg_x8, din => divisor_data_x0, dout => up_sample1_q_net_x2 ); upsample_copy_pad3_3571daa38f: entity work.upsample_copy_pad_entity_86c97eac4f port map ( ce_1 => ce_1_sg_x81, ce_22400000 => ce_22400000_sg_x27, ce_4480 => ce_4480_sg_x8, clk_1 => clk_1_sg_x81, clk_22400000 => clk_22400000_sg_x27, clk_4480 => clk_4480_sg_x8, din => register7_q_net_x0, dout => up_sample1_q_net_x3 ); upsample_copy_pad_86c97eac4f: entity work.upsample_copy_pad_entity_86c97eac4f port map ( ce_1 => ce_1_sg_x81, ce_22400000 => ce_22400000_sg_x27, ce_4480 => ce_4480_sg_x8, clk_1 => clk_1_sg_x81, clk_22400000 => clk_22400000_sg_x27, clk_4480 => clk_4480_sg_x8, din => register10_q_net_x0, dout => up_sample1_q_net_x0 ); upsample_zero_pad1_2044d1ec3f: entity work.upsample_zero_pad_entity_e334b63be9 port map ( ce_1 => ce_1_sg_x81, ce_22400000 => ce_22400000_sg_x27, ce_4480 => ce_4480_sg_x8, clk_1 => clk_1_sg_x81, clk_22400000 => clk_22400000_sg_x27, clk_4480 => clk_4480_sg_x8, din => assert13_dout_net_x3, dout => up_sample1_q_net_x5 ); upsample_zero_pad2_7f2f8f8620: entity work.upsample_zero_pad_entity_e334b63be9 port map ( ce_1 => ce_1_sg_x81, ce_22400000 => ce_22400000_sg_x27, ce_4480 => ce_4480_sg_x8, clk_1 => clk_1_sg_x81, clk_22400000 => clk_22400000_sg_x27, clk_4480 => clk_4480_sg_x8, din => assert13_dout_net_x3, dout => up_sample1_q_net_x6 ); upsample_zero_pad3_f0b4acbf28: entity work.upsample_zero_pad_entity_e334b63be9 port map ( ce_1 => ce_1_sg_x81, ce_22400000 => ce_22400000_sg_x27, ce_4480 => ce_4480_sg_x8, clk_1 => clk_1_sg_x81, clk_22400000 => clk_22400000_sg_x27, clk_4480 => clk_4480_sg_x8, din => assert13_dout_net_x3, dout => up_sample1_q_net_x7 ); upsample_zero_pad_e334b63be9: entity work.upsample_zero_pad_entity_e334b63be9 port map ( ce_1 => ce_1_sg_x81, ce_22400000 => ce_22400000_sg_x27, ce_4480 => ce_4480_sg_x8, clk_1 => clk_1_sg_x81, clk_22400000 => clk_22400000_sg_x27, clk_4480 => clk_4480_sg_x8, din => assert13_dout_net_x3, dout => up_sample1_q_net_x4 ); x_divider: entity work.xldivider_generator_47dc3a44bd8d9df86e42dac34ee6a9fc port map ( ce => ce_1_sg_x81, clk => clk_1_sg_x81, s_axis_dividend_tdata_dividend => reinterpret4_output_port_net, s_axis_dividend_tvalid => logical3_y_net_x7, s_axis_divisor_tdata_divisor => divisor_data, s_axis_divisor_tvalid => logical3_y_net_x0, m_axis_dout_tdata_fractional => x_divider_m_axis_dout_tdata_fractional_net, m_axis_dout_tvalid => x_divider_m_axis_dout_tvalid_net_x0, s_axis_dividend_tready => dividend_ready, s_axis_divisor_tready => x_divider_s_axis_divisor_tready_net ); y_divider: entity work.xldivider_generator_47dc3a44bd8d9df86e42dac34ee6a9fc port map ( ce => ce_1_sg_x81, clk => clk_1_sg_x81, s_axis_dividend_tdata_dividend => dividend_data, s_axis_dividend_tvalid => logical3_y_net_x5, s_axis_divisor_tdata_divisor => divisor_data, s_axis_divisor_tvalid => logical3_y_net_x0, m_axis_dout_tdata_fractional => divider_dout_fracc, m_axis_dout_tvalid => divider_dout_valid_x0, s_axis_dividend_tready => dividend_ready_x0, s_axis_divisor_tready => divisor_ready ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/delta-sigma_tbt" entity delta_sigma_tbt_entity_bbfa8a8a69 is port ( a: in std_logic_vector(23 downto 0); b: in std_logic_vector(23 downto 0); c: in std_logic_vector(23 downto 0); ce_1: in std_logic; ce_2: in std_logic; ce_70: in std_logic; ce_logic_70: in std_logic; clk_1: in std_logic; clk_2: in std_logic; clk_70: in std_logic; d: in std_logic_vector(23 downto 0); ds_thres: in std_logic_vector(25 downto 0); q: out std_logic_vector(24 downto 0); q_valid: out std_logic; sum_valid: out std_logic; sum_x0: out std_logic_vector(24 downto 0); x: out std_logic_vector(24 downto 0); x_valid: out std_logic; y: out std_logic_vector(24 downto 0); y_valid: out std_logic ); end delta_sigma_tbt_entity_bbfa8a8a69; architecture structural of delta_sigma_tbt_entity_bbfa8a8a69 is signal a_plus_b_s_net: std_logic_vector(24 downto 0); signal a_plus_c_s_net: std_logic_vector(24 downto 0); signal a_plus_d_s_net: std_logic_vector(24 downto 0); signal assert10_dout_net_x1: std_logic; signal assert11_dout_net_x1: std_logic_vector(24 downto 0); signal assert12_dout_net_x1: std_logic; signal assert1_dout_net_x0: std_logic; signal assert5_dout_net_x1: std_logic_vector(24 downto 0); signal assert6_dout_net_x0: std_logic; signal assert8_dout_net_x1: std_logic_vector(24 downto 0); signal assert9_dout_net_x1: std_logic; signal assert_dout_net: std_logic; signal b_plus_c_s_net: std_logic_vector(24 downto 0); signal b_plus_d_s_net: std_logic_vector(24 downto 0); signal c_plus_d_s_net: std_logic_vector(24 downto 0); signal ce_1_sg_x94: std_logic; signal ce_2_sg_x37: std_logic; signal ce_70_sg_x26: std_logic; signal ce_70_x3: std_logic; signal ce_logic_70_sg_x0: std_logic; signal clk_1_sg_x94: std_logic; signal clk_2_sg_x37: std_logic; signal clk_70_sg_x26: std_logic; signal convert_dout_net_x0: std_logic_vector(24 downto 0); signal del_sig_div_tbt_thres_i_net_x0: std_logic_vector(25 downto 0); signal delay1_q_net_x0: std_logic; signal delay_q_net: std_logic_vector(25 downto 0); signal delta_q_s_net: std_logic_vector(25 downto 0); signal delta_x_s_net: std_logic_vector(25 downto 0); signal delta_y_s_net: std_logic_vector(25 downto 0); signal din: std_logic_vector(25 downto 0); signal dividend_data: std_logic_vector(25 downto 0); signal dividend_ready: std_logic; signal dividend_ready_x0: std_logic; signal dividend_valid_x0: std_logic; signal dividend_valid_x1: std_logic; signal dividend_valid_x2: std_logic; signal divider_dout_fracc: std_logic_vector(24 downto 0); signal divider_dout_valid_x0: std_logic; signal divisor_data: std_logic_vector(25 downto 0); signal divisor_data_x0: std_logic_vector(25 downto 0); signal divisor_ready: std_logic; signal divisor_valid_x0: std_logic; signal dout_down_x1: std_logic_vector(24 downto 0); signal dout_stretch: std_logic_vector(24 downto 0); signal down_sample1_q_net: std_logic_vector(24 downto 0); signal down_sample1_q_net_x26: std_logic_vector(23 downto 0); signal down_sample1_q_net_x27: std_logic_vector(23 downto 0); signal down_sample2_q_net: std_logic; signal down_sample2_q_net_x26: std_logic_vector(23 downto 0); signal down_sample2_q_net_x27: std_logic_vector(23 downto 0); signal down_sample3_q_net: std_logic_vector(24 downto 0); signal down_sample4_q_net: std_logic; signal down_sample5_q_net: std_logic_vector(24 downto 0); signal down_sample6_q_net: std_logic; signal down_sample7_q_net: std_logic_vector(24 downto 0); signal down_sample8_q_net: std_logic; signal down_sample_q_net: std_logic_vector(25 downto 0); signal expression1_dout_net: std_logic; signal logical3_y_net_x0: std_logic; signal logical3_y_net_x1: std_logic; signal logical3_y_net_x2: std_logic; signal logical3_y_net_x3: std_logic; signal logical3_y_net_x4: std_logic; signal logical3_y_net_x5: std_logic; signal logical3_y_net_x6: std_logic; signal logical3_y_net_x7: std_logic; signal q_divider_m_axis_dout_tdata_fractional_net: std_logic_vector(24 downto 0); signal q_divider_m_axis_dout_tvalid_net_x0: std_logic; signal q_divider_s_axis_dividend_tready_net: std_logic; signal q_divider_s_axis_divisor_tready_net: std_logic; signal re_x0: std_logic; signal re_x1: std_logic; signal register10_q_net: std_logic_vector(25 downto 0); signal register11_q_net: std_logic_vector(24 downto 0); signal register12_q_net: std_logic_vector(24 downto 0); signal register13_q_net: std_logic_vector(24 downto 0); signal register14_q_net: std_logic_vector(25 downto 0); signal register1_q_net: std_logic_vector(24 downto 0); signal register1_q_net_x1: std_logic; signal register1_q_net_x2: std_logic; signal register1_q_net_x3: std_logic; signal register1_q_net_x4: std_logic; signal register2_q_net: std_logic_vector(24 downto 0); signal register3_q_net: std_logic_vector(24 downto 0); signal register4_q_net: std_logic_vector(24 downto 0); signal register5_q_net: std_logic_vector(24 downto 0); signal register6_q_net: std_logic_vector(24 downto 0); signal register7_q_net: std_logic_vector(25 downto 0); signal register_q_net_x0: std_logic_vector(24 downto 0); signal register_q_net_x1: std_logic_vector(24 downto 0); signal register_q_net_x2: std_logic_vector(24 downto 0); signal register_q_net_x3: std_logic_vector(24 downto 0); signal reinterpret1_output_port_net_x0: std_logic_vector(24 downto 0); signal reinterpret2_output_port_net_x0: std_logic_vector(24 downto 0); signal reinterpret3_output_port_net_x0: std_logic_vector(24 downto 0); signal reinterpret4_output_port_net: std_logic_vector(25 downto 0); signal reinterpret7_output_port_net: std_logic_vector(25 downto 0); signal reinterpret8_output_port_net: std_logic_vector(25 downto 0); signal relational_op_net: std_logic; signal sum_s_net: std_logic_vector(25 downto 0); signal up_sample2_q_net: std_logic_vector(25 downto 0); signal up_sample4_q_net: std_logic_vector(25 downto 0); signal up_sample6_q_net: std_logic_vector(25 downto 0); signal up_sample_q_net: std_logic_vector(25 downto 0); signal valid_ds_down_x1: std_logic; signal x_divider_m_axis_dout_tdata_fractional_net: std_logic_vector(24 downto 0); signal x_divider_m_axis_dout_tvalid_net_x0: std_logic; signal x_divider_s_axis_divisor_tready_net: std_logic; begin down_sample2_q_net_x26 <= a; down_sample1_q_net_x26 <= b; down_sample2_q_net_x27 <= c; ce_1_sg_x94 <= ce_1; ce_2_sg_x37 <= ce_2; ce_70_sg_x26 <= ce_70; ce_logic_70_sg_x0 <= ce_logic_70; clk_1_sg_x94 <= clk_1; clk_2_sg_x37 <= clk_2; clk_70_sg_x26 <= clk_70; down_sample1_q_net_x27 <= d; del_sig_div_tbt_thres_i_net_x0 <= ds_thres; q <= assert8_dout_net_x1; q_valid <= assert9_dout_net_x1; sum_valid <= assert12_dout_net_x1; sum_x0 <= assert11_dout_net_x1; x <= assert5_dout_net_x1; x_valid <= assert10_dout_net_x1; y <= dout_down_x1; y_valid <= valid_ds_down_x1; a_plus_b: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 24, b_arith => xlSigned, b_bin_pt => 22, b_width => 24, c_has_c_out => 0, c_latency => 0, c_output_width => 25, core_name0 => "addsb_11_0_293aa5f110d040c2", extra_registers => 0, full_s_arith => 2, full_s_width => 25, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 25 ) port map ( a => down_sample2_q_net_x26, b => down_sample1_q_net_x26, ce => ce_70_sg_x26, clk => clk_70_sg_x26, clr => '0', en => "1", s => a_plus_b_s_net ); a_plus_c: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 24, b_arith => xlSigned, b_bin_pt => 22, b_width => 24, c_has_c_out => 0, c_latency => 0, c_output_width => 25, core_name0 => "addsb_11_0_293aa5f110d040c2", extra_registers => 0, full_s_arith => 2, full_s_width => 25, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 25 ) port map ( a => down_sample2_q_net_x26, b => down_sample2_q_net_x27, ce => ce_70_sg_x26, clk => clk_70_sg_x26, clr => '0', en => "1", s => a_plus_c_s_net ); a_plus_d: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 24, b_arith => xlSigned, b_bin_pt => 22, b_width => 24, c_has_c_out => 0, c_latency => 0, c_output_width => 25, core_name0 => "addsb_11_0_293aa5f110d040c2", extra_registers => 0, full_s_arith => 2, full_s_width => 25, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 25 ) port map ( a => down_sample2_q_net_x26, b => down_sample1_q_net_x27, ce => ce_70_sg_x26, clk => clk_70_sg_x26, clr => '0', en => "1", s => a_plus_d_s_net ); assert1: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => q_divider_s_axis_dividend_tready_net, dout(0) => assert1_dout_net_x0 ); assert10: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => down_sample6_q_net, dout(0) => assert10_dout_net_x1 ); assert11: entity work.xlpassthrough generic map ( din_width => 25, dout_width => 25 ) port map ( din => down_sample7_q_net, dout => assert11_dout_net_x1 ); assert12: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => down_sample8_q_net, dout(0) => assert12_dout_net_x1 ); assert2: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => dividend_ready_x0, dout(0) => re_x0 ); assert3: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => dividend_ready, dout(0) => re_x1 ); assert4: entity work.xlpassthrough generic map ( din_width => 25, dout_width => 25 ) port map ( din => down_sample1_q_net, dout => dout_down_x1 ); assert5: entity work.xlpassthrough generic map ( din_width => 25, dout_width => 25 ) port map ( din => down_sample5_q_net, dout => assert5_dout_net_x1 ); assert6: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => expression1_dout_net, dout(0) => assert6_dout_net_x0 ); assert7: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => down_sample2_q_net, dout(0) => valid_ds_down_x1 ); assert8: entity work.xlpassthrough generic map ( din_width => 25, dout_width => 25 ) port map ( din => down_sample3_q_net, dout => assert8_dout_net_x1 ); assert9: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => down_sample4_q_net, dout(0) => assert9_dout_net_x1 ); assert_x0: entity work.xlpassthrough generic map ( din_width => 1, dout_width => 1 ) port map ( din(0) => relational_op_net, dout(0) => assert_dout_net ); b_plus_c: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 24, b_arith => xlSigned, b_bin_pt => 22, b_width => 24, c_has_c_out => 0, c_latency => 0, c_output_width => 25, core_name0 => "addsb_11_0_293aa5f110d040c2", extra_registers => 0, full_s_arith => 2, full_s_width => 25, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 25 ) port map ( a => down_sample1_q_net_x26, b => down_sample2_q_net_x27, ce => ce_70_sg_x26, clk => clk_70_sg_x26, clr => '0', en => "1", s => b_plus_c_s_net ); b_plus_d: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 24, b_arith => xlSigned, b_bin_pt => 22, b_width => 24, c_has_c_out => 0, c_latency => 0, c_output_width => 25, core_name0 => "addsb_11_0_293aa5f110d040c2", extra_registers => 0, full_s_arith => 2, full_s_width => 25, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 25 ) port map ( a => down_sample1_q_net_x26, b => down_sample1_q_net_x27, ce => ce_70_sg_x26, clk => clk_70_sg_x26, clr => '0', en => "1", s => b_plus_d_s_net ); c_plus_d: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 24, b_arith => xlSigned, b_bin_pt => 22, b_width => 24, c_has_c_out => 0, c_latency => 0, c_output_width => 25, core_name0 => "addsb_11_0_293aa5f110d040c2", extra_registers => 0, full_s_arith => 2, full_s_width => 25, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 25 ) port map ( a => down_sample2_q_net_x27, b => down_sample1_q_net_x27, ce => ce_70_sg_x26, clk => clk_70_sg_x26, clr => '0', en => "1", s => c_plus_d_s_net ); ce1: entity work.xlceprobe generic map ( d_width => 1, q_width => 1 ) port map ( ce => ce_logic_70_sg_x0, clk => clk_70_sg_x26, d(0) => assert_dout_net, q(0) => ce_70_x3 ); convert: entity work.xlconvert generic map ( bool_conversion => 0, din_arith => 2, din_bin_pt => 22, din_width => 26, dout_arith => 2, dout_bin_pt => 21, dout_width => 25, latency => 0, overflow => xlSaturate, quantization => xlRound ) port map ( ce => ce_1_sg_x94, clk => clk_1_sg_x94, clr => '0', din => delay_q_net, en => "1", dout => convert_dout_net_x0 ); datareg_en1_e5d0399944: entity work.datareg_en_entity_79473f9ed1 port map ( ce_1 => ce_1_sg_x94, clk_1 => clk_1_sg_x94, din => reinterpret2_output_port_net_x0, en => q_divider_m_axis_dout_tvalid_net_x0, dout => register_q_net_x1, valid => register1_q_net_x2 ); datareg_en2_02a2053e69: entity work.datareg_en_entity_79473f9ed1 port map ( ce_1 => ce_1_sg_x94, clk_1 => clk_1_sg_x94, din => reinterpret3_output_port_net_x0, en => x_divider_m_axis_dout_tvalid_net_x0, dout => register_q_net_x2, valid => register1_q_net_x3 ); datareg_en3_78179f99cc: entity work.datareg_en3_entity_6643090018 port map ( ce_1 => ce_1_sg_x94, clk_1 => clk_1_sg_x94, din => convert_dout_net_x0, en => delay1_q_net_x0, dout => register_q_net_x3, valid => register1_q_net_x4 ); datareg_en_ed948c360a: entity work.datareg_en_entity_79473f9ed1 port map ( ce_1 => ce_1_sg_x94, clk_1 => clk_1_sg_x94, din => reinterpret1_output_port_net_x0, en => divider_dout_valid_x0, dout => register_q_net_x0, valid => register1_q_net_x1 ); delay: entity work.xldelay generic map ( latency => 56, reg_retiming => 0, reset => 0, width => 26 ) port map ( ce => ce_1_sg_x94, clk => clk_1_sg_x94, d => reinterpret8_output_port_net, en => '1', rst => '1', q => delay_q_net ); delay1: entity work.xldelay generic map ( latency => 56, reg_retiming => 0, reset => 0, width => 1 ) port map ( ce => ce_1_sg_x94, clk => clk_1_sg_x94, d(0) => logical3_y_net_x4, en => '1', rst => '1', q(0) => delay1_q_net_x0 ); delta_q: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 25, b_arith => xlSigned, b_bin_pt => 22, b_width => 25, c_has_c_out => 0, c_latency => 0, c_output_width => 26, core_name0 => "addsb_11_0_44053abf11139d96", extra_registers => 0, full_s_arith => 2, full_s_width => 26, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 26 ) port map ( a => register5_q_net, b => register6_q_net, ce => ce_70_sg_x26, clk => clk_70_sg_x26, clr => '0', en => "1", s => delta_q_s_net ); delta_x: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 25, b_arith => xlSigned, b_bin_pt => 22, b_width => 25, c_has_c_out => 0, c_latency => 0, c_output_width => 26, core_name0 => "addsb_11_0_44053abf11139d96", extra_registers => 0, full_s_arith => 2, full_s_width => 26, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 26 ) port map ( a => register1_q_net, b => register3_q_net, ce => ce_70_sg_x26, clk => clk_70_sg_x26, clr => '0', en => "1", s => delta_x_s_net ); delta_y: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 25, b_arith => xlSigned, b_bin_pt => 22, b_width => 25, c_has_c_out => 0, c_latency => 0, c_output_width => 26, core_name0 => "addsb_11_0_44053abf11139d96", extra_registers => 0, full_s_arith => 2, full_s_width => 26, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 26 ) port map ( a => register2_q_net, b => register4_q_net, ce => ce_70_sg_x26, clk => clk_70_sg_x26, clr => '0', en => "1", s => delta_y_s_net ); down_sample: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 22, d_width => 26, ds_ratio => 35, latency => 1, phase => 34, q_arith => xlSigned, q_bin_pt => 22, q_width => 26 ) port map ( d => register14_q_net, dest_ce => ce_70_sg_x26, dest_clk => clk_70_sg_x26, dest_clr => '0', en => "1", src_ce => ce_2_sg_x37, src_clk => clk_2_sg_x37, src_clr => '0', q => down_sample_q_net ); down_sample1: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 24, d_width => 25, ds_ratio => 70, latency => 1, phase => 69, q_arith => xlSigned, q_bin_pt => 24, q_width => 25 ) port map ( d => dout_stretch, dest_ce => ce_70_sg_x26, dest_clk => clk_70_sg_x26, dest_clr => '0', en => "1", src_ce => ce_1_sg_x94, src_clk => clk_1_sg_x94, src_clr => '0', q => down_sample1_q_net ); down_sample2: entity work.xldsamp generic map ( d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, ds_ratio => 70, latency => 1, phase => 69, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => logical3_y_net_x0, dest_ce => ce_70_sg_x26, dest_clk => clk_70_sg_x26, dest_clr => '0', en => "1", src_ce => ce_1_sg_x94, src_clk => clk_1_sg_x94, src_clr => '0', q(0) => down_sample2_q_net ); down_sample3: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 24, d_width => 25, ds_ratio => 70, latency => 1, phase => 69, q_arith => xlSigned, q_bin_pt => 24, q_width => 25 ) port map ( d => register11_q_net, dest_ce => ce_70_sg_x26, dest_clk => clk_70_sg_x26, dest_clr => '0', en => "1", src_ce => ce_1_sg_x94, src_clk => clk_1_sg_x94, src_clr => '0', q => down_sample3_q_net ); down_sample4: entity work.xldsamp generic map ( d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, ds_ratio => 70, latency => 1, phase => 69, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => logical3_y_net_x1, dest_ce => ce_70_sg_x26, dest_clk => clk_70_sg_x26, dest_clr => '0', en => "1", src_ce => ce_1_sg_x94, src_clk => clk_1_sg_x94, src_clr => '0', q(0) => down_sample4_q_net ); down_sample5: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 24, d_width => 25, ds_ratio => 70, latency => 1, phase => 69, q_arith => xlSigned, q_bin_pt => 24, q_width => 25 ) port map ( d => register12_q_net, dest_ce => ce_70_sg_x26, dest_clk => clk_70_sg_x26, dest_clr => '0', en => "1", src_ce => ce_1_sg_x94, src_clk => clk_1_sg_x94, src_clr => '0', q => down_sample5_q_net ); down_sample6: entity work.xldsamp generic map ( d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, ds_ratio => 70, latency => 1, phase => 69, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => logical3_y_net_x2, dest_ce => ce_70_sg_x26, dest_clk => clk_70_sg_x26, dest_clr => '0', en => "1", src_ce => ce_1_sg_x94, src_clk => clk_1_sg_x94, src_clr => '0', q(0) => down_sample6_q_net ); down_sample7: entity work.xldsamp generic map ( d_arith => xlSigned, d_bin_pt => 21, d_width => 25, ds_ratio => 70, latency => 1, phase => 69, q_arith => xlSigned, q_bin_pt => 21, q_width => 25 ) port map ( d => register13_q_net, dest_ce => ce_70_sg_x26, dest_clk => clk_70_sg_x26, dest_clr => '0', en => "1", src_ce => ce_1_sg_x94, src_clk => clk_1_sg_x94, src_clr => '0', q => down_sample7_q_net ); down_sample8: entity work.xldsamp generic map ( d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, ds_ratio => 70, latency => 1, phase => 69, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => logical3_y_net_x3, dest_ce => ce_70_sg_x26, dest_clk => clk_70_sg_x26, dest_clr => '0', en => "1", src_ce => ce_1_sg_x94, src_clk => clk_1_sg_x94, src_clr => '0', q(0) => down_sample8_q_net ); expression1: entity work.expr_375d7bbece port map ( a(0) => x_divider_s_axis_divisor_tready_net, b(0) => divisor_ready, c(0) => q_divider_s_axis_divisor_tready_net, ce => '0', clk => '0', clr => '0', dout(0) => expression1_dout_net ); pulse_stretcher1_eef5ee33be: entity work.pulse_stretcher_entity_9893378b63 port map ( ce_1 => ce_1_sg_x94, clk_1 => clk_1_sg_x94, clr => ce_70_x3, pulse_in => register1_q_net_x2, extd_out => logical3_y_net_x1 ); pulse_stretcher2_6f5c3f41cf: entity work.pulse_stretcher_entity_9893378b63 port map ( ce_1 => ce_1_sg_x94, clk_1 => clk_1_sg_x94, clr => ce_70_x3, pulse_in => register1_q_net_x3, extd_out => logical3_y_net_x2 ); pulse_stretcher3_e720dfd76f: entity work.pulse_stretcher_entity_9893378b63 port map ( ce_1 => ce_1_sg_x94, clk_1 => clk_1_sg_x94, clr => ce_70_x3, pulse_in => register1_q_net_x4, extd_out => logical3_y_net_x3 ); pulse_stretcher4_0a5eb3f903: entity work.pulse_stretcher_entity_9893378b63 port map ( ce_1 => ce_1_sg_x94, clk_1 => clk_1_sg_x94, clr => assert6_dout_net_x0, pulse_in => divisor_valid_x0, extd_out => logical3_y_net_x4 ); pulse_stretcher5_b95a604b09: entity work.pulse_stretcher_entity_9893378b63 port map ( ce_1 => ce_1_sg_x94, clk_1 => clk_1_sg_x94, clr => re_x0, pulse_in => dividend_valid_x0, extd_out => logical3_y_net_x5 ); pulse_stretcher6_e7fb2961d9: entity work.pulse_stretcher_entity_9893378b63 port map ( ce_1 => ce_1_sg_x94, clk_1 => clk_1_sg_x94, clr => assert1_dout_net_x0, pulse_in => dividend_valid_x1, extd_out => logical3_y_net_x6 ); pulse_stretcher7_6e7eb70147: entity work.pulse_stretcher_entity_9893378b63 port map ( ce_1 => ce_1_sg_x94, clk_1 => clk_1_sg_x94, clr => re_x1, pulse_in => dividend_valid_x2, extd_out => logical3_y_net_x7 ); pulse_stretcher_f661707a58: entity work.pulse_stretcher_entity_9893378b63 port map ( ce_1 => ce_1_sg_x94, clk_1 => clk_1_sg_x94, clr => ce_70_x3, pulse_in => register1_q_net_x1, extd_out => logical3_y_net_x0 ); q_divider: entity work.xldivider_generator_47dc3a44bd8d9df86e42dac34ee6a9fc port map ( ce => ce_1_sg_x94, clk => clk_1_sg_x94, s_axis_dividend_tdata_dividend => reinterpret7_output_port_net, s_axis_dividend_tvalid => logical3_y_net_x6, s_axis_divisor_tdata_divisor => divisor_data_x0, s_axis_divisor_tvalid => logical3_y_net_x4, m_axis_dout_tdata_fractional => q_divider_m_axis_dout_tdata_fractional_net, m_axis_dout_tvalid => q_divider_m_axis_dout_tvalid_net_x0, s_axis_dividend_tready => q_divider_s_axis_dividend_tready_net, s_axis_divisor_tready => q_divider_s_axis_divisor_tready_net ); register1: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_70_sg_x26, clk => clk_70_sg_x26, d => b_plus_c_s_net, en => "1", rst => "0", q => register1_q_net ); register10: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_70_sg_x26, clk => clk_70_sg_x26, d => delta_q_s_net, en => "1", rst => "0", q => register10_q_net ); register11: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_1_sg_x94, clk => clk_1_sg_x94, d => register_q_net_x1, en => "1", rst => "0", q => register11_q_net ); register12: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_1_sg_x94, clk => clk_1_sg_x94, d => register_q_net_x2, en => "1", rst => "0", q => register12_q_net ); register13: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_1_sg_x94, clk => clk_1_sg_x94, d => register_q_net_x3, en => "1", rst => "0", q => register13_q_net ); register14: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_2_sg_x37, clk => clk_2_sg_x37, d => del_sig_div_tbt_thres_i_net_x0, en => "1", rst => "0", q => register14_q_net ); register2: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_70_sg_x26, clk => clk_70_sg_x26, d => a_plus_b_s_net, en => "1", rst => "0", q => register2_q_net ); register3: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_70_sg_x26, clk => clk_70_sg_x26, d => a_plus_d_s_net, en => "1", rst => "0", q => register3_q_net ); register4: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_70_sg_x26, clk => clk_70_sg_x26, d => c_plus_d_s_net, en => "1", rst => "0", q => register4_q_net ); register5: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_70_sg_x26, clk => clk_70_sg_x26, d => a_plus_c_s_net, en => "1", rst => "0", q => register5_q_net ); register6: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_70_sg_x26, clk => clk_70_sg_x26, d => b_plus_d_s_net, en => "1", rst => "0", q => register6_q_net ); register7: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_70_sg_x26, clk => clk_70_sg_x26, d => delta_x_s_net, en => "1", rst => "0", q => register7_q_net ); register8: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_70_sg_x26, clk => clk_70_sg_x26, d => sum_s_net, en => "1", rst => "0", q => divisor_data ); register9: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_70_sg_x26, clk => clk_70_sg_x26, d => delta_y_s_net, en => "1", rst => "0", q => din ); register_x0: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_1_sg_x94, clk => clk_1_sg_x94, d => register_q_net_x0, en => "1", rst => "0", q => dout_stretch ); reinterpret1: entity work.reinterpret_31a4235b32 port map ( ce => '0', clk => '0', clr => '0', input_port => divider_dout_fracc, output_port => reinterpret1_output_port_net_x0 ); reinterpret2: entity work.reinterpret_31a4235b32 port map ( ce => '0', clk => '0', clr => '0', input_port => q_divider_m_axis_dout_tdata_fractional_net, output_port => reinterpret2_output_port_net_x0 ); reinterpret3: entity work.reinterpret_31a4235b32 port map ( ce => '0', clk => '0', clr => '0', input_port => x_divider_m_axis_dout_tdata_fractional_net, output_port => reinterpret3_output_port_net_x0 ); reinterpret4: entity work.reinterpret_040ef1b598 port map ( ce => '0', clk => '0', clr => '0', input_port => up_sample6_q_net, output_port => reinterpret4_output_port_net ); reinterpret5: entity work.reinterpret_040ef1b598 port map ( ce => '0', clk => '0', clr => '0', input_port => up_sample2_q_net, output_port => divisor_data_x0 ); reinterpret6: entity work.reinterpret_040ef1b598 port map ( ce => '0', clk => '0', clr => '0', input_port => up_sample_q_net, output_port => dividend_data ); reinterpret7: entity work.reinterpret_040ef1b598 port map ( ce => '0', clk => '0', clr => '0', input_port => up_sample4_q_net, output_port => reinterpret7_output_port_net ); reinterpret8: entity work.reinterpret_040ef1b598 port map ( ce => '0', clk => '0', clr => '0', input_port => divisor_data_x0, output_port => reinterpret8_output_port_net ); relational: entity work.relational_416cfcae1e port map ( a => divisor_data, b => down_sample_q_net, ce => ce_70_sg_x26, clk => clk_70_sg_x26, clr => '0', op(0) => relational_op_net ); sum: entity work.xladdsub generic map ( a_arith => xlSigned, a_bin_pt => 22, a_width => 25, b_arith => xlSigned, b_bin_pt => 22, b_width => 25, c_has_c_out => 0, c_latency => 0, c_output_width => 26, core_name0 => "addsb_11_0_3537d66a2361cd1e", extra_registers => 0, full_s_arith => 2, full_s_width => 26, latency => 0, overflow => 1, quantization => 1, s_arith => xlSigned, s_bin_pt => 22, s_width => 26 ) port map ( a => register3_q_net, b => register1_q_net, ce => ce_70_sg_x26, clk => clk_70_sg_x26, clr => '0', en => "1", s => sum_s_net ); up_sample: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 22, d_width => 26, latency => 0, q_arith => xlSigned, q_bin_pt => 22, q_width => 26 ) port map ( d => din, dest_ce => ce_1_sg_x94, dest_clk => clk_1_sg_x94, dest_clr => '0', en => "1", src_ce => ce_70_sg_x26, src_clk => clk_70_sg_x26, src_clr => '0', q => up_sample_q_net ); up_sample1: entity work.xlusamp generic map ( copy_samples => 0, d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, latency => 0, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => assert_dout_net, dest_ce => ce_1_sg_x94, dest_clk => clk_1_sg_x94, dest_clr => '0', en => "1", src_ce => ce_70_sg_x26, src_clk => clk_70_sg_x26, src_clr => '0', q(0) => dividend_valid_x0 ); up_sample2: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 22, d_width => 26, latency => 0, q_arith => xlSigned, q_bin_pt => 22, q_width => 26 ) port map ( d => divisor_data, dest_ce => ce_1_sg_x94, dest_clk => clk_1_sg_x94, dest_clr => '0', en => "1", src_ce => ce_70_sg_x26, src_clk => clk_70_sg_x26, src_clr => '0', q => up_sample2_q_net ); up_sample3: entity work.xlusamp generic map ( copy_samples => 0, d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, latency => 0, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => assert_dout_net, dest_ce => ce_1_sg_x94, dest_clk => clk_1_sg_x94, dest_clr => '0', en => "1", src_ce => ce_70_sg_x26, src_clk => clk_70_sg_x26, src_clr => '0', q(0) => divisor_valid_x0 ); up_sample4: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 22, d_width => 26, latency => 0, q_arith => xlSigned, q_bin_pt => 22, q_width => 26 ) port map ( d => register10_q_net, dest_ce => ce_1_sg_x94, dest_clk => clk_1_sg_x94, dest_clr => '0', en => "1", src_ce => ce_70_sg_x26, src_clk => clk_70_sg_x26, src_clr => '0', q => up_sample4_q_net ); up_sample5: entity work.xlusamp generic map ( copy_samples => 0, d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, latency => 0, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => assert_dout_net, dest_ce => ce_1_sg_x94, dest_clk => clk_1_sg_x94, dest_clr => '0', en => "1", src_ce => ce_70_sg_x26, src_clk => clk_70_sg_x26, src_clr => '0', q(0) => dividend_valid_x1 ); up_sample6: entity work.xlusamp generic map ( copy_samples => 1, d_arith => xlSigned, d_bin_pt => 22, d_width => 26, latency => 0, q_arith => xlSigned, q_bin_pt => 22, q_width => 26 ) port map ( d => register7_q_net, dest_ce => ce_1_sg_x94, dest_clk => clk_1_sg_x94, dest_clr => '0', en => "1", src_ce => ce_70_sg_x26, src_clk => clk_70_sg_x26, src_clr => '0', q => up_sample6_q_net ); up_sample7: entity work.xlusamp generic map ( copy_samples => 0, d_arith => xlUnsigned, d_bin_pt => 0, d_width => 1, latency => 0, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 1 ) port map ( d(0) => assert_dout_net, dest_ce => ce_1_sg_x94, dest_clk => clk_1_sg_x94, dest_clr => '0', en => "1", src_ce => ce_70_sg_x26, src_clk => clk_70_sg_x26, src_clr => '0', q(0) => dividend_valid_x2 ); x_divider: entity work.xldivider_generator_47dc3a44bd8d9df86e42dac34ee6a9fc port map ( ce => ce_1_sg_x94, clk => clk_1_sg_x94, s_axis_dividend_tdata_dividend => reinterpret4_output_port_net, s_axis_dividend_tvalid => logical3_y_net_x7, s_axis_divisor_tdata_divisor => divisor_data_x0, s_axis_divisor_tvalid => logical3_y_net_x4, m_axis_dout_tdata_fractional => x_divider_m_axis_dout_tdata_fractional_net, m_axis_dout_tvalid => x_divider_m_axis_dout_tvalid_net_x0, s_axis_dividend_tready => dividend_ready, s_axis_divisor_tready => x_divider_s_axis_divisor_tready_net ); y_divider: entity work.xldivider_generator_47dc3a44bd8d9df86e42dac34ee6a9fc port map ( ce => ce_1_sg_x94, clk => clk_1_sg_x94, s_axis_dividend_tdata_dividend => dividend_data, s_axis_dividend_tvalid => logical3_y_net_x5, s_axis_divisor_tdata_divisor => divisor_data_x0, s_axis_divisor_tvalid => logical3_y_net_x4, m_axis_dout_tdata_fractional => divider_dout_fracc, m_axis_dout_tvalid => divider_dout_valid_x0, s_axis_dividend_tready => dividend_ready_x0, s_axis_divisor_tready => divisor_ready ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/monit_pos_1/Cast1/format1" entity format1_entity_a98b06306e is port ( ce_56000000: in std_logic; clk_56000000: in std_logic; din: in std_logic_vector(25 downto 0); dout: out std_logic_vector(24 downto 0) ); end format1_entity_a98b06306e; architecture structural of format1_entity_a98b06306e is signal ce_56000000_sg_x0: std_logic; signal clk_56000000_sg_x0: std_logic; signal convert_dout_net_x0: std_logic_vector(24 downto 0); signal monit_pos_1_c_m_axis_data_tdata_net_x0: std_logic_vector(25 downto 0); signal reinterpret_output_port_net: std_logic_vector(25 downto 0); begin ce_56000000_sg_x0 <= ce_56000000; clk_56000000_sg_x0 <= clk_56000000; monit_pos_1_c_m_axis_data_tdata_net_x0 <= din; dout <= convert_dout_net_x0; convert: entity work.xlconvert generic map ( bool_conversion => 0, din_arith => 2, din_bin_pt => 24, din_width => 26, dout_arith => 2, dout_bin_pt => 24, dout_width => 25, latency => 0, overflow => xlWrap, quantization => xlRound ) port map ( ce => ce_56000000_sg_x0, clk => clk_56000000_sg_x0, clr => '0', din => reinterpret_output_port_net, en => "1", dout => convert_dout_net_x0 ); reinterpret: entity work.reinterpret_040ef1b598 port map ( ce => '0', clk => '0', clr => '0', input_port => monit_pos_1_c_m_axis_data_tdata_net_x0, output_port => reinterpret_output_port_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/monit_pos_1/Cast1" entity cast1_entity_3d447d0833 is port ( ce_56000000: in std_logic; clk_56000000: in std_logic; data_in: in std_logic_vector(25 downto 0); en: in std_logic; out_x0: out std_logic_vector(24 downto 0); vld_out: out std_logic ); end cast1_entity_3d447d0833; architecture structural of cast1_entity_3d447d0833 is signal ce_56000000_sg_x1: std_logic; signal clk_56000000_sg_x1: std_logic; signal convert_dout_net_x0: std_logic_vector(24 downto 0); signal monit_pos_1_c_m_axis_data_tdata_net_x1: std_logic_vector(25 downto 0); signal monit_pos_1_c_m_axis_data_tvalid_net_x0: std_logic; signal register1_q_net_x0: std_logic; signal register_q_net_x0: std_logic_vector(24 downto 0); begin ce_56000000_sg_x1 <= ce_56000000; clk_56000000_sg_x1 <= clk_56000000; monit_pos_1_c_m_axis_data_tdata_net_x1 <= data_in; monit_pos_1_c_m_axis_data_tvalid_net_x0 <= en; out_x0 <= register_q_net_x0; vld_out <= register1_q_net_x0; format1_a98b06306e: entity work.format1_entity_a98b06306e port map ( ce_56000000 => ce_56000000_sg_x1, clk_56000000 => clk_56000000_sg_x1, din => monit_pos_1_c_m_axis_data_tdata_net_x1, dout => convert_dout_net_x0 ); register1: entity work.xlregister generic map ( d_width => 1, init_value => b"0" ) port map ( ce => ce_56000000_sg_x1, clk => clk_56000000_sg_x1, d(0) => monit_pos_1_c_m_axis_data_tvalid_net_x0, en => "1", rst => "0", q(0) => register1_q_net_x0 ); register_x0: entity work.xlregister generic map ( d_width => 25, init_value => b"0000000000000000000000000" ) port map ( ce => ce_56000000_sg_x1, clk => clk_56000000_sg_x1, d => convert_dout_net_x0, en(0) => monit_pos_1_c_m_axis_data_tvalid_net_x0, rst => "0", q => register_q_net_x0 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/monit_pos_1/TDDM_monit_pos_1_out/TDDM_monit_pos_1_out_int" entity tddm_monit_pos_1_out_int_entity_3405798202 is port ( ce_224000000: in std_logic; ce_56000000: in std_logic; ch_in: in std_logic_vector(1 downto 0); clk_224000000: in std_logic; clk_56000000: in std_logic; din: in std_logic_vector(25 downto 0); dout_ch0: out std_logic_vector(25 downto 0); dout_ch1: out std_logic_vector(25 downto 0); dout_ch2: out std_logic_vector(25 downto 0); dout_ch3: out std_logic_vector(25 downto 0) ); end tddm_monit_pos_1_out_int_entity_3405798202; architecture structural of tddm_monit_pos_1_out_int_entity_3405798202 is signal ce_224000000_sg_x4: std_logic; signal ce_56000000_sg_x2: std_logic; signal clk_224000000_sg_x4: std_logic; signal clk_56000000_sg_x2: std_logic; signal concat_y_net_x0: std_logic_vector(25 downto 0); signal constant1_op_net: std_logic_vector(1 downto 0); signal constant3_op_net: std_logic_vector(1 downto 0); signal constant4_op_net: std_logic_vector(1 downto 0); signal constant_op_net: std_logic_vector(1 downto 0); signal down_sample1_q_net_x0: std_logic_vector(25 downto 0); signal down_sample2_q_net_x0: std_logic_vector(25 downto 0); signal down_sample3_q_net_x0: std_logic_vector(25 downto 0); signal down_sample4_q_net_x0: std_logic_vector(25 downto 0); signal register1_q_net: std_logic_vector(25 downto 0); signal register2_q_net: std_logic_vector(25 downto 0); signal register3_q_net: std_logic_vector(25 downto 0); signal register_q_net_x0: std_logic_vector(25 downto 0); signal register_q_net_x1: std_logic_vector(1 downto 0); signal relational1_op_net: std_logic; signal relational2_op_net: std_logic; signal relational3_op_net: std_logic; signal relational_op_net: std_logic; begin ce_224000000_sg_x4 <= ce_224000000; ce_56000000_sg_x2 <= ce_56000000; register_q_net_x1 <= ch_in; clk_224000000_sg_x4 <= clk_224000000; clk_56000000_sg_x2 <= clk_56000000; concat_y_net_x0 <= din; dout_ch0 <= down_sample2_q_net_x0; dout_ch1 <= down_sample1_q_net_x0; dout_ch2 <= down_sample3_q_net_x0; dout_ch3 <= down_sample4_q_net_x0; constant1: entity work.constant_cda50df78a port map ( ce => '0', clk => '0', clr => '0', op => constant1_op_net ); constant3: entity work.constant_a7e2bb9e12 port map ( ce => '0', clk => '0', clr => '0', op => constant3_op_net ); constant4: entity work.constant_e8ddc079e9 port map ( ce => '0', clk => '0', clr => '0', op => constant4_op_net ); constant_x0: entity work.constant_3a9a3daeb9 port map ( ce => '0', clk => '0', clr => '0', op => constant_op_net ); down_sample1: entity work.xldsamp generic map ( d_arith => xlUnsigned, d_bin_pt => 0, d_width => 26, ds_ratio => 4, latency => 1, phase => 3, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 26 ) port map ( d => register1_q_net, dest_ce => ce_224000000_sg_x4, dest_clk => clk_224000000_sg_x4, dest_clr => '0', en => "1", src_ce => ce_56000000_sg_x2, src_clk => clk_56000000_sg_x2, src_clr => '0', q => down_sample1_q_net_x0 ); down_sample2: entity work.xldsamp generic map ( d_arith => xlUnsigned, d_bin_pt => 0, d_width => 26, ds_ratio => 4, latency => 1, phase => 3, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 26 ) port map ( d => register_q_net_x0, dest_ce => ce_224000000_sg_x4, dest_clk => clk_224000000_sg_x4, dest_clr => '0', en => "1", src_ce => ce_56000000_sg_x2, src_clk => clk_56000000_sg_x2, src_clr => '0', q => down_sample2_q_net_x0 ); down_sample3: entity work.xldsamp generic map ( d_arith => xlUnsigned, d_bin_pt => 0, d_width => 26, ds_ratio => 4, latency => 1, phase => 3, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 26 ) port map ( d => register2_q_net, dest_ce => ce_224000000_sg_x4, dest_clk => clk_224000000_sg_x4, dest_clr => '0', en => "1", src_ce => ce_56000000_sg_x2, src_clk => clk_56000000_sg_x2, src_clr => '0', q => down_sample3_q_net_x0 ); down_sample4: entity work.xldsamp generic map ( d_arith => xlUnsigned, d_bin_pt => 0, d_width => 26, ds_ratio => 4, latency => 1, phase => 3, q_arith => xlUnsigned, q_bin_pt => 0, q_width => 26 ) port map ( d => register3_q_net, dest_ce => ce_224000000_sg_x4, dest_clk => clk_224000000_sg_x4, dest_clr => '0', en => "1", src_ce => ce_56000000_sg_x2, src_clk => clk_56000000_sg_x2, src_clr => '0', q => down_sample4_q_net_x0 ); register1: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_56000000_sg_x2, clk => clk_56000000_sg_x2, d => concat_y_net_x0, en(0) => relational1_op_net, rst => "0", q => register1_q_net ); register2: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_56000000_sg_x2, clk => clk_56000000_sg_x2, d => concat_y_net_x0, en(0) => relational2_op_net, rst => "0", q => register2_q_net ); register3: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_56000000_sg_x2, clk => clk_56000000_sg_x2, d => concat_y_net_x0, en(0) => relational3_op_net, rst => "0", q => register3_q_net ); register_x0: entity work.xlregister generic map ( d_width => 26, init_value => b"00000000000000000000000000" ) port map ( ce => ce_56000000_sg_x2, clk => clk_56000000_sg_x2, d => concat_y_net_x0, en(0) => relational_op_net, rst => "0", q => register_q_net_x0 ); relational: entity work.relational_367321bc0c port map ( a => register_q_net_x1, b => constant_op_net, ce => ce_56000000_sg_x2, clk => clk_56000000_sg_x2, clr => '0', op(0) => relational_op_net ); relational1: entity work.relational_367321bc0c port map ( a => register_q_net_x1, b => constant1_op_net, ce => ce_56000000_sg_x2, clk => clk_56000000_sg_x2, clr => '0', op(0) => relational1_op_net ); relational2: entity work.relational_367321bc0c port map ( a => register_q_net_x1, b => constant3_op_net, ce => ce_56000000_sg_x2, clk => clk_56000000_sg_x2, clr => '0', op(0) => relational2_op_net ); relational3: entity work.relational_367321bc0c port map ( a => register_q_net_x1, b => constant4_op_net, ce => ce_56000000_sg_x2, clk => clk_56000000_sg_x2, clr => '0', op(0) => relational3_op_net ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/monit_pos_1/TDDM_monit_pos_1_out" entity tddm_monit_pos_1_out_entity_1d58a51dbf is port ( ce_224000000: in std_logic; ce_56000000: in std_logic; clk_224000000: in std_logic; clk_56000000: in std_logic; monit_pos_1_ch_in: in std_logic_vector(1 downto 0); monit_pos_1_din: in std_logic_vector(25 downto 0); monit_pos_1_q_out: out std_logic_vector(25 downto 0); monit_pos_1_sum_out: out std_logic_vector(25 downto 0); monit_pos_1_x_out: out std_logic_vector(25 downto 0); monit_pos_1_y_out: out std_logic_vector(25 downto 0) ); end tddm_monit_pos_1_out_entity_1d58a51dbf; architecture structural of tddm_monit_pos_1_out_entity_1d58a51dbf is signal ce_224000000_sg_x5: std_logic; signal ce_56000000_sg_x3: std_logic; signal clk_224000000_sg_x5: std_logic; signal clk_56000000_sg_x3: std_logic; signal concat_y_net_x1: std_logic_vector(25 downto 0); signal down_sample1_q_net_x1: std_logic_vector(25 downto 0); signal down_sample2_q_net_x1: std_logic_vector(25 downto 0); signal down_sample3_q_net_x1: std_logic_vector(25 downto 0); signal down_sample4_q_net_x1: std_logic_vector(25 downto 0); signal register_q_net_x2: std_logic_vector(1 downto 0); begin ce_224000000_sg_x5 <= ce_224000000; ce_56000000_sg_x3 <= ce_56000000; clk_224000000_sg_x5 <= clk_224000000; clk_56000000_sg_x3 <= clk_56000000; register_q_net_x2 <= monit_pos_1_ch_in; concat_y_net_x1 <= monit_pos_1_din; monit_pos_1_q_out <= down_sample3_q_net_x1; monit_pos_1_sum_out <= down_sample4_q_net_x1; monit_pos_1_x_out <= down_sample2_q_net_x1; monit_pos_1_y_out <= down_sample1_q_net_x1; tddm_monit_pos_1_out_int_3405798202: entity work.tddm_monit_pos_1_out_int_entity_3405798202 port map ( ce_224000000 => ce_224000000_sg_x5, ce_56000000 => ce_56000000_sg_x3, ch_in => register_q_net_x2, clk_224000000 => clk_224000000_sg_x5, clk_56000000 => clk_56000000_sg_x3, din => concat_y_net_x1, dout_ch0 => down_sample2_q_net_x1, dout_ch1 => down_sample1_q_net_x1, dout_ch2 => down_sample3_q_net_x1, dout_ch3 => down_sample4_q_net_x1 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066/monit_pos_1" entity monit_pos_1_entity_522c8cf08d is port ( ce_1: in std_logic; ce_224000000: in std_logic; ce_5600000: in std_logic; ce_56000000: in std_logic; ce_logic_5600000: in std_logic; ch_in: in std_logic_vector(1 downto 0); clk_1: in std_logic; clk_224000000: in std_logic; clk_5600000: in std_logic; clk_56000000: in std_logic; din: in std_logic_vector(24 downto 0); monit_1_pos_q: out std_logic_vector(24 downto 0); monit_1_pos_x: out std_logic_vector(24 downto 0); monit_1_pos_y: out std_logic_vector(24 downto 0); monit_1_sum: out std_logic_vector(24 downto 0); monit_1_vld_q: out std_logic; monit_1_vld_sum: out std_logic; monit_1_vld_x: out std_logic; monit_1_vld_y: out std_logic; monit_pos_1_c_x0: out std_logic ); end monit_pos_1_entity_522c8cf08d; architecture structural of monit_pos_1_entity_522c8cf08d is signal ce_1_sg_x95: std_logic; signal ce_224000000_sg_x6: std_logic; signal ce_56000000_sg_x4: std_logic; signal ce_5600000_sg_x11: std_logic; signal ce_logic_5600000_sg_x1: std_logic; signal clk_1_sg_x95: std_logic; signal clk_224000000_sg_x6: std_logic; signal clk_56000000_sg_x4: std_logic; signal clk_5600000_sg_x11: std_logic; signal concat_y_net_x1: std_logic_vector(25 downto 0); signal down_sample1_q_net_x1: std_logic_vector(25 downto 0); signal down_sample2_q_net_x1: std_logic_vector(25 downto 0); signal down_sample3_q_net_x1: std_logic_vector(25 downto 0); signal down_sample4_q_net_x1: std_logic_vector(25 downto 0); signal down_sample_q_net_x3: std_logic_vector(1 downto 0); signal extractor1_dout_net: std_logic_vector(24 downto 0); signal extractor1_vld_out_net: std_logic; signal extractor2_dout_net: std_logic_vector(24 downto 0); signal extractor2_vld_out_net: std_logic; signal extractor3_dout_net: std_logic_vector(24 downto 0); signal extractor3_vld_out_net: std_logic; signal extractor4_dout_net: std_logic_vector(24 downto 0); signal extractor4_vld_out_net: std_logic; signal monit_pos_1_c_event_s_data_chanid_incorrect_net_x0: std_logic; signal monit_pos_1_c_m_axis_data_tdata_net_x1: std_logic_vector(25 downto 0); signal monit_pos_1_c_m_axis_data_tuser_chanid_net: std_logic_vector(1 downto 0); signal monit_pos_1_c_m_axis_data_tvalid_net_x0: std_logic; signal register1_q_net_x0: std_logic; signal register_q_net_x0: std_logic_vector(24 downto 0); signal register_q_net_x2: std_logic_vector(1 downto 0); signal reinterpret1_output_port_net_x1: std_logic_vector(24 downto 0); signal reinterpret2_output_port_net_x1: std_logic_vector(24 downto 0); signal reinterpret3_output_port_net_x1: std_logic_vector(24 downto 0); signal reinterpret4_output_port_net_x1: std_logic_vector(24 downto 0); signal reinterpret5_output_port_net: std_logic_vector(24 downto 0); signal reinterpret5_output_port_net_x1: std_logic_vector(24 downto 0); signal ufix_to_bool1_dout_net_x1: std_logic; signal ufix_to_bool2_dout_net_x1: std_logic; signal ufix_to_bool3_dout_net_x1: std_logic; signal ufix_to_bool_dout_net_x1: std_logic; begin ce_1_sg_x95 <= ce_1; ce_224000000_sg_x6 <= ce_224000000; ce_5600000_sg_x11 <= ce_5600000; ce_56000000_sg_x4 <= ce_56000000; ce_logic_5600000_sg_x1 <= ce_logic_5600000; down_sample_q_net_x3 <= ch_in; clk_1_sg_x95 <= clk_1; clk_224000000_sg_x6 <= clk_224000000; clk_5600000_sg_x11 <= clk_5600000; clk_56000000_sg_x4 <= clk_56000000; reinterpret5_output_port_net_x1 <= din; monit_1_pos_q <= reinterpret2_output_port_net_x1; monit_1_pos_x <= reinterpret3_output_port_net_x1; monit_1_pos_y <= reinterpret1_output_port_net_x1; monit_1_sum <= reinterpret4_output_port_net_x1; monit_1_vld_q <= ufix_to_bool2_dout_net_x1; monit_1_vld_sum <= ufix_to_bool3_dout_net_x1; monit_1_vld_x <= ufix_to_bool_dout_net_x1; monit_1_vld_y <= ufix_to_bool1_dout_net_x1; monit_pos_1_c_x0 <= monit_pos_1_c_event_s_data_chanid_incorrect_net_x0; cast1_3d447d0833: entity work.cast1_entity_3d447d0833 port map ( ce_56000000 => ce_56000000_sg_x4, clk_56000000 => clk_56000000_sg_x4, data_in => monit_pos_1_c_m_axis_data_tdata_net_x1, en => monit_pos_1_c_m_axis_data_tvalid_net_x0, out_x0 => register_q_net_x0, vld_out => register1_q_net_x0 ); concat: entity work.concat_43e7f055fa port map ( ce => '0', clk => '0', clr => '0', in0(0) => register1_q_net_x0, in1 => reinterpret5_output_port_net, y => concat_y_net_x1 ); extractor1: entity work.bitbasher_a756ba0096 port map ( ce => '0', clk => '0', clr => '0', din => down_sample3_q_net_x1, dout => extractor1_dout_net, vld_out(0) => extractor1_vld_out_net ); extractor2: entity work.bitbasher_a756ba0096 port map ( ce => '0', clk => '0', clr => '0', din => down_sample1_q_net_x1, dout => extractor2_dout_net, vld_out(0) => extractor2_vld_out_net ); extractor3: entity work.bitbasher_a756ba0096 port map ( ce => '0', clk => '0', clr => '0', din => down_sample4_q_net_x1, dout => extractor3_dout_net, vld_out(0) => extractor3_vld_out_net ); extractor4: entity work.bitbasher_a756ba0096 port map ( ce => '0', clk => '0', clr => '0', din => down_sample2_q_net_x1, dout => extractor4_dout_net, vld_out(0) => extractor4_vld_out_net ); monit_pos_1_c: entity work.xlfir_compiler_eebfed0cb0075aa32aca169bb967f58b port map ( ce => ce_1_sg_x95, ce_5600000 => ce_5600000_sg_x11, ce_56000000 => ce_56000000_sg_x4, ce_logic_5600000 => ce_logic_5600000_sg_x1, clk => clk_1_sg_x95, clk_5600000 => clk_5600000_sg_x11, clk_56000000 => clk_56000000_sg_x4, clk_logic_5600000 => clk_5600000_sg_x11, s_axis_data_tdata => reinterpret5_output_port_net_x1, s_axis_data_tuser_chanid => down_sample_q_net_x3, src_ce => ce_5600000_sg_x11, src_clk => clk_5600000_sg_x11, event_s_data_chanid_incorrect => monit_pos_1_c_event_s_data_chanid_incorrect_net_x0, m_axis_data_tdata => monit_pos_1_c_m_axis_data_tdata_net_x1, m_axis_data_tuser_chanid => monit_pos_1_c_m_axis_data_tuser_chanid_net, m_axis_data_tvalid => monit_pos_1_c_m_axis_data_tvalid_net_x0 ); register_x0: entity work.xlregister generic map ( d_width => 2, init_value => b"00" ) port map ( ce => ce_56000000_sg_x4, clk => clk_56000000_sg_x4, d => monit_pos_1_c_m_axis_data_tuser_chanid_net, en => "1", rst => "0", q => register_q_net_x2 ); reinterpret1: entity work.reinterpret_60ea556961 port map ( ce => '0', clk => '0', clr => '0', input_port => extractor2_dout_net, output_port => reinterpret1_output_port_net_x1 ); reinterpret2: entity work.reinterpret_60ea556961 port map ( ce => '0', clk => '0', clr => '0', input_port => extractor1_dout_net, output_port => reinterpret2_output_port_net_x1 ); reinterpret3: entity work.reinterpret_60ea556961 port map ( ce => '0', clk => '0', clr => '0', input_port => extractor4_dout_net, output_port => reinterpret3_output_port_net_x1 ); reinterpret4: entity work.reinterpret_60ea556961 port map ( ce => '0', clk => '0', clr => '0', input_port => extractor3_dout_net, output_port => reinterpret4_output_port_net_x1 ); reinterpret5: entity work.reinterpret_c3c0e847be port map ( ce => '0', clk => '0', clr => '0', input_port => register_q_net_x0, output_port => reinterpret5_output_port_net ); tddm_monit_pos_1_out_1d58a51dbf: entity work.tddm_monit_pos_1_out_entity_1d58a51dbf port map ( ce_224000000 => ce_224000000_sg_x6, ce_56000000 => ce_56000000_sg_x4, clk_224000000 => clk_224000000_sg_x6, clk_56000000 => clk_56000000_sg_x4, monit_pos_1_ch_in => register_q_net_x2, monit_pos_1_din => concat_y_net_x1, monit_pos_1_q_out => down_sample3_q_net_x1, monit_pos_1_sum_out => down_sample4_q_net_x1, monit_pos_1_x_out => down_sample2_q_net_x1, monit_pos_1_y_out => down_sample1_q_net_x1 ); ufix_to_bool: entity work.xlconvert generic map ( bool_conversion => 1, din_arith => 1, din_bin_pt => 0, din_width => 1, dout_arith => 1, dout_bin_pt => 0, dout_width => 1, latency => 0, overflow => xlWrap, quantization => xlRound ) port map ( ce => ce_224000000_sg_x6, clk => clk_224000000_sg_x6, clr => '0', din(0) => extractor4_vld_out_net, en => "1", dout(0) => ufix_to_bool_dout_net_x1 ); ufix_to_bool1: entity work.xlconvert generic map ( bool_conversion => 1, din_arith => 1, din_bin_pt => 0, din_width => 1, dout_arith => 1, dout_bin_pt => 0, dout_width => 1, latency => 0, overflow => xlWrap, quantization => xlRound ) port map ( ce => ce_224000000_sg_x6, clk => clk_224000000_sg_x6, clr => '0', din(0) => extractor2_vld_out_net, en => "1", dout(0) => ufix_to_bool1_dout_net_x1 ); ufix_to_bool2: entity work.xlconvert generic map ( bool_conversion => 1, din_arith => 1, din_bin_pt => 0, din_width => 1, dout_arith => 1, dout_bin_pt => 0, dout_width => 1, latency => 0, overflow => xlWrap, quantization => xlRound ) port map ( ce => ce_224000000_sg_x6, clk => clk_224000000_sg_x6, clr => '0', din(0) => extractor1_vld_out_net, en => "1", dout(0) => ufix_to_bool2_dout_net_x1 ); ufix_to_bool3: entity work.xlconvert generic map ( bool_conversion => 1, din_arith => 1, din_bin_pt => 0, din_width => 1, dout_arith => 1, dout_bin_pt => 0, dout_width => 1, latency => 0, overflow => xlWrap, quantization => xlRound ) port map ( ce => ce_224000000_sg_x6, clk => clk_224000000_sg_x6, clr => '0', din(0) => extractor3_vld_out_net, en => "1", dout(0) => ufix_to_bool3_dout_net_x1 ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; -- Generated from Simulink block "ddc_bpm_476_066" entity ddc_bpm_476_066 is port ( adc_ch0_i: in std_logic_vector(15 downto 0); adc_ch1_i: in std_logic_vector(15 downto 0); adc_ch2_i: in std_logic_vector(15 downto 0); adc_ch3_i: in std_logic_vector(15 downto 0); ce_1: in std_logic; ce_10000: in std_logic; ce_1120: in std_logic; ce_1400000: in std_logic; ce_2: in std_logic; ce_2240: in std_logic; ce_22400000: in std_logic; ce_224000000: in std_logic; ce_2500: in std_logic; ce_2800000: in std_logic; ce_35: in std_logic; ce_4480: in std_logic; ce_44800000: in std_logic; ce_5000: in std_logic; ce_560: in std_logic; ce_5600000: in std_logic; ce_56000000: in std_logic; ce_70: in std_logic; ce_logic_1: in std_logic; ce_logic_1400000: in std_logic; ce_logic_2240: in std_logic; ce_logic_22400000: in std_logic; ce_logic_2800000: in std_logic; ce_logic_560: in std_logic; ce_logic_5600000: in std_logic; ce_logic_70: in std_logic; clk_1: in std_logic; clk_10000: in std_logic; clk_1120: in std_logic; clk_1400000: in std_logic; clk_2: in std_logic; clk_2240: in std_logic; clk_22400000: in std_logic; clk_224000000: in std_logic; clk_2500: in std_logic; clk_2800000: in std_logic; clk_35: in std_logic; clk_4480: in std_logic; clk_44800000: in std_logic; clk_5000: in std_logic; clk_560: in std_logic; clk_5600000: in std_logic; clk_56000000: in std_logic; clk_70: in std_logic; dds_config_valid_ch0_i: in std_logic; dds_config_valid_ch1_i: in std_logic; dds_config_valid_ch2_i: in std_logic; dds_config_valid_ch3_i: in std_logic; dds_pinc_ch0_i: in std_logic_vector(29 downto 0); dds_pinc_ch1_i: in std_logic_vector(29 downto 0); dds_pinc_ch2_i: in std_logic_vector(29 downto 0); dds_pinc_ch3_i: in std_logic_vector(29 downto 0); dds_poff_ch0_i: in std_logic_vector(29 downto 0); dds_poff_ch1_i: in std_logic_vector(29 downto 0); dds_poff_ch2_i: in std_logic_vector(29 downto 0); dds_poff_ch3_i: in std_logic_vector(29 downto 0); del_sig_div_fofb_thres_i: in std_logic_vector(25 downto 0); del_sig_div_monit_thres_i: in std_logic_vector(25 downto 0); del_sig_div_tbt_thres_i: in std_logic_vector(25 downto 0); ksum_i: in std_logic_vector(24 downto 0); kx_i: in std_logic_vector(24 downto 0); ky_i: in std_logic_vector(24 downto 0); adc_ch0_dbg_data_o: out std_logic_vector(15 downto 0); adc_ch1_dbg_data_o: out std_logic_vector(15 downto 0); adc_ch2_dbg_data_o: out std_logic_vector(15 downto 0); adc_ch3_dbg_data_o: out std_logic_vector(15 downto 0); bpf_ch0_o: out std_logic_vector(23 downto 0); bpf_ch1_o: out std_logic_vector(23 downto 0); bpf_ch2_o: out std_logic_vector(23 downto 0); bpf_ch3_o: out std_logic_vector(23 downto 0); cic_fofb_q_01_missing_o: out std_logic; cic_fofb_q_23_missing_o: out std_logic; fofb_amp_ch0_o: out std_logic_vector(23 downto 0); fofb_amp_ch1_o: out std_logic_vector(23 downto 0); fofb_amp_ch2_o: out std_logic_vector(23 downto 0); fofb_amp_ch3_o: out std_logic_vector(23 downto 0); fofb_decim_ch0_i_o: out std_logic_vector(23 downto 0); fofb_decim_ch0_q_o: out std_logic_vector(23 downto 0); fofb_decim_ch1_i_o: out std_logic_vector(23 downto 0); fofb_decim_ch1_q_o: out std_logic_vector(23 downto 0); fofb_decim_ch2_i_o: out std_logic_vector(23 downto 0); fofb_decim_ch2_q_o: out std_logic_vector(23 downto 0); fofb_decim_ch3_i_o: out std_logic_vector(23 downto 0); fofb_decim_ch3_q_o: out std_logic_vector(23 downto 0); fofb_pha_ch0_o: out std_logic_vector(23 downto 0); fofb_pha_ch1_o: out std_logic_vector(23 downto 0); fofb_pha_ch2_o: out std_logic_vector(23 downto 0); fofb_pha_ch3_o: out std_logic_vector(23 downto 0); mix_ch0_i_o: out std_logic_vector(23 downto 0); mix_ch0_q_o: out std_logic_vector(23 downto 0); mix_ch1_i_o: out std_logic_vector(23 downto 0); mix_ch1_q_o: out std_logic_vector(23 downto 0); mix_ch2_i_o: out std_logic_vector(23 downto 0); mix_ch2_q_o: out std_logic_vector(23 downto 0); mix_ch3_i_o: out std_logic_vector(23 downto 0); mix_ch3_q_o: out std_logic_vector(23 downto 0); monit_amp_ch0_o: out std_logic_vector(23 downto 0); monit_amp_ch1_o: out std_logic_vector(23 downto 0); monit_amp_ch2_o: out std_logic_vector(23 downto 0); monit_amp_ch3_o: out std_logic_vector(23 downto 0); monit_cfir_incorrect_o: out std_logic; monit_cic_unexpected_o: out std_logic; monit_pfir_incorrect_o: out std_logic; monit_pos_1_incorrect_o: out std_logic; q_fofb_o: out std_logic_vector(25 downto 0); q_fofb_valid_o: out std_logic; q_monit_1_o: out std_logic_vector(25 downto 0); q_monit_1_valid_o: out std_logic; q_monit_o: out std_logic_vector(25 downto 0); q_monit_valid_o: out std_logic; q_tbt_o: out std_logic_vector(25 downto 0); q_tbt_valid_o: out std_logic; sum_fofb_o: out std_logic_vector(25 downto 0); sum_fofb_valid_o: out std_logic; sum_monit_1_o: out std_logic_vector(25 downto 0); sum_monit_1_valid_o: out std_logic; sum_monit_o: out std_logic_vector(25 downto 0); sum_monit_valid_o: out std_logic; sum_tbt_o: out std_logic_vector(25 downto 0); sum_tbt_valid_o: out std_logic; tbt_amp_ch0_o: out std_logic_vector(23 downto 0); tbt_amp_ch1_o: out std_logic_vector(23 downto 0); tbt_amp_ch2_o: out std_logic_vector(23 downto 0); tbt_amp_ch3_o: out std_logic_vector(23 downto 0); tbt_decim_ch01_incorrect_o: out std_logic; tbt_decim_ch0_i_o: out std_logic_vector(23 downto 0); tbt_decim_ch0_q_o: out std_logic_vector(23 downto 0); tbt_decim_ch1_i_o: out std_logic_vector(23 downto 0); tbt_decim_ch1_q_o: out std_logic_vector(23 downto 0); tbt_decim_ch23_incorrect_o: out std_logic; tbt_decim_ch2_i_o: out std_logic_vector(23 downto 0); tbt_decim_ch2_q_o: out std_logic_vector(23 downto 0); tbt_decim_ch3_i_o: out std_logic_vector(23 downto 0); tbt_decim_ch3_q_o: out std_logic_vector(23 downto 0); tbt_pha_ch0_o: out std_logic_vector(23 downto 0); tbt_pha_ch1_o: out std_logic_vector(23 downto 0); tbt_pha_ch2_o: out std_logic_vector(23 downto 0); tbt_pha_ch3_o: out std_logic_vector(23 downto 0); x_fofb_o: out std_logic_vector(25 downto 0); x_fofb_valid_o: out std_logic; x_monit_1_o: out std_logic_vector(25 downto 0); x_monit_1_valid_o: out std_logic; x_monit_o: out std_logic_vector(25 downto 0); x_monit_valid_o: out std_logic; x_tbt_o: out std_logic_vector(25 downto 0); x_tbt_valid_o: out std_logic; y_fofb_o: out std_logic_vector(25 downto 0); y_fofb_valid_o: out std_logic; y_monit_1_o: out std_logic_vector(25 downto 0); y_monit_1_valid_o: out std_logic; y_monit_o: out std_logic_vector(25 downto 0); y_monit_valid_o: out std_logic; y_tbt_o: out std_logic_vector(25 downto 0); y_tbt_valid_o: out std_logic ); end ddc_bpm_476_066; architecture structural of ddc_bpm_476_066 is attribute core_generation_info: string; attribute core_generation_info of structural : architecture is "ddc_bpm_476_066,sysgen_core,{clock_period=4.44116092,clocking=Clock_Enables,compilation=HDL_Netlist,sample_periods=1.00000000000 2.00000000000 35.00000000000 70.00000000000 560.00000000000 1120.00000000000 2240.00000000000 2500.00000000000 4480.00000000000 5000.00000000000 10000.00000000000 1400000.00000000000 2800000.00000000000 5600000.00000000000 22400000.00000000000 44800000.00000000000 56000000.00000000000 224000000.00000000000,testbench=0,total_blocks=3351,xilinx_adder_subtracter_block=30,xilinx_arithmetic_relational_operator_block=66,xilinx_assert_block=55,xilinx_bit_slice_extractor_block=20,xilinx_bitbasher_block=5,xilinx_bitwise_expression_evaluator_block=3,xilinx_black_box_block=1,xilinx_bus_concatenator_block=9,xilinx_bus_multiplexer_block=8,xilinx_cic_compiler_3_0_block=5,xilinx_clock_enable_probe_block=11,xilinx_complex_multiplier_5_0__block=2,xilinx_constant_block_block=83,xilinx_cordic_5_0_block=4,xilinx_counter_block=8,xilinx_delay_block=59,xilinx_divider_generator_4_0_block=9,xilinx_down_sampler_block=118,xilinx_fir_compiler_6_3_block=5,xilinx_gateway_in_block=22,xilinx_gateway_out_block=233,xilinx_inverter_block=24,xilinx_logical_block_block=72,xilinx_multiplier_block=16,xilinx_register_block=264,xilinx_sample_time_block_block=88,xilinx_system_generator_block=1,xilinx_type_converter_block=23,xilinx_type_reinterpreter_block=94,xilinx_up_sampler_block=68,xilinx_wavescope_block=2,}"; signal adc_ch0_dbg_data_o_net: std_logic_vector(15 downto 0); signal adc_ch0_i_net: std_logic_vector(15 downto 0); signal adc_ch1_dbg_data_o_net: std_logic_vector(15 downto 0); signal adc_ch1_i_net: std_logic_vector(15 downto 0); signal adc_ch2_dbg_data_o_net: std_logic_vector(15 downto 0); signal adc_ch2_i_net: std_logic_vector(15 downto 0); signal adc_ch3_dbg_data_o_net: std_logic_vector(15 downto 0); signal adc_ch3_i_net: std_logic_vector(15 downto 0); signal assert10_dout_net_x1: std_logic; signal assert10_dout_net_x2: std_logic; signal assert10_dout_net_x3: std_logic; signal assert11_dout_net_x1: std_logic_vector(24 downto 0); signal assert11_dout_net_x2: std_logic_vector(24 downto 0); signal assert11_dout_net_x3: std_logic_vector(24 downto 0); signal assert12_dout_net_x1: std_logic; signal assert12_dout_net_x2: std_logic; signal assert12_dout_net_x3: std_logic; signal assert4_dout_net_x1: std_logic_vector(24 downto 0); signal assert5_dout_net_x1: std_logic_vector(24 downto 0); signal assert5_dout_net_x2: std_logic_vector(24 downto 0); signal assert5_dout_net_x3: std_logic_vector(24 downto 0); signal assert8_dout_net_x1: std_logic_vector(24 downto 0); signal assert8_dout_net_x2: std_logic_vector(24 downto 0); signal assert9_dout_net_x1: std_logic; signal assert9_dout_net_x2: std_logic; signal assert9_dout_net_x3: std_logic; signal bpf_ch0_o_net: std_logic_vector(23 downto 0); signal bpf_ch1_o_net: std_logic_vector(23 downto 0); signal bpf_ch2_o_net: std_logic_vector(23 downto 0); signal bpf_ch3_o_net: std_logic_vector(23 downto 0); signal ce_10000_sg_x2: std_logic; signal ce_1120_sg_x32: std_logic; signal ce_1400000_sg_x3: std_logic; signal ce_1_sg_x96: std_logic; signal ce_224000000_sg_x7: std_logic; signal ce_22400000_sg_x28: std_logic; signal ce_2240_sg_x28: std_logic; signal ce_2500_sg_x3: std_logic; signal ce_2800000_sg_x4: std_logic; signal ce_2_sg_x38: std_logic; signal ce_35_sg_x22: std_logic; signal ce_44800000_sg_x2: std_logic; signal ce_4480_sg_x9: std_logic; signal ce_5000_sg_x9: std_logic; signal ce_56000000_sg_x5: std_logic; signal ce_5600000_sg_x12: std_logic; signal ce_560_sg_x3: std_logic; signal ce_70_sg_x27: std_logic; signal ce_logic_1400000_sg_x2: std_logic; signal ce_logic_1_sg_x20: std_logic; signal ce_logic_22400000_sg_x1: std_logic; signal ce_logic_2240_sg_x1: std_logic; signal ce_logic_2800000_sg_x2: std_logic; signal ce_logic_5600000_sg_x2: std_logic; signal ce_logic_560_sg_x3: std_logic; signal ce_logic_70_sg_x1: std_logic; signal ch_out_x2: std_logic_vector(1 downto 0); signal cic_fofb_q_01_missing_o_net: std_logic; signal cic_fofb_q_23_missing_o_net: std_logic; signal clk_10000_sg_x2: std_logic; signal clk_1120_sg_x32: std_logic; signal clk_1400000_sg_x3: std_logic; signal clk_1_sg_x96: std_logic; signal clk_224000000_sg_x7: std_logic; signal clk_22400000_sg_x28: std_logic; signal clk_2240_sg_x28: std_logic; signal clk_2500_sg_x3: std_logic; signal clk_2800000_sg_x4: std_logic; signal clk_2_sg_x38: std_logic; signal clk_35_sg_x22: std_logic; signal clk_44800000_sg_x2: std_logic; signal clk_4480_sg_x9: std_logic; signal clk_5000_sg_x9: std_logic; signal clk_56000000_sg_x5: std_logic; signal clk_5600000_sg_x12: std_logic; signal clk_560_sg_x3: std_logic; signal clk_70_sg_x27: std_logic; signal concat1_y_net_x0: std_logic_vector(25 downto 0); signal concat2_y_net_x0: std_logic_vector(25 downto 0); signal concat3_y_net_x0: std_logic_vector(25 downto 0); signal concat_y_net_x0: std_logic_vector(25 downto 0); signal constant10_op_net_x0: std_logic; signal constant11_op_net_x0: std_logic; signal constant15_op_net_x1: std_logic; signal constant3_op_net_x1: std_logic; signal dds_config_valid_ch0_i_net: std_logic; signal dds_config_valid_ch1_i_net: std_logic; signal dds_config_valid_ch2_i_net: std_logic; signal dds_config_valid_ch3_i_net: std_logic; signal dds_pinc_ch0_i_net: std_logic_vector(29 downto 0); signal dds_pinc_ch1_i_net: std_logic_vector(29 downto 0); signal dds_pinc_ch2_i_net: std_logic_vector(29 downto 0); signal dds_pinc_ch3_i_net: std_logic_vector(29 downto 0); signal dds_poff_ch0_i_net: std_logic_vector(29 downto 0); signal dds_poff_ch1_i_net: std_logic_vector(29 downto 0); signal dds_poff_ch2_i_net: std_logic_vector(29 downto 0); signal dds_poff_ch3_i_net: std_logic_vector(29 downto 0); signal del_sig_div_fofb_thres_i_net: std_logic_vector(25 downto 0); signal del_sig_div_monit_thres_i_net: std_logic_vector(25 downto 0); signal del_sig_div_tbt_thres_i_net: std_logic_vector(25 downto 0); signal dout_down_x1: std_logic_vector(24 downto 0); signal dout_down_x2: std_logic_vector(24 downto 0); signal dout_down_x3: std_logic_vector(24 downto 0); signal dout_x2: std_logic_vector(23 downto 0); signal down_sample1_q_net_x20: std_logic_vector(23 downto 0); signal down_sample1_q_net_x21: std_logic_vector(23 downto 0); signal down_sample1_q_net_x34: std_logic_vector(23 downto 0); signal down_sample1_q_net_x35: std_logic_vector(23 downto 0); signal down_sample1_q_net_x5: std_logic_vector(23 downto 0); signal down_sample2_q_net_x20: std_logic_vector(23 downto 0); signal down_sample2_q_net_x21: std_logic_vector(23 downto 0); signal down_sample2_q_net_x34: std_logic_vector(23 downto 0); signal down_sample2_q_net_x35: std_logic_vector(23 downto 0); signal down_sample2_q_net_x5: std_logic_vector(23 downto 0); signal down_sample3_q_net_x5: std_logic_vector(23 downto 0); signal down_sample4_q_net_x5: std_logic_vector(23 downto 0); signal down_sample_q_net_x3: std_logic_vector(1 downto 0); signal down_sample_q_net_x4: std_logic_vector(25 downto 0); signal fofb_amp_ch0_o_net: std_logic_vector(23 downto 0); signal fofb_amp_ch1_o_net: std_logic_vector(23 downto 0); signal fofb_amp_ch2_o_net: std_logic_vector(23 downto 0); signal fofb_amp_ch3_o_net: std_logic_vector(23 downto 0); signal fofb_decim_ch0_i_o_net: std_logic_vector(23 downto 0); signal fofb_decim_ch0_q_o_net: std_logic_vector(23 downto 0); signal fofb_decim_ch1_i_o_net: std_logic_vector(23 downto 0); signal fofb_decim_ch1_q_o_net: std_logic_vector(23 downto 0); signal fofb_decim_ch2_i_o_net: std_logic_vector(23 downto 0); signal fofb_decim_ch2_q_o_net: std_logic_vector(23 downto 0); signal fofb_decim_ch3_i_o_net: std_logic_vector(23 downto 0); signal fofb_decim_ch3_q_o_net: std_logic_vector(23 downto 0); signal fofb_pha_ch0_o_net: std_logic_vector(23 downto 0); signal fofb_pha_ch1_o_net: std_logic_vector(23 downto 0); signal fofb_pha_ch2_o_net: std_logic_vector(23 downto 0); signal fofb_pha_ch3_o_net: std_logic_vector(23 downto 0); signal ksum_i_net: std_logic_vector(24 downto 0); signal kx_i_net: std_logic_vector(24 downto 0); signal ky_i_net: std_logic_vector(24 downto 0); signal mix_ch0_i_o_net: std_logic_vector(23 downto 0); signal mix_ch0_q_o_net: std_logic_vector(23 downto 0); signal mix_ch1_i_o_net: std_logic_vector(23 downto 0); signal mix_ch1_q_o_net: std_logic_vector(23 downto 0); signal mix_ch2_i_o_net: std_logic_vector(23 downto 0); signal mix_ch2_q_o_net: std_logic_vector(23 downto 0); signal mix_ch3_i_o_net: std_logic_vector(23 downto 0); signal mix_ch3_q_o_net: std_logic_vector(23 downto 0); signal monit_amp_ch0_o_net: std_logic_vector(23 downto 0); signal monit_amp_ch1_o_net: std_logic_vector(23 downto 0); signal monit_amp_ch2_o_net: std_logic_vector(23 downto 0); signal monit_amp_ch3_o_net: std_logic_vector(23 downto 0); signal monit_cfir_incorrect_o_net: std_logic; signal monit_cic_unexpected_o_net: std_logic; signal monit_pfir_incorrect_o_net: std_logic; signal monit_pos_1_incorrect_o_net: std_logic; signal q_fofb_o_net: std_logic_vector(25 downto 0); signal q_fofb_valid_o_net: std_logic; signal q_monit_1_o_net: std_logic_vector(25 downto 0); signal q_monit_1_valid_o_net: std_logic; signal q_monit_o_net: std_logic_vector(25 downto 0); signal q_monit_valid_o_net: std_logic; signal q_tbt_o_net: std_logic_vector(25 downto 0); signal q_tbt_valid_o_net: std_logic; signal register1_q_net_x6: std_logic; signal register1_q_net_x7: std_logic; signal register3_q_net_x15: std_logic; signal register3_q_net_x16: std_logic; signal register4_q_net_x14: std_logic_vector(23 downto 0); signal register4_q_net_x15: std_logic_vector(23 downto 0); signal register5_q_net_x14: std_logic_vector(23 downto 0); signal register5_q_net_x15: std_logic_vector(23 downto 0); signal register_q_net_x12: std_logic_vector(23 downto 0); signal register_q_net_x13: std_logic_vector(23 downto 0); signal register_q_net_x14: std_logic_vector(23 downto 0); signal register_q_net_x15: std_logic_vector(23 downto 0); signal register_q_net_x31: std_logic_vector(23 downto 0); signal register_q_net_x32: std_logic_vector(23 downto 0); signal reinterpret1_output_port_net: std_logic_vector(24 downto 0); signal reinterpret1_output_port_net_x1: std_logic_vector(24 downto 0); signal reinterpret2_output_port_net: std_logic_vector(24 downto 0); signal reinterpret2_output_port_net_x1: std_logic_vector(24 downto 0); signal reinterpret3_output_port_net: std_logic_vector(24 downto 0); signal reinterpret3_output_port_net_x1: std_logic_vector(24 downto 0); signal reinterpret4_output_port_net: std_logic_vector(24 downto 0); signal reinterpret4_output_port_net_x1: std_logic_vector(24 downto 0); signal reinterpret5_output_port_net_x1: std_logic_vector(24 downto 0); signal sum_fofb_o_net: std_logic_vector(25 downto 0); signal sum_fofb_valid_o_net: std_logic; signal sum_monit_1_o_net: std_logic_vector(25 downto 0); signal sum_monit_1_valid_o_net: std_logic; signal sum_monit_o_net: std_logic_vector(25 downto 0); signal sum_monit_valid_o_net: std_logic; signal sum_tbt_o_net: std_logic_vector(25 downto 0); signal sum_tbt_valid_o_net: std_logic; signal tbt_amp_ch0_o_net: std_logic_vector(23 downto 0); signal tbt_amp_ch1_o_net: std_logic_vector(23 downto 0); signal tbt_amp_ch2_o_net: std_logic_vector(23 downto 0); signal tbt_amp_ch3_o_net: std_logic_vector(23 downto 0); signal tbt_decim_ch01_incorrect_o_net: std_logic; signal tbt_decim_ch0_i_o_net: std_logic_vector(23 downto 0); signal tbt_decim_ch0_q_o_net: std_logic_vector(23 downto 0); signal tbt_decim_ch1_i_o_net: std_logic_vector(23 downto 0); signal tbt_decim_ch1_q_o_net: std_logic_vector(23 downto 0); signal tbt_decim_ch23_incorrect_o_net: std_logic; signal tbt_decim_ch2_i_o_net: std_logic_vector(23 downto 0); signal tbt_decim_ch2_q_o_net: std_logic_vector(23 downto 0); signal tbt_decim_ch3_i_o_net: std_logic_vector(23 downto 0); signal tbt_decim_ch3_q_o_net: std_logic_vector(23 downto 0); signal tbt_pha_ch0_o_net: std_logic_vector(23 downto 0); signal tbt_pha_ch1_o_net: std_logic_vector(23 downto 0); signal tbt_pha_ch2_o_net: std_logic_vector(23 downto 0); signal tbt_pha_ch3_o_net: std_logic_vector(23 downto 0); signal ufix_to_bool1_dout_net_x1: std_logic; signal ufix_to_bool2_dout_net_x1: std_logic; signal ufix_to_bool3_dout_net_x1: std_logic; signal ufix_to_bool_dout_net_x1: std_logic; signal valid_ds_down_x1: std_logic; signal valid_ds_down_x2: std_logic; signal valid_ds_down_x3: std_logic; signal x_fofb_o_net: std_logic_vector(25 downto 0); signal x_fofb_valid_o_net: std_logic; signal x_monit_1_o_net: std_logic_vector(25 downto 0); signal x_monit_1_valid_o_net: std_logic; signal x_monit_o_net: std_logic_vector(25 downto 0); signal x_monit_valid_o_net: std_logic; signal x_tbt_o_net: std_logic_vector(25 downto 0); signal x_tbt_valid_o_net: std_logic; signal y_fofb_o_net: std_logic_vector(25 downto 0); signal y_fofb_valid_o_net: std_logic; signal y_monit_1_o_net: std_logic_vector(25 downto 0); signal y_monit_1_valid_o_net: std_logic; signal y_monit_o_net: std_logic_vector(25 downto 0); signal y_monit_valid_o_net: std_logic; signal y_tbt_o_net: std_logic_vector(25 downto 0); signal y_tbt_valid_o_net: std_logic; begin adc_ch0_i_net <= adc_ch0_i; adc_ch1_i_net <= adc_ch1_i; adc_ch2_i_net <= adc_ch2_i; adc_ch3_i_net <= adc_ch3_i; ce_1_sg_x96 <= ce_1; ce_10000_sg_x2 <= ce_10000; ce_1120_sg_x32 <= ce_1120; ce_1400000_sg_x3 <= ce_1400000; ce_2_sg_x38 <= ce_2; ce_2240_sg_x28 <= ce_2240; ce_22400000_sg_x28 <= ce_22400000; ce_224000000_sg_x7 <= ce_224000000; ce_2500_sg_x3 <= ce_2500; ce_2800000_sg_x4 <= ce_2800000; ce_35_sg_x22 <= ce_35; ce_4480_sg_x9 <= ce_4480; ce_44800000_sg_x2 <= ce_44800000; ce_5000_sg_x9 <= ce_5000; ce_560_sg_x3 <= ce_560; ce_5600000_sg_x12 <= ce_5600000; ce_56000000_sg_x5 <= ce_56000000; ce_70_sg_x27 <= ce_70; ce_logic_1_sg_x20 <= ce_logic_1; ce_logic_1400000_sg_x2 <= ce_logic_1400000; ce_logic_2240_sg_x1 <= ce_logic_2240; ce_logic_22400000_sg_x1 <= ce_logic_22400000; ce_logic_2800000_sg_x2 <= ce_logic_2800000; ce_logic_560_sg_x3 <= ce_logic_560; ce_logic_5600000_sg_x2 <= ce_logic_5600000; ce_logic_70_sg_x1 <= ce_logic_70; clk_1_sg_x96 <= clk_1; clk_10000_sg_x2 <= clk_10000; clk_1120_sg_x32 <= clk_1120; clk_1400000_sg_x3 <= clk_1400000; clk_2_sg_x38 <= clk_2; clk_2240_sg_x28 <= clk_2240; clk_22400000_sg_x28 <= clk_22400000; clk_224000000_sg_x7 <= clk_224000000; clk_2500_sg_x3 <= clk_2500; clk_2800000_sg_x4 <= clk_2800000; clk_35_sg_x22 <= clk_35; clk_4480_sg_x9 <= clk_4480; clk_44800000_sg_x2 <= clk_44800000; clk_5000_sg_x9 <= clk_5000; clk_560_sg_x3 <= clk_560; clk_5600000_sg_x12 <= clk_5600000; clk_56000000_sg_x5 <= clk_56000000; clk_70_sg_x27 <= clk_70; dds_config_valid_ch0_i_net <= dds_config_valid_ch0_i; dds_config_valid_ch1_i_net <= dds_config_valid_ch1_i; dds_config_valid_ch2_i_net <= dds_config_valid_ch2_i; dds_config_valid_ch3_i_net <= dds_config_valid_ch3_i; dds_pinc_ch0_i_net <= dds_pinc_ch0_i; dds_pinc_ch1_i_net <= dds_pinc_ch1_i; dds_pinc_ch2_i_net <= dds_pinc_ch2_i; dds_pinc_ch3_i_net <= dds_pinc_ch3_i; dds_poff_ch0_i_net <= dds_poff_ch0_i; dds_poff_ch1_i_net <= dds_poff_ch1_i; dds_poff_ch2_i_net <= dds_poff_ch2_i; dds_poff_ch3_i_net <= dds_poff_ch3_i; del_sig_div_fofb_thres_i_net <= del_sig_div_fofb_thres_i; del_sig_div_monit_thres_i_net <= del_sig_div_monit_thres_i; del_sig_div_tbt_thres_i_net <= del_sig_div_tbt_thres_i; ksum_i_net <= ksum_i; kx_i_net <= kx_i; ky_i_net <= ky_i; adc_ch0_dbg_data_o <= adc_ch0_dbg_data_o_net; adc_ch1_dbg_data_o <= adc_ch1_dbg_data_o_net; adc_ch2_dbg_data_o <= adc_ch2_dbg_data_o_net; adc_ch3_dbg_data_o <= adc_ch3_dbg_data_o_net; bpf_ch0_o <= bpf_ch0_o_net; bpf_ch1_o <= bpf_ch1_o_net; bpf_ch2_o <= bpf_ch2_o_net; bpf_ch3_o <= bpf_ch3_o_net; cic_fofb_q_01_missing_o <= cic_fofb_q_01_missing_o_net; cic_fofb_q_23_missing_o <= cic_fofb_q_23_missing_o_net; fofb_amp_ch0_o <= fofb_amp_ch0_o_net; fofb_amp_ch1_o <= fofb_amp_ch1_o_net; fofb_amp_ch2_o <= fofb_amp_ch2_o_net; fofb_amp_ch3_o <= fofb_amp_ch3_o_net; fofb_decim_ch0_i_o <= fofb_decim_ch0_i_o_net; fofb_decim_ch0_q_o <= fofb_decim_ch0_q_o_net; fofb_decim_ch1_i_o <= fofb_decim_ch1_i_o_net; fofb_decim_ch1_q_o <= fofb_decim_ch1_q_o_net; fofb_decim_ch2_i_o <= fofb_decim_ch2_i_o_net; fofb_decim_ch2_q_o <= fofb_decim_ch2_q_o_net; fofb_decim_ch3_i_o <= fofb_decim_ch3_i_o_net; fofb_decim_ch3_q_o <= fofb_decim_ch3_q_o_net; fofb_pha_ch0_o <= fofb_pha_ch0_o_net; fofb_pha_ch1_o <= fofb_pha_ch1_o_net; fofb_pha_ch2_o <= fofb_pha_ch2_o_net; fofb_pha_ch3_o <= fofb_pha_ch3_o_net; mix_ch0_i_o <= mix_ch0_i_o_net; mix_ch0_q_o <= mix_ch0_q_o_net; mix_ch1_i_o <= mix_ch1_i_o_net; mix_ch1_q_o <= mix_ch1_q_o_net; mix_ch2_i_o <= mix_ch2_i_o_net; mix_ch2_q_o <= mix_ch2_q_o_net; mix_ch3_i_o <= mix_ch3_i_o_net; mix_ch3_q_o <= mix_ch3_q_o_net; monit_amp_ch0_o <= monit_amp_ch0_o_net; monit_amp_ch1_o <= monit_amp_ch1_o_net; monit_amp_ch2_o <= monit_amp_ch2_o_net; monit_amp_ch3_o <= monit_amp_ch3_o_net; monit_cfir_incorrect_o <= monit_cfir_incorrect_o_net; monit_cic_unexpected_o <= monit_cic_unexpected_o_net; monit_pfir_incorrect_o <= monit_pfir_incorrect_o_net; monit_pos_1_incorrect_o <= monit_pos_1_incorrect_o_net; q_fofb_o <= q_fofb_o_net; q_fofb_valid_o <= q_fofb_valid_o_net; q_monit_1_o <= q_monit_1_o_net; q_monit_1_valid_o <= q_monit_1_valid_o_net; q_monit_o <= q_monit_o_net; q_monit_valid_o <= q_monit_valid_o_net; q_tbt_o <= q_tbt_o_net; q_tbt_valid_o <= q_tbt_valid_o_net; sum_fofb_o <= sum_fofb_o_net; sum_fofb_valid_o <= sum_fofb_valid_o_net; sum_monit_1_o <= sum_monit_1_o_net; sum_monit_1_valid_o <= sum_monit_1_valid_o_net; sum_monit_o <= sum_monit_o_net; sum_monit_valid_o <= sum_monit_valid_o_net; sum_tbt_o <= sum_tbt_o_net; sum_tbt_valid_o <= sum_tbt_valid_o_net; tbt_amp_ch0_o <= tbt_amp_ch0_o_net; tbt_amp_ch1_o <= tbt_amp_ch1_o_net; tbt_amp_ch2_o <= tbt_amp_ch2_o_net; tbt_amp_ch3_o <= tbt_amp_ch3_o_net; tbt_decim_ch01_incorrect_o <= tbt_decim_ch01_incorrect_o_net; tbt_decim_ch0_i_o <= tbt_decim_ch0_i_o_net; tbt_decim_ch0_q_o <= tbt_decim_ch0_q_o_net; tbt_decim_ch1_i_o <= tbt_decim_ch1_i_o_net; tbt_decim_ch1_q_o <= tbt_decim_ch1_q_o_net; tbt_decim_ch23_incorrect_o <= tbt_decim_ch23_incorrect_o_net; tbt_decim_ch2_i_o <= tbt_decim_ch2_i_o_net; tbt_decim_ch2_q_o <= tbt_decim_ch2_q_o_net; tbt_decim_ch3_i_o <= tbt_decim_ch3_i_o_net; tbt_decim_ch3_q_o <= tbt_decim_ch3_q_o_net; tbt_pha_ch0_o <= tbt_pha_ch0_o_net; tbt_pha_ch1_o <= tbt_pha_ch1_o_net; tbt_pha_ch2_o <= tbt_pha_ch2_o_net; tbt_pha_ch3_o <= tbt_pha_ch3_o_net; x_fofb_o <= x_fofb_o_net; x_fofb_valid_o <= x_fofb_valid_o_net; x_monit_1_o <= x_monit_1_o_net; x_monit_1_valid_o <= x_monit_1_valid_o_net; x_monit_o <= x_monit_o_net; x_monit_valid_o <= x_monit_valid_o_net; x_tbt_o <= x_tbt_o_net; x_tbt_valid_o <= x_tbt_valid_o_net; y_fofb_o <= y_fofb_o_net; y_fofb_valid_o <= y_fofb_valid_o_net; y_monit_1_o <= y_monit_1_o_net; y_monit_1_valid_o <= y_monit_1_valid_o_net; y_monit_o <= y_monit_o_net; y_monit_valid_o <= y_monit_valid_o_net; y_tbt_o <= y_tbt_o_net; y_tbt_valid_o <= y_tbt_valid_o_net; bpf_d31c4af409: entity work.bpf_entity_d31c4af409 port map ( din_ch0 => adc_ch0_dbg_data_o_net, din_ch1 => adc_ch1_dbg_data_o_net, din_ch2 => adc_ch2_dbg_data_o_net, din_ch3 => adc_ch3_dbg_data_o_net, dout_ch0 => bpf_ch0_o_net, dout_ch1 => bpf_ch1_o_net, dout_ch2 => bpf_ch2_o_net, dout_ch3 => bpf_ch3_o_net ); concat: entity work.concat_43e7f055fa port map ( ce => '0', clk => '0', clr => '0', in0(0) => assert12_dout_net_x2, in1 => reinterpret1_output_port_net, y => concat_y_net_x0 ); concat1: entity work.concat_43e7f055fa port map ( ce => '0', clk => '0', clr => '0', in0(0) => valid_ds_down_x2, in1 => reinterpret2_output_port_net, y => concat1_y_net_x0 ); concat2: entity work.concat_43e7f055fa port map ( ce => '0', clk => '0', clr => '0', in0(0) => assert9_dout_net_x2, in1 => reinterpret3_output_port_net, y => concat2_y_net_x0 ); concat3: entity work.concat_43e7f055fa port map ( ce => '0', clk => '0', clr => '0', in0(0) => assert10_dout_net_x2, in1 => reinterpret4_output_port_net, y => concat3_y_net_x0 ); constant10: entity work.constant_963ed6358a port map ( ce => '0', clk => '0', clr => '0', op(0) => constant10_op_net_x0 ); constant11: entity work.constant_963ed6358a port map ( ce => '0', clk => '0', clr => '0', op(0) => constant11_op_net_x0 ); constant15: entity work.constant_6293007044 port map ( ce => '0', clk => '0', clr => '0', op(0) => constant15_op_net_x1 ); constant3: entity work.constant_6293007044 port map ( ce => '0', clk => '0', clr => '0', op(0) => constant3_op_net_x1 ); convert_filt_fda412c1bf: entity work.convert_filt_entity_fda412c1bf port map ( din => down_sample_q_net_x4, dout => reinterpret5_output_port_net_x1 ); dds_sub_a4b6b880f6: entity work.dds_sub_entity_a4b6b880f6 port map ( ce_1 => ce_1_sg_x96, ce_2 => ce_2_sg_x38, ce_logic_1 => ce_logic_1_sg_x20, clk_1 => clk_1_sg_x96, clk_2 => clk_2_sg_x38, dds_01_cosine => register_q_net_x12, dds_01_sine => register_q_net_x13, dds_23_cosine => register_q_net_x14, dds_23_sine => register_q_net_x15 ); delta_sigma_fofb_ee61e649ea: entity work.delta_sigma_fofb_entity_ee61e649ea port map ( a => down_sample2_q_net_x20, b => down_sample1_q_net_x20, c => down_sample2_q_net_x21, ce_1 => ce_1_sg_x96, ce_2 => ce_2_sg_x38, ce_2240 => ce_2240_sg_x28, ce_logic_2240 => ce_logic_2240_sg_x1, clk_1 => clk_1_sg_x96, clk_2 => clk_2_sg_x38, clk_2240 => clk_2240_sg_x28, d => down_sample1_q_net_x21, ds_thres => del_sig_div_fofb_thres_i_net, q => assert8_dout_net_x1, q_valid => assert9_dout_net_x1, sum_valid => assert12_dout_net_x1, sum_x0 => assert11_dout_net_x1, x => assert5_dout_net_x1, x_valid => assert10_dout_net_x1, y => dout_down_x1, y_valid => valid_ds_down_x1 ); delta_sigma_monit_a8f8b81626: entity work.delta_sigma_monit_entity_a8f8b81626 port map ( a => down_sample2_q_net_x5, b => down_sample1_q_net_x5, c => down_sample3_q_net_x5, ce_1 => ce_1_sg_x96, ce_10000 => ce_10000_sg_x2, ce_2 => ce_2_sg_x38, ce_22400000 => ce_22400000_sg_x28, ce_4480 => ce_4480_sg_x9, ce_44800000 => ce_44800000_sg_x2, ce_5000 => ce_5000_sg_x9, ce_logic_22400000 => ce_logic_22400000_sg_x1, clk_1 => clk_1_sg_x96, clk_10000 => clk_10000_sg_x2, clk_2 => clk_2_sg_x38, clk_22400000 => clk_22400000_sg_x28, clk_4480 => clk_4480_sg_x9, clk_44800000 => clk_44800000_sg_x2, clk_5000 => clk_5000_sg_x9, d => down_sample4_q_net_x5, ds_thres => del_sig_div_monit_thres_i_net, q => assert4_dout_net_x1, q_valid => assert9_dout_net_x2, sum_valid => assert10_dout_net_x2, sum_x0 => assert5_dout_net_x2, x => assert11_dout_net_x2, x_valid => assert12_dout_net_x2, y => dout_down_x2, y_valid => valid_ds_down_x2 ); delta_sigma_tbt_bbfa8a8a69: entity work.delta_sigma_tbt_entity_bbfa8a8a69 port map ( a => down_sample2_q_net_x34, b => down_sample1_q_net_x34, c => down_sample2_q_net_x35, ce_1 => ce_1_sg_x96, ce_2 => ce_2_sg_x38, ce_70 => ce_70_sg_x27, ce_logic_70 => ce_logic_70_sg_x1, clk_1 => clk_1_sg_x96, clk_2 => clk_2_sg_x38, clk_70 => clk_70_sg_x27, d => down_sample1_q_net_x35, ds_thres => del_sig_div_tbt_thres_i_net, q => assert8_dout_net_x2, q_valid => assert9_dout_net_x3, sum_valid => assert12_dout_net_x3, sum_x0 => assert11_dout_net_x3, x => assert5_dout_net_x3, x_valid => assert10_dout_net_x3, y => dout_down_x3, y_valid => valid_ds_down_x3 ); fofb_amp_8b25d4b0b6: entity work.fofb_amp_entity_8b25d4b0b6 port map ( ce_1 => ce_1_sg_x96, ce_1120 => ce_1120_sg_x32, ce_2240 => ce_2240_sg_x28, ce_logic_1 => ce_logic_1_sg_x20, ch_in0 => register3_q_net_x15, ch_in1 => register3_q_net_x16, clk_1 => clk_1_sg_x96, clk_1120 => clk_1120_sg_x32, clk_2240 => clk_2240_sg_x28, i_in0 => register4_q_net_x14, i_in1 => register4_q_net_x15, q_in0 => register5_q_net_x14, q_in1 => register5_q_net_x15, amp_out0 => down_sample2_q_net_x20, amp_out1 => down_sample1_q_net_x20, amp_out2 => down_sample2_q_net_x21, amp_out3 => down_sample1_q_net_x21, fofb_amp0 => fofb_amp_ch1_o_net, fofb_amp0_x0 => fofb_amp_ch0_o_net, fofb_amp0_x1 => fofb_pha_ch1_o_net, fofb_amp0_x2 => fofb_pha_ch0_o_net, fofb_amp0_x3 => fofb_decim_ch1_i_o_net, fofb_amp0_x4 => fofb_decim_ch0_i_o_net, fofb_amp0_x5 => fofb_decim_ch1_q_o_net, fofb_amp0_x6 => fofb_decim_ch0_q_o_net, fofb_amp0_x7 => cic_fofb_q_01_missing_o_net, fofb_amp1 => fofb_amp_ch3_o_net, fofb_amp1_x0 => fofb_amp_ch2_o_net, fofb_amp1_x1 => fofb_pha_ch3_o_net, fofb_amp1_x2 => fofb_pha_ch2_o_net, fofb_amp1_x3 => fofb_decim_ch3_i_o_net, fofb_amp1_x4 => fofb_decim_ch2_i_o_net, fofb_amp1_x5 => fofb_decim_ch3_q_o_net, fofb_amp1_x6 => fofb_decim_ch2_q_o_net, fofb_amp1_x7 => cic_fofb_q_23_missing_o_net ); k_fofb_mult3_697accc8e2: entity work.k_fofb_mult3_entity_697accc8e2 port map ( ce_2 => ce_2_sg_x38, ce_2240 => ce_2240_sg_x28, clk_2 => clk_2_sg_x38, clk_2240 => clk_2240_sg_x28, in1 => assert5_dout_net_x1, in2 => kx_i_net, vld_in => assert10_dout_net_x1, out1 => x_fofb_o_net, vld_out => x_fofb_valid_o_net ); k_fofb_mult4_102b49a84e: entity work.k_fofb_mult3_entity_697accc8e2 port map ( ce_2 => ce_2_sg_x38, ce_2240 => ce_2240_sg_x28, clk_2 => clk_2_sg_x38, clk_2240 => clk_2240_sg_x28, in1 => dout_down_x1, in2 => ky_i_net, vld_in => valid_ds_down_x1, out1 => y_fofb_o_net, vld_out => y_fofb_valid_o_net ); k_fofb_mult5_ed47def699: entity work.k_fofb_mult3_entity_697accc8e2 port map ( ce_2 => ce_2_sg_x38, ce_2240 => ce_2240_sg_x28, clk_2 => clk_2_sg_x38, clk_2240 => clk_2240_sg_x28, in1 => assert8_dout_net_x1, in2 => kx_i_net, vld_in => assert9_dout_net_x1, out1 => q_fofb_o_net, vld_out => q_fofb_valid_o_net ); k_monit_1_mult2_30ad492eba: entity work.k_monit_1_mult_entity_016885a3ac port map ( ce_2 => ce_2_sg_x38, ce_224000000 => ce_224000000_sg_x7, clk_2 => clk_2_sg_x38, clk_224000000 => clk_224000000_sg_x7, in1 => reinterpret1_output_port_net_x1, in2 => ky_i_net, vld_in => ufix_to_bool1_dout_net_x1, out1 => y_monit_1_o_net, vld_out => y_monit_1_valid_o_net ); k_monit_1_mult6_71da64dfef: entity work.k_monit_1_mult_entity_016885a3ac port map ( ce_2 => ce_2_sg_x38, ce_224000000 => ce_224000000_sg_x7, clk_2 => clk_2_sg_x38, clk_224000000 => clk_224000000_sg_x7, in1 => reinterpret2_output_port_net_x1, in2 => kx_i_net, vld_in => ufix_to_bool2_dout_net_x1, out1 => q_monit_1_o_net, vld_out => q_monit_1_valid_o_net ); k_monit_1_mult_016885a3ac: entity work.k_monit_1_mult_entity_016885a3ac port map ( ce_2 => ce_2_sg_x38, ce_224000000 => ce_224000000_sg_x7, clk_2 => clk_2_sg_x38, clk_224000000 => clk_224000000_sg_x7, in1 => reinterpret3_output_port_net_x1, in2 => kx_i_net, vld_in => ufix_to_bool_dout_net_x1, out1 => x_monit_1_o_net, vld_out => x_monit_1_valid_o_net ); k_monit_mult3_8a778fb5f4: entity work.k_monit_mult3_entity_8a778fb5f4 port map ( ce_2 => ce_2_sg_x38, ce_22400000 => ce_22400000_sg_x28, clk_2 => clk_2_sg_x38, clk_22400000 => clk_22400000_sg_x28, in1 => assert11_dout_net_x2, in2 => kx_i_net, vld_in => assert12_dout_net_x2, out1 => x_monit_o_net, vld_out => x_monit_valid_o_net ); k_monit_mult4_1b07b5102a: entity work.k_monit_mult3_entity_8a778fb5f4 port map ( ce_2 => ce_2_sg_x38, ce_22400000 => ce_22400000_sg_x28, clk_2 => clk_2_sg_x38, clk_22400000 => clk_22400000_sg_x28, in1 => dout_down_x2, in2 => ky_i_net, vld_in => valid_ds_down_x2, out1 => y_monit_o_net, vld_out => y_monit_valid_o_net ); k_monit_mult5_a064f6aaae: entity work.k_monit_mult3_entity_8a778fb5f4 port map ( ce_2 => ce_2_sg_x38, ce_22400000 => ce_22400000_sg_x28, clk_2 => clk_2_sg_x38, clk_22400000 => clk_22400000_sg_x28, in1 => assert4_dout_net_x1, in2 => kx_i_net, vld_in => assert9_dout_net_x2, out1 => q_monit_o_net, vld_out => q_monit_valid_o_net ); k_tbt_mult1_cebfa469e3: entity work.k_tbt_mult_entity_b8fafff255 port map ( ce_2 => ce_2_sg_x38, ce_70 => ce_70_sg_x27, clk_2 => clk_2_sg_x38, clk_70 => clk_70_sg_x27, in1 => dout_down_x3, in2 => ky_i_net, vld_in => valid_ds_down_x3, out1 => y_tbt_o_net, vld_out => y_tbt_valid_o_net ); k_tbt_mult2_2b721a52a5: entity work.k_tbt_mult_entity_b8fafff255 port map ( ce_2 => ce_2_sg_x38, ce_70 => ce_70_sg_x27, clk_2 => clk_2_sg_x38, clk_70 => clk_70_sg_x27, in1 => assert8_dout_net_x2, in2 => kx_i_net, vld_in => assert9_dout_net_x3, out1 => q_tbt_o_net, vld_out => q_tbt_valid_o_net ); k_tbt_mult_b8fafff255: entity work.k_tbt_mult_entity_b8fafff255 port map ( ce_2 => ce_2_sg_x38, ce_70 => ce_70_sg_x27, clk_2 => clk_2_sg_x38, clk_70 => clk_70_sg_x27, in1 => assert5_dout_net_x3, in2 => kx_i_net, vld_in => assert10_dout_net_x3, out1 => x_tbt_o_net, vld_out => x_tbt_valid_o_net ); ksum_fofb_mult4_ac3ed97096: entity work.ksum_fofb_mult4_entity_ac3ed97096 port map ( ce_2 => ce_2_sg_x38, ce_2240 => ce_2240_sg_x28, clk_2 => clk_2_sg_x38, clk_2240 => clk_2240_sg_x28, in1 => assert11_dout_net_x1, in2 => ksum_i_net, vld_in => assert12_dout_net_x1, out1 => sum_fofb_o_net, vld_out => sum_fofb_valid_o_net ); ksum_monit_1_mult1_c66dc07078: entity work.ksum_monit_1_mult1_entity_c66dc07078 port map ( ce_2 => ce_2_sg_x38, ce_224000000 => ce_224000000_sg_x7, clk_2 => clk_2_sg_x38, clk_224000000 => clk_224000000_sg_x7, in1 => reinterpret4_output_port_net_x1, in2 => ksum_i_net, vld_in => ufix_to_bool3_dout_net_x1, out1 => sum_monit_1_o_net, vld_out => sum_monit_1_valid_o_net ); ksum_monit_mult2_31877b6d2b: entity work.ksum_monit_mult2_entity_31877b6d2b port map ( ce_2 => ce_2_sg_x38, ce_22400000 => ce_22400000_sg_x28, clk_2 => clk_2_sg_x38, clk_22400000 => clk_22400000_sg_x28, in1 => assert5_dout_net_x2, in2 => ksum_i_net, vld_in => assert10_dout_net_x2, out1 => sum_monit_o_net, vld_out => sum_monit_valid_o_net ); ksum_tbt_mult3_e0be30d675: entity work.ksum_tbt_mult3_entity_e0be30d675 port map ( ce_2 => ce_2_sg_x38, ce_70 => ce_70_sg_x27, clk_2 => clk_2_sg_x38, clk_70 => clk_70_sg_x27, in1 => assert11_dout_net_x3, in2 => ksum_i_net, vld_in => assert12_dout_net_x3, out1 => sum_tbt_o_net, vld_out => sum_tbt_valid_o_net ); mixer_a1cd828545: entity work.mixer_entity_a1cd828545 port map ( ce_1 => ce_1_sg_x96, ce_2 => ce_2_sg_x38, ch_in0 => register1_q_net_x6, ch_in1 => register1_q_net_x7, clk_1 => clk_1_sg_x96, clk_2 => clk_2_sg_x38, dds_cosine_0 => register_q_net_x12, dds_cosine_1 => register_q_net_x14, dds_msine_0 => register_q_net_x13, dds_msine_1 => register_q_net_x15, dds_valid_0 => constant15_op_net_x1, dds_valid_1 => constant3_op_net_x1, din0 => register_q_net_x31, din1 => register_q_net_x32, ch_out0 => register3_q_net_x15, ch_out1 => register3_q_net_x16, i_out0 => register4_q_net_x14, i_out1 => register4_q_net_x15, q_out0 => register5_q_net_x14, q_out1 => register5_q_net_x15, tddm_mixer => mix_ch1_i_o_net, tddm_mixer_x0 => mix_ch0_i_o_net, tddm_mixer_x1 => mix_ch1_q_o_net, tddm_mixer_x2 => mix_ch0_q_o_net, tddm_mixer_x3 => mix_ch3_i_o_net, tddm_mixer_x4 => mix_ch2_i_o_net, tddm_mixer_x5 => mix_ch3_q_o_net, tddm_mixer_x6 => mix_ch2_q_o_net ); monit_amp_44da74e268: entity work.monit_amp_entity_44da74e268 port map ( ce_1 => ce_1_sg_x96, ce_1400000 => ce_1400000_sg_x3, ce_22400000 => ce_22400000_sg_x28, ce_2800000 => ce_2800000_sg_x4, ce_560 => ce_560_sg_x3, ce_5600000 => ce_5600000_sg_x12, ce_logic_1400000 => ce_logic_1400000_sg_x2, ce_logic_2800000 => ce_logic_2800000_sg_x2, ce_logic_560 => ce_logic_560_sg_x3, ch_in => ch_out_x2, clk_1 => clk_1_sg_x96, clk_1400000 => clk_1400000_sg_x3, clk_22400000 => clk_22400000_sg_x28, clk_2800000 => clk_2800000_sg_x4, clk_560 => clk_560_sg_x3, clk_5600000 => clk_5600000_sg_x12, din => dout_x2, amp_out0 => down_sample2_q_net_x5, amp_out1 => down_sample1_q_net_x5, amp_out2 => down_sample3_q_net_x5, amp_out3 => down_sample4_q_net_x5, monit_amp_c => monit_amp_ch1_o_net, monit_amp_c_x0 => monit_amp_ch0_o_net, monit_amp_c_x1 => monit_amp_ch2_o_net, monit_amp_c_x2 => monit_amp_ch3_o_net, monit_amp_c_x3 => monit_cfir_incorrect_o_net, monit_amp_c_x4 => monit_cic_unexpected_o_net, monit_amp_c_x5 => monit_pfir_incorrect_o_net ); monit_pos_1_522c8cf08d: entity work.monit_pos_1_entity_522c8cf08d port map ( ce_1 => ce_1_sg_x96, ce_224000000 => ce_224000000_sg_x7, ce_5600000 => ce_5600000_sg_x12, ce_56000000 => ce_56000000_sg_x5, ce_logic_5600000 => ce_logic_5600000_sg_x2, ch_in => down_sample_q_net_x3, clk_1 => clk_1_sg_x96, clk_224000000 => clk_224000000_sg_x7, clk_5600000 => clk_5600000_sg_x12, clk_56000000 => clk_56000000_sg_x5, din => reinterpret5_output_port_net_x1, monit_1_pos_q => reinterpret2_output_port_net_x1, monit_1_pos_x => reinterpret3_output_port_net_x1, monit_1_pos_y => reinterpret1_output_port_net_x1, monit_1_sum => reinterpret4_output_port_net_x1, monit_1_vld_q => ufix_to_bool2_dout_net_x1, monit_1_vld_sum => ufix_to_bool3_dout_net_x1, monit_1_vld_x => ufix_to_bool_dout_net_x1, monit_1_vld_y => ufix_to_bool1_dout_net_x1, monit_pos_1_c_x0 => monit_pos_1_incorrect_o_net ); register1: entity work.xlregister generic map ( d_width => 16, init_value => b"0000000000000000" ) port map ( ce => ce_2_sg_x38, clk => clk_2_sg_x38, d => adc_ch1_i_net, en => "1", rst => "0", q => adc_ch1_dbg_data_o_net ); register2: entity work.xlregister generic map ( d_width => 16, init_value => b"0000000000000000" ) port map ( ce => ce_2_sg_x38, clk => clk_2_sg_x38, d => adc_ch2_i_net, en => "1", rst => "0", q => adc_ch2_dbg_data_o_net ); register3: entity work.xlregister generic map ( d_width => 16, init_value => b"0000000000000000" ) port map ( ce => ce_2_sg_x38, clk => clk_2_sg_x38, d => adc_ch3_i_net, en => "1", rst => "0", q => adc_ch3_dbg_data_o_net ); register_x0: entity work.xlregister generic map ( d_width => 16, init_value => b"0000000000000000" ) port map ( ce => ce_2_sg_x38, clk => clk_2_sg_x38, d => adc_ch0_i_net, en => "1", rst => "0", q => adc_ch0_dbg_data_o_net ); reinterpret1: entity work.reinterpret_c3c0e847be port map ( ce => '0', clk => '0', clr => '0', input_port => assert11_dout_net_x2, output_port => reinterpret1_output_port_net ); reinterpret2: entity work.reinterpret_c3c0e847be port map ( ce => '0', clk => '0', clr => '0', input_port => dout_down_x2, output_port => reinterpret2_output_port_net ); reinterpret3: entity work.reinterpret_c3c0e847be port map ( ce => '0', clk => '0', clr => '0', input_port => assert4_dout_net_x1, output_port => reinterpret3_output_port_net ); reinterpret4: entity work.reinterpret_c3c0e847be port map ( ce => '0', clk => '0', clr => '0', input_port => assert5_dout_net_x2, output_port => reinterpret4_output_port_net ); tbt_amp_cbd277bb0c: entity work.tbt_amp_entity_cbd277bb0c port map ( ce_1 => ce_1_sg_x96, ce_35 => ce_35_sg_x22, ce_70 => ce_70_sg_x27, ce_logic_1 => ce_logic_1_sg_x20, ch_in0 => register3_q_net_x15, ch_in1 => register3_q_net_x16, clk_1 => clk_1_sg_x96, clk_35 => clk_35_sg_x22, clk_70 => clk_70_sg_x27, i_in0 => register4_q_net_x14, i_in1 => register4_q_net_x15, q_in0 => register5_q_net_x14, q_in1 => register5_q_net_x15, amp_out0 => down_sample2_q_net_x34, amp_out1 => down_sample1_q_net_x34, amp_out2 => down_sample2_q_net_x35, amp_out3 => down_sample1_q_net_x35, tbt_amp0 => tbt_amp_ch1_o_net, tbt_amp0_x0 => tbt_amp_ch0_o_net, tbt_amp0_x1 => tbt_pha_ch1_o_net, tbt_amp0_x2 => tbt_pha_ch0_o_net, tbt_amp0_x3 => tbt_decim_ch01_incorrect_o_net, tbt_amp0_x4 => tbt_decim_ch1_i_o_net, tbt_amp0_x5 => tbt_decim_ch0_i_o_net, tbt_amp0_x6 => tbt_decim_ch1_q_o_net, tbt_amp0_x7 => tbt_decim_ch0_q_o_net, tbt_amp1 => tbt_amp_ch3_o_net, tbt_amp1_x0 => tbt_amp_ch2_o_net, tbt_amp1_x1 => tbt_pha_ch3_o_net, tbt_amp1_x2 => tbt_pha_ch2_o_net, tbt_amp1_x3 => tbt_decim_ch23_incorrect_o_net, tbt_amp1_x4 => tbt_decim_ch3_i_o_net, tbt_amp1_x5 => tbt_decim_ch2_i_o_net, tbt_amp1_x6 => tbt_decim_ch3_q_o_net, tbt_amp1_x7 => tbt_decim_ch2_q_o_net ); tdm_mix_54ce67e6e8: entity work.tdm_mix_entity_54ce67e6e8 port map ( ce_1 => ce_1_sg_x96, ce_2 => ce_2_sg_x38, ce_logic_1 => ce_logic_1_sg_x20, clk_1 => clk_1_sg_x96, clk_2 => clk_2_sg_x38, din_ch0 => bpf_ch0_o_net, din_ch1 => bpf_ch1_o_net, din_ch2 => bpf_ch2_o_net, din_ch3 => bpf_ch3_o_net, ch_out0 => register1_q_net_x6, ch_out1 => register1_q_net_x7, dout0 => register_q_net_x31, dout1 => register_q_net_x32 ); tdm_monit_1_746ecf54b0: entity work.tdm_monit_1_entity_746ecf54b0 port map ( ce_1 => ce_1_sg_x96, ce_22400000 => ce_22400000_sg_x28, ce_2500 => ce_2500_sg_x3, ce_5600000 => ce_5600000_sg_x12, ce_logic_5600000 => ce_logic_5600000_sg_x2, clk_1 => clk_1_sg_x96, clk_22400000 => clk_22400000_sg_x28, clk_2500 => clk_2500_sg_x3, clk_5600000 => clk_5600000_sg_x12, din_ch0 => concat_y_net_x0, din_ch1 => concat1_y_net_x0, din_ch2 => concat2_y_net_x0, din_ch3 => concat3_y_net_x0, rst => constant11_op_net_x0, ch_out => down_sample_q_net_x3, dout => down_sample_q_net_x4 ); tdm_monit_6e38292ecb: entity work.tdm_monit_entity_6e38292ecb port map ( ce_1 => ce_1_sg_x96, ce_2240 => ce_2240_sg_x28, ce_560 => ce_560_sg_x3, ce_logic_560 => ce_logic_560_sg_x3, clk_1 => clk_1_sg_x96, clk_2240 => clk_2240_sg_x28, clk_560 => clk_560_sg_x3, din_ch0 => down_sample2_q_net_x20, din_ch1 => down_sample1_q_net_x20, din_ch2 => down_sample2_q_net_x21, din_ch3 => down_sample1_q_net_x21, rst => constant10_op_net_x0, ch_out => ch_out_x2, dout => dout_x2 ); end structural;
lgpl-3.0
QuickJack/logi-hard
hdl/wishbone/peripherals/peripheral_template.vhd
2
3222
-- add you license code here library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; library work; use work.logi_utils_pack.all ; entity <component_name> is generic(wb_size : positive := 16 ; memory_size : positive := <your component size in memory> -- add your component generic parameters starting from here ); port( -- Syscon signals gls_reset : in std_logic ; gls_clk : in std_logic ; -- Wishbone signals wbs_address : in std_logic_vector(15 downto 0) ; wbs_writedata : in std_logic_vector( wb_size-1 downto 0); wbs_readdata : out std_logic_vector( wb_size-1 downto 0); wbs_strobe : in std_logic ; wbs_cycle : in std_logic ; wbs_write : in std_logic ; wbs_ack : out std_logic; -- add your component interfaces starting from here ); end <component_name>; architecture RTL of <component_name> signal read_ack : std_logic ; signal write_ack: std_logic ; signal component_write, component_read : std_logic ; signal component_addr : std_logic_vector(nbit(memory_size)-1 downto 0); signal component_write_data, component_read_data: std_logic_vector(wb_size-1 downto 0); --declare your component signals here begin wbs_ack <= read_ack or write_ack; component_write <= wbs_strobe and wbs_write and wbs_cycle ; write_bloc : process(gls_clk,gls_reset) begin if gls_reset = '1' then write_ack <= '0'; elsif rising_edge(gls_clk) then if (component_write = '1' ) then write_ack <= '1'; else write_ack <= '0'; end if; end if; end process write_bloc; component_read <= (wbs_strobe and (not wbs_write) and wbs_cycle) ; read_bloc : process(gls_clk, gls_reset) begin if gls_reset = '1' then read_ack <= '0' ; wbs_readdata <= (others => '0'); elsif rising_edge(gls_clk) then wbs_readdata <= component_read_data ; if component_read = '1' then read_ack <= '1'; else read_ack <= '0'; end if; end if; end process read_bloc; component_addr <= wbs_address(nbit(memory_size)-1 downto 0); component_write_data <= wbs_writedata; -- insert your component code starting from here -- use the signal component to control your logic -- here is an example of a 32bit counter that is controlled by the wishbone bus -- writing at address 0 will change the value of the 16 lower bits while writing to address -- will change the 16 upper bits --counter_bloc : process(gls_clk, gls_reset) --begin -- if gls_reset = '1' then -- component_count_low <= (others => '0'); -- component_count_high <= (others => '0'); -- elsif rising_edge(gls_clk) then -- if write_component = '1' and component_addr = 0 then -- component_count_high <= component_write_data ; -- else -- component_count_high <= component_count_high + 1 ; -- end if ; -- if write_component = '1' and component_addr = 1 then -- component_count_high <= component_write_data ; -- elsif component_count_high = X"FFFF" then -- component_count_high <= component_count_high + 1 ; -- end if ; -- end if; --end process counter_bloc; --component_read_data <= component_count ; end RTL ;
lgpl-3.0
QuickJack/logi-hard
hdl/wishbone/wishbone_intercon.vhd
2
3410
-- ---------------------------------------------------------------------- --LOGI-hard --Copyright (c) 2013, Jonathan Piat, Michael Jones, All rights reserved. -- --This library is free software; you can redistribute it and/or --modify it under the terms of the GNU Lesser General Public --License as published by the Free Software Foundation; either --version 3.0 of the License, or (at your option) any later version. -- --This library is distributed in the hope that it will be useful, --but WITHOUT ANY WARRANTY; without even the implied warranty of --MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU --Lesser General Public License for more details. -- --You should have received a copy of the GNU Lesser General Public --License along with this library. -- ---------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; library work ; use work.logi_wishbone_pack.all ; entity wishbone_intercon is generic(memory_map : array_of_addr ); port( -- Syscon signals gls_reset : in std_logic ; gls_clk : in std_logic ; -- Wishbone slave signals wbs_address : in std_logic_vector(15 downto 0) ; wbs_writedata : in std_logic_vector(15 downto 0); wbs_readdata : out std_logic_vector(15 downto 0); wbs_strobe : in std_logic ; wbs_cycle : in std_logic ; wbs_write : in std_logic ; wbs_ack : out std_logic; -- Wishbone master signals wbm_address : out array_of_slv16((memory_map'length-1) downto 0) ; wbm_writedata : out array_of_slv16((memory_map'length-1) downto 0); wbm_readdata : in array_of_slv16((memory_map'length-1) downto 0); wbm_strobe : out std_logic_vector((memory_map'length-1) downto 0) ; wbm_cycle : out std_logic_vector((memory_map'length-1) downto 0) ; wbm_write : out std_logic_vector((memory_map'length-1) downto 0) ; wbm_ack : in std_logic_vector((memory_map'length-1) downto 0) ); end wishbone_intercon; architecture Behavioral of wishbone_intercon is signal cs_vector : std_logic_vector(0 to (memory_map'length-1)); signal ack_vector : std_logic_vector(0 to (memory_map'length-1)); begin gen_cs : for i in 0 to (memory_map'length-1) generate cs_vector(i) <= '1' when wbs_address(wbs_address'length-1 downto find_X(memory_map(i))) = memory_map(i)(wbs_address'length-1 downto find_X(memory_map(i))) else '0' ; ack_vector(i) <= wbm_ack(i) and cs_vector(i) ; wbm_address(i)(wbs_address'length-1 downto find_X(memory_map(i))) <= (others => '0') ; wbm_address(i)(find_X(memory_map(i))-1 downto 0) <= wbs_address(find_X(memory_map(i))-1 downto 0) ; wbm_writedata(i) <= wbs_writedata ; wbm_write(i) <= wbs_write and cs_vector(i) ; wbm_strobe(i) <= wbs_strobe and cs_vector(i) ; wbm_cycle(i) <= wbs_cycle and cs_vector(i) ; wbs_readdata <= wbm_readdata(i) when cs_vector(i) = '1' else (others => 'Z') ; end generate ; wbs_ack <= '1' when ack_vector /= 0 else '0' ; wbs_readdata <= wbs_address when cs_vector = 0 else (others => 'Z') ; end Behavioral;
lgpl-3.0
QuickJack/logi-hard
hdl/wishbone/peripherals/wishbone_watchdog.vhd
2
4536
-- ---------------------------------------------------------------------- --LOGI-hard --Copyright (c) 2013, <names> All rights reserved. -- --This library is free software; you can redistribute it and/or --modify it under the terms of the GNU Lesser General Public --License as published by the Free Software Foundation; either --version 3.0 of the License, or (at your option) any later version. -- --This library is distributed in the hope that it will be useful, --but WITHOUT ANY WARRANTY; without even the implied warranty of --MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU --Lesser General Public License for more details. -- --You should have received a copy of the GNU Lesser General Public --License along with this library. -- ---------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.ALL; library work ; use work.logi_wishbone_peripherals_pack.all ; use work.logi_utils_pack.all ; entity wishbone_watchdog is generic( wb_size : natural := 16; -- Data port size for wishbone watchdog_timeout_ms : positive := 1000; clock_period_ns : positive := 10 ); port ( -- Syscon signals gls_reset : in std_logic ; gls_clk : in std_logic ; -- Wishbone signals wbs_address : in std_logic_vector(15 downto 0) ; wbs_writedata : in std_logic_vector( wb_size-1 downto 0); wbs_readdata : out std_logic_vector( wb_size-1 downto 0); wbs_strobe : in std_logic ; wbs_cycle : in std_logic ; wbs_write : in std_logic ; wbs_ack : out std_logic; -- out signals reset_out : out std_logic ); end wishbone_watchdog; architecture RTL of wishbone_watchdog is signal read_ack : std_logic ; signal write_ack : std_logic ; -- declare your signals here constant DIVIDER : positive := 1_000_000/clock_period_ns; signal enable_watchdog : std_logic ; signal count_divider : std_logic_vector(nbit(DIVIDER)-1 downto 0); signal count_timeout : std_logic_vector(nbit(watchdog_timeout_ms)-1 downto 0); signal reset_watchdog, reset_watchdog_old, reset_watchdog_rising_edge : std_logic ; signal enable, enable_count : std_logic ; begin wbs_ack <= read_ack or write_ack; write_bloc : process(gls_clk,gls_reset) begin if gls_reset = '1' then write_ack <= '0'; enable_watchdog <= '0' ; elsif rising_edge(gls_clk) then if ((wbs_strobe and wbs_write and wbs_cycle) = '1' ) then -- complete with what to do on a write enable_watchdog <= wbs_writedata(0) ; write_ack <= '1'; else write_ack <= '0'; end if; end if; end process write_bloc; read_bloc : process(gls_clk, gls_reset) begin if gls_reset = '1' then elsif rising_edge(gls_clk) then -- complete with what to do on a read wbs_readdata(0) <= enable_watchdog ; wbs_readdata(15 downto 1) <= (others => '0'); if (wbs_strobe = '1' and wbs_write = '0' and wbs_cycle = '1' ) then read_ack <= '1'; else read_ack <= '0'; end if; end if; end process read_bloc; --- watchdog logic reset_watchdog <= write_ack ; process(gls_clk, gls_reset) begin if gls_reset = '1' then reset_watchdog_old <= '0' ; elsif gls_clk'event and gls_clk = '1' then reset_watchdog_old <= reset_watchdog; end if; end process ; reset_watchdog_rising_edge <= (NOT reset_watchdog_old) and reset_watchdog ; process(gls_clk, gls_reset) begin if gls_reset = '1' then count_divider <= std_logic_vector(to_unsigned(DIVIDER, nbit(DIVIDER))) ; elsif gls_clk'event and gls_clk = '1' then if count_divider /= 0 then count_divider <= count_divider - 1 ; else count_divider <= std_logic_vector(to_unsigned(DIVIDER, nbit(DIVIDER))) ; end if ; end if; end process ; enable_count <= '1' when count_divider = 0 else '0' ; process(gls_clk, gls_reset) begin if gls_reset = '1' then count_timeout <= std_logic_vector(to_unsigned(watchdog_timeout_ms, nbit(watchdog_timeout_ms))) ; elsif gls_clk'event and gls_clk = '1' then if reset_watchdog_rising_edge = '1' then count_timeout <= std_logic_vector(to_unsigned(watchdog_timeout_ms, nbit(watchdog_timeout_ms))) ; elsif count_timeout /= 0 and enable_count = '1' then count_timeout <= count_timeout - 1 ; end if ; end if; end process ; reset_out <= '1' when count_timeout = 0 and enable_watchdog = '1' else '0' ; end RTL;
lgpl-3.0
QuickJack/logi-hard
hdl/control/mcp3002_interface.vhd
2
7395
-- ---------------------------------------------------------------------- --LOGI-hard --Copyright (c) 2013, Jonathan Piat, Michael Jones, All rights reserved. -- --This library is free software; you can redistribute it and/or --modify it under the terms of the GNU Lesser General Public --License as published by the Free Software Foundation; either --version 3.0 of the License, or (at your option) any later version. -- --This library is distributed in the hope that it will be useful, --but WITHOUT ANY WARRANTY; without even the implied warranty of --MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU --Lesser General Public License for more details. -- --You should have received a copy of the GNU Lesser General Public --License along with this library. -- ---------------------------------------------------------------------- ---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 09:47:08 08/26/2013 -- Design Name: -- Module Name: mcp3002_interface - Behavioral -- Project Name: -- Target Devices: Spartan 6 -- Tool versions: ISE 14.1 -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; library work ; use work.utils_pack.all ; entity mcp3002_interface is generic(CLK_DIV : positive := 1024; SAMPLING_DIV : positive := 2048); port( clk, resetn : std_logic ; sample : out std_logic_vector(9 downto 0); dv : out std_logic ; chan : in std_logic ; -- spi signals DOUT : out std_logic ; DIN : in std_logic ; SCLK : out std_logic ; SSN : out std_logic ); end mcp3002_interface; architecture Behavioral of mcp3002_interface is type tranfer_state is (WAIT_SAMPLE, ASSERT_CS, XFER_DATA, DEASSERT_CS); signal current_transfer_state, next_transfer_state : tranfer_state; signal data_out_shift_reg, data_in_shift_reg : std_logic_vector(15 downto 0) ; signal load_shift_register : std_logic ; signal tempo_val : std_logic_vector(15 downto 0); signal count_tempo : std_logic_vector(15 downto 0 ); signal load_tempo, en_tempo, end_tempo : std_logic ; signal data_clk, data_clk_old, data_clk_re, data_clk_fe : std_logic ; signal en_bit_count, reset_bit_count : std_logic ; signal bit_count : std_logic_vector(4 downto 0); signal bit_count_eq_16 : std_logic ; signal cmd_word : std_logic_vector(15 downto 0); signal ssn_d : std_logic ; begin -- tempo process(clk, resetn) begin if resetn = '0' then count_tempo <= (others => '1'); elsif clk'event and clk = '1' then if load_tempo = '1' then count_tempo <= tempo_val ; elsif en_tempo = '1' then if count_tempo /= 0 then count_tempo <= count_tempo - 1 ; end if ; end if ; end if ; end process ; end_tempo <= '1' when count_tempo = 0 else '0' ; -- bit counter process(clk, resetn) begin if resetn = '0' then bit_count <= (others => '0'); elsif clk'event and clk = '1' then if reset_bit_count = '1' then bit_count <= (others => '0'); elsif en_bit_count = '1' then bit_count <= bit_count + 1 ; end if ; end if ; end process ; bit_count_eq_16 <= '1' when bit_count = 16 else '0' ; process(clk, resetn) begin if resetn = '0' then current_transfer_state <= WAIT_SAMPLE; elsif clk'event and clk = '1' then current_transfer_state <= next_transfer_state; end if ; end process ; process(bit_count, end_tempo) begin next_transfer_state <= current_transfer_state ; case current_transfer_state is when wait_sample => if end_tempo = '1' then next_transfer_state <= assert_cs ; end if ; when assert_cs => if end_tempo = '1' then next_transfer_state <= xfer_data ; end if ; when xfer_data => if bit_count = 16 then next_transfer_state <= deassert_cs ; end if ; when deassert_cs => if end_tempo = '1' then next_transfer_state <= wait_sample ; end if ; when others => next_transfer_state <= wait_sample ; end case; end process ; process(clk, resetn) begin if resetn = '0' then data_clk <= '0' ; elsif clk'event and clk = '1' then if current_transfer_state = xfer_data then if end_tempo = '1' then data_clk <= not data_clk ; end if ; else data_clk <= '0' ; end if ; end if ; end process ; -- data clock rising edge and falling edge detect process(clk, resetn) begin if resetn = '0' then data_clk_old <= '0' ; elsif clk'event and clk = '1' then data_clk_old <= data_clk ; end if ; end process ; data_clk_re <= data_clk and (not data_clk_old); data_clk_fe <= (not data_clk) and data_clk_old; cmd_word <= "10" & chan & "0" & X"000" ; --shift register for data out process(clk, resetn) begin if resetn = '0' then data_out_shift_reg <= (others => '0') ; elsif clk'event and clk = '1' then if load_shift_register = '1' then data_out_shift_reg <= cmd_word ; elsif data_clk_fe = '1' then data_out_shift_reg(15 downto 1) <= data_out_shift_reg(14 downto 0) ; data_out_shift_reg(0) <= '0' ; end if ; end if ; end process ; --shift register for data in process(clk, resetn) begin if resetn = '0' then data_in_shift_reg <= (others => '0') ; elsif clk'event and clk = '1' then if data_clk_re = '1' then data_in_shift_reg(15 downto 1) <= data_in_shift_reg(14 downto 0) ; data_in_shift_reg(0) <= DIN ; end if ; end if ; end process ; with current_transfer_state select load_shift_register <= end_tempo when assert_cs, '0' when others ; en_tempo <= '1' ; with current_transfer_state select tempo_val <= std_logic_vector(to_unsigned(CLK_DIV, 16)) when wait_sample, std_logic_vector(to_unsigned(CLK_DIV, 16)) when assert_cs, std_logic_vector(to_unsigned(CLK_DIV, 16)) when xfer_data, std_logic_vector(to_unsigned(SAMPLING_DIV, 16)) when deassert_cs, (others => '0') when others ; with current_transfer_state select load_tempo <= end_tempo when wait_sample, end_tempo when assert_cs, end_tempo when xfer_data, end_tempo when deassert_cs, '0' when others ; with current_transfer_state select en_bit_count <= data_clk_fe when xfer_data, '0' when others ; with current_transfer_state select reset_bit_count <= bit_count_eq_16 when xfer_data, '1' when others ; -- outputs with current_transfer_state select ssn_d <= '0' when assert_cs, '0' when xfer_data, '1' when others ; sample <= data_in_shift_reg(9 downto 0); dv <= '1' when current_transfer_state=xfer_data and bit_count_eq_16= '1' else '0' ; -- todo may delete following stuf, output are not combinatorial ... process(clk, resetn) begin if resetn = '0' then DOUT <= '0' ; SCLK <= '0' ; SSN <= '1' ; elsif clk'event and clk = '1' then DOUT <= data_out_shift_reg(15) ; SCLK <= data_clk ; SSN <= ssn_d ; end if ; end process ; end Behavioral;
lgpl-3.0
QuickJack/logi-hard
test_bench/async_serial_tb.vhd
2
5330
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 14:06:49 03/22/2014 -- Design Name: -- Module Name: /home/jpiat/development/FPGA/logi-family/logi-hard/test_bench/async_serial_tb.vhd -- Project Name: test_ugv -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: async_serial -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; library work ; use work.logi_utils_pack.all ; ENTITY async_serial_tb IS END async_serial_tb; ARCHITECTURE behavior OF async_serial_tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT async_serial generic(CLK_FREQ : positive := 100_000_000; BAUDRATE : positive := 115_200) ; PORT( clk : IN std_logic; reset : IN std_logic; rx : IN std_logic; tx : OUT std_logic; data_out : OUT std_logic_vector(7 downto 0); data_in : IN std_logic_vector(7 downto 0); data_ready : OUT std_logic; data_send : IN std_logic; available : out std_logic ); END COMPONENT; component nmea_frame_extractor is generic(nmea_header : string := "$GPRMC"); port( clk, reset : in std_logic ; nmea_byte_in : in std_logic_vector(7 downto 0); new_byte_in : in std_logic ; nmea_byte_out : out std_logic_vector(7 downto 0); new_byte_out : out std_logic; frame_size : out std_logic_vector(7 downto 0); end_of_frame : out std_logic ); end component; --Inputs signal clk : std_logic := '0'; signal reset : std_logic := '0'; signal rx : std_logic := '0'; signal data_in : std_logic_vector(7 downto 0) := (others => '0'); signal data_send : std_logic := '0'; --Outputs signal tx : std_logic; signal data_out : std_logic_vector(7 downto 0); signal data_ready : std_logic; signal available : std_logic ; signal new_byte_out : std_logic ; signal nmea_byte_out : std_logic_vector(7 downto 0) ; signal frame_size : std_logic_vector(7 downto 0) ; signal end_of_frame : std_logic ; -- Clock period definitions constant clk_period : time := 10 ns; constant baud_period : time := 8680 ns; constant data_serial : std_logic_vector(7 downto 0) := X"5A"; constant gps_string : STRING (1 to 27):="$GPRMC,0,0,0,1,0,0,0,0,0*56"; BEGIN -- Instantiate the Unit Under Test (UUT) uut: async_serial GENERIC MAP(CLK_FREQ => 100_000_000) PORT MAP ( clk => clk, reset => reset, rx => rx, tx => tx, data_out => data_out, data_in => data_in, data_ready => data_ready, data_send => data_send, available => available ); nmea_filter : nmea_frame_extractor generic map(nmea_header => "$GPRMC") port map( clk => clk, reset => reset, nmea_byte_in => data_out, new_byte_in => data_ready, nmea_byte_out => nmea_byte_out, new_byte_out => new_byte_out, frame_size => frame_size, end_of_frame => end_of_frame ); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. reset <= '1' ; -- rx <= '1' ; wait for 100 ns; reset <= '0' ; -- wait for clk_period*10; -- rx <= '1' ; -- wait for baud_period*10; -- rx <= '0' ; -- start -- wait for baud_period; -- loop_0 : for i in 0 to 7 loop -- rx <= data_serial(i) ; -- start -- wait for baud_period; -- end loop ; -- rx <= '1' ; -- wait for baud_period*10; -- -- rx <= '0' ; -- start -- wait for baud_period; -- loop_1 : for i in 0 to 7 loop -- rx <= data_serial(i) ; -- start -- wait for baud_period; -- end loop ; -- rx <= '0' ; -- wait for baud_period; -- rx <= '1' ; -- wait for baud_period*10; -- -- insert stimulus here -- rx <= '0' ; -- start -- wait for baud_period; -- loop_2 : for i in 0 to 7 loop -- rx <= data_serial(i) ; -- start -- wait for baud_period; -- end loop ; -- rx <= '1' ; -- wait for baud_period*10 ; -- rx <= tx ; for i in 1 to gps_string'length loop data_in <= std_logic_vector(to_unsigned(character'pos(gps_string(i)), 8)); data_send <= '1' ; wait until available = '0' ; data_send <= '0' ; wait until data_ready = '1' ; end loop ; for i in 0 to 8 loop data_in <= X"0D"; data_send <= '1' ; wait until available = '0' ; data_send <= '0' ; wait until data_ready = '1' ; end loop ; wait; end process; rx <= tx ; END;
lgpl-3.0
HackLinux/ION
src/testbench/common/sim_params_pkg.vhdl
1
2354
-------------------------------------------------------------------------------- -- obj_code_pkg.vhdl -- Application object code in vhdl constant string format. -------------------------------------------------------------------------------- -- Built for project 'CPU tester'. -------------------------------------------------------------------------------- -- This file contains object code in the form of a VHDL byte table constant. -- This constant can be used to initialize FPGA memories for synthesis or -- simulation. -- Note that the object code is stored as a plain byte table in byte address -- order. This table knows nothing of data endianess and can be used to -- initialize 32-, 16- or 8-bit-wide memory -- memory initialization functions -- can be found in package mips_pkg. -------------------------------------------------------------------------------- -- This source file may be used and distributed without -- restriction provided that this copyright statement is not -- removed from the file and that any derivative work contains -- the original copyright notice and the associated disclaimer. -- -- This source file is free software; you can redistribute it -- and/or modify it under the terms of the GNU Lesser General -- Public License as published by the Free Software Foundation; -- either version 2.1 of the License, or (at your option) any -- later version. -- -- This source is distributed in the hope that it will be -- useful, but WITHOUT ANY WARRANTY; without even the implied -- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR -- PURPOSE. See the GNU Lesser General Public License for more -- details. -- -- You should have received a copy of the GNU Lesser General -- Public License along with this source; if not, download it -- from http://www.opencores.org/lgpl.shtml -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.ION_INTERFACES_PKG.all; use work.ION_INTERNAL_PKG.all; package SIM_PARAMS_PKG is -- Simulation or synthesis parameters ------------------------------------------ constant SIMULATION_LENGTH : integer := 25000; constant LOG_TRIGGER_ADDRESS : t_word := X"bfc00000"; -- Memory initialization data -------------------------------------------------- end package SIM_PARAMS_PKG;
lgpl-3.0
HackLinux/ION
src/rtl/buses/ion_tcm_data.vhdl
1
5572
-------------------------------------------------------------------------------- -- ion_tcm_data.vhdl -- Tightly Coupled Memory for the data space. -------------------------------------------------------------------------------- -- FIXME explain! -- -- REFERENCES -- [1] ion_design_notes.pdf -- ION project design notes. -------------------------------------------------------------------------------- -- -- -------------------------------------------------------------------------------- -- This source file may be used and distributed without -- restriction provided that this copyright statement is not -- removed from the file and that any derivative work contains -- the original copyright notice and the associated disclaimer. -- -- This source file is free software; you can redistribute it -- and/or modify it under the terms of the GNU Lesser General -- Public License as published by the Free Software Foundation; -- either version 2.1 of the License, or (at your option) any -- later version. -- -- This source is distributed in the hope that it will be -- useful, but WITHOUT ANY WARRANTY; without even the implied -- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR -- PURPOSE. See the GNU Lesser General Public License for more -- details. -- -- You should have received a copy of the GNU Lesser General -- Public License along with this source; if not, download it -- from http://www.opencores.org/lgpl.shtml -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; use work.ION_INTERFACES_PKG.all; use work.ION_INTERNAL_PKG.all; entity ION_TCM_DATA is generic( -- Size of TCM block in 32-bit words. Set to zero to disable TCM. SIZE : integer := 4096; -- Initial contents of TCM. Default is zeros. INIT_DATA : t_obj_code := zero_objcode(16) ); port( CLK_I : in std_logic; RESET_I : in std_logic; EN_I : in std_logic; MEM_MOSI_I : in t_cpumem_mosi; MEM_MISO_O : out t_cpumem_miso ); end; architecture rtl of ION_TCM_DATA is constant TCM_ADDR_SIZE : integer := log2(SIZE); subtype t_tcm_address is std_logic_vector(TCM_ADDR_SIZE-1 downto 2); signal tcm_addr : t_tcm_address; signal tcm_rd_data : t_word; signal tcm_rd_data0 : t_byte; signal tcm_rd_data1 : t_byte; signal tcm_rd_data2 : t_byte; signal tcm_rd_data3 : t_byte; signal wr_data0 : t_byte; signal wr_data1 : t_byte; signal wr_data2 : t_byte; signal wr_data3 : t_byte; signal tcm_ram0: t_byte_table(0 to ((SIZE/4)-1)) := objcode_to_btable(INIT_DATA, SIZE/4, 0); signal tcm_ram1: t_byte_table(0 to ((SIZE/4)-1)) := objcode_to_btable(INIT_DATA, SIZE/4, 1); signal tcm_ram2: t_byte_table(0 to ((SIZE/4)-1)) := objcode_to_btable(INIT_DATA, SIZE/4, 2); signal tcm_ram3: t_byte_table(0 to ((SIZE/4)-1)) := objcode_to_btable(INIT_DATA, SIZE/4, 3); begin tcm_addr <= MEM_MOSI_I.addr(tcm_addr'high downto 2); -------------------------------------------------------------------------------- ---- Memory block inference. -- We ned to implement the TCM as four independent blocks because it's not -- possible to just infer a BRAM with byte enables. We just don't want to -- instantiate vendor-specific BRAM entities. tcm_memory_block0: process(CLK_I) begin if (CLK_I'event and CLK_I='1') then tcm_rd_data0 <= tcm_ram0(conv_integer(tcm_addr)); if MEM_MOSI_I.wr_be(0)='1' and EN_I='1' then tcm_ram0(conv_integer(unsigned(tcm_addr))) <= wr_data0; end if; end if; end process tcm_memory_block0; tcm_memory_block1: process(CLK_I) begin if (CLK_I'event and CLK_I='1') then tcm_rd_data1 <= tcm_ram1(conv_integer(tcm_addr)); if MEM_MOSI_I.wr_be(1)='1' and EN_I='1' then tcm_ram1(conv_integer(unsigned(tcm_addr))) <= wr_data1; end if; end if; end process tcm_memory_block1; tcm_memory_block2: process(CLK_I) begin if (CLK_I'event and CLK_I='1') then tcm_rd_data2 <= tcm_ram2(conv_integer(tcm_addr)); if MEM_MOSI_I.wr_be(2)='1' and EN_I='1' then tcm_ram2(conv_integer(unsigned(tcm_addr))) <= wr_data2; end if; end if; end process tcm_memory_block2; tcm_memory_block3: process(CLK_I) begin if (CLK_I'event and CLK_I='1') then tcm_rd_data3 <= tcm_ram3(conv_integer(tcm_addr)); if MEM_MOSI_I.wr_be(3)='1' and EN_I='1' then tcm_ram3(conv_integer(unsigned(tcm_addr))) <= wr_data3; end if; end if; end process tcm_memory_block3; wr_data0 <= MEM_MOSI_I.wr_data( 7 downto 0); wr_data1 <= MEM_MOSI_I.wr_data(15 downto 8); wr_data2 <= MEM_MOSI_I.wr_data(23 downto 16); wr_data3 <= MEM_MOSI_I.wr_data(31 downto 24); MEM_MISO_O.rd_data <= tcm_rd_data3 & tcm_rd_data2 & tcm_rd_data1 & tcm_rd_data0; MEM_MISO_O.mwait <= '0'; end architecture rtl;
lgpl-3.0
HackLinux/ION
src/rtl/caches/ion_cache.vhdl
1
15093
-------------------------------------------------------------------------------- -- ion_icache.vhdl -- Instruction/Data Cache. -------------------------------------------------------------------------------- -- -- -- NOTES: -- -- @note1: -- All supported CACHE instruction functions involve invalidating a line -- or explicity zeroing a tag. So the valid flag is always written as 0 -- except when REFILL. -- Remember our "Store Tag" implementation uses a hardwired zero TagLo. -- -- @ note2: -- In the first clock cycle of all write accesses, the addressed line -- is invalidated. The CPU address is only valid in this cycle so we -- do it right now and save a register and a mux. -- -- @note3: -- When a RD comes the cycle after a WR, we'll lose it unless we register -- the fact. This happens because the rd_en signal is asserted for a single -- clock cycle, even if the RD instruction is stalled waiting for the WR -- to finish. -- The same thing happens when a WR follows a RD. -- -- REFERENCES -- [1] ion_design_notes.pdf -- ION project design notes. -------------------------------------------------------------------------------- -- NOTES: -- -- -------------------------------------------------------------------------------- -- This source file may be used and distributed without -- restriction provided that this copyright statement is not -- removed from the file and that any derivative work contains -- the original copyright notice and the associated disclaimer. -- -- This source file is free software; you can redistribute it -- and/or modify it under the terms of the GNU Lesser General -- Public License as published by the Free Software Foundation; -- either version 2.1 of the License, or (at your option) any -- later version. -- -- This source is distributed in the hope that it will be -- useful, but WITHOUT ANY WARRANTY; without even the implied -- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR -- PURPOSE. See the GNU Lesser General Public License for more -- details. -- -- You should have received a copy of the GNU Lesser General -- Public License along with this source; if not, download it -- from http://www.opencores.org/lgpl.shtml -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; use work.ION_INTERFACES_PKG.all; use work.ION_INTERNAL_PKG.all; entity ION_CACHE is generic( -- Number of lines per way. NUM_LINES : integer := 128; -- Size of line in 32-bit words. LINE_SIZE : integer := 8 ); port( CLK_I : in std_logic; RESET_I : in std_logic; CACHE_CTRL_MOSI_I : in t_cache_mosi; CACHE_CTRL_MISO_O : out t_cache_miso; CE_I : in std_logic; CPU_MOSI_I : in t_cpumem_mosi; CPU_MISO_O : out t_cpumem_miso; MEM_MOSI_O : out t_wishbone_mosi; MEM_MISO_I : in t_wishbone_miso ); end; architecture rtl of ION_CACHE is constant LINE_INDEX_WIDTH : integer := log2(NUM_LINES); constant LINE_OFFSET_WIDTH : integer := log2(LINE_SIZE); constant LINE_ADDRESS_WIDTH : integer := LINE_INDEX_WIDTH + LINE_OFFSET_WIDTH; constant TAG_WIDTH : integer := 32 - 2 - LINE_ADDRESS_WIDTH; constant LINE_TABLE_SIZE : integer := LINE_SIZE * NUM_LINES; -- Tag table signals. subtype t_index is std_logic_vector(LINE_INDEX_WIDTH-1 downto 0); subtype t_offset is std_logic_vector(LINE_OFFSET_WIDTH-1 downto 0); subtype t_line_address is std_logic_vector(LINE_ADDRESS_WIDTH-1 downto 0); subtype t_tag_address is std_logic_vector(TAG_WIDTH-1 downto 0); -- Valid bit appended to address tag; this is what's stored in the tag table. subtype t_tag is std_logic_vector(TAG_WIDTH+1-1 downto 0); -- Tag table implemented as (inferred) synchronous BRAM. type t_tag_table is array(0 to NUM_LINES-1) of t_tag; -- Initial value meant for TB only. Helps catch errors in invalidation opcodes. signal tag_table : t_tag_table; -- := (others => '1' & X"90000"); -- Signals used to access the tag table. signal tag : t_tag_address; signal tag_reg : t_tag_address; signal cached_tag_addr : t_tag_address; signal line_index : t_index; signal line_address : t_line_address; signal cached_tag : t_tag; signal tag_table_we : std_logic; -- Valid flag to be stored in tag table. signal new_valid_flag : std_logic; -- Valid flag read from tag table during a lookup. signal cached_tag_valid : std_logic; -- Line table implemented as (inferred) BRAM table. type t_line_table is array(0 to LINE_TABLE_SIZE-1) of t_word; signal line_table : t_line_table; -- Signals used to access the line table. signal refill_line_address : t_line_address; signal refill_line_address_reg : t_line_address; signal cached_word : t_word; signal line_table_we : std_logic; -- Misc control signals. signal miss : std_logic; signal lookup : std_logic; signal lookup_reg : std_logic; signal write_cycle : std_logic; signal update_tag : std_logic; signal data_wr_reg : t_word; signal addr_reg : t_word; signal wr_be_reg : std_logic_vector(3 downto 0); signal read_pending : std_logic; -- Refill state machine signals. type t_refill_state is ( HIT, REFILL, WRITETHROUGH, REFILL_LAST_WORD ); signal ns, ps : t_refill_state; signal refill_ctr : t_offset; signal store_delay_ctr : integer range 0 to 2; signal refill_done : std_logic; begin -- CPU interface ----------------------------------------------------------- CPU_MISO_O.rd_data <= cached_word; CPU_MISO_O.mwait <= '1' when ps = REFILL else '1' when ps = REFILL_LAST_WORD else '1' when ps = HIT and miss = '1' else -- !! '1' when ps = HIT and read_pending = '1' else -- see @note3 '1' when ps = WRITETHROUGH else '0'; lookup <= '1' when CPU_MOSI_I.rd_en='1' and CE_I='1' else '1' when read_pending='1' and ps=HIT else '0'; process(CLK_I) begin if CLK_I'event and CLK_I='1' then if RESET_I='1' then lookup_reg <= '0'; else lookup_reg <= lookup; if CPU_MOSI_I.wr_be/="0000" then data_wr_reg <= CPU_MOSI_I.wr_data; wr_be_reg <= CPU_MOSI_I.wr_be; end if; if CPU_MOSI_I.rd_en='1' or CPU_MOSI_I.wr_be/="0000" then addr_reg <= CPU_MOSI_I.addr; end if; end if; end if; end process; -- Assert update_tag for special CACHE instructions only. -- FIXME control interface is crude and needs to be defined & refactored update_tag <= '1' when CACHE_CTRL_MOSI_I.data_cache = '1' and CACHE_CTRL_MOSI_I.function_en = '1' else '0'; -- Tag table --------------------------------------------------------------- -- Extract all relevand fields from incoming CPU address. tag <= CPU_MOSI_I.addr(31 downto LINE_ADDRESS_WIDTH+2); tag_reg <= addr_reg(31 downto LINE_ADDRESS_WIDTH+2); line_index <= CPU_MOSI_I.addr(LINE_ADDRESS_WIDTH+1 downto LINE_OFFSET_WIDTH + 2); line_address <= CPU_MOSI_I.addr(LINE_ADDRESS_WIDTH+1 downto 2); -- Tag table inferred BRAM. synchronous_tag_table: process(CLK_I) begin if CLK_I'event and CLK_I='1' then if tag_table_we='1' then tag_table(conv_integer(line_index)) <= new_valid_flag & tag; end if; cached_tag <= tag_table(conv_integer(line_index)); end if; end process synchronous_tag_table; -- Extract fields from the word we just read from the tag table. cached_tag_valid <= cached_tag(cached_tag'high); cached_tag_addr <= cached_tag(cached_tag'high-1 downto 0); -- When in REFILL, set valid flag. Otherwise reset it. with ps select new_valid_flag <= '1' when REFILL, '0' when others; -- see @note1 -- The miss signal needs only be valid in the "HIT" state. miss <= '1' when ((cached_tag_addr /= tag_reg) or (cached_tag_valid = '0')) and lookup_reg = '1' else '0'; write_cycle <= '1' when CPU_MOSI_I.wr_be/="0000" and CE_I='1' else '0'; -- Line table -------------------------------------------------------------- -- Line table (inferred) BRAM. synchronous_line_table: process(CLK_I) begin if CLK_I'event and CLK_I='1' then if line_table_we='1' then line_table(conv_integer(refill_line_address_reg)) <= MEM_MISO_I.dat; end if; cached_word <= line_table(conv_integer(line_address)); end if; end process synchronous_line_table; -- Since the target address is only present in the ION CPU bus for a single -- cycle, we need to register it to use it along the refill operation. refill_addr_register: process(CLK_I) begin if CLK_I'event and CLK_I='1' then if lookup = '1' and ps=HIT then refill_line_address(LINE_ADDRESS_WIDTH-1 downto LINE_OFFSET_WIDTH) <= CPU_MOSI_I.addr(LINE_ADDRESS_WIDTH-1+2 downto LINE_OFFSET_WIDTH+2); end if; refill_line_address_reg <= refill_line_address; end if; end process refill_addr_register; -- The low bits of the refill address come from the refill counter. refill_line_address(LINE_OFFSET_WIDTH-1 downto 0) <= refill_ctr; -- We write onto the line table only in the cycles in which there is valid -- refill data in the refill WB bus. line_table_we <= '1' when ps = REFILL and MEM_MISO_I.ack = '1' else '1' when ps = REFILL_LAST_WORD and store_delay_ctr = 2 else '0'; -- Refill State Machine ---------------------------------------------------- refill_state_machine_reg: process(CLK_I) begin if CLK_I'event and CLK_I='1' then if RESET_I='1' then ps <= HIT; else ps <= ns; end if; end if; end process refill_state_machine_reg; refill_state_machine_transitions: process(ps, miss, refill_done, write_cycle, MEM_MISO_I.stall, store_delay_ctr, read_pending) begin case ps is when HIT => if miss='1' then ns <= REFILL; elsif write_cycle='1' then ns <= WRITETHROUGH; else ns <= ps; end if; when REFILL => if refill_done='1' then ns <= REFILL_LAST_WORD; else ns <= ps; end if; when REFILL_LAST_WORD => if store_delay_ctr = 0 then ns <= HIT; else ns <= ps; end if; when WRITETHROUGH => if MEM_MISO_I.stall='0' then ns <= HIT; else ns <= ps; end if; when others => -- NOTE: We´re not detecting here a real derailed HW state machine, -- only a buggy rtl. ns <= HIT; end case; end process refill_state_machine_transitions; -- When the last word in the line has been read from the WB bus, we are done -- REFILL. refill_done <= '1' when refill_ctr = (LINE_SIZE-1) and MEM_MISO_I.stall = '0' else '0'; refill_word_counter: process(CLK_I) begin if CLK_I'event and CLK_I='1' then if RESET_I = '1' then refill_ctr <= (others => '0'); elsif ps = REFILL and MEM_MISO_I.stall = '0' then refill_ctr <= refill_ctr + 1; end if; end if; end process refill_word_counter; store_delay_counter: process(CLK_I) begin if CLK_I'event and CLK_I='1' then if RESET_I = '1' then store_delay_ctr <= 2; elsif ps = REFILL_LAST_WORD then if store_delay_ctr /= 0 then store_delay_ctr <= store_delay_ctr - 1; end if; else store_delay_ctr <= 2; end if; end if; end process store_delay_counter; tag_table_we <= '1' when ps = REFILL and MEM_MISO_I.ack = '1' and refill_ctr="001" else '1' when ps = HIT and CPU_MOSI_I.wr_be/="0000" else -- see @note2 '1' when update_tag = '1' else -- see @note1 '0'; -- Refill WB interface ----------------------------------------------------- MEM_MOSI_O.adr(31 downto LINE_ADDRESS_WIDTH+2) <= addr_reg(31 downto LINE_ADDRESS_WIDTH+2); with ps select MEM_MOSI_O.adr(LINE_ADDRESS_WIDTH-1+2 downto 2) <= refill_line_address when REFILL, addr_reg(LINE_ADDRESS_WIDTH-1+2 downto 2) when others; MEM_MOSI_O.adr(1 downto 0) <= (others => '0'); MEM_MOSI_O.dat <= data_wr_reg; MEM_MOSI_O.stb <= '1' when (ps = REFILL or ps = WRITETHROUGH) else '0'; MEM_MOSI_O.cyc <= '1' when (ps = REFILL or ps = WRITETHROUGH) else '0'; MEM_MOSI_O.we <= '1' when ps = WRITETHROUGH else '0'; MEM_MOSI_O.tga <= "0000"; -- FIXME tag use unspecified yet MEM_MOSI_O.sel <= wr_be_reg; -- The cache control interface MOSI consists of this lone "present" signal. CACHE_CTRL_MISO_O.present <= '1'; -- Back-to-back access support logic --------------------------------------- -- This flag will be raised when a READ comes immediately aftr a write (in -- the following clock cycle). Since the ION bus control signals are valid -- for only one cycle we need to remember the request here. -- The address is not going to change in the meantime. read_pending_register: process(CLK_I) begin if CLK_I'event and CLK_I='1' then if RESET_I='1' then read_pending <= '0'; elsif ps=WRITETHROUGH and CPU_MOSI_I.rd_en='1' then read_pending <= '1'; elsif ps/=WRITETHROUGH then read_pending <= '0'; end if; end if; end process read_pending_register; -- FIXME support RD-WR operations too. end architecture rtl;
lgpl-3.0
twlostow/dsi-shield
hdl/ip_cores/local/gc_glitch_filt.vhd
1
4193
--============================================================================== -- CERN (BE-CO-HT) -- Glitch filter with selectable length --============================================================================== -- -- author: Theodor Stana ([email protected]) -- -- date of creation: 2013-03-12 -- -- version: 1.0 -- -- description: -- Glitch filter consisting of a set of chained flip-flops followed by a -- comparator. The comparator toggles to '1' when all FFs in the chain are -- '1' and respectively to '0' when all the FFS in the chain are '0'. -- -- dependencies: -- -- references: -- --============================================================================== -- GNU LESSER GENERAL PUBLIC LICENSE --============================================================================== -- This source file is free software; you can redistribute it and/or modify it -- under the terms of the GNU Lesser General Public License as published by the -- Free Software Foundation; either version 2.1 of the License, or (at your -- option) any later version. This source is distributed in the hope that it -- will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty -- of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. -- See the GNU Lesser General Public License for more details. You should have -- received a copy of the GNU Lesser General Public License along with this -- source; if not, download it from http://www.gnu.org/licenses/lgpl-2.1.html --============================================================================== -- last changes: -- 2013-03-12 Theodor Stana [email protected] File created --============================================================================== -- TODO: - --============================================================================== library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.gencores_pkg.all; entity gc_glitch_filt is generic ( -- Length of glitch filter: -- g_len = 1 => data width should be > 1 clk_i cycle -- g_len = 2 => data width should be > 2 clk_i cycle -- etc. g_len : natural := 4 ); port ( clk_i : in std_logic; rst_n_i : in std_logic; -- Data input, synchronous to clk_i dat_i : in std_logic; -- Data output -- latency: g_len+1 clk_i cycles dat_o : out std_logic ); end entity gc_glitch_filt; architecture behav of gc_glitch_filt is --============================================================================ -- Signal declarations --============================================================================ signal glitch_filt : std_logic_vector(g_len downto 0); --============================================================================== -- architecture begin --============================================================================== begin --============================================================================ -- Glitch filtration logic --============================================================================ glitch_filt(0) <= dat_i; -- Generate glitch filter FFs when the filter length is > 0 gen_glitch_filt: if (g_len > 0) generate p_glitch_filt: process (clk_i) begin if rising_edge(clk_i) then if (rst_n_i = '0') then glitch_filt(g_len downto 1) <= (others => '0'); else glitch_filt(g_len downto 1) <= glitch_filt(g_len-1 downto 0); end if; end if; end process p_glitch_filt; end generate gen_glitch_filt; -- and set the data output based on the state of the glitch filter p_output: process(clk_i) begin if rising_edge(clk_i) then if (rst_n_i = '0') then dat_o <= '0'; elsif (unsigned(glitch_filt) = (glitch_filt'range => '1')) then dat_o <= '1'; elsif (unsigned(glitch_filt) = (glitch_filt'range => '0')) then dat_o <= '0'; end if; end if; end process p_output; end architecture behav; --============================================================================== -- architecture end --==============================================================================
lgpl-3.0
twlostow/dsi-shield
hdl/ip_cores/local/simple_uart_pkg.vhd
1
3987
--------------------------------------------------------------------------------------- -- Title : Wishbone slave core for Simple Wishbone UART --------------------------------------------------------------------------------------- -- File : simple_uart_pkg.vhd -- Author : auto-generated by wbgen2 from simple_uart_wb.wb -- Created : Thu Feb 14 10:36:11 2013 -- Standard : VHDL'87 --------------------------------------------------------------------------------------- -- THIS FILE WAS GENERATED BY wbgen2 FROM SOURCE FILE simple_uart_wb.wb -- DO NOT HAND-EDIT UNLESS IT'S ABSOLUTELY NECESSARY! --------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package uart_wbgen2_pkg is -- Input registers (user design -> WB slave) type t_uart_in_registers is record sr_tx_busy_i : std_logic; sr_rx_rdy_i : std_logic; rdr_rx_data_i : std_logic_vector(7 downto 0); host_tdr_rdy_i : std_logic; host_rdr_data_i : std_logic_vector(7 downto 0); host_rdr_rdy_i : std_logic; host_rdr_count_i : std_logic_vector(15 downto 0); end record; constant c_uart_in_registers_init_value: t_uart_in_registers := ( sr_tx_busy_i => '0', sr_rx_rdy_i => '0', rdr_rx_data_i => (others => '0'), host_tdr_rdy_i => '0', host_rdr_data_i => (others => '0'), host_rdr_rdy_i => '0', host_rdr_count_i => (others => '0') ); -- Output registers (WB slave -> user design) type t_uart_out_registers is record bcr_o : std_logic_vector(31 downto 0); bcr_wr_o : std_logic; tdr_tx_data_o : std_logic_vector(7 downto 0); tdr_tx_data_wr_o : std_logic; host_tdr_data_o : std_logic_vector(7 downto 0); host_tdr_data_wr_o : std_logic; end record; constant c_uart_out_registers_init_value: t_uart_out_registers := ( bcr_o => (others => '0'), bcr_wr_o => '0', tdr_tx_data_o => (others => '0'), tdr_tx_data_wr_o => '0', host_tdr_data_o => (others => '0'), host_tdr_data_wr_o => '0' ); function "or" (left, right: t_uart_in_registers) return t_uart_in_registers; function f_x_to_zero (x:std_logic) return std_logic; function f_x_to_zero (x:std_logic_vector) return std_logic_vector; end package; package body uart_wbgen2_pkg is function f_x_to_zero (x:std_logic) return std_logic is begin if(x = 'X' or x = 'U') then return '0'; else return x; end if; end function; function f_x_to_zero (x:std_logic_vector) return std_logic_vector is variable tmp: std_logic_vector(x'length-1 downto 0); begin for i in 0 to x'length-1 loop if(x(i) = 'X' or x(i) = 'U') then tmp(i):= '0'; else tmp(i):=x(i); end if; end loop; return tmp; end function; function "or" (left, right: t_uart_in_registers) return t_uart_in_registers is variable tmp: t_uart_in_registers; begin tmp.sr_tx_busy_i := f_x_to_zero(left.sr_tx_busy_i) or f_x_to_zero(right.sr_tx_busy_i); tmp.sr_rx_rdy_i := f_x_to_zero(left.sr_rx_rdy_i) or f_x_to_zero(right.sr_rx_rdy_i); tmp.rdr_rx_data_i := f_x_to_zero(left.rdr_rx_data_i) or f_x_to_zero(right.rdr_rx_data_i); tmp.host_tdr_rdy_i := f_x_to_zero(left.host_tdr_rdy_i) or f_x_to_zero(right.host_tdr_rdy_i); tmp.host_rdr_data_i := f_x_to_zero(left.host_rdr_data_i) or f_x_to_zero(right.host_rdr_data_i); tmp.host_rdr_rdy_i := f_x_to_zero(left.host_rdr_rdy_i) or f_x_to_zero(right.host_rdr_rdy_i); tmp.host_rdr_count_i := f_x_to_zero(left.host_rdr_count_i) or f_x_to_zero(right.host_rdr_count_i); return tmp; end function; end package body;
lgpl-3.0
twlostow/dsi-shield
hdl/ip_cores/local/gc_sync_register.vhd
1
1324
library ieee; use ieee.std_logic_1164.all; entity gc_sync_register is generic ( g_width : integer); port ( clk_i : in std_logic; rst_n_a_i : in std_logic; d_i : in std_logic_vector(g_width-1 downto 0); q_o : out std_logic_vector(g_width-1 downto 0)); end gc_sync_register; architecture rtl of gc_sync_register is signal gc_sync_register_in : std_logic_vector(g_width-1 downto 0); signal sync0, sync1 : std_logic_vector(g_width-1 downto 0); attribute shreg_extract : string; attribute shreg_extract of gc_sync_register_in : signal is "no"; attribute shreg_extract of sync0 : signal is "no"; attribute shreg_extract of sync1 : signal is "no"; attribute keep : string; attribute keep of gc_sync_register_in : signal is "true"; attribute keep of sync0 : signal is "true"; attribute keep of sync1 : signal is "true"; begin process(clk_i, rst_n_a_i) begin if(rst_n_a_i = '0') then sync1 <= (others => '0'); sync0 <= (others => '0'); elsif rising_edge(clk_i) then sync0 <= gc_sync_register_in; sync1 <= sync0; end if; end process; gc_sync_register_in <= d_i; q_o <= sync1; end rtl;
lgpl-3.0
twlostow/dsi-shield
hdl/ip_cores/local/genram_pkg.vhd
1
9017
------------------------------------------------------------------------------- -- Title : Main package file -- Project : Generics RAMs and FIFOs collection ------------------------------------------------------------------------------- -- File : genram_pkg.vhd -- Author : Tomasz Wlostowski -- Company : CERN BE-CO-HT -- Created : 2011-01-25 -- Last update: 2013-10-30 -- Platform : -- Standard : VHDL'93 ------------------------------------------------------------------------------- -- -- Copyright (c) 2011 CERN -- -- This source file is free software; you can redistribute it -- and/or modify it under the terms of the GNU Lesser General -- Public License as published by the Free Software Foundation; -- either version 2.1 of the License, or (at your option) any -- later version. -- -- This source is distributed in the hope that it will be -- useful, but WITHOUT ANY WARRANTY; without even the implied -- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR -- PURPOSE. See the GNU Lesser General Public License for more -- details. -- -- You should have received a copy of the GNU Lesser General -- Public License along with this source; if not, download it -- from http://www.gnu.org/licenses/lgpl-2.1.html -- ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2011-01-25 1.0 twlostow Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package genram_pkg is function f_log2_size (A : natural) return natural; function f_gen_dummy_vec (val : std_logic; size : natural) return std_logic_vector; function f_zeros (size : integer) return std_logic_vector; type t_generic_ram_init is array (integer range <>, integer range <>) of std_logic; -- Single-port synchronous RAM component generic_spram generic ( g_data_width : natural; g_size : natural; g_with_byte_enable : boolean := false; g_init_file : string := "none"; g_addr_conflict_resolution : string := "dont_care") ; port ( rst_n_i : in std_logic; clk_i : in std_logic; bwe_i : in std_logic_vector((g_data_width+7)/8-1 downto 0):= f_gen_dummy_vec('1', (g_data_width+7)/8); we_i : in std_logic; a_i : in std_logic_vector(f_log2_size(g_size)-1 downto 0); d_i : in std_logic_vector(g_data_width-1 downto 0) := f_gen_dummy_vec('0', g_data_width); q_o : out std_logic_vector(g_data_width-1 downto 0)); end component; component generic_simple_dpram generic ( g_data_width : natural; g_size : natural; g_with_byte_enable : boolean := false; g_addr_conflict_resolution : string := "dont_care"; g_init_file : string := "none"; g_dual_clock : boolean := true); port ( rst_n_i : in std_logic := '1'; clka_i : in std_logic; bwea_i : in std_logic_vector((g_data_width+7)/8 -1 downto 0) := f_gen_dummy_vec('1', (g_data_width+7)/8); wea_i : in std_logic; aa_i : in std_logic_vector(f_log2_size(g_size)-1 downto 0); da_i : in std_logic_vector(g_data_width -1 downto 0); clkb_i : in std_logic; ab_i : in std_logic_vector(f_log2_size(g_size)-1 downto 0); qb_o : out std_logic_vector(g_data_width -1 downto 0)); end component; component generic_dpram generic ( g_data_width : natural; g_size : natural; g_with_byte_enable : boolean := false; g_addr_conflict_resolution : string := "dont_care"; g_init_file : string := "none"; g_dual_clock : boolean := true); port ( rst_n_i : in std_logic := '1'; clka_i : in std_logic; bwea_i : in std_logic_vector((g_data_width+7)/8-1 downto 0) := f_gen_dummy_vec('1', (g_data_width+7)/8); wea_i : in std_logic := '0'; aa_i : in std_logic_vector(f_log2_size(g_size)-1 downto 0); da_i : in std_logic_vector(g_data_width-1 downto 0) := f_gen_dummy_vec('0', g_data_width); qa_o : out std_logic_vector(g_data_width-1 downto 0); clkb_i : in std_logic; bweb_i : in std_logic_vector((g_data_width+7)/8-1 downto 0) := f_gen_dummy_vec('1', (g_data_width+7)/8); web_i : in std_logic := '0'; ab_i : in std_logic_vector(f_log2_size(g_size)-1 downto 0); db_i : in std_logic_vector(g_data_width-1 downto 0) := f_gen_dummy_vec('0', g_data_width); qb_o : out std_logic_vector(g_data_width-1 downto 0)); end component; component generic_async_fifo generic ( g_data_width : natural; g_size : natural; g_show_ahead : boolean := false; g_with_rd_empty : boolean := true; g_with_rd_full : boolean := false; g_with_rd_almost_empty : boolean := false; g_with_rd_almost_full : boolean := false; g_with_rd_count : boolean := false; g_with_wr_empty : boolean := false; g_with_wr_full : boolean := true; g_with_wr_almost_empty : boolean := false; g_with_wr_almost_full : boolean := false; g_with_wr_count : boolean := false; g_almost_empty_threshold : integer := 0; g_almost_full_threshold : integer := 0); port ( rst_n_i : in std_logic := '1'; clk_wr_i : in std_logic; d_i : in std_logic_vector(g_data_width-1 downto 0); we_i : in std_logic; wr_empty_o : out std_logic; wr_full_o : out std_logic; wr_almost_empty_o : out std_logic; wr_almost_full_o : out std_logic; wr_count_o : out std_logic_vector(f_log2_size(g_size)-1 downto 0); clk_rd_i : in std_logic; q_o : out std_logic_vector(g_data_width-1 downto 0); rd_i : in std_logic; rd_empty_o : out std_logic; rd_full_o : out std_logic; rd_almost_empty_o : out std_logic; rd_almost_full_o : out std_logic; rd_count_o : out std_logic_vector(f_log2_size(g_size)-1 downto 0)); end component; component generic_sync_fifo generic ( g_data_width : natural; g_size : natural; g_show_ahead : boolean := false; g_with_empty : boolean := true; g_with_full : boolean := true; g_with_almost_empty : boolean := false; g_with_almost_full : boolean := false; g_with_count : boolean := false; g_almost_empty_threshold : integer := 0; g_almost_full_threshold : integer := 0); port ( rst_n_i : in std_logic := '1'; clk_i : in std_logic; d_i : in std_logic_vector(g_data_width-1 downto 0); we_i : in std_logic; q_o : out std_logic_vector(g_data_width-1 downto 0); rd_i : in std_logic; empty_o : out std_logic; full_o : out std_logic; almost_empty_o : out std_logic; almost_full_o : out std_logic; count_o : out std_logic_vector(f_log2_size(g_size)-1 downto 0)); end component; component generic_shiftreg_fifo generic ( g_data_width : integer; g_size : integer); port ( rst_n_i : in std_logic := '1'; clk_i : in std_logic; d_i : in std_logic_vector(g_data_width-1 downto 0); we_i : in std_logic; q_o : out std_logic_vector(g_data_width-1 downto 0); rd_i : in std_logic; full_o : out std_logic; almost_full_o : out std_logic; q_valid_o : out std_logic ); end component; end genram_pkg; package body genram_pkg is function f_log2_size (A : natural) return natural is begin for I in 1 to 64 loop -- Works for up to 64 bits if (2**I >= A) then return(I); end if; end loop; return(63); end function f_log2_size; function f_gen_dummy_vec (val : std_logic; size : natural) return std_logic_vector is variable tmp : std_logic_vector(size-1 downto 0); begin for i in 0 to size-1 loop tmp(i) := val; end loop; -- i return tmp; end f_gen_dummy_vec; function f_zeros(size : integer) return std_logic_vector is begin return std_logic_vector(to_unsigned(0, size)); end f_zeros; end genram_pkg;
lgpl-3.0
twlostow/dsi-shield
hdl/ip_cores/local/gc_i2c_slave.vhd
1
17824
--============================================================================== -- CERN (BE-CO-HT) -- I2C slave core --============================================================================== -- -- author: Theodor Stana ([email protected]) -- -- date of creation: 2013-03-13 -- -- version: 1.0 -- -- description: -- -- Simple I2C slave interface, providing the basic low-level functionality -- of the I2C protocol. -- -- The gc_i2c_slave module waits for a master to initiate a transfer via -- a start condition. The address is sent next and if the address matches -- the slave address set via the i2c_addr_i input, the addr_good_p_o output -- is set. Based on the eighth bit of the first I2C transfer byte, the module -- then starts shifting in or out each byte in the transfer, setting the -- r/w_done_p_o output after each received/sent byte. -- -- For master write (slave read) transfers, the received byte can be read at -- the rx_byte_o output when the r_done_p_o pin is high. For master read (slave -- write) transfers, the slave sends the byte at the tx_byte_i input, which -- should be set when the w_done_p_o output is high, either after I2C address -- reception, or a successful send of a previous byte. -- -- dependencies: -- OHWR general-cores library -- -- references: -- [1] The I2C bus specification, version 2.1, NXP Semiconductor, Jan. 2000 -- http://www.nxp.com/documents/other/39340011.pdf -- --============================================================================== -- GNU LESSER GENERAL PUBLIC LICENSE --============================================================================== -- This source file is free software; you can redistribute it and/or modify it -- under the terms of the GNU Lesser General Public License as published by the -- Free Software Foundation; either version 2.1 of the License, or (at your -- option) any later version. This source is distributed in the hope that it -- will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty -- of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. -- See the GNU Lesser General Public License for more details. You should have -- received a copy of the GNU Lesser General Public License along with this -- source; if not, download it from http://www.gnu.org/licenses/lgpl-2.1.html --============================================================================== -- last changes: -- 2013-03-13 Theodor Stana File created -- 2013-11-22 Theodor Stana Changed to sampling SDA on SCL rising edge --============================================================================== -- TODO: -- - Stop condition --============================================================================== library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.gencores_pkg.all; entity gc_i2c_slave is generic ( -- Length of glitch filter -- 0 - SCL and SDA lines are passed only through synchronizer -- 1 - one clk_i glitches filtered -- 2 - two clk_i glitches filtered g_gf_len : natural := 0 ); port ( -- Clock, reset ports clk_i : in std_logic; rst_n_i : in std_logic; -- I2C lines scl_i : in std_logic; scl_o : out std_logic; scl_en_o : out std_logic; sda_i : in std_logic; sda_o : out std_logic; sda_en_o : out std_logic; -- Slave address i2c_addr_i : in std_logic_vector(6 downto 0); -- ACK input, should be set after done_p_o = '1' -- (note that the bit is reversed wrt I2C ACK bit) -- '1' - ACK -- '0' - NACK ack_i : in std_logic; -- Byte to send, should be loaded while done_p_o = '1' tx_byte_i : in std_logic_vector(7 downto 0); -- Received byte, valid after done_p_o = '1' rx_byte_o : out std_logic_vector(7 downto 0); -- Pulse outputs signaling various I2C actions -- Start and stop conditions i2c_sta_p_o : out std_logic; i2c_sto_p_o : out std_logic; -- Received address corresponds i2c_addr_i addr_good_p_o : out std_logic; -- Read and write done r_done_p_o : out std_logic; w_done_p_o : out std_logic; -- I2C bus operation, set after address detection -- '0' - write -- '1' - read op_o : out std_logic ); end entity gc_i2c_slave; architecture behav of gc_i2c_slave is --============================================================================ -- Type declarations --============================================================================ type t_state is ( IDLE, -- idle ADDR, -- shift in I2C address bits ADDR_ACK, -- ACK/NACK to I2C address RD, -- shift in byte to read RD_ACK, -- ACK/NACK to received byte WR_LOAD_TXSR, -- load byte to send via I2C WR, -- shift out byte WR_ACK -- get ACK/NACK from master ); --============================================================================ -- Signal declarations --============================================================================ -- Deglitched signals and delays for SCL and SDA lines signal scl_synced : std_logic; signal scl_deglitched : std_logic; signal scl_deglitched_d0 : std_logic; signal sda_synced : std_logic; signal sda_deglitched : std_logic; signal sda_deglitched_d0 : std_logic; signal scl_r_edge_p : std_logic; signal scl_f_edge_p : std_logic; signal sda_f_edge_p : std_logic; signal sda_r_edge_p : std_logic; -- FSM signals signal state : t_state; signal inhibit : std_logic; -- RX and TX shift registers signal txsr : std_logic_vector(7 downto 0); signal rxsr : std_logic_vector(7 downto 0); -- Bit counter on RX & TX signal bit_cnt : unsigned(2 downto 0); -- Start and stop condition pulse signals signal sta_p, sto_p : std_logic; -- Master ACKed after it has read a byte from the slave signal mst_acked : std_logic; --============================================================================== -- architecture begin --============================================================================== begin --============================================================================ -- I/O logic --============================================================================ -- No clock stretching implemented, always disable SCL line scl_o <= '0'; scl_en_o <= '0'; -- SDA line driven low; SDA_EN line controls when the tristate buffer is enabled sda_o <= '0'; -- Assign RX byte output rx_byte_o <= rxsr; --============================================================================ -- Deglitching logic --============================================================================ -- First, synchronize the SCL signal in the clk_i domain cmp_sync_scl : gc_sync_ffs generic map ( g_sync_edge => "positive" ) port map ( clk_i => clk_i, rst_n_i => rst_n_i, data_i => scl_i, synced_o => scl_synced ); -- Generate deglitched SCL signal cmp_scl_deglitch : gc_glitch_filt generic map ( g_len => g_gf_len ) port map ( clk_i => clk_i, rst_n_i => rst_n_i, dat_i => scl_synced, dat_o => scl_deglitched ); -- and create a delayed version of this signal, together with one-tick-long -- falling-edge detection signal p_scl_degl_d0 : process(clk_i) is begin if rising_edge(clk_i) then if (rst_n_i = '0') then scl_deglitched_d0 <= '0'; scl_f_edge_p <= '0'; scl_r_edge_p <= '0'; else scl_deglitched_d0 <= scl_deglitched; scl_f_edge_p <= (not scl_deglitched) and scl_deglitched_d0; scl_r_edge_p <= scl_deglitched and (not scl_deglitched_d0); end if; end if; end process p_scl_degl_d0; -- Synchronize SDA signal in clk_i domain cmp_sda_sync : gc_sync_ffs generic map ( g_sync_edge => "positive" ) port map ( clk_i => clk_i, rst_n_i => rst_n_i, data_i => sda_i, synced_o => sda_synced ); -- Generate deglitched SDA signal cmp_sda_deglitch : gc_glitch_filt generic map ( g_len => g_gf_len ) port map ( clk_i => clk_i, rst_n_i => rst_n_i, dat_i => sda_synced, dat_o => sda_deglitched ); -- and create a delayed version of this signal, together with one-tick-long -- falling- and rising-edge detection signals p_sda_deglitched_d0 : process(clk_i) is begin if rising_edge(clk_i) then if (rst_n_i = '0') then sda_deglitched_d0 <= '0'; sda_f_edge_p <= '0'; sda_r_edge_p <= '0'; else sda_deglitched_d0 <= sda_deglitched; sda_f_edge_p <= (not sda_deglitched) and sda_deglitched_d0; sda_r_edge_p <= sda_deglitched and (not sda_deglitched_d0); end if; end if; end process p_sda_deglitched_d0; --============================================================================ -- Start and stop condition outputs --============================================================================ -- First the process to set the start and stop conditions as per I2C standard p_sta_sto : process (clk_i) is begin if rising_edge(clk_i) then if (rst_n_i = '0') then sta_p <= '0'; sto_p <= '0'; else sta_p <= sda_f_edge_p and scl_deglitched; sto_p <= sda_r_edge_p and scl_deglitched; end if; end if; end process p_sta_sto; -- Finally, set the outputs i2c_sta_p_o <= sta_p; i2c_sto_p_o <= sto_p; --============================================================================ -- FSM logic --============================================================================ p_fsm: process (clk_i) is begin if rising_edge(clk_i) then if (rst_n_i = '0') then state <= IDLE; inhibit <= '0'; bit_cnt <= (others => '0'); rxsr <= (others => '0'); txsr <= (others => '0'); mst_acked <= '0'; sda_en_o <= '0'; r_done_p_o <= '0'; w_done_p_o <= '0'; addr_good_p_o <= '0'; op_o <= '0'; -- start and stop conditions are followed by I2C address, so any byte -- following would be an address byte; therefore, it is safe to deinhibit -- the FSM elsif (sta_p = '1') or (sto_p = '1') then state <= IDLE; inhibit <= '0'; -- state machine logic else case state is --------------------------------------------------------------------- -- IDLE --------------------------------------------------------------------- when IDLE => -- clear outputs and bit counter bit_cnt <= (others => '0'); sda_en_o <= '0'; mst_acked <= '0'; r_done_p_o <= '0'; w_done_p_o <= '0'; addr_good_p_o <= '0'; if (scl_f_edge_p = '1') and (inhibit = '0') then state <= ADDR; end if; --------------------------------------------------------------------- -- ADDR --------------------------------------------------------------------- when ADDR => -- Shifting in is done on rising edge of SCL if (scl_r_edge_p = '1') then rxsr <= rxsr(6 downto 0) & sda_deglitched; bit_cnt <= bit_cnt + 1; end if; -- -- Checking the bit counter is done on the falling edge of SCL -- -- If 8 bits have been shifted in, the received address is checked -- and the slave goes in the ADDR_ACK state. -- -- If the address is not ours, go back to IDLE and set inhibit bits -- so bytes sent to or received from another slave that happen to -- coincide to the address of this slave don't get interpreted -- as accesses to this slave. -- if (scl_f_edge_p = '1') then if (bit_cnt = 0) then if (rxsr(7 downto 1) = i2c_addr_i) then op_o <= rxsr(0); addr_good_p_o <= '1'; state <= ADDR_ACK; else inhibit <= '1'; state <= IDLE; end if; end if; end if; --------------------------------------------------------------------- -- ADDR_ACK --------------------------------------------------------------------- when ADDR_ACK => -- clear addr_good pulse addr_good_p_o <= '0'; -- send ACK from input, check the ACK on falling edge and go to -- loading of the TXSR if the OP bit is a write, or read otherwise sda_en_o <= ack_i; if (scl_f_edge_p = '1') then if (ack_i = '1') then if (rxsr(0) = '0') then state <= RD; else state <= WR_LOAD_TXSR; end if; else state <= IDLE; end if; end if; --------------------------------------------------------------------- -- RD --------------------------------------------------------------------- -- Shift in bits sent by the master --------------------------------------------------------------------- when RD => -- not controlling SDA, clear enable signal sda_en_o <= '0'; -- shift in on rising-edge if (scl_r_edge_p = '1') then rxsr <= rxsr(6 downto 0) & sda_deglitched; bit_cnt <= bit_cnt + 1; end if; if (scl_f_edge_p = '1') then -- Received 8 bits, go to RD_ACK and signal external module if (bit_cnt = 0) then state <= RD_ACK; r_done_p_o <= '1'; end if; end if; --------------------------------------------------------------------- -- RD_ACK --------------------------------------------------------------------- when RD_ACK => -- Clear done pulse r_done_p_o <= '0'; -- we write the ACK bit, so control sda_en_o signal to send ACK/NACK sda_en_o <= ack_i; -- based on the ACK received by external command, we read the next -- bit (ACK) or go back to idle state (NACK) if (scl_f_edge_p = '1') then if (ack_i = '1') then state <= RD; else state <= IDLE; end if; end if; --------------------------------------------------------------------- -- WR_LOAD_TXSR --------------------------------------------------------------------- when WR_LOAD_TXSR => txsr <= tx_byte_i; state <= WR; --------------------------------------------------------------------- -- WR --------------------------------------------------------------------- when WR => -- slave writes, SDA output enable is the negated value of the bit -- to send (since on I2C, '1' is a release of the bus) sda_en_o <= not txsr(7); -- increment bit counter on rising edge if (scl_r_edge_p = '1') then bit_cnt <= bit_cnt + 1; end if; -- Shift TXSR on falling edge of SCL if (scl_f_edge_p = '1') then txsr <= txsr(6 downto 0) & '0'; -- Eight bits sent, disable SDA and go to WR_ACK if (bit_cnt = 0) then state <= WR_ACK; w_done_p_o <= '1'; end if; end if; --------------------------------------------------------------------- -- WR_ACK --------------------------------------------------------------------- when WR_ACK => -- master controls SDA, clear sda_en_o sda_en_o <= '0'; -- clear done pulse w_done_p_o <= '0'; -- sample in ACK from master on rising edge if (scl_r_edge_p = '1') then if (sda_deglitched = '0') then mst_acked <= '1'; else mst_acked <= '0'; end if; end if; -- and check it on falling edge if (scl_f_edge_p = '1') then if (mst_acked = '1') then state <= WR_LOAD_TXSR; else state <= IDLE; end if; end if; --------------------------------------------------------------------- -- Any other state: go back to IDLE --------------------------------------------------------------------- when others => state <= IDLE; end case; end if; end if; end process p_fsm; end architecture behav; --============================================================================== -- architecture end --==============================================================================
lgpl-3.0
twlostow/dsi-shield
hdl/ip_cores/local/generic_spram.vhd
1
5219
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; library work; use work.genram_pkg.all; entity generic_spram is generic ( -- standard parameters g_data_width : natural := 32; g_size : natural := 1024; -- if true, the user can write individual bytes by using bwe_i g_with_byte_enable : boolean := false; -- RAM read-on-write conflict resolution. Can be "read_first" (read-then-write) -- or "write_first" (write-then-read) g_addr_conflict_resolution : string := "write_first"; g_init_file : string := "" ); port ( rst_n_i : in std_logic; -- synchronous reset, active LO clk_i : in std_logic; -- clock input -- byte write enable, actiwe when g_ bwe_i : in std_logic_vector((g_data_width+7)/8-1 downto 0); -- global write enable (masked by bwe_i if g_with_byte_enable = true) we_i : in std_logic; -- address input a_i : in std_logic_vector(f_log2_size(g_size)-1 downto 0); -- data input d_i : in std_logic_vector(g_data_width-1 downto 0); -- data output q_o : out std_logic_vector(g_data_width-1 downto 0) ); end generic_spram; architecture syn of generic_spram is constant c_num_bytes : integer := (g_data_width+7)/8; type t_ram_type is array(0 to g_size-1) of std_logic_vector(g_data_width-1 downto 0); type t_string_file_type is file of string; impure function f_bitstring_2_slv(s : string; num_bits : integer) return std_logic_vector is begin end function f_bitstring_2_slv; impure function f_load_from_file(file_name : string) return t_ram_type is file f : t_string_file_type; variable fstatus : file_open_status; begin file_open(fstatus, f, file_name, read_mode); if(fstatus /= open_ok) then report "generic_spram: Cannot open memory initialization file: " & file_name severity failure; end if; end function f_load_from_file; signal ram : t_ram_type; signal s_we : std_logic_vector(c_num_bytes-1 downto 0); signal s_ram_in : std_logic_vector(g_data_width-1 downto 0); signal s_ram_out : std_logic_vector(g_data_width-1 downto 0); begin assert (g_init_file = "" or g_init_file = "none") report "generic_spram: Memory initialization files not supported yet. Sorry :(" severity failure; gen_with_byte_enable_writefirst : if(g_with_byte_enable = true and g_addr_conflict_resolution = "write_first") generate s_we <= bwe_i when we_i = '1' else (others => '0'); process(s_we, d_i) begin for i in 0 to c_num_bytes-1 loop if s_we(i) = '1' then s_ram_in(8*i+7 downto 8*i) <= d_i(8*i+7 downto 8*i); s_ram_out(8*i+7 downto 8*i) <= d_i(8*i+7 downto 8*i); else s_ram_in(8*i+7 downto 8*i) <= ram(conv_integer(unsigned(a_i)))(8*i+7 downto 8*i); s_ram_out(8*i+7 downto 8*i) <= ram(conv_integer(unsigned(a_i)))(8*i+7 downto 8*i); end if; end loop; -- i end process; process(clk_i) begin if rising_edge(clk_i) then ram(conv_integer(unsigned(a_i))) <= s_ram_in; q_o <= s_ram_out; end if; end process; end generate gen_with_byte_enable_writefirst; gen_with_byte_enable_readfirst : if(g_with_byte_enable = true and (g_addr_conflict_resolution = "read_first" or g_addr_conflict_resolution = "dont_care")) generate s_we <= bwe_i when we_i = '1' else (others => '0'); process(s_we, d_i) begin for i in 0 to c_num_bytes-1 loop if (s_we(i) = '1') then s_ram_in(8*i+7 downto 8*i) <= d_i(8*i+7 downto 8*i); else s_ram_in(8*i+7 downto 8*i) <= ram(conv_integer(unsigned(a_i)))(8*i+7 downto 8*i); end if; end loop; end process; process(clk_i) begin if rising_edge(clk_i) then ram(conv_integer(unsigned(a_i))) <= s_ram_in; q_o <= ram(conv_integer(unsigned(a_i))); end if; end process; end generate gen_with_byte_enable_readfirst; gen_without_byte_enable_writefirst : if(g_with_byte_enable = false and g_addr_conflict_resolution = "write_first") generate process(clk_i) begin if rising_edge(clk_i) then if(we_i = '1') then ram(conv_integer(unsigned(a_i))) <= d_i; q_o <= d_i; else q_o <= ram(conv_integer(unsigned(a_i))); end if; end if; end process; end generate gen_without_byte_enable_writefirst; gen_without_byte_enable_readfirst : if(g_with_byte_enable = false and (g_addr_conflict_resolution = "read_first" or g_addr_conflict_resolution = "dont_care")) generate process(clk_i) begin if rising_edge(clk_i) then if(we_i = '1') then ram(conv_integer(unsigned(a_i))) <= d_i; end if; q_o <= ram(conv_integer(unsigned(a_i))); end if; end process; end generate gen_without_byte_enable_readfirst; end syn;
lgpl-3.0
trondd/mkjpeg
design/huffman/DC_CR_ROM.vhd
2
4872
------------------------------------------------------------------------------- -- File Name : DC_CR_ROM.vhd -- -- Project : JPEG_ENC -- -- Module : DC_CR_ROM -- -- Content : DC_CR_ROM Chrominance -- -- Description : -- -- Spec. : -- -- Author : Michal Krepa -- ------------------------------------------------------------------------------- -- History : -- 20090329: (MK): Initial Creation. ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ----------------------------------- LIBRARY/PACKAGE --------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- generic packages/libraries: ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; ------------------------------------------------------------------------------- -- user packages/libraries: ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ----------------------------------- ENTITY ------------------------------------ ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- entity DC_CR_ROM is port ( CLK : in std_logic; RST : in std_logic; VLI_size : in std_logic_vector(3 downto 0); VLC_DC_size : out std_logic_vector(3 downto 0); VLC_DC : out unsigned(10 downto 0) ); end entity DC_CR_ROM; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ----------------------------------- ARCHITECTURE ------------------------------ ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- architecture RTL of DC_CR_ROM is ------------------------------------------------------------------------------- -- Architecture: begin ------------------------------------------------------------------------------- begin ------------------------------------------------------------------- -- DC-ROM ------------------------------------------------------------------- p_DC_CR_ROM : process(CLK, RST) begin if RST = '1' then VLC_DC_size <= X"0"; VLC_DC <= (others => '0'); elsif CLK'event and CLK = '1' then case VLI_size is when X"0" => VLC_DC_size <= X"2"; VLC_DC <= resize("00", VLC_DC'length); when X"1" => VLC_DC_size <= X"2"; VLC_DC <= resize("01", VLC_DC'length); when X"2" => VLC_DC_size <= X"2"; VLC_DC <= resize("10", VLC_DC'length); when X"3" => VLC_DC_size <= X"3"; VLC_DC <= resize("110", VLC_DC'length); when X"4" => VLC_DC_size <= X"4"; VLC_DC <= resize("1110", VLC_DC'length); when X"5" => VLC_DC_size <= X"5"; VLC_DC <= resize("11110", VLC_DC'length); when X"6" => VLC_DC_size <= X"6"; VLC_DC <= resize("111110", VLC_DC'length); when X"7" => VLC_DC_size <= X"7"; VLC_DC <= resize("1111110", VLC_DC'length); when X"8" => VLC_DC_size <= X"8"; VLC_DC <= resize("11111110", VLC_DC'length); when X"9" => VLC_DC_size <= X"9"; VLC_DC <= resize("111111110", VLC_DC'length); when X"A" => VLC_DC_size <= X"A"; VLC_DC <= resize("1111111110", VLC_DC'length); when X"B" => VLC_DC_size <= X"B"; VLC_DC <= resize("11111111110", VLC_DC'length); when others => VLC_DC_size <= X"0"; VLC_DC <= (others => '0'); end case; end if; end process; end architecture RTL; ------------------------------------------------------------------------------- -- Architecture: end -------------------------------------------------------------------------------
lgpl-3.0
trondd/mkjpeg
design/JFIFGen/HeaderRAM.vhd
2
1490
LIBRARY ieee, std; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; use ieee.std_logic_textio.all; use std.textio.all; ENTITY HeaderRam IS GENERIC ( ADDRESS_WIDTH : integer := 10; DATA_WIDTH : integer := 8 ); PORT ( clk : IN std_logic; d : IN std_logic_vector(DATA_WIDTH - 1 DOWNTO 0); waddr : IN std_logic_vector(ADDRESS_WIDTH - 1 DOWNTO 0); raddr : IN std_logic_vector(ADDRESS_WIDTH - 1 DOWNTO 0); we : IN std_logic; q : OUT std_logic_vector(DATA_WIDTH - 1 DOWNTO 0) ); END HeaderRam; ARCHITECTURE rtl OF HeaderRam IS TYPE RamType IS ARRAY(0 TO 2 ** ADDRESS_WIDTH - 1) OF std_logic_vector(DATA_WIDTH - 1 DOWNTO 0); impure function InitRamFromFile(RamFileName : in string) return RamType is FILE RamFile : text is in RamFileName; variable RamFileLine : line; variable RAM : RamType; begin for l in RamType'range loop readline(RamFile, RamFileLine); hread(RamFileLine, RAM(l)); end loop; return RAM; end function; --SIGNAL ram_block : RamType := InitRamFromFile("../design/jfifgen/header.hex"); SIGNAL ram_block : RamType; attribute ram_init_file : string; attribute ram_init_file of ram_block : signal is "./src/jpg/JFIFGen/header.mif"; BEGIN PROCESS (clk) BEGIN IF (clk'event AND clk = '1') THEN IF (we = '1') THEN ram_block(to_integer(unsigned(waddr))) <= d; END IF; q <= ram_block(to_integer(unsigned(raddr))); END IF; END PROCESS; END rtl;
lgpl-3.0
trondd/mkjpeg
tb/vhdl/ClkGen.vhd
2
2500
------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ----------------------------------- LIBRARY/PACKAGE --------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- generic packages/libraries: ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; ------------------------------------------------------------------------------- -- user packages/libraries: ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ----------------------------------- ENTITY ------------------------------------ ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- entity ClkGen is port ( CLK : out std_logic; RST : out std_logic ); end entity ClkGen; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ----------------------------------- ARCHITECTURE ------------------------------ ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- architecture ClkGen_rtl of ClkGen is constant CLOCK_PERIOD : time := 10 ns; signal clk_s : std_logic := '0'; signal rst_s : std_logic := '0'; begin -- Clock generator (50% duty cycle) clk_gen: process begin clk_s <= '0'; wait for CLOCK_PERIOD/2; clk_s <= '1'; wait for CLOCK_PERIOD/2; end process clk_gen; CLK <= clk_s; reset_gen: process begin wait until rising_edge(clk_s); rst_s <= '0'; wait until rising_edge(clk_s); rst_s <= '1'; wait until rising_edge(clk_s); rst_s <= '0'; wait; end process reset_gen; RST <= rst_s; end architecture ClkGen_rtl;
lgpl-3.0
jairov4/accel-oil
solution_spartan3/syn/vhdl/nfa_accept_samples_generic_hw.vhd
1
85839
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2013.4 -- Copyright (C) 2013 Xilinx Inc. All rights reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity nfa_accept_samples_generic_hw is port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; nfa_initials_buckets_req_din : OUT STD_LOGIC; nfa_initials_buckets_req_full_n : IN STD_LOGIC; nfa_initials_buckets_req_write : OUT STD_LOGIC; nfa_initials_buckets_rsp_empty_n : IN STD_LOGIC; nfa_initials_buckets_rsp_read : OUT STD_LOGIC; nfa_initials_buckets_address : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_initials_buckets_datain : IN STD_LOGIC_VECTOR (31 downto 0); nfa_initials_buckets_dataout : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_initials_buckets_size : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_finals_buckets_req_din : OUT STD_LOGIC; nfa_finals_buckets_req_full_n : IN STD_LOGIC; nfa_finals_buckets_req_write : OUT STD_LOGIC; nfa_finals_buckets_rsp_empty_n : IN STD_LOGIC; nfa_finals_buckets_rsp_read : OUT STD_LOGIC; nfa_finals_buckets_address : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_finals_buckets_datain : IN STD_LOGIC_VECTOR (31 downto 0); nfa_finals_buckets_dataout : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_finals_buckets_size : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_forward_buckets_req_din : OUT STD_LOGIC; nfa_forward_buckets_req_full_n : IN STD_LOGIC; nfa_forward_buckets_req_write : OUT STD_LOGIC; nfa_forward_buckets_rsp_empty_n : IN STD_LOGIC; nfa_forward_buckets_rsp_read : OUT STD_LOGIC; nfa_forward_buckets_address : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_forward_buckets_datain : IN STD_LOGIC_VECTOR (31 downto 0); nfa_forward_buckets_dataout : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_forward_buckets_size : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_symbols : IN STD_LOGIC_VECTOR (7 downto 0); sample_buffer_req_din : OUT STD_LOGIC; sample_buffer_req_full_n : IN STD_LOGIC; sample_buffer_req_write : OUT STD_LOGIC; sample_buffer_rsp_empty_n : IN STD_LOGIC; sample_buffer_rsp_read : OUT STD_LOGIC; sample_buffer_address : OUT STD_LOGIC_VECTOR (31 downto 0); sample_buffer_datain : IN STD_LOGIC_VECTOR (7 downto 0); sample_buffer_dataout : OUT STD_LOGIC_VECTOR (7 downto 0); sample_buffer_size : OUT STD_LOGIC_VECTOR (31 downto 0); sample_buffer_length : IN STD_LOGIC_VECTOR (31 downto 0); sample_length : IN STD_LOGIC_VECTOR (15 downto 0); indices_begin_req_din : OUT STD_LOGIC; indices_begin_req_full_n : IN STD_LOGIC; indices_begin_req_write : OUT STD_LOGIC; indices_begin_rsp_empty_n : IN STD_LOGIC; indices_begin_rsp_read : OUT STD_LOGIC; indices_begin_address : OUT STD_LOGIC_VECTOR (31 downto 0); indices_begin_datain : IN STD_LOGIC_VECTOR (31 downto 0); indices_begin_dataout : OUT STD_LOGIC_VECTOR (31 downto 0); indices_begin_size : OUT STD_LOGIC_VECTOR (31 downto 0); indices_samples_req_din : OUT STD_LOGIC; indices_samples_req_full_n : IN STD_LOGIC; indices_samples_req_write : OUT STD_LOGIC; indices_samples_rsp_empty_n : IN STD_LOGIC; indices_samples_rsp_read : OUT STD_LOGIC; indices_samples_address : OUT STD_LOGIC_VECTOR (31 downto 0); indices_samples_datain : IN STD_LOGIC_VECTOR (15 downto 0); indices_samples_dataout : OUT STD_LOGIC_VECTOR (15 downto 0); indices_samples_size : OUT STD_LOGIC_VECTOR (31 downto 0); indices_stride_req_din : OUT STD_LOGIC; indices_stride_req_full_n : IN STD_LOGIC; indices_stride_req_write : OUT STD_LOGIC; indices_stride_rsp_empty_n : IN STD_LOGIC; indices_stride_rsp_read : OUT STD_LOGIC; indices_stride_address : OUT STD_LOGIC_VECTOR (31 downto 0); indices_stride_datain : IN STD_LOGIC_VECTOR (7 downto 0); indices_stride_dataout : OUT STD_LOGIC_VECTOR (7 downto 0); indices_stride_size : OUT STD_LOGIC_VECTOR (31 downto 0); i_size : IN STD_LOGIC_VECTOR (15 downto 0); begin_index : IN STD_LOGIC_VECTOR (15 downto 0); begin_sample : IN STD_LOGIC_VECTOR (15 downto 0); end_index : IN STD_LOGIC_VECTOR (15 downto 0); end_sample : IN STD_LOGIC_VECTOR (15 downto 0); stop_on_first : IN STD_LOGIC_VECTOR (0 downto 0); accept : IN STD_LOGIC_VECTOR (0 downto 0); ap_return : OUT STD_LOGIC_VECTOR (31 downto 0) ); end; architecture behav of nfa_accept_samples_generic_hw is attribute CORE_GENERATION_INFO : STRING; attribute CORE_GENERATION_INFO of behav : architecture is "nfa_accept_samples_generic_hw,hls_ip_2013_4,{HLS_INPUT_TYPE=c,HLS_INPUT_FLOAT=0,HLS_INPUT_FIXED=0,HLS_INPUT_PART=xc3s200avq100-5,HLS_INPUT_CLOCK=1.000000,HLS_INPUT_ARCH=others,HLS_SYN_CLOCK=3.449000,HLS_SYN_LAT=117874014,HLS_SYN_TPT=none,HLS_SYN_MEM=0,HLS_SYN_DSP=0,HLS_SYN_FF=0,HLS_SYN_LUT=0}"; constant ap_const_logic_1 : STD_LOGIC := '1'; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_ST_st1_fsm_0 : STD_LOGIC_VECTOR (5 downto 0) := "000000"; constant ap_ST_st2_fsm_1 : STD_LOGIC_VECTOR (5 downto 0) := "000001"; constant ap_ST_st3_fsm_2 : STD_LOGIC_VECTOR (5 downto 0) := "000010"; constant ap_ST_st4_fsm_3 : STD_LOGIC_VECTOR (5 downto 0) := "000011"; constant ap_ST_st5_fsm_4 : STD_LOGIC_VECTOR (5 downto 0) := "000100"; constant ap_ST_st6_fsm_5 : STD_LOGIC_VECTOR (5 downto 0) := "000101"; constant ap_ST_st7_fsm_6 : STD_LOGIC_VECTOR (5 downto 0) := "000110"; constant ap_ST_st8_fsm_7 : STD_LOGIC_VECTOR (5 downto 0) := "000111"; constant ap_ST_st9_fsm_8 : STD_LOGIC_VECTOR (5 downto 0) := "001000"; constant ap_ST_st10_fsm_9 : STD_LOGIC_VECTOR (5 downto 0) := "001001"; constant ap_ST_st11_fsm_10 : STD_LOGIC_VECTOR (5 downto 0) := "001010"; constant ap_ST_st12_fsm_11 : STD_LOGIC_VECTOR (5 downto 0) := "001011"; constant ap_ST_st13_fsm_12 : STD_LOGIC_VECTOR (5 downto 0) := "001100"; constant ap_ST_st14_fsm_13 : STD_LOGIC_VECTOR (5 downto 0) := "001101"; constant ap_ST_st15_fsm_14 : STD_LOGIC_VECTOR (5 downto 0) := "001110"; constant ap_ST_st16_fsm_15 : STD_LOGIC_VECTOR (5 downto 0) := "001111"; constant ap_ST_st17_fsm_16 : STD_LOGIC_VECTOR (5 downto 0) := "010000"; constant ap_ST_st18_fsm_17 : STD_LOGIC_VECTOR (5 downto 0) := "010001"; constant ap_ST_st19_fsm_18 : STD_LOGIC_VECTOR (5 downto 0) := "010010"; constant ap_ST_st20_fsm_19 : STD_LOGIC_VECTOR (5 downto 0) := "010011"; constant ap_ST_st21_fsm_20 : STD_LOGIC_VECTOR (5 downto 0) := "010100"; constant ap_ST_st22_fsm_21 : STD_LOGIC_VECTOR (5 downto 0) := "010101"; constant ap_ST_st23_fsm_22 : STD_LOGIC_VECTOR (5 downto 0) := "010110"; constant ap_ST_st24_fsm_23 : STD_LOGIC_VECTOR (5 downto 0) := "010111"; constant ap_ST_st25_fsm_24 : STD_LOGIC_VECTOR (5 downto 0) := "011000"; constant ap_ST_st26_fsm_25 : STD_LOGIC_VECTOR (5 downto 0) := "011001"; constant ap_ST_st27_fsm_26 : STD_LOGIC_VECTOR (5 downto 0) := "011010"; constant ap_ST_st28_fsm_27 : STD_LOGIC_VECTOR (5 downto 0) := "011011"; constant ap_ST_st29_fsm_28 : STD_LOGIC_VECTOR (5 downto 0) := "011100"; constant ap_ST_st30_fsm_29 : STD_LOGIC_VECTOR (5 downto 0) := "011101"; constant ap_ST_st31_fsm_30 : STD_LOGIC_VECTOR (5 downto 0) := "011110"; constant ap_ST_st32_fsm_31 : STD_LOGIC_VECTOR (5 downto 0) := "011111"; constant ap_ST_st33_fsm_32 : STD_LOGIC_VECTOR (5 downto 0) := "100000"; constant ap_ST_st34_fsm_33 : STD_LOGIC_VECTOR (5 downto 0) := "100001"; constant ap_ST_st35_fsm_34 : STD_LOGIC_VECTOR (5 downto 0) := "100010"; constant ap_ST_st36_fsm_35 : STD_LOGIC_VECTOR (5 downto 0) := "100011"; constant ap_ST_st37_fsm_36 : STD_LOGIC_VECTOR (5 downto 0) := "100100"; constant ap_ST_st38_fsm_37 : STD_LOGIC_VECTOR (5 downto 0) := "100101"; constant ap_ST_st39_fsm_38 : STD_LOGIC_VECTOR (5 downto 0) := "100110"; constant ap_ST_st40_fsm_39 : STD_LOGIC_VECTOR (5 downto 0) := "100111"; constant ap_const_lv1_0 : STD_LOGIC_VECTOR (0 downto 0) := "0"; constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001"; constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; signal ap_CS_fsm : STD_LOGIC_VECTOR (5 downto 0) := "000000"; signal stop_on_first_read_read_fu_102_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_i_fu_228_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_i_reg_313 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_i_10_fu_233_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_i_10_reg_318 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_i_11_fu_238_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_i_11_reg_323 : STD_LOGIC_VECTOR (0 downto 0); signal c_load_reg_327 : STD_LOGIC_VECTOR (31 downto 0); signal grp_sample_iterator_get_offset_fu_192_ap_return : STD_LOGIC_VECTOR (31 downto 0); signal offset_reg_333 : STD_LOGIC_VECTOR (31 downto 0); signal grp_nfa_accept_sample_fu_176_ap_return : STD_LOGIC_VECTOR (0 downto 0); signal r_reg_338 : STD_LOGIC_VECTOR (0 downto 0); signal grp_nfa_accept_sample_fu_176_ap_done : STD_LOGIC; signal or_cond_fu_245_p2 : STD_LOGIC_VECTOR (0 downto 0); signal or_cond_reg_343 : STD_LOGIC_VECTOR (0 downto 0); signal grp_fu_249_p2 : STD_LOGIC_VECTOR (31 downto 0); signal c_1_reg_347 : STD_LOGIC_VECTOR (31 downto 0); signal grp_nfa_accept_sample_fu_176_ap_start : STD_LOGIC; signal grp_nfa_accept_sample_fu_176_ap_idle : STD_LOGIC; signal grp_nfa_accept_sample_fu_176_ap_ready : STD_LOGIC; signal grp_nfa_accept_sample_fu_176_nfa_initials_buckets_req_din : STD_LOGIC; signal grp_nfa_accept_sample_fu_176_nfa_initials_buckets_req_full_n : STD_LOGIC; signal grp_nfa_accept_sample_fu_176_nfa_initials_buckets_req_write : STD_LOGIC; signal grp_nfa_accept_sample_fu_176_nfa_initials_buckets_rsp_empty_n : STD_LOGIC; signal grp_nfa_accept_sample_fu_176_nfa_initials_buckets_rsp_read : STD_LOGIC; signal grp_nfa_accept_sample_fu_176_nfa_initials_buckets_address : STD_LOGIC_VECTOR (31 downto 0); signal grp_nfa_accept_sample_fu_176_nfa_initials_buckets_datain : STD_LOGIC_VECTOR (31 downto 0); signal grp_nfa_accept_sample_fu_176_nfa_initials_buckets_dataout : STD_LOGIC_VECTOR (31 downto 0); signal grp_nfa_accept_sample_fu_176_nfa_initials_buckets_size : STD_LOGIC_VECTOR (31 downto 0); signal grp_nfa_accept_sample_fu_176_nfa_finals_buckets_req_din : STD_LOGIC; signal grp_nfa_accept_sample_fu_176_nfa_finals_buckets_req_full_n : STD_LOGIC; signal grp_nfa_accept_sample_fu_176_nfa_finals_buckets_req_write : STD_LOGIC; signal grp_nfa_accept_sample_fu_176_nfa_finals_buckets_rsp_empty_n : STD_LOGIC; signal grp_nfa_accept_sample_fu_176_nfa_finals_buckets_rsp_read : STD_LOGIC; signal grp_nfa_accept_sample_fu_176_nfa_finals_buckets_address : STD_LOGIC_VECTOR (31 downto 0); signal grp_nfa_accept_sample_fu_176_nfa_finals_buckets_datain : STD_LOGIC_VECTOR (31 downto 0); signal grp_nfa_accept_sample_fu_176_nfa_finals_buckets_dataout : STD_LOGIC_VECTOR (31 downto 0); signal grp_nfa_accept_sample_fu_176_nfa_finals_buckets_size : STD_LOGIC_VECTOR (31 downto 0); signal grp_nfa_accept_sample_fu_176_nfa_forward_buckets_req_din : STD_LOGIC; signal grp_nfa_accept_sample_fu_176_nfa_forward_buckets_req_full_n : STD_LOGIC; signal grp_nfa_accept_sample_fu_176_nfa_forward_buckets_req_write : STD_LOGIC; signal grp_nfa_accept_sample_fu_176_nfa_forward_buckets_rsp_empty_n : STD_LOGIC; signal grp_nfa_accept_sample_fu_176_nfa_forward_buckets_rsp_read : STD_LOGIC; signal grp_nfa_accept_sample_fu_176_nfa_forward_buckets_address : STD_LOGIC_VECTOR (31 downto 0); signal grp_nfa_accept_sample_fu_176_nfa_forward_buckets_datain : STD_LOGIC_VECTOR (31 downto 0); signal grp_nfa_accept_sample_fu_176_nfa_forward_buckets_dataout : STD_LOGIC_VECTOR (31 downto 0); signal grp_nfa_accept_sample_fu_176_nfa_forward_buckets_size : STD_LOGIC_VECTOR (31 downto 0); signal grp_nfa_accept_sample_fu_176_nfa_symbols : STD_LOGIC_VECTOR (7 downto 0); signal grp_nfa_accept_sample_fu_176_sample_req_din : STD_LOGIC; signal grp_nfa_accept_sample_fu_176_sample_req_full_n : STD_LOGIC; signal grp_nfa_accept_sample_fu_176_sample_req_write : STD_LOGIC; signal grp_nfa_accept_sample_fu_176_sample_rsp_empty_n : STD_LOGIC; signal grp_nfa_accept_sample_fu_176_sample_rsp_read : STD_LOGIC; signal grp_nfa_accept_sample_fu_176_sample_address : STD_LOGIC_VECTOR (31 downto 0); signal grp_nfa_accept_sample_fu_176_sample_datain : STD_LOGIC_VECTOR (7 downto 0); signal grp_nfa_accept_sample_fu_176_sample_dataout : STD_LOGIC_VECTOR (7 downto 0); signal grp_nfa_accept_sample_fu_176_sample_size : STD_LOGIC_VECTOR (31 downto 0); signal grp_nfa_accept_sample_fu_176_empty : STD_LOGIC_VECTOR (31 downto 0); signal grp_nfa_accept_sample_fu_176_length_r : STD_LOGIC_VECTOR (15 downto 0); signal grp_sample_iterator_get_offset_fu_192_ap_start : STD_LOGIC; signal grp_sample_iterator_get_offset_fu_192_ap_done : STD_LOGIC; signal grp_sample_iterator_get_offset_fu_192_ap_idle : STD_LOGIC; signal grp_sample_iterator_get_offset_fu_192_ap_ready : STD_LOGIC; signal grp_sample_iterator_get_offset_fu_192_indices_stride_req_din : STD_LOGIC; signal grp_sample_iterator_get_offset_fu_192_indices_stride_req_full_n : STD_LOGIC; signal grp_sample_iterator_get_offset_fu_192_indices_stride_req_write : STD_LOGIC; signal grp_sample_iterator_get_offset_fu_192_indices_stride_rsp_empty_n : STD_LOGIC; signal grp_sample_iterator_get_offset_fu_192_indices_stride_rsp_read : STD_LOGIC; signal grp_sample_iterator_get_offset_fu_192_indices_stride_address : STD_LOGIC_VECTOR (31 downto 0); signal grp_sample_iterator_get_offset_fu_192_indices_stride_datain : STD_LOGIC_VECTOR (7 downto 0); signal grp_sample_iterator_get_offset_fu_192_indices_stride_dataout : STD_LOGIC_VECTOR (7 downto 0); signal grp_sample_iterator_get_offset_fu_192_indices_stride_size : STD_LOGIC_VECTOR (31 downto 0); signal grp_sample_iterator_get_offset_fu_192_indices_begin_req_din : STD_LOGIC; signal grp_sample_iterator_get_offset_fu_192_indices_begin_req_full_n : STD_LOGIC; signal grp_sample_iterator_get_offset_fu_192_indices_begin_req_write : STD_LOGIC; signal grp_sample_iterator_get_offset_fu_192_indices_begin_rsp_empty_n : STD_LOGIC; signal grp_sample_iterator_get_offset_fu_192_indices_begin_rsp_read : STD_LOGIC; signal grp_sample_iterator_get_offset_fu_192_indices_begin_address : STD_LOGIC_VECTOR (31 downto 0); signal grp_sample_iterator_get_offset_fu_192_indices_begin_datain : STD_LOGIC_VECTOR (31 downto 0); signal grp_sample_iterator_get_offset_fu_192_indices_begin_dataout : STD_LOGIC_VECTOR (31 downto 0); signal grp_sample_iterator_get_offset_fu_192_indices_begin_size : STD_LOGIC_VECTOR (31 downto 0); signal grp_sample_iterator_get_offset_fu_192_ap_ce : STD_LOGIC; signal grp_sample_iterator_get_offset_fu_192_i_index : STD_LOGIC_VECTOR (15 downto 0); signal grp_sample_iterator_get_offset_fu_192_i_sample : STD_LOGIC_VECTOR (15 downto 0); signal grp_sample_iterator_get_offset_fu_192_indices_samples_req_din : STD_LOGIC; signal grp_sample_iterator_get_offset_fu_192_indices_samples_req_full_n : STD_LOGIC; signal grp_sample_iterator_get_offset_fu_192_indices_samples_req_write : STD_LOGIC; signal grp_sample_iterator_get_offset_fu_192_indices_samples_rsp_empty_n : STD_LOGIC; signal grp_sample_iterator_get_offset_fu_192_indices_samples_rsp_read : STD_LOGIC; signal grp_sample_iterator_get_offset_fu_192_indices_samples_address : STD_LOGIC_VECTOR (31 downto 0); signal grp_sample_iterator_get_offset_fu_192_indices_samples_datain : STD_LOGIC_VECTOR (15 downto 0); signal grp_sample_iterator_get_offset_fu_192_indices_samples_dataout : STD_LOGIC_VECTOR (15 downto 0); signal grp_sample_iterator_get_offset_fu_192_indices_samples_size : STD_LOGIC_VECTOR (31 downto 0); signal grp_sample_iterator_get_offset_fu_192_sample_buffer_size : STD_LOGIC_VECTOR (31 downto 0); signal grp_sample_iterator_get_offset_fu_192_sample_length : STD_LOGIC_VECTOR (15 downto 0); signal grp_sample_iterator_next_fu_209_ap_start : STD_LOGIC; signal grp_sample_iterator_next_fu_209_ap_done : STD_LOGIC; signal grp_sample_iterator_next_fu_209_ap_idle : STD_LOGIC; signal grp_sample_iterator_next_fu_209_ap_ready : STD_LOGIC; signal grp_sample_iterator_next_fu_209_indices_samples_req_din : STD_LOGIC; signal grp_sample_iterator_next_fu_209_indices_samples_req_full_n : STD_LOGIC; signal grp_sample_iterator_next_fu_209_indices_samples_req_write : STD_LOGIC; signal grp_sample_iterator_next_fu_209_indices_samples_rsp_empty_n : STD_LOGIC; signal grp_sample_iterator_next_fu_209_indices_samples_rsp_read : STD_LOGIC; signal grp_sample_iterator_next_fu_209_indices_samples_address : STD_LOGIC_VECTOR (31 downto 0); signal grp_sample_iterator_next_fu_209_indices_samples_datain : STD_LOGIC_VECTOR (15 downto 0); signal grp_sample_iterator_next_fu_209_indices_samples_dataout : STD_LOGIC_VECTOR (15 downto 0); signal grp_sample_iterator_next_fu_209_indices_samples_size : STD_LOGIC_VECTOR (31 downto 0); signal grp_sample_iterator_next_fu_209_ap_ce : STD_LOGIC; signal grp_sample_iterator_next_fu_209_indices_begin_req_din : STD_LOGIC; signal grp_sample_iterator_next_fu_209_indices_begin_req_full_n : STD_LOGIC; signal grp_sample_iterator_next_fu_209_indices_begin_req_write : STD_LOGIC; signal grp_sample_iterator_next_fu_209_indices_begin_rsp_empty_n : STD_LOGIC; signal grp_sample_iterator_next_fu_209_indices_begin_rsp_read : STD_LOGIC; signal grp_sample_iterator_next_fu_209_indices_begin_address : STD_LOGIC_VECTOR (31 downto 0); signal grp_sample_iterator_next_fu_209_indices_begin_datain : STD_LOGIC_VECTOR (31 downto 0); signal grp_sample_iterator_next_fu_209_indices_begin_dataout : STD_LOGIC_VECTOR (31 downto 0); signal grp_sample_iterator_next_fu_209_indices_begin_size : STD_LOGIC_VECTOR (31 downto 0); signal grp_sample_iterator_next_fu_209_indices_stride_req_din : STD_LOGIC; signal grp_sample_iterator_next_fu_209_indices_stride_req_full_n : STD_LOGIC; signal grp_sample_iterator_next_fu_209_indices_stride_req_write : STD_LOGIC; signal grp_sample_iterator_next_fu_209_indices_stride_rsp_empty_n : STD_LOGIC; signal grp_sample_iterator_next_fu_209_indices_stride_rsp_read : STD_LOGIC; signal grp_sample_iterator_next_fu_209_indices_stride_address : STD_LOGIC_VECTOR (31 downto 0); signal grp_sample_iterator_next_fu_209_indices_stride_datain : STD_LOGIC_VECTOR (7 downto 0); signal grp_sample_iterator_next_fu_209_indices_stride_dataout : STD_LOGIC_VECTOR (7 downto 0); signal grp_sample_iterator_next_fu_209_indices_stride_size : STD_LOGIC_VECTOR (31 downto 0); signal grp_sample_iterator_next_fu_209_i_index : STD_LOGIC_VECTOR (15 downto 0); signal grp_sample_iterator_next_fu_209_i_sample : STD_LOGIC_VECTOR (15 downto 0); signal grp_sample_iterator_next_fu_209_ap_return_0 : STD_LOGIC_VECTOR (15 downto 0); signal grp_sample_iterator_next_fu_209_ap_return_1 : STD_LOGIC_VECTOR (15 downto 0); signal i_index_reg_144 : STD_LOGIC_VECTOR (15 downto 0); signal i_sample_reg_154 : STD_LOGIC_VECTOR (15 downto 0); signal p_0_reg_164 : STD_LOGIC_VECTOR (31 downto 0); signal grp_nfa_accept_sample_fu_176_ap_start_ap_start_reg : STD_LOGIC := '0'; signal grp_sample_iterator_get_offset_fu_192_ap_start_ap_start_reg : STD_LOGIC := '0'; signal ap_NS_fsm : STD_LOGIC_VECTOR (5 downto 0); signal grp_sample_iterator_next_fu_209_ap_start_ap_start_reg : STD_LOGIC := '0'; signal c_fu_92 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_249_p0 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_249_p1 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_249_ce : STD_LOGIC; component nfa_accept_sample IS port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; nfa_initials_buckets_req_din : OUT STD_LOGIC; nfa_initials_buckets_req_full_n : IN STD_LOGIC; nfa_initials_buckets_req_write : OUT STD_LOGIC; nfa_initials_buckets_rsp_empty_n : IN STD_LOGIC; nfa_initials_buckets_rsp_read : OUT STD_LOGIC; nfa_initials_buckets_address : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_initials_buckets_datain : IN STD_LOGIC_VECTOR (31 downto 0); nfa_initials_buckets_dataout : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_initials_buckets_size : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_finals_buckets_req_din : OUT STD_LOGIC; nfa_finals_buckets_req_full_n : IN STD_LOGIC; nfa_finals_buckets_req_write : OUT STD_LOGIC; nfa_finals_buckets_rsp_empty_n : IN STD_LOGIC; nfa_finals_buckets_rsp_read : OUT STD_LOGIC; nfa_finals_buckets_address : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_finals_buckets_datain : IN STD_LOGIC_VECTOR (31 downto 0); nfa_finals_buckets_dataout : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_finals_buckets_size : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_forward_buckets_req_din : OUT STD_LOGIC; nfa_forward_buckets_req_full_n : IN STD_LOGIC; nfa_forward_buckets_req_write : OUT STD_LOGIC; nfa_forward_buckets_rsp_empty_n : IN STD_LOGIC; nfa_forward_buckets_rsp_read : OUT STD_LOGIC; nfa_forward_buckets_address : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_forward_buckets_datain : IN STD_LOGIC_VECTOR (31 downto 0); nfa_forward_buckets_dataout : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_forward_buckets_size : OUT STD_LOGIC_VECTOR (31 downto 0); nfa_symbols : IN STD_LOGIC_VECTOR (7 downto 0); sample_req_din : OUT STD_LOGIC; sample_req_full_n : IN STD_LOGIC; sample_req_write : OUT STD_LOGIC; sample_rsp_empty_n : IN STD_LOGIC; sample_rsp_read : OUT STD_LOGIC; sample_address : OUT STD_LOGIC_VECTOR (31 downto 0); sample_datain : IN STD_LOGIC_VECTOR (7 downto 0); sample_dataout : OUT STD_LOGIC_VECTOR (7 downto 0); sample_size : OUT STD_LOGIC_VECTOR (31 downto 0); empty : IN STD_LOGIC_VECTOR (31 downto 0); length_r : IN STD_LOGIC_VECTOR (15 downto 0); ap_return : OUT STD_LOGIC_VECTOR (0 downto 0) ); end component; component sample_iterator_get_offset IS port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; indices_stride_req_din : OUT STD_LOGIC; indices_stride_req_full_n : IN STD_LOGIC; indices_stride_req_write : OUT STD_LOGIC; indices_stride_rsp_empty_n : IN STD_LOGIC; indices_stride_rsp_read : OUT STD_LOGIC; indices_stride_address : OUT STD_LOGIC_VECTOR (31 downto 0); indices_stride_datain : IN STD_LOGIC_VECTOR (7 downto 0); indices_stride_dataout : OUT STD_LOGIC_VECTOR (7 downto 0); indices_stride_size : OUT STD_LOGIC_VECTOR (31 downto 0); indices_begin_req_din : OUT STD_LOGIC; indices_begin_req_full_n : IN STD_LOGIC; indices_begin_req_write : OUT STD_LOGIC; indices_begin_rsp_empty_n : IN STD_LOGIC; indices_begin_rsp_read : OUT STD_LOGIC; indices_begin_address : OUT STD_LOGIC_VECTOR (31 downto 0); indices_begin_datain : IN STD_LOGIC_VECTOR (31 downto 0); indices_begin_dataout : OUT STD_LOGIC_VECTOR (31 downto 0); indices_begin_size : OUT STD_LOGIC_VECTOR (31 downto 0); ap_ce : IN STD_LOGIC; i_index : IN STD_LOGIC_VECTOR (15 downto 0); i_sample : IN STD_LOGIC_VECTOR (15 downto 0); indices_samples_req_din : OUT STD_LOGIC; indices_samples_req_full_n : IN STD_LOGIC; indices_samples_req_write : OUT STD_LOGIC; indices_samples_rsp_empty_n : IN STD_LOGIC; indices_samples_rsp_read : OUT STD_LOGIC; indices_samples_address : OUT STD_LOGIC_VECTOR (31 downto 0); indices_samples_datain : IN STD_LOGIC_VECTOR (15 downto 0); indices_samples_dataout : OUT STD_LOGIC_VECTOR (15 downto 0); indices_samples_size : OUT STD_LOGIC_VECTOR (31 downto 0); sample_buffer_size : IN STD_LOGIC_VECTOR (31 downto 0); sample_length : IN STD_LOGIC_VECTOR (15 downto 0); ap_return : OUT STD_LOGIC_VECTOR (31 downto 0) ); end component; component sample_iterator_next IS port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; indices_samples_req_din : OUT STD_LOGIC; indices_samples_req_full_n : IN STD_LOGIC; indices_samples_req_write : OUT STD_LOGIC; indices_samples_rsp_empty_n : IN STD_LOGIC; indices_samples_rsp_read : OUT STD_LOGIC; indices_samples_address : OUT STD_LOGIC_VECTOR (31 downto 0); indices_samples_datain : IN STD_LOGIC_VECTOR (15 downto 0); indices_samples_dataout : OUT STD_LOGIC_VECTOR (15 downto 0); indices_samples_size : OUT STD_LOGIC_VECTOR (31 downto 0); ap_ce : IN STD_LOGIC; indices_begin_req_din : OUT STD_LOGIC; indices_begin_req_full_n : IN STD_LOGIC; indices_begin_req_write : OUT STD_LOGIC; indices_begin_rsp_empty_n : IN STD_LOGIC; indices_begin_rsp_read : OUT STD_LOGIC; indices_begin_address : OUT STD_LOGIC_VECTOR (31 downto 0); indices_begin_datain : IN STD_LOGIC_VECTOR (31 downto 0); indices_begin_dataout : OUT STD_LOGIC_VECTOR (31 downto 0); indices_begin_size : OUT STD_LOGIC_VECTOR (31 downto 0); indices_stride_req_din : OUT STD_LOGIC; indices_stride_req_full_n : IN STD_LOGIC; indices_stride_req_write : OUT STD_LOGIC; indices_stride_rsp_empty_n : IN STD_LOGIC; indices_stride_rsp_read : OUT STD_LOGIC; indices_stride_address : OUT STD_LOGIC_VECTOR (31 downto 0); indices_stride_datain : IN STD_LOGIC_VECTOR (7 downto 0); indices_stride_dataout : OUT STD_LOGIC_VECTOR (7 downto 0); indices_stride_size : OUT STD_LOGIC_VECTOR (31 downto 0); i_index : IN STD_LOGIC_VECTOR (15 downto 0); i_sample : IN STD_LOGIC_VECTOR (15 downto 0); ap_return_0 : OUT STD_LOGIC_VECTOR (15 downto 0); ap_return_1 : OUT STD_LOGIC_VECTOR (15 downto 0) ); end component; component nfa_accept_samples_generic_hw_add_32ns_32ns_32_8 IS generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR (31 downto 0); din1 : IN STD_LOGIC_VECTOR (31 downto 0); ce : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR (31 downto 0) ); end component; begin grp_nfa_accept_sample_fu_176 : component nfa_accept_sample port map ( ap_clk => ap_clk, ap_rst => ap_rst, ap_start => grp_nfa_accept_sample_fu_176_ap_start, ap_done => grp_nfa_accept_sample_fu_176_ap_done, ap_idle => grp_nfa_accept_sample_fu_176_ap_idle, ap_ready => grp_nfa_accept_sample_fu_176_ap_ready, nfa_initials_buckets_req_din => grp_nfa_accept_sample_fu_176_nfa_initials_buckets_req_din, nfa_initials_buckets_req_full_n => grp_nfa_accept_sample_fu_176_nfa_initials_buckets_req_full_n, nfa_initials_buckets_req_write => grp_nfa_accept_sample_fu_176_nfa_initials_buckets_req_write, nfa_initials_buckets_rsp_empty_n => grp_nfa_accept_sample_fu_176_nfa_initials_buckets_rsp_empty_n, nfa_initials_buckets_rsp_read => grp_nfa_accept_sample_fu_176_nfa_initials_buckets_rsp_read, nfa_initials_buckets_address => grp_nfa_accept_sample_fu_176_nfa_initials_buckets_address, nfa_initials_buckets_datain => grp_nfa_accept_sample_fu_176_nfa_initials_buckets_datain, nfa_initials_buckets_dataout => grp_nfa_accept_sample_fu_176_nfa_initials_buckets_dataout, nfa_initials_buckets_size => grp_nfa_accept_sample_fu_176_nfa_initials_buckets_size, nfa_finals_buckets_req_din => grp_nfa_accept_sample_fu_176_nfa_finals_buckets_req_din, nfa_finals_buckets_req_full_n => grp_nfa_accept_sample_fu_176_nfa_finals_buckets_req_full_n, nfa_finals_buckets_req_write => grp_nfa_accept_sample_fu_176_nfa_finals_buckets_req_write, nfa_finals_buckets_rsp_empty_n => grp_nfa_accept_sample_fu_176_nfa_finals_buckets_rsp_empty_n, nfa_finals_buckets_rsp_read => grp_nfa_accept_sample_fu_176_nfa_finals_buckets_rsp_read, nfa_finals_buckets_address => grp_nfa_accept_sample_fu_176_nfa_finals_buckets_address, nfa_finals_buckets_datain => grp_nfa_accept_sample_fu_176_nfa_finals_buckets_datain, nfa_finals_buckets_dataout => grp_nfa_accept_sample_fu_176_nfa_finals_buckets_dataout, nfa_finals_buckets_size => grp_nfa_accept_sample_fu_176_nfa_finals_buckets_size, nfa_forward_buckets_req_din => grp_nfa_accept_sample_fu_176_nfa_forward_buckets_req_din, nfa_forward_buckets_req_full_n => grp_nfa_accept_sample_fu_176_nfa_forward_buckets_req_full_n, nfa_forward_buckets_req_write => grp_nfa_accept_sample_fu_176_nfa_forward_buckets_req_write, nfa_forward_buckets_rsp_empty_n => grp_nfa_accept_sample_fu_176_nfa_forward_buckets_rsp_empty_n, nfa_forward_buckets_rsp_read => grp_nfa_accept_sample_fu_176_nfa_forward_buckets_rsp_read, nfa_forward_buckets_address => grp_nfa_accept_sample_fu_176_nfa_forward_buckets_address, nfa_forward_buckets_datain => grp_nfa_accept_sample_fu_176_nfa_forward_buckets_datain, nfa_forward_buckets_dataout => grp_nfa_accept_sample_fu_176_nfa_forward_buckets_dataout, nfa_forward_buckets_size => grp_nfa_accept_sample_fu_176_nfa_forward_buckets_size, nfa_symbols => grp_nfa_accept_sample_fu_176_nfa_symbols, sample_req_din => grp_nfa_accept_sample_fu_176_sample_req_din, sample_req_full_n => grp_nfa_accept_sample_fu_176_sample_req_full_n, sample_req_write => grp_nfa_accept_sample_fu_176_sample_req_write, sample_rsp_empty_n => grp_nfa_accept_sample_fu_176_sample_rsp_empty_n, sample_rsp_read => grp_nfa_accept_sample_fu_176_sample_rsp_read, sample_address => grp_nfa_accept_sample_fu_176_sample_address, sample_datain => grp_nfa_accept_sample_fu_176_sample_datain, sample_dataout => grp_nfa_accept_sample_fu_176_sample_dataout, sample_size => grp_nfa_accept_sample_fu_176_sample_size, empty => grp_nfa_accept_sample_fu_176_empty, length_r => grp_nfa_accept_sample_fu_176_length_r, ap_return => grp_nfa_accept_sample_fu_176_ap_return); grp_sample_iterator_get_offset_fu_192 : component sample_iterator_get_offset port map ( ap_clk => ap_clk, ap_rst => ap_rst, ap_start => grp_sample_iterator_get_offset_fu_192_ap_start, ap_done => grp_sample_iterator_get_offset_fu_192_ap_done, ap_idle => grp_sample_iterator_get_offset_fu_192_ap_idle, ap_ready => grp_sample_iterator_get_offset_fu_192_ap_ready, indices_stride_req_din => grp_sample_iterator_get_offset_fu_192_indices_stride_req_din, indices_stride_req_full_n => grp_sample_iterator_get_offset_fu_192_indices_stride_req_full_n, indices_stride_req_write => grp_sample_iterator_get_offset_fu_192_indices_stride_req_write, indices_stride_rsp_empty_n => grp_sample_iterator_get_offset_fu_192_indices_stride_rsp_empty_n, indices_stride_rsp_read => grp_sample_iterator_get_offset_fu_192_indices_stride_rsp_read, indices_stride_address => grp_sample_iterator_get_offset_fu_192_indices_stride_address, indices_stride_datain => grp_sample_iterator_get_offset_fu_192_indices_stride_datain, indices_stride_dataout => grp_sample_iterator_get_offset_fu_192_indices_stride_dataout, indices_stride_size => grp_sample_iterator_get_offset_fu_192_indices_stride_size, indices_begin_req_din => grp_sample_iterator_get_offset_fu_192_indices_begin_req_din, indices_begin_req_full_n => grp_sample_iterator_get_offset_fu_192_indices_begin_req_full_n, indices_begin_req_write => grp_sample_iterator_get_offset_fu_192_indices_begin_req_write, indices_begin_rsp_empty_n => grp_sample_iterator_get_offset_fu_192_indices_begin_rsp_empty_n, indices_begin_rsp_read => grp_sample_iterator_get_offset_fu_192_indices_begin_rsp_read, indices_begin_address => grp_sample_iterator_get_offset_fu_192_indices_begin_address, indices_begin_datain => grp_sample_iterator_get_offset_fu_192_indices_begin_datain, indices_begin_dataout => grp_sample_iterator_get_offset_fu_192_indices_begin_dataout, indices_begin_size => grp_sample_iterator_get_offset_fu_192_indices_begin_size, ap_ce => grp_sample_iterator_get_offset_fu_192_ap_ce, i_index => grp_sample_iterator_get_offset_fu_192_i_index, i_sample => grp_sample_iterator_get_offset_fu_192_i_sample, indices_samples_req_din => grp_sample_iterator_get_offset_fu_192_indices_samples_req_din, indices_samples_req_full_n => grp_sample_iterator_get_offset_fu_192_indices_samples_req_full_n, indices_samples_req_write => grp_sample_iterator_get_offset_fu_192_indices_samples_req_write, indices_samples_rsp_empty_n => grp_sample_iterator_get_offset_fu_192_indices_samples_rsp_empty_n, indices_samples_rsp_read => grp_sample_iterator_get_offset_fu_192_indices_samples_rsp_read, indices_samples_address => grp_sample_iterator_get_offset_fu_192_indices_samples_address, indices_samples_datain => grp_sample_iterator_get_offset_fu_192_indices_samples_datain, indices_samples_dataout => grp_sample_iterator_get_offset_fu_192_indices_samples_dataout, indices_samples_size => grp_sample_iterator_get_offset_fu_192_indices_samples_size, sample_buffer_size => grp_sample_iterator_get_offset_fu_192_sample_buffer_size, sample_length => grp_sample_iterator_get_offset_fu_192_sample_length, ap_return => grp_sample_iterator_get_offset_fu_192_ap_return); grp_sample_iterator_next_fu_209 : component sample_iterator_next port map ( ap_clk => ap_clk, ap_rst => ap_rst, ap_start => grp_sample_iterator_next_fu_209_ap_start, ap_done => grp_sample_iterator_next_fu_209_ap_done, ap_idle => grp_sample_iterator_next_fu_209_ap_idle, ap_ready => grp_sample_iterator_next_fu_209_ap_ready, indices_samples_req_din => grp_sample_iterator_next_fu_209_indices_samples_req_din, indices_samples_req_full_n => grp_sample_iterator_next_fu_209_indices_samples_req_full_n, indices_samples_req_write => grp_sample_iterator_next_fu_209_indices_samples_req_write, indices_samples_rsp_empty_n => grp_sample_iterator_next_fu_209_indices_samples_rsp_empty_n, indices_samples_rsp_read => grp_sample_iterator_next_fu_209_indices_samples_rsp_read, indices_samples_address => grp_sample_iterator_next_fu_209_indices_samples_address, indices_samples_datain => grp_sample_iterator_next_fu_209_indices_samples_datain, indices_samples_dataout => grp_sample_iterator_next_fu_209_indices_samples_dataout, indices_samples_size => grp_sample_iterator_next_fu_209_indices_samples_size, ap_ce => grp_sample_iterator_next_fu_209_ap_ce, indices_begin_req_din => grp_sample_iterator_next_fu_209_indices_begin_req_din, indices_begin_req_full_n => grp_sample_iterator_next_fu_209_indices_begin_req_full_n, indices_begin_req_write => grp_sample_iterator_next_fu_209_indices_begin_req_write, indices_begin_rsp_empty_n => grp_sample_iterator_next_fu_209_indices_begin_rsp_empty_n, indices_begin_rsp_read => grp_sample_iterator_next_fu_209_indices_begin_rsp_read, indices_begin_address => grp_sample_iterator_next_fu_209_indices_begin_address, indices_begin_datain => grp_sample_iterator_next_fu_209_indices_begin_datain, indices_begin_dataout => grp_sample_iterator_next_fu_209_indices_begin_dataout, indices_begin_size => grp_sample_iterator_next_fu_209_indices_begin_size, indices_stride_req_din => grp_sample_iterator_next_fu_209_indices_stride_req_din, indices_stride_req_full_n => grp_sample_iterator_next_fu_209_indices_stride_req_full_n, indices_stride_req_write => grp_sample_iterator_next_fu_209_indices_stride_req_write, indices_stride_rsp_empty_n => grp_sample_iterator_next_fu_209_indices_stride_rsp_empty_n, indices_stride_rsp_read => grp_sample_iterator_next_fu_209_indices_stride_rsp_read, indices_stride_address => grp_sample_iterator_next_fu_209_indices_stride_address, indices_stride_datain => grp_sample_iterator_next_fu_209_indices_stride_datain, indices_stride_dataout => grp_sample_iterator_next_fu_209_indices_stride_dataout, indices_stride_size => grp_sample_iterator_next_fu_209_indices_stride_size, i_index => grp_sample_iterator_next_fu_209_i_index, i_sample => grp_sample_iterator_next_fu_209_i_sample, ap_return_0 => grp_sample_iterator_next_fu_209_ap_return_0, ap_return_1 => grp_sample_iterator_next_fu_209_ap_return_1); nfa_accept_samples_generic_hw_add_32ns_32ns_32_8_U38 : component nfa_accept_samples_generic_hw_add_32ns_32ns_32_8 generic map ( ID => 38, NUM_STAGE => 8, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst, din0 => grp_fu_249_p0, din1 => grp_fu_249_p1, ce => grp_fu_249_ce, dout => grp_fu_249_p2); -- the current state (ap_CS_fsm) of the state machine. -- ap_CS_fsm_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_CS_fsm <= ap_ST_st1_fsm_0; else ap_CS_fsm <= ap_NS_fsm; end if; end if; end process; -- grp_nfa_accept_sample_fu_176_ap_start_ap_start_reg assign process. -- grp_nfa_accept_sample_fu_176_ap_start_ap_start_reg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then grp_nfa_accept_sample_fu_176_ap_start_ap_start_reg <= ap_const_logic_0; else if ((ap_ST_st22_fsm_21 = ap_CS_fsm)) then grp_nfa_accept_sample_fu_176_ap_start_ap_start_reg <= ap_const_logic_1; elsif ((ap_const_logic_1 = grp_nfa_accept_sample_fu_176_ap_ready)) then grp_nfa_accept_sample_fu_176_ap_start_ap_start_reg <= ap_const_logic_0; end if; end if; end if; end process; -- grp_sample_iterator_get_offset_fu_192_ap_start_ap_start_reg assign process. -- grp_sample_iterator_get_offset_fu_192_ap_start_ap_start_reg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then grp_sample_iterator_get_offset_fu_192_ap_start_ap_start_reg <= ap_const_logic_0; else if (((ap_ST_st3_fsm_2 = ap_CS_fsm) and (ap_ST_st4_fsm_3 = ap_NS_fsm) and (tmp_i_11_fu_238_p2 = ap_const_lv1_0))) then grp_sample_iterator_get_offset_fu_192_ap_start_ap_start_reg <= ap_const_logic_1; elsif ((ap_const_logic_1 = grp_sample_iterator_get_offset_fu_192_ap_ready)) then grp_sample_iterator_get_offset_fu_192_ap_start_ap_start_reg <= ap_const_logic_0; end if; end if; end if; end process; -- grp_sample_iterator_next_fu_209_ap_start_ap_start_reg assign process. -- grp_sample_iterator_next_fu_209_ap_start_ap_start_reg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then grp_sample_iterator_next_fu_209_ap_start_ap_start_reg <= ap_const_logic_0; else if (((ap_ST_st32_fsm_31 = ap_NS_fsm) and ((ap_ST_st24_fsm_23 = ap_CS_fsm) or (ap_ST_st31_fsm_30 = ap_CS_fsm)))) then grp_sample_iterator_next_fu_209_ap_start_ap_start_reg <= ap_const_logic_1; elsif ((ap_const_logic_1 = grp_sample_iterator_next_fu_209_ap_ready)) then grp_sample_iterator_next_fu_209_ap_start_ap_start_reg <= ap_const_logic_0; end if; end if; end if; end process; -- c_fu_92 assign process. -- c_fu_92_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_ST_st32_fsm_31 = ap_CS_fsm) and (or_cond_reg_343 = ap_const_lv1_0))) then c_fu_92 <= c_1_reg_347; elsif (((ap_ST_st1_fsm_0 = ap_CS_fsm) and not((ap_start = ap_const_logic_0)))) then c_fu_92 <= ap_const_lv32_0; end if; end if; end process; -- i_index_reg_144 assign process. -- i_index_reg_144_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_ST_st39_fsm_38 = ap_CS_fsm)) then i_index_reg_144 <= grp_sample_iterator_next_fu_209_ap_return_0; elsif (((ap_ST_st1_fsm_0 = ap_CS_fsm) and not((ap_start = ap_const_logic_0)))) then i_index_reg_144 <= begin_index; end if; end if; end process; -- i_sample_reg_154 assign process. -- i_sample_reg_154_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_ST_st39_fsm_38 = ap_CS_fsm)) then i_sample_reg_154 <= grp_sample_iterator_next_fu_209_ap_return_1; elsif (((ap_ST_st1_fsm_0 = ap_CS_fsm) and not((ap_start = ap_const_logic_0)))) then i_sample_reg_154 <= begin_sample; end if; end if; end process; -- p_0_reg_164 assign process. -- p_0_reg_164_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_ST_st24_fsm_23 = ap_CS_fsm) and not((stop_on_first_read_read_fu_102_p2 = ap_const_lv1_0)) and (or_cond_fu_245_p2 = ap_const_lv1_0))) then p_0_reg_164 <= ap_const_lv32_1; elsif (((ap_ST_st4_fsm_3 = ap_CS_fsm) and not((tmp_i_11_reg_323 = ap_const_lv1_0)))) then p_0_reg_164 <= c_fu_92; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_ST_st31_fsm_30 = ap_CS_fsm)) then c_1_reg_347 <= grp_fu_249_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_ST_st4_fsm_3 = ap_CS_fsm)) then c_load_reg_327 <= c_fu_92; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_ST_st22_fsm_21 = ap_CS_fsm)) then offset_reg_333 <= grp_sample_iterator_get_offset_fu_192_ap_return; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_ST_st24_fsm_23 = ap_CS_fsm)) then or_cond_reg_343 <= or_cond_fu_245_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_ST_st23_fsm_22 = ap_CS_fsm) and not((ap_const_logic_0 = grp_nfa_accept_sample_fu_176_ap_done)))) then r_reg_338 <= grp_nfa_accept_sample_fu_176_ap_return; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_ST_st2_fsm_1 = ap_CS_fsm)) then tmp_i_10_reg_318 <= tmp_i_10_fu_233_p2; tmp_i_reg_313 <= tmp_i_fu_228_p2; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_ST_st3_fsm_2 = ap_CS_fsm)) then tmp_i_11_reg_323 <= tmp_i_11_fu_238_p2; end if; end if; end process; -- the next state (ap_NS_fsm) of the state machine. -- ap_NS_fsm_assign_proc : process (ap_start , ap_CS_fsm , stop_on_first_read_read_fu_102_p2 , tmp_i_11_reg_323 , grp_nfa_accept_sample_fu_176_ap_done , or_cond_fu_245_p2) begin case ap_CS_fsm is when ap_ST_st1_fsm_0 => if (not((ap_start = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_st2_fsm_1; else ap_NS_fsm <= ap_ST_st1_fsm_0; end if; when ap_ST_st2_fsm_1 => ap_NS_fsm <= ap_ST_st3_fsm_2; when ap_ST_st3_fsm_2 => ap_NS_fsm <= ap_ST_st4_fsm_3; when ap_ST_st4_fsm_3 => if (not((tmp_i_11_reg_323 = ap_const_lv1_0))) then ap_NS_fsm <= ap_ST_st40_fsm_39; else ap_NS_fsm <= ap_ST_st5_fsm_4; end if; when ap_ST_st5_fsm_4 => ap_NS_fsm <= ap_ST_st6_fsm_5; when ap_ST_st6_fsm_5 => ap_NS_fsm <= ap_ST_st7_fsm_6; when ap_ST_st7_fsm_6 => ap_NS_fsm <= ap_ST_st8_fsm_7; when ap_ST_st8_fsm_7 => ap_NS_fsm <= ap_ST_st9_fsm_8; when ap_ST_st9_fsm_8 => ap_NS_fsm <= ap_ST_st10_fsm_9; when ap_ST_st10_fsm_9 => ap_NS_fsm <= ap_ST_st11_fsm_10; when ap_ST_st11_fsm_10 => ap_NS_fsm <= ap_ST_st12_fsm_11; when ap_ST_st12_fsm_11 => ap_NS_fsm <= ap_ST_st13_fsm_12; when ap_ST_st13_fsm_12 => ap_NS_fsm <= ap_ST_st14_fsm_13; when ap_ST_st14_fsm_13 => ap_NS_fsm <= ap_ST_st15_fsm_14; when ap_ST_st15_fsm_14 => ap_NS_fsm <= ap_ST_st16_fsm_15; when ap_ST_st16_fsm_15 => ap_NS_fsm <= ap_ST_st17_fsm_16; when ap_ST_st17_fsm_16 => ap_NS_fsm <= ap_ST_st18_fsm_17; when ap_ST_st18_fsm_17 => ap_NS_fsm <= ap_ST_st19_fsm_18; when ap_ST_st19_fsm_18 => ap_NS_fsm <= ap_ST_st20_fsm_19; when ap_ST_st20_fsm_19 => ap_NS_fsm <= ap_ST_st21_fsm_20; when ap_ST_st21_fsm_20 => ap_NS_fsm <= ap_ST_st22_fsm_21; when ap_ST_st22_fsm_21 => ap_NS_fsm <= ap_ST_st23_fsm_22; when ap_ST_st23_fsm_22 => if (not((ap_const_logic_0 = grp_nfa_accept_sample_fu_176_ap_done))) then ap_NS_fsm <= ap_ST_st24_fsm_23; else ap_NS_fsm <= ap_ST_st23_fsm_22; end if; when ap_ST_st24_fsm_23 => if ((not((stop_on_first_read_read_fu_102_p2 = ap_const_lv1_0)) and (or_cond_fu_245_p2 = ap_const_lv1_0))) then ap_NS_fsm <= ap_ST_st40_fsm_39; elsif (((stop_on_first_read_read_fu_102_p2 = ap_const_lv1_0) and (or_cond_fu_245_p2 = ap_const_lv1_0))) then ap_NS_fsm <= ap_ST_st25_fsm_24; else ap_NS_fsm <= ap_ST_st32_fsm_31; end if; when ap_ST_st25_fsm_24 => ap_NS_fsm <= ap_ST_st26_fsm_25; when ap_ST_st26_fsm_25 => ap_NS_fsm <= ap_ST_st27_fsm_26; when ap_ST_st27_fsm_26 => ap_NS_fsm <= ap_ST_st28_fsm_27; when ap_ST_st28_fsm_27 => ap_NS_fsm <= ap_ST_st29_fsm_28; when ap_ST_st29_fsm_28 => ap_NS_fsm <= ap_ST_st30_fsm_29; when ap_ST_st30_fsm_29 => ap_NS_fsm <= ap_ST_st31_fsm_30; when ap_ST_st31_fsm_30 => ap_NS_fsm <= ap_ST_st32_fsm_31; when ap_ST_st32_fsm_31 => ap_NS_fsm <= ap_ST_st33_fsm_32; when ap_ST_st33_fsm_32 => ap_NS_fsm <= ap_ST_st34_fsm_33; when ap_ST_st34_fsm_33 => ap_NS_fsm <= ap_ST_st35_fsm_34; when ap_ST_st35_fsm_34 => ap_NS_fsm <= ap_ST_st36_fsm_35; when ap_ST_st36_fsm_35 => ap_NS_fsm <= ap_ST_st37_fsm_36; when ap_ST_st37_fsm_36 => ap_NS_fsm <= ap_ST_st38_fsm_37; when ap_ST_st38_fsm_37 => ap_NS_fsm <= ap_ST_st39_fsm_38; when ap_ST_st39_fsm_38 => ap_NS_fsm <= ap_ST_st2_fsm_1; when ap_ST_st40_fsm_39 => ap_NS_fsm <= ap_ST_st1_fsm_0; when others => ap_NS_fsm <= "XXXXXX"; end case; end process; -- ap_done assign process. -- ap_done_assign_proc : process(ap_CS_fsm) begin if ((ap_ST_st40_fsm_39 = ap_CS_fsm)) then ap_done <= ap_const_logic_1; else ap_done <= ap_const_logic_0; end if; end process; -- ap_idle assign process. -- ap_idle_assign_proc : process(ap_start, ap_CS_fsm) begin if ((not((ap_const_logic_1 = ap_start)) and (ap_ST_st1_fsm_0 = ap_CS_fsm))) then ap_idle <= ap_const_logic_1; else ap_idle <= ap_const_logic_0; end if; end process; -- ap_ready assign process. -- ap_ready_assign_proc : process(ap_CS_fsm) begin if ((ap_ST_st40_fsm_39 = ap_CS_fsm)) then ap_ready <= ap_const_logic_1; else ap_ready <= ap_const_logic_0; end if; end process; ap_return <= p_0_reg_164; grp_fu_249_ce <= ap_const_logic_1; grp_fu_249_p0 <= c_load_reg_327; grp_fu_249_p1 <= ap_const_lv32_1; grp_nfa_accept_sample_fu_176_ap_start <= grp_nfa_accept_sample_fu_176_ap_start_ap_start_reg; grp_nfa_accept_sample_fu_176_empty <= offset_reg_333; grp_nfa_accept_sample_fu_176_length_r <= sample_length; grp_nfa_accept_sample_fu_176_nfa_finals_buckets_datain <= nfa_finals_buckets_datain; grp_nfa_accept_sample_fu_176_nfa_finals_buckets_req_full_n <= nfa_finals_buckets_req_full_n; grp_nfa_accept_sample_fu_176_nfa_finals_buckets_rsp_empty_n <= nfa_finals_buckets_rsp_empty_n; grp_nfa_accept_sample_fu_176_nfa_forward_buckets_datain <= nfa_forward_buckets_datain; grp_nfa_accept_sample_fu_176_nfa_forward_buckets_req_full_n <= nfa_forward_buckets_req_full_n; grp_nfa_accept_sample_fu_176_nfa_forward_buckets_rsp_empty_n <= nfa_forward_buckets_rsp_empty_n; grp_nfa_accept_sample_fu_176_nfa_initials_buckets_datain <= nfa_initials_buckets_datain; grp_nfa_accept_sample_fu_176_nfa_initials_buckets_req_full_n <= nfa_initials_buckets_req_full_n; grp_nfa_accept_sample_fu_176_nfa_initials_buckets_rsp_empty_n <= nfa_initials_buckets_rsp_empty_n; grp_nfa_accept_sample_fu_176_nfa_symbols <= nfa_symbols; grp_nfa_accept_sample_fu_176_sample_datain <= sample_buffer_datain; grp_nfa_accept_sample_fu_176_sample_req_full_n <= sample_buffer_req_full_n; grp_nfa_accept_sample_fu_176_sample_rsp_empty_n <= sample_buffer_rsp_empty_n; grp_sample_iterator_get_offset_fu_192_ap_ce <= ap_const_logic_1; grp_sample_iterator_get_offset_fu_192_ap_start <= grp_sample_iterator_get_offset_fu_192_ap_start_ap_start_reg; grp_sample_iterator_get_offset_fu_192_i_index <= i_index_reg_144; grp_sample_iterator_get_offset_fu_192_i_sample <= i_sample_reg_154; grp_sample_iterator_get_offset_fu_192_indices_begin_datain <= indices_begin_datain; grp_sample_iterator_get_offset_fu_192_indices_begin_req_full_n <= indices_begin_req_full_n; grp_sample_iterator_get_offset_fu_192_indices_begin_rsp_empty_n <= indices_begin_rsp_empty_n; grp_sample_iterator_get_offset_fu_192_indices_samples_datain <= indices_samples_datain; grp_sample_iterator_get_offset_fu_192_indices_samples_req_full_n <= indices_samples_req_full_n; grp_sample_iterator_get_offset_fu_192_indices_samples_rsp_empty_n <= indices_samples_rsp_empty_n; grp_sample_iterator_get_offset_fu_192_indices_stride_datain <= indices_stride_datain; grp_sample_iterator_get_offset_fu_192_indices_stride_req_full_n <= indices_stride_req_full_n; grp_sample_iterator_get_offset_fu_192_indices_stride_rsp_empty_n <= indices_stride_rsp_empty_n; grp_sample_iterator_get_offset_fu_192_sample_buffer_size <= sample_buffer_length; grp_sample_iterator_get_offset_fu_192_sample_length <= sample_length; grp_sample_iterator_next_fu_209_ap_ce <= ap_const_logic_1; grp_sample_iterator_next_fu_209_ap_start <= grp_sample_iterator_next_fu_209_ap_start_ap_start_reg; grp_sample_iterator_next_fu_209_i_index <= i_index_reg_144; grp_sample_iterator_next_fu_209_i_sample <= i_sample_reg_154; grp_sample_iterator_next_fu_209_indices_begin_datain <= indices_begin_datain; grp_sample_iterator_next_fu_209_indices_begin_req_full_n <= indices_begin_req_full_n; grp_sample_iterator_next_fu_209_indices_begin_rsp_empty_n <= indices_begin_rsp_empty_n; grp_sample_iterator_next_fu_209_indices_samples_datain <= indices_samples_datain; grp_sample_iterator_next_fu_209_indices_samples_req_full_n <= indices_samples_req_full_n; grp_sample_iterator_next_fu_209_indices_samples_rsp_empty_n <= indices_samples_rsp_empty_n; grp_sample_iterator_next_fu_209_indices_stride_datain <= indices_stride_datain; grp_sample_iterator_next_fu_209_indices_stride_req_full_n <= indices_stride_req_full_n; grp_sample_iterator_next_fu_209_indices_stride_rsp_empty_n <= indices_stride_rsp_empty_n; -- indices_begin_address assign process. -- indices_begin_address_assign_proc : process(ap_CS_fsm, tmp_i_11_reg_323, grp_sample_iterator_get_offset_fu_192_indices_begin_address, grp_sample_iterator_next_fu_209_indices_begin_address) begin if (((ap_ST_st39_fsm_38 = ap_CS_fsm) or (ap_ST_st32_fsm_31 = ap_CS_fsm) or (ap_ST_st33_fsm_32 = ap_CS_fsm) or (ap_ST_st34_fsm_33 = ap_CS_fsm) or (ap_ST_st35_fsm_34 = ap_CS_fsm) or (ap_ST_st36_fsm_35 = ap_CS_fsm) or (ap_ST_st37_fsm_36 = ap_CS_fsm) or (ap_ST_st38_fsm_37 = ap_CS_fsm))) then indices_begin_address <= grp_sample_iterator_next_fu_209_indices_begin_address; elsif (((ap_ST_st22_fsm_21 = ap_CS_fsm) or ((ap_ST_st4_fsm_3 = ap_CS_fsm) and (tmp_i_11_reg_323 = ap_const_lv1_0)) or (ap_ST_st5_fsm_4 = ap_CS_fsm) or (ap_ST_st6_fsm_5 = ap_CS_fsm) or (ap_ST_st7_fsm_6 = ap_CS_fsm) or (ap_ST_st8_fsm_7 = ap_CS_fsm) or (ap_ST_st9_fsm_8 = ap_CS_fsm) or (ap_ST_st10_fsm_9 = ap_CS_fsm) or (ap_ST_st11_fsm_10 = ap_CS_fsm) or (ap_ST_st12_fsm_11 = ap_CS_fsm) or (ap_ST_st13_fsm_12 = ap_CS_fsm) or (ap_ST_st14_fsm_13 = ap_CS_fsm) or (ap_ST_st15_fsm_14 = ap_CS_fsm) or (ap_ST_st16_fsm_15 = ap_CS_fsm) or (ap_ST_st17_fsm_16 = ap_CS_fsm) or (ap_ST_st18_fsm_17 = ap_CS_fsm) or (ap_ST_st19_fsm_18 = ap_CS_fsm) or (ap_ST_st20_fsm_19 = ap_CS_fsm) or (ap_ST_st21_fsm_20 = ap_CS_fsm))) then indices_begin_address <= grp_sample_iterator_get_offset_fu_192_indices_begin_address; else indices_begin_address <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; -- indices_begin_dataout assign process. -- indices_begin_dataout_assign_proc : process(ap_CS_fsm, tmp_i_11_reg_323, grp_sample_iterator_get_offset_fu_192_indices_begin_dataout, grp_sample_iterator_next_fu_209_indices_begin_dataout) begin if (((ap_ST_st39_fsm_38 = ap_CS_fsm) or (ap_ST_st32_fsm_31 = ap_CS_fsm) or (ap_ST_st33_fsm_32 = ap_CS_fsm) or (ap_ST_st34_fsm_33 = ap_CS_fsm) or (ap_ST_st35_fsm_34 = ap_CS_fsm) or (ap_ST_st36_fsm_35 = ap_CS_fsm) or (ap_ST_st37_fsm_36 = ap_CS_fsm) or (ap_ST_st38_fsm_37 = ap_CS_fsm))) then indices_begin_dataout <= grp_sample_iterator_next_fu_209_indices_begin_dataout; elsif (((ap_ST_st22_fsm_21 = ap_CS_fsm) or ((ap_ST_st4_fsm_3 = ap_CS_fsm) and (tmp_i_11_reg_323 = ap_const_lv1_0)) or (ap_ST_st5_fsm_4 = ap_CS_fsm) or (ap_ST_st6_fsm_5 = ap_CS_fsm) or (ap_ST_st7_fsm_6 = ap_CS_fsm) or (ap_ST_st8_fsm_7 = ap_CS_fsm) or (ap_ST_st9_fsm_8 = ap_CS_fsm) or (ap_ST_st10_fsm_9 = ap_CS_fsm) or (ap_ST_st11_fsm_10 = ap_CS_fsm) or (ap_ST_st12_fsm_11 = ap_CS_fsm) or (ap_ST_st13_fsm_12 = ap_CS_fsm) or (ap_ST_st14_fsm_13 = ap_CS_fsm) or (ap_ST_st15_fsm_14 = ap_CS_fsm) or (ap_ST_st16_fsm_15 = ap_CS_fsm) or (ap_ST_st17_fsm_16 = ap_CS_fsm) or (ap_ST_st18_fsm_17 = ap_CS_fsm) or (ap_ST_st19_fsm_18 = ap_CS_fsm) or (ap_ST_st20_fsm_19 = ap_CS_fsm) or (ap_ST_st21_fsm_20 = ap_CS_fsm))) then indices_begin_dataout <= grp_sample_iterator_get_offset_fu_192_indices_begin_dataout; else indices_begin_dataout <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; -- indices_begin_req_din assign process. -- indices_begin_req_din_assign_proc : process(ap_CS_fsm, tmp_i_11_reg_323, grp_sample_iterator_get_offset_fu_192_indices_begin_req_din, grp_sample_iterator_next_fu_209_indices_begin_req_din) begin if (((ap_ST_st39_fsm_38 = ap_CS_fsm) or (ap_ST_st32_fsm_31 = ap_CS_fsm) or (ap_ST_st33_fsm_32 = ap_CS_fsm) or (ap_ST_st34_fsm_33 = ap_CS_fsm) or (ap_ST_st35_fsm_34 = ap_CS_fsm) or (ap_ST_st36_fsm_35 = ap_CS_fsm) or (ap_ST_st37_fsm_36 = ap_CS_fsm) or (ap_ST_st38_fsm_37 = ap_CS_fsm))) then indices_begin_req_din <= grp_sample_iterator_next_fu_209_indices_begin_req_din; elsif (((ap_ST_st22_fsm_21 = ap_CS_fsm) or ((ap_ST_st4_fsm_3 = ap_CS_fsm) and (tmp_i_11_reg_323 = ap_const_lv1_0)) or (ap_ST_st5_fsm_4 = ap_CS_fsm) or (ap_ST_st6_fsm_5 = ap_CS_fsm) or (ap_ST_st7_fsm_6 = ap_CS_fsm) or (ap_ST_st8_fsm_7 = ap_CS_fsm) or (ap_ST_st9_fsm_8 = ap_CS_fsm) or (ap_ST_st10_fsm_9 = ap_CS_fsm) or (ap_ST_st11_fsm_10 = ap_CS_fsm) or (ap_ST_st12_fsm_11 = ap_CS_fsm) or (ap_ST_st13_fsm_12 = ap_CS_fsm) or (ap_ST_st14_fsm_13 = ap_CS_fsm) or (ap_ST_st15_fsm_14 = ap_CS_fsm) or (ap_ST_st16_fsm_15 = ap_CS_fsm) or (ap_ST_st17_fsm_16 = ap_CS_fsm) or (ap_ST_st18_fsm_17 = ap_CS_fsm) or (ap_ST_st19_fsm_18 = ap_CS_fsm) or (ap_ST_st20_fsm_19 = ap_CS_fsm) or (ap_ST_st21_fsm_20 = ap_CS_fsm))) then indices_begin_req_din <= grp_sample_iterator_get_offset_fu_192_indices_begin_req_din; else indices_begin_req_din <= 'X'; end if; end process; -- indices_begin_req_write assign process. -- indices_begin_req_write_assign_proc : process(ap_CS_fsm, tmp_i_11_reg_323, grp_sample_iterator_get_offset_fu_192_indices_begin_req_write, grp_sample_iterator_next_fu_209_indices_begin_req_write) begin if (((ap_ST_st39_fsm_38 = ap_CS_fsm) or (ap_ST_st32_fsm_31 = ap_CS_fsm) or (ap_ST_st33_fsm_32 = ap_CS_fsm) or (ap_ST_st34_fsm_33 = ap_CS_fsm) or (ap_ST_st35_fsm_34 = ap_CS_fsm) or (ap_ST_st36_fsm_35 = ap_CS_fsm) or (ap_ST_st37_fsm_36 = ap_CS_fsm) or (ap_ST_st38_fsm_37 = ap_CS_fsm))) then indices_begin_req_write <= grp_sample_iterator_next_fu_209_indices_begin_req_write; elsif (((ap_ST_st22_fsm_21 = ap_CS_fsm) or ((ap_ST_st4_fsm_3 = ap_CS_fsm) and (tmp_i_11_reg_323 = ap_const_lv1_0)) or (ap_ST_st5_fsm_4 = ap_CS_fsm) or (ap_ST_st6_fsm_5 = ap_CS_fsm) or (ap_ST_st7_fsm_6 = ap_CS_fsm) or (ap_ST_st8_fsm_7 = ap_CS_fsm) or (ap_ST_st9_fsm_8 = ap_CS_fsm) or (ap_ST_st10_fsm_9 = ap_CS_fsm) or (ap_ST_st11_fsm_10 = ap_CS_fsm) or (ap_ST_st12_fsm_11 = ap_CS_fsm) or (ap_ST_st13_fsm_12 = ap_CS_fsm) or (ap_ST_st14_fsm_13 = ap_CS_fsm) or (ap_ST_st15_fsm_14 = ap_CS_fsm) or (ap_ST_st16_fsm_15 = ap_CS_fsm) or (ap_ST_st17_fsm_16 = ap_CS_fsm) or (ap_ST_st18_fsm_17 = ap_CS_fsm) or (ap_ST_st19_fsm_18 = ap_CS_fsm) or (ap_ST_st20_fsm_19 = ap_CS_fsm) or (ap_ST_st21_fsm_20 = ap_CS_fsm))) then indices_begin_req_write <= grp_sample_iterator_get_offset_fu_192_indices_begin_req_write; else indices_begin_req_write <= 'X'; end if; end process; -- indices_begin_rsp_read assign process. -- indices_begin_rsp_read_assign_proc : process(ap_CS_fsm, tmp_i_11_reg_323, grp_sample_iterator_get_offset_fu_192_indices_begin_rsp_read, grp_sample_iterator_next_fu_209_indices_begin_rsp_read) begin if (((ap_ST_st39_fsm_38 = ap_CS_fsm) or (ap_ST_st32_fsm_31 = ap_CS_fsm) or (ap_ST_st33_fsm_32 = ap_CS_fsm) or (ap_ST_st34_fsm_33 = ap_CS_fsm) or (ap_ST_st35_fsm_34 = ap_CS_fsm) or (ap_ST_st36_fsm_35 = ap_CS_fsm) or (ap_ST_st37_fsm_36 = ap_CS_fsm) or (ap_ST_st38_fsm_37 = ap_CS_fsm))) then indices_begin_rsp_read <= grp_sample_iterator_next_fu_209_indices_begin_rsp_read; elsif (((ap_ST_st22_fsm_21 = ap_CS_fsm) or ((ap_ST_st4_fsm_3 = ap_CS_fsm) and (tmp_i_11_reg_323 = ap_const_lv1_0)) or (ap_ST_st5_fsm_4 = ap_CS_fsm) or (ap_ST_st6_fsm_5 = ap_CS_fsm) or (ap_ST_st7_fsm_6 = ap_CS_fsm) or (ap_ST_st8_fsm_7 = ap_CS_fsm) or (ap_ST_st9_fsm_8 = ap_CS_fsm) or (ap_ST_st10_fsm_9 = ap_CS_fsm) or (ap_ST_st11_fsm_10 = ap_CS_fsm) or (ap_ST_st12_fsm_11 = ap_CS_fsm) or (ap_ST_st13_fsm_12 = ap_CS_fsm) or (ap_ST_st14_fsm_13 = ap_CS_fsm) or (ap_ST_st15_fsm_14 = ap_CS_fsm) or (ap_ST_st16_fsm_15 = ap_CS_fsm) or (ap_ST_st17_fsm_16 = ap_CS_fsm) or (ap_ST_st18_fsm_17 = ap_CS_fsm) or (ap_ST_st19_fsm_18 = ap_CS_fsm) or (ap_ST_st20_fsm_19 = ap_CS_fsm) or (ap_ST_st21_fsm_20 = ap_CS_fsm))) then indices_begin_rsp_read <= grp_sample_iterator_get_offset_fu_192_indices_begin_rsp_read; else indices_begin_rsp_read <= 'X'; end if; end process; -- indices_begin_size assign process. -- indices_begin_size_assign_proc : process(ap_CS_fsm, tmp_i_11_reg_323, grp_sample_iterator_get_offset_fu_192_indices_begin_size, grp_sample_iterator_next_fu_209_indices_begin_size) begin if (((ap_ST_st39_fsm_38 = ap_CS_fsm) or (ap_ST_st32_fsm_31 = ap_CS_fsm) or (ap_ST_st33_fsm_32 = ap_CS_fsm) or (ap_ST_st34_fsm_33 = ap_CS_fsm) or (ap_ST_st35_fsm_34 = ap_CS_fsm) or (ap_ST_st36_fsm_35 = ap_CS_fsm) or (ap_ST_st37_fsm_36 = ap_CS_fsm) or (ap_ST_st38_fsm_37 = ap_CS_fsm))) then indices_begin_size <= grp_sample_iterator_next_fu_209_indices_begin_size; elsif (((ap_ST_st22_fsm_21 = ap_CS_fsm) or ((ap_ST_st4_fsm_3 = ap_CS_fsm) and (tmp_i_11_reg_323 = ap_const_lv1_0)) or (ap_ST_st5_fsm_4 = ap_CS_fsm) or (ap_ST_st6_fsm_5 = ap_CS_fsm) or (ap_ST_st7_fsm_6 = ap_CS_fsm) or (ap_ST_st8_fsm_7 = ap_CS_fsm) or (ap_ST_st9_fsm_8 = ap_CS_fsm) or (ap_ST_st10_fsm_9 = ap_CS_fsm) or (ap_ST_st11_fsm_10 = ap_CS_fsm) or (ap_ST_st12_fsm_11 = ap_CS_fsm) or (ap_ST_st13_fsm_12 = ap_CS_fsm) or (ap_ST_st14_fsm_13 = ap_CS_fsm) or (ap_ST_st15_fsm_14 = ap_CS_fsm) or (ap_ST_st16_fsm_15 = ap_CS_fsm) or (ap_ST_st17_fsm_16 = ap_CS_fsm) or (ap_ST_st18_fsm_17 = ap_CS_fsm) or (ap_ST_st19_fsm_18 = ap_CS_fsm) or (ap_ST_st20_fsm_19 = ap_CS_fsm) or (ap_ST_st21_fsm_20 = ap_CS_fsm))) then indices_begin_size <= grp_sample_iterator_get_offset_fu_192_indices_begin_size; else indices_begin_size <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; -- indices_samples_address assign process. -- indices_samples_address_assign_proc : process(ap_CS_fsm, tmp_i_11_reg_323, grp_sample_iterator_get_offset_fu_192_indices_samples_address, grp_sample_iterator_next_fu_209_indices_samples_address) begin if (((ap_ST_st39_fsm_38 = ap_CS_fsm) or (ap_ST_st32_fsm_31 = ap_CS_fsm) or (ap_ST_st33_fsm_32 = ap_CS_fsm) or (ap_ST_st34_fsm_33 = ap_CS_fsm) or (ap_ST_st35_fsm_34 = ap_CS_fsm) or (ap_ST_st36_fsm_35 = ap_CS_fsm) or (ap_ST_st37_fsm_36 = ap_CS_fsm) or (ap_ST_st38_fsm_37 = ap_CS_fsm))) then indices_samples_address <= grp_sample_iterator_next_fu_209_indices_samples_address; elsif (((ap_ST_st22_fsm_21 = ap_CS_fsm) or ((ap_ST_st4_fsm_3 = ap_CS_fsm) and (tmp_i_11_reg_323 = ap_const_lv1_0)) or (ap_ST_st5_fsm_4 = ap_CS_fsm) or (ap_ST_st6_fsm_5 = ap_CS_fsm) or (ap_ST_st7_fsm_6 = ap_CS_fsm) or (ap_ST_st8_fsm_7 = ap_CS_fsm) or (ap_ST_st9_fsm_8 = ap_CS_fsm) or (ap_ST_st10_fsm_9 = ap_CS_fsm) or (ap_ST_st11_fsm_10 = ap_CS_fsm) or (ap_ST_st12_fsm_11 = ap_CS_fsm) or (ap_ST_st13_fsm_12 = ap_CS_fsm) or (ap_ST_st14_fsm_13 = ap_CS_fsm) or (ap_ST_st15_fsm_14 = ap_CS_fsm) or (ap_ST_st16_fsm_15 = ap_CS_fsm) or (ap_ST_st17_fsm_16 = ap_CS_fsm) or (ap_ST_st18_fsm_17 = ap_CS_fsm) or (ap_ST_st19_fsm_18 = ap_CS_fsm) or (ap_ST_st20_fsm_19 = ap_CS_fsm) or (ap_ST_st21_fsm_20 = ap_CS_fsm))) then indices_samples_address <= grp_sample_iterator_get_offset_fu_192_indices_samples_address; else indices_samples_address <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; -- indices_samples_dataout assign process. -- indices_samples_dataout_assign_proc : process(ap_CS_fsm, tmp_i_11_reg_323, grp_sample_iterator_get_offset_fu_192_indices_samples_dataout, grp_sample_iterator_next_fu_209_indices_samples_dataout) begin if (((ap_ST_st39_fsm_38 = ap_CS_fsm) or (ap_ST_st32_fsm_31 = ap_CS_fsm) or (ap_ST_st33_fsm_32 = ap_CS_fsm) or (ap_ST_st34_fsm_33 = ap_CS_fsm) or (ap_ST_st35_fsm_34 = ap_CS_fsm) or (ap_ST_st36_fsm_35 = ap_CS_fsm) or (ap_ST_st37_fsm_36 = ap_CS_fsm) or (ap_ST_st38_fsm_37 = ap_CS_fsm))) then indices_samples_dataout <= grp_sample_iterator_next_fu_209_indices_samples_dataout; elsif (((ap_ST_st22_fsm_21 = ap_CS_fsm) or ((ap_ST_st4_fsm_3 = ap_CS_fsm) and (tmp_i_11_reg_323 = ap_const_lv1_0)) or (ap_ST_st5_fsm_4 = ap_CS_fsm) or (ap_ST_st6_fsm_5 = ap_CS_fsm) or (ap_ST_st7_fsm_6 = ap_CS_fsm) or (ap_ST_st8_fsm_7 = ap_CS_fsm) or (ap_ST_st9_fsm_8 = ap_CS_fsm) or (ap_ST_st10_fsm_9 = ap_CS_fsm) or (ap_ST_st11_fsm_10 = ap_CS_fsm) or (ap_ST_st12_fsm_11 = ap_CS_fsm) or (ap_ST_st13_fsm_12 = ap_CS_fsm) or (ap_ST_st14_fsm_13 = ap_CS_fsm) or (ap_ST_st15_fsm_14 = ap_CS_fsm) or (ap_ST_st16_fsm_15 = ap_CS_fsm) or (ap_ST_st17_fsm_16 = ap_CS_fsm) or (ap_ST_st18_fsm_17 = ap_CS_fsm) or (ap_ST_st19_fsm_18 = ap_CS_fsm) or (ap_ST_st20_fsm_19 = ap_CS_fsm) or (ap_ST_st21_fsm_20 = ap_CS_fsm))) then indices_samples_dataout <= grp_sample_iterator_get_offset_fu_192_indices_samples_dataout; else indices_samples_dataout <= "XXXXXXXXXXXXXXXX"; end if; end process; -- indices_samples_req_din assign process. -- indices_samples_req_din_assign_proc : process(ap_CS_fsm, tmp_i_11_reg_323, grp_sample_iterator_get_offset_fu_192_indices_samples_req_din, grp_sample_iterator_next_fu_209_indices_samples_req_din) begin if (((ap_ST_st39_fsm_38 = ap_CS_fsm) or (ap_ST_st32_fsm_31 = ap_CS_fsm) or (ap_ST_st33_fsm_32 = ap_CS_fsm) or (ap_ST_st34_fsm_33 = ap_CS_fsm) or (ap_ST_st35_fsm_34 = ap_CS_fsm) or (ap_ST_st36_fsm_35 = ap_CS_fsm) or (ap_ST_st37_fsm_36 = ap_CS_fsm) or (ap_ST_st38_fsm_37 = ap_CS_fsm))) then indices_samples_req_din <= grp_sample_iterator_next_fu_209_indices_samples_req_din; elsif (((ap_ST_st22_fsm_21 = ap_CS_fsm) or ((ap_ST_st4_fsm_3 = ap_CS_fsm) and (tmp_i_11_reg_323 = ap_const_lv1_0)) or (ap_ST_st5_fsm_4 = ap_CS_fsm) or (ap_ST_st6_fsm_5 = ap_CS_fsm) or (ap_ST_st7_fsm_6 = ap_CS_fsm) or (ap_ST_st8_fsm_7 = ap_CS_fsm) or (ap_ST_st9_fsm_8 = ap_CS_fsm) or (ap_ST_st10_fsm_9 = ap_CS_fsm) or (ap_ST_st11_fsm_10 = ap_CS_fsm) or (ap_ST_st12_fsm_11 = ap_CS_fsm) or (ap_ST_st13_fsm_12 = ap_CS_fsm) or (ap_ST_st14_fsm_13 = ap_CS_fsm) or (ap_ST_st15_fsm_14 = ap_CS_fsm) or (ap_ST_st16_fsm_15 = ap_CS_fsm) or (ap_ST_st17_fsm_16 = ap_CS_fsm) or (ap_ST_st18_fsm_17 = ap_CS_fsm) or (ap_ST_st19_fsm_18 = ap_CS_fsm) or (ap_ST_st20_fsm_19 = ap_CS_fsm) or (ap_ST_st21_fsm_20 = ap_CS_fsm))) then indices_samples_req_din <= grp_sample_iterator_get_offset_fu_192_indices_samples_req_din; else indices_samples_req_din <= 'X'; end if; end process; -- indices_samples_req_write assign process. -- indices_samples_req_write_assign_proc : process(ap_CS_fsm, tmp_i_11_reg_323, grp_sample_iterator_get_offset_fu_192_indices_samples_req_write, grp_sample_iterator_next_fu_209_indices_samples_req_write) begin if (((ap_ST_st39_fsm_38 = ap_CS_fsm) or (ap_ST_st32_fsm_31 = ap_CS_fsm) or (ap_ST_st33_fsm_32 = ap_CS_fsm) or (ap_ST_st34_fsm_33 = ap_CS_fsm) or (ap_ST_st35_fsm_34 = ap_CS_fsm) or (ap_ST_st36_fsm_35 = ap_CS_fsm) or (ap_ST_st37_fsm_36 = ap_CS_fsm) or (ap_ST_st38_fsm_37 = ap_CS_fsm))) then indices_samples_req_write <= grp_sample_iterator_next_fu_209_indices_samples_req_write; elsif (((ap_ST_st22_fsm_21 = ap_CS_fsm) or ((ap_ST_st4_fsm_3 = ap_CS_fsm) and (tmp_i_11_reg_323 = ap_const_lv1_0)) or (ap_ST_st5_fsm_4 = ap_CS_fsm) or (ap_ST_st6_fsm_5 = ap_CS_fsm) or (ap_ST_st7_fsm_6 = ap_CS_fsm) or (ap_ST_st8_fsm_7 = ap_CS_fsm) or (ap_ST_st9_fsm_8 = ap_CS_fsm) or (ap_ST_st10_fsm_9 = ap_CS_fsm) or (ap_ST_st11_fsm_10 = ap_CS_fsm) or (ap_ST_st12_fsm_11 = ap_CS_fsm) or (ap_ST_st13_fsm_12 = ap_CS_fsm) or (ap_ST_st14_fsm_13 = ap_CS_fsm) or (ap_ST_st15_fsm_14 = ap_CS_fsm) or (ap_ST_st16_fsm_15 = ap_CS_fsm) or (ap_ST_st17_fsm_16 = ap_CS_fsm) or (ap_ST_st18_fsm_17 = ap_CS_fsm) or (ap_ST_st19_fsm_18 = ap_CS_fsm) or (ap_ST_st20_fsm_19 = ap_CS_fsm) or (ap_ST_st21_fsm_20 = ap_CS_fsm))) then indices_samples_req_write <= grp_sample_iterator_get_offset_fu_192_indices_samples_req_write; else indices_samples_req_write <= 'X'; end if; end process; -- indices_samples_rsp_read assign process. -- indices_samples_rsp_read_assign_proc : process(ap_CS_fsm, tmp_i_11_reg_323, grp_sample_iterator_get_offset_fu_192_indices_samples_rsp_read, grp_sample_iterator_next_fu_209_indices_samples_rsp_read) begin if (((ap_ST_st39_fsm_38 = ap_CS_fsm) or (ap_ST_st32_fsm_31 = ap_CS_fsm) or (ap_ST_st33_fsm_32 = ap_CS_fsm) or (ap_ST_st34_fsm_33 = ap_CS_fsm) or (ap_ST_st35_fsm_34 = ap_CS_fsm) or (ap_ST_st36_fsm_35 = ap_CS_fsm) or (ap_ST_st37_fsm_36 = ap_CS_fsm) or (ap_ST_st38_fsm_37 = ap_CS_fsm))) then indices_samples_rsp_read <= grp_sample_iterator_next_fu_209_indices_samples_rsp_read; elsif (((ap_ST_st22_fsm_21 = ap_CS_fsm) or ((ap_ST_st4_fsm_3 = ap_CS_fsm) and (tmp_i_11_reg_323 = ap_const_lv1_0)) or (ap_ST_st5_fsm_4 = ap_CS_fsm) or (ap_ST_st6_fsm_5 = ap_CS_fsm) or (ap_ST_st7_fsm_6 = ap_CS_fsm) or (ap_ST_st8_fsm_7 = ap_CS_fsm) or (ap_ST_st9_fsm_8 = ap_CS_fsm) or (ap_ST_st10_fsm_9 = ap_CS_fsm) or (ap_ST_st11_fsm_10 = ap_CS_fsm) or (ap_ST_st12_fsm_11 = ap_CS_fsm) or (ap_ST_st13_fsm_12 = ap_CS_fsm) or (ap_ST_st14_fsm_13 = ap_CS_fsm) or (ap_ST_st15_fsm_14 = ap_CS_fsm) or (ap_ST_st16_fsm_15 = ap_CS_fsm) or (ap_ST_st17_fsm_16 = ap_CS_fsm) or (ap_ST_st18_fsm_17 = ap_CS_fsm) or (ap_ST_st19_fsm_18 = ap_CS_fsm) or (ap_ST_st20_fsm_19 = ap_CS_fsm) or (ap_ST_st21_fsm_20 = ap_CS_fsm))) then indices_samples_rsp_read <= grp_sample_iterator_get_offset_fu_192_indices_samples_rsp_read; else indices_samples_rsp_read <= 'X'; end if; end process; -- indices_samples_size assign process. -- indices_samples_size_assign_proc : process(ap_CS_fsm, tmp_i_11_reg_323, grp_sample_iterator_get_offset_fu_192_indices_samples_size, grp_sample_iterator_next_fu_209_indices_samples_size) begin if (((ap_ST_st39_fsm_38 = ap_CS_fsm) or (ap_ST_st32_fsm_31 = ap_CS_fsm) or (ap_ST_st33_fsm_32 = ap_CS_fsm) or (ap_ST_st34_fsm_33 = ap_CS_fsm) or (ap_ST_st35_fsm_34 = ap_CS_fsm) or (ap_ST_st36_fsm_35 = ap_CS_fsm) or (ap_ST_st37_fsm_36 = ap_CS_fsm) or (ap_ST_st38_fsm_37 = ap_CS_fsm))) then indices_samples_size <= grp_sample_iterator_next_fu_209_indices_samples_size; elsif (((ap_ST_st22_fsm_21 = ap_CS_fsm) or ((ap_ST_st4_fsm_3 = ap_CS_fsm) and (tmp_i_11_reg_323 = ap_const_lv1_0)) or (ap_ST_st5_fsm_4 = ap_CS_fsm) or (ap_ST_st6_fsm_5 = ap_CS_fsm) or (ap_ST_st7_fsm_6 = ap_CS_fsm) or (ap_ST_st8_fsm_7 = ap_CS_fsm) or (ap_ST_st9_fsm_8 = ap_CS_fsm) or (ap_ST_st10_fsm_9 = ap_CS_fsm) or (ap_ST_st11_fsm_10 = ap_CS_fsm) or (ap_ST_st12_fsm_11 = ap_CS_fsm) or (ap_ST_st13_fsm_12 = ap_CS_fsm) or (ap_ST_st14_fsm_13 = ap_CS_fsm) or (ap_ST_st15_fsm_14 = ap_CS_fsm) or (ap_ST_st16_fsm_15 = ap_CS_fsm) or (ap_ST_st17_fsm_16 = ap_CS_fsm) or (ap_ST_st18_fsm_17 = ap_CS_fsm) or (ap_ST_st19_fsm_18 = ap_CS_fsm) or (ap_ST_st20_fsm_19 = ap_CS_fsm) or (ap_ST_st21_fsm_20 = ap_CS_fsm))) then indices_samples_size <= grp_sample_iterator_get_offset_fu_192_indices_samples_size; else indices_samples_size <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; -- indices_stride_address assign process. -- indices_stride_address_assign_proc : process(ap_CS_fsm, tmp_i_11_reg_323, grp_sample_iterator_get_offset_fu_192_indices_stride_address, grp_sample_iterator_next_fu_209_indices_stride_address) begin if (((ap_ST_st39_fsm_38 = ap_CS_fsm) or (ap_ST_st32_fsm_31 = ap_CS_fsm) or (ap_ST_st33_fsm_32 = ap_CS_fsm) or (ap_ST_st34_fsm_33 = ap_CS_fsm) or (ap_ST_st35_fsm_34 = ap_CS_fsm) or (ap_ST_st36_fsm_35 = ap_CS_fsm) or (ap_ST_st37_fsm_36 = ap_CS_fsm) or (ap_ST_st38_fsm_37 = ap_CS_fsm))) then indices_stride_address <= grp_sample_iterator_next_fu_209_indices_stride_address; elsif (((ap_ST_st22_fsm_21 = ap_CS_fsm) or ((ap_ST_st4_fsm_3 = ap_CS_fsm) and (tmp_i_11_reg_323 = ap_const_lv1_0)) or (ap_ST_st5_fsm_4 = ap_CS_fsm) or (ap_ST_st6_fsm_5 = ap_CS_fsm) or (ap_ST_st7_fsm_6 = ap_CS_fsm) or (ap_ST_st8_fsm_7 = ap_CS_fsm) or (ap_ST_st9_fsm_8 = ap_CS_fsm) or (ap_ST_st10_fsm_9 = ap_CS_fsm) or (ap_ST_st11_fsm_10 = ap_CS_fsm) or (ap_ST_st12_fsm_11 = ap_CS_fsm) or (ap_ST_st13_fsm_12 = ap_CS_fsm) or (ap_ST_st14_fsm_13 = ap_CS_fsm) or (ap_ST_st15_fsm_14 = ap_CS_fsm) or (ap_ST_st16_fsm_15 = ap_CS_fsm) or (ap_ST_st17_fsm_16 = ap_CS_fsm) or (ap_ST_st18_fsm_17 = ap_CS_fsm) or (ap_ST_st19_fsm_18 = ap_CS_fsm) or (ap_ST_st20_fsm_19 = ap_CS_fsm) or (ap_ST_st21_fsm_20 = ap_CS_fsm))) then indices_stride_address <= grp_sample_iterator_get_offset_fu_192_indices_stride_address; else indices_stride_address <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; -- indices_stride_dataout assign process. -- indices_stride_dataout_assign_proc : process(ap_CS_fsm, tmp_i_11_reg_323, grp_sample_iterator_get_offset_fu_192_indices_stride_dataout, grp_sample_iterator_next_fu_209_indices_stride_dataout) begin if (((ap_ST_st39_fsm_38 = ap_CS_fsm) or (ap_ST_st32_fsm_31 = ap_CS_fsm) or (ap_ST_st33_fsm_32 = ap_CS_fsm) or (ap_ST_st34_fsm_33 = ap_CS_fsm) or (ap_ST_st35_fsm_34 = ap_CS_fsm) or (ap_ST_st36_fsm_35 = ap_CS_fsm) or (ap_ST_st37_fsm_36 = ap_CS_fsm) or (ap_ST_st38_fsm_37 = ap_CS_fsm))) then indices_stride_dataout <= grp_sample_iterator_next_fu_209_indices_stride_dataout; elsif (((ap_ST_st22_fsm_21 = ap_CS_fsm) or ((ap_ST_st4_fsm_3 = ap_CS_fsm) and (tmp_i_11_reg_323 = ap_const_lv1_0)) or (ap_ST_st5_fsm_4 = ap_CS_fsm) or (ap_ST_st6_fsm_5 = ap_CS_fsm) or (ap_ST_st7_fsm_6 = ap_CS_fsm) or (ap_ST_st8_fsm_7 = ap_CS_fsm) or (ap_ST_st9_fsm_8 = ap_CS_fsm) or (ap_ST_st10_fsm_9 = ap_CS_fsm) or (ap_ST_st11_fsm_10 = ap_CS_fsm) or (ap_ST_st12_fsm_11 = ap_CS_fsm) or (ap_ST_st13_fsm_12 = ap_CS_fsm) or (ap_ST_st14_fsm_13 = ap_CS_fsm) or (ap_ST_st15_fsm_14 = ap_CS_fsm) or (ap_ST_st16_fsm_15 = ap_CS_fsm) or (ap_ST_st17_fsm_16 = ap_CS_fsm) or (ap_ST_st18_fsm_17 = ap_CS_fsm) or (ap_ST_st19_fsm_18 = ap_CS_fsm) or (ap_ST_st20_fsm_19 = ap_CS_fsm) or (ap_ST_st21_fsm_20 = ap_CS_fsm))) then indices_stride_dataout <= grp_sample_iterator_get_offset_fu_192_indices_stride_dataout; else indices_stride_dataout <= "XXXXXXXX"; end if; end process; -- indices_stride_req_din assign process. -- indices_stride_req_din_assign_proc : process(ap_CS_fsm, tmp_i_11_reg_323, grp_sample_iterator_get_offset_fu_192_indices_stride_req_din, grp_sample_iterator_next_fu_209_indices_stride_req_din) begin if (((ap_ST_st39_fsm_38 = ap_CS_fsm) or (ap_ST_st32_fsm_31 = ap_CS_fsm) or (ap_ST_st33_fsm_32 = ap_CS_fsm) or (ap_ST_st34_fsm_33 = ap_CS_fsm) or (ap_ST_st35_fsm_34 = ap_CS_fsm) or (ap_ST_st36_fsm_35 = ap_CS_fsm) or (ap_ST_st37_fsm_36 = ap_CS_fsm) or (ap_ST_st38_fsm_37 = ap_CS_fsm))) then indices_stride_req_din <= grp_sample_iterator_next_fu_209_indices_stride_req_din; elsif (((ap_ST_st22_fsm_21 = ap_CS_fsm) or ((ap_ST_st4_fsm_3 = ap_CS_fsm) and (tmp_i_11_reg_323 = ap_const_lv1_0)) or (ap_ST_st5_fsm_4 = ap_CS_fsm) or (ap_ST_st6_fsm_5 = ap_CS_fsm) or (ap_ST_st7_fsm_6 = ap_CS_fsm) or (ap_ST_st8_fsm_7 = ap_CS_fsm) or (ap_ST_st9_fsm_8 = ap_CS_fsm) or (ap_ST_st10_fsm_9 = ap_CS_fsm) or (ap_ST_st11_fsm_10 = ap_CS_fsm) or (ap_ST_st12_fsm_11 = ap_CS_fsm) or (ap_ST_st13_fsm_12 = ap_CS_fsm) or (ap_ST_st14_fsm_13 = ap_CS_fsm) or (ap_ST_st15_fsm_14 = ap_CS_fsm) or (ap_ST_st16_fsm_15 = ap_CS_fsm) or (ap_ST_st17_fsm_16 = ap_CS_fsm) or (ap_ST_st18_fsm_17 = ap_CS_fsm) or (ap_ST_st19_fsm_18 = ap_CS_fsm) or (ap_ST_st20_fsm_19 = ap_CS_fsm) or (ap_ST_st21_fsm_20 = ap_CS_fsm))) then indices_stride_req_din <= grp_sample_iterator_get_offset_fu_192_indices_stride_req_din; else indices_stride_req_din <= 'X'; end if; end process; -- indices_stride_req_write assign process. -- indices_stride_req_write_assign_proc : process(ap_CS_fsm, tmp_i_11_reg_323, grp_sample_iterator_get_offset_fu_192_indices_stride_req_write, grp_sample_iterator_next_fu_209_indices_stride_req_write) begin if (((ap_ST_st39_fsm_38 = ap_CS_fsm) or (ap_ST_st32_fsm_31 = ap_CS_fsm) or (ap_ST_st33_fsm_32 = ap_CS_fsm) or (ap_ST_st34_fsm_33 = ap_CS_fsm) or (ap_ST_st35_fsm_34 = ap_CS_fsm) or (ap_ST_st36_fsm_35 = ap_CS_fsm) or (ap_ST_st37_fsm_36 = ap_CS_fsm) or (ap_ST_st38_fsm_37 = ap_CS_fsm))) then indices_stride_req_write <= grp_sample_iterator_next_fu_209_indices_stride_req_write; elsif (((ap_ST_st22_fsm_21 = ap_CS_fsm) or ((ap_ST_st4_fsm_3 = ap_CS_fsm) and (tmp_i_11_reg_323 = ap_const_lv1_0)) or (ap_ST_st5_fsm_4 = ap_CS_fsm) or (ap_ST_st6_fsm_5 = ap_CS_fsm) or (ap_ST_st7_fsm_6 = ap_CS_fsm) or (ap_ST_st8_fsm_7 = ap_CS_fsm) or (ap_ST_st9_fsm_8 = ap_CS_fsm) or (ap_ST_st10_fsm_9 = ap_CS_fsm) or (ap_ST_st11_fsm_10 = ap_CS_fsm) or (ap_ST_st12_fsm_11 = ap_CS_fsm) or (ap_ST_st13_fsm_12 = ap_CS_fsm) or (ap_ST_st14_fsm_13 = ap_CS_fsm) or (ap_ST_st15_fsm_14 = ap_CS_fsm) or (ap_ST_st16_fsm_15 = ap_CS_fsm) or (ap_ST_st17_fsm_16 = ap_CS_fsm) or (ap_ST_st18_fsm_17 = ap_CS_fsm) or (ap_ST_st19_fsm_18 = ap_CS_fsm) or (ap_ST_st20_fsm_19 = ap_CS_fsm) or (ap_ST_st21_fsm_20 = ap_CS_fsm))) then indices_stride_req_write <= grp_sample_iterator_get_offset_fu_192_indices_stride_req_write; else indices_stride_req_write <= 'X'; end if; end process; -- indices_stride_rsp_read assign process. -- indices_stride_rsp_read_assign_proc : process(ap_CS_fsm, tmp_i_11_reg_323, grp_sample_iterator_get_offset_fu_192_indices_stride_rsp_read, grp_sample_iterator_next_fu_209_indices_stride_rsp_read) begin if (((ap_ST_st39_fsm_38 = ap_CS_fsm) or (ap_ST_st32_fsm_31 = ap_CS_fsm) or (ap_ST_st33_fsm_32 = ap_CS_fsm) or (ap_ST_st34_fsm_33 = ap_CS_fsm) or (ap_ST_st35_fsm_34 = ap_CS_fsm) or (ap_ST_st36_fsm_35 = ap_CS_fsm) or (ap_ST_st37_fsm_36 = ap_CS_fsm) or (ap_ST_st38_fsm_37 = ap_CS_fsm))) then indices_stride_rsp_read <= grp_sample_iterator_next_fu_209_indices_stride_rsp_read; elsif (((ap_ST_st22_fsm_21 = ap_CS_fsm) or ((ap_ST_st4_fsm_3 = ap_CS_fsm) and (tmp_i_11_reg_323 = ap_const_lv1_0)) or (ap_ST_st5_fsm_4 = ap_CS_fsm) or (ap_ST_st6_fsm_5 = ap_CS_fsm) or (ap_ST_st7_fsm_6 = ap_CS_fsm) or (ap_ST_st8_fsm_7 = ap_CS_fsm) or (ap_ST_st9_fsm_8 = ap_CS_fsm) or (ap_ST_st10_fsm_9 = ap_CS_fsm) or (ap_ST_st11_fsm_10 = ap_CS_fsm) or (ap_ST_st12_fsm_11 = ap_CS_fsm) or (ap_ST_st13_fsm_12 = ap_CS_fsm) or (ap_ST_st14_fsm_13 = ap_CS_fsm) or (ap_ST_st15_fsm_14 = ap_CS_fsm) or (ap_ST_st16_fsm_15 = ap_CS_fsm) or (ap_ST_st17_fsm_16 = ap_CS_fsm) or (ap_ST_st18_fsm_17 = ap_CS_fsm) or (ap_ST_st19_fsm_18 = ap_CS_fsm) or (ap_ST_st20_fsm_19 = ap_CS_fsm) or (ap_ST_st21_fsm_20 = ap_CS_fsm))) then indices_stride_rsp_read <= grp_sample_iterator_get_offset_fu_192_indices_stride_rsp_read; else indices_stride_rsp_read <= 'X'; end if; end process; -- indices_stride_size assign process. -- indices_stride_size_assign_proc : process(ap_CS_fsm, tmp_i_11_reg_323, grp_sample_iterator_get_offset_fu_192_indices_stride_size, grp_sample_iterator_next_fu_209_indices_stride_size) begin if (((ap_ST_st39_fsm_38 = ap_CS_fsm) or (ap_ST_st32_fsm_31 = ap_CS_fsm) or (ap_ST_st33_fsm_32 = ap_CS_fsm) or (ap_ST_st34_fsm_33 = ap_CS_fsm) or (ap_ST_st35_fsm_34 = ap_CS_fsm) or (ap_ST_st36_fsm_35 = ap_CS_fsm) or (ap_ST_st37_fsm_36 = ap_CS_fsm) or (ap_ST_st38_fsm_37 = ap_CS_fsm))) then indices_stride_size <= grp_sample_iterator_next_fu_209_indices_stride_size; elsif (((ap_ST_st22_fsm_21 = ap_CS_fsm) or ((ap_ST_st4_fsm_3 = ap_CS_fsm) and (tmp_i_11_reg_323 = ap_const_lv1_0)) or (ap_ST_st5_fsm_4 = ap_CS_fsm) or (ap_ST_st6_fsm_5 = ap_CS_fsm) or (ap_ST_st7_fsm_6 = ap_CS_fsm) or (ap_ST_st8_fsm_7 = ap_CS_fsm) or (ap_ST_st9_fsm_8 = ap_CS_fsm) or (ap_ST_st10_fsm_9 = ap_CS_fsm) or (ap_ST_st11_fsm_10 = ap_CS_fsm) or (ap_ST_st12_fsm_11 = ap_CS_fsm) or (ap_ST_st13_fsm_12 = ap_CS_fsm) or (ap_ST_st14_fsm_13 = ap_CS_fsm) or (ap_ST_st15_fsm_14 = ap_CS_fsm) or (ap_ST_st16_fsm_15 = ap_CS_fsm) or (ap_ST_st17_fsm_16 = ap_CS_fsm) or (ap_ST_st18_fsm_17 = ap_CS_fsm) or (ap_ST_st19_fsm_18 = ap_CS_fsm) or (ap_ST_st20_fsm_19 = ap_CS_fsm) or (ap_ST_st21_fsm_20 = ap_CS_fsm))) then indices_stride_size <= grp_sample_iterator_get_offset_fu_192_indices_stride_size; else indices_stride_size <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; nfa_finals_buckets_address <= grp_nfa_accept_sample_fu_176_nfa_finals_buckets_address; nfa_finals_buckets_dataout <= grp_nfa_accept_sample_fu_176_nfa_finals_buckets_dataout; nfa_finals_buckets_req_din <= grp_nfa_accept_sample_fu_176_nfa_finals_buckets_req_din; nfa_finals_buckets_req_write <= grp_nfa_accept_sample_fu_176_nfa_finals_buckets_req_write; nfa_finals_buckets_rsp_read <= grp_nfa_accept_sample_fu_176_nfa_finals_buckets_rsp_read; nfa_finals_buckets_size <= grp_nfa_accept_sample_fu_176_nfa_finals_buckets_size; nfa_forward_buckets_address <= grp_nfa_accept_sample_fu_176_nfa_forward_buckets_address; nfa_forward_buckets_dataout <= grp_nfa_accept_sample_fu_176_nfa_forward_buckets_dataout; nfa_forward_buckets_req_din <= grp_nfa_accept_sample_fu_176_nfa_forward_buckets_req_din; nfa_forward_buckets_req_write <= grp_nfa_accept_sample_fu_176_nfa_forward_buckets_req_write; nfa_forward_buckets_rsp_read <= grp_nfa_accept_sample_fu_176_nfa_forward_buckets_rsp_read; nfa_forward_buckets_size <= grp_nfa_accept_sample_fu_176_nfa_forward_buckets_size; nfa_initials_buckets_address <= grp_nfa_accept_sample_fu_176_nfa_initials_buckets_address; nfa_initials_buckets_dataout <= grp_nfa_accept_sample_fu_176_nfa_initials_buckets_dataout; nfa_initials_buckets_req_din <= grp_nfa_accept_sample_fu_176_nfa_initials_buckets_req_din; nfa_initials_buckets_req_write <= grp_nfa_accept_sample_fu_176_nfa_initials_buckets_req_write; nfa_initials_buckets_rsp_read <= grp_nfa_accept_sample_fu_176_nfa_initials_buckets_rsp_read; nfa_initials_buckets_size <= grp_nfa_accept_sample_fu_176_nfa_initials_buckets_size; or_cond_fu_245_p2 <= (r_reg_338 xor accept); sample_buffer_address <= grp_nfa_accept_sample_fu_176_sample_address; sample_buffer_dataout <= grp_nfa_accept_sample_fu_176_sample_dataout; sample_buffer_req_din <= grp_nfa_accept_sample_fu_176_sample_req_din; sample_buffer_req_write <= grp_nfa_accept_sample_fu_176_sample_req_write; sample_buffer_rsp_read <= grp_nfa_accept_sample_fu_176_sample_rsp_read; sample_buffer_size <= grp_nfa_accept_sample_fu_176_sample_size; stop_on_first_read_read_fu_102_p2 <= stop_on_first; tmp_i_10_fu_233_p2 <= "1" when (i_index_reg_144 = end_index) else "0"; tmp_i_11_fu_238_p2 <= (tmp_i_reg_313 and tmp_i_10_reg_318); tmp_i_fu_228_p2 <= "1" when (i_sample_reg_154 = end_sample) else "0"; end behav;
lgpl-3.0
jairov4/accel-oil
solution_kintex7/sim/vhdl/nfa_accept_samples_generic_hw.autotb.vhd
1
99901
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2013.4 -- Copyright (C) 2013 Xilinx Inc. All rights reserved. -- -- ============================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; use IEEE.numeric_std.all; use ieee.std_logic_textio.all; use std.textio.all; library work; use work.all; entity apatb_nfa_accept_samples_generic_hw_top is generic ( AUTOTB_CLOCK_PERIOD : TIME := 2.000000 ns; AUTOTB_TVIN_nfa_initials_buckets : STRING := "../tv/cdatafile/c.nfa_accept_samples_generic_hw.autotvin_nfa_initials_buckets.dat"; AUTOTB_TVIN_nfa_finals_buckets : STRING := "../tv/cdatafile/c.nfa_accept_samples_generic_hw.autotvin_nfa_finals_buckets.dat"; AUTOTB_TVIN_nfa_forward_buckets : STRING := "../tv/cdatafile/c.nfa_accept_samples_generic_hw.autotvin_nfa_forward_buckets.dat"; AUTOTB_TVIN_nfa_symbols : STRING := "../tv/cdatafile/c.nfa_accept_samples_generic_hw.autotvin_nfa_symbols.dat"; AUTOTB_TVIN_sample_buffer : STRING := "../tv/cdatafile/c.nfa_accept_samples_generic_hw.autotvin_sample_buffer.dat"; AUTOTB_TVIN_sample_buffer_length : STRING := "../tv/cdatafile/c.nfa_accept_samples_generic_hw.autotvin_sample_buffer_length.dat"; AUTOTB_TVIN_sample_length : STRING := "../tv/cdatafile/c.nfa_accept_samples_generic_hw.autotvin_sample_length.dat"; AUTOTB_TVIN_indices_begin : STRING := "../tv/cdatafile/c.nfa_accept_samples_generic_hw.autotvin_indices_begin.dat"; AUTOTB_TVIN_indices_samples : STRING := "../tv/cdatafile/c.nfa_accept_samples_generic_hw.autotvin_indices_samples.dat"; AUTOTB_TVIN_indices_stride : STRING := "../tv/cdatafile/c.nfa_accept_samples_generic_hw.autotvin_indices_stride.dat"; AUTOTB_TVIN_begin_index : STRING := "../tv/cdatafile/c.nfa_accept_samples_generic_hw.autotvin_begin_index.dat"; AUTOTB_TVIN_begin_sample : STRING := "../tv/cdatafile/c.nfa_accept_samples_generic_hw.autotvin_begin_sample.dat"; AUTOTB_TVIN_end_index : STRING := "../tv/cdatafile/c.nfa_accept_samples_generic_hw.autotvin_end_index.dat"; AUTOTB_TVIN_end_sample : STRING := "../tv/cdatafile/c.nfa_accept_samples_generic_hw.autotvin_end_sample.dat"; AUTOTB_TVIN_stop_on_first : STRING := "../tv/cdatafile/c.nfa_accept_samples_generic_hw.autotvin_stop_on_first.dat"; AUTOTB_TVIN_accept : STRING := "../tv/cdatafile/c.nfa_accept_samples_generic_hw.autotvin_accept.dat"; AUTOTB_TVIN_nfa_initials_buckets_out_wrapc : STRING := "../tv/rtldatafile/rtl.nfa_accept_samples_generic_hw.autotvin_nfa_initials_buckets.dat"; AUTOTB_TVIN_nfa_finals_buckets_out_wrapc : STRING := "../tv/rtldatafile/rtl.nfa_accept_samples_generic_hw.autotvin_nfa_finals_buckets.dat"; AUTOTB_TVIN_nfa_forward_buckets_out_wrapc : STRING := "../tv/rtldatafile/rtl.nfa_accept_samples_generic_hw.autotvin_nfa_forward_buckets.dat"; AUTOTB_TVIN_nfa_symbols_out_wrapc : STRING := "../tv/rtldatafile/rtl.nfa_accept_samples_generic_hw.autotvin_nfa_symbols.dat"; AUTOTB_TVIN_sample_buffer_out_wrapc : STRING := "../tv/rtldatafile/rtl.nfa_accept_samples_generic_hw.autotvin_sample_buffer.dat"; AUTOTB_TVIN_sample_buffer_length_out_wrapc : STRING := "../tv/rtldatafile/rtl.nfa_accept_samples_generic_hw.autotvin_sample_buffer_length.dat"; AUTOTB_TVIN_sample_length_out_wrapc : STRING := "../tv/rtldatafile/rtl.nfa_accept_samples_generic_hw.autotvin_sample_length.dat"; AUTOTB_TVIN_indices_begin_out_wrapc : STRING := "../tv/rtldatafile/rtl.nfa_accept_samples_generic_hw.autotvin_indices_begin.dat"; AUTOTB_TVIN_indices_samples_out_wrapc : STRING := "../tv/rtldatafile/rtl.nfa_accept_samples_generic_hw.autotvin_indices_samples.dat"; AUTOTB_TVIN_indices_stride_out_wrapc : STRING := "../tv/rtldatafile/rtl.nfa_accept_samples_generic_hw.autotvin_indices_stride.dat"; AUTOTB_TVIN_begin_index_out_wrapc : STRING := "../tv/rtldatafile/rtl.nfa_accept_samples_generic_hw.autotvin_begin_index.dat"; AUTOTB_TVIN_begin_sample_out_wrapc : STRING := "../tv/rtldatafile/rtl.nfa_accept_samples_generic_hw.autotvin_begin_sample.dat"; AUTOTB_TVIN_end_index_out_wrapc : STRING := "../tv/rtldatafile/rtl.nfa_accept_samples_generic_hw.autotvin_end_index.dat"; AUTOTB_TVIN_end_sample_out_wrapc : STRING := "../tv/rtldatafile/rtl.nfa_accept_samples_generic_hw.autotvin_end_sample.dat"; AUTOTB_TVIN_stop_on_first_out_wrapc : STRING := "../tv/rtldatafile/rtl.nfa_accept_samples_generic_hw.autotvin_stop_on_first.dat"; AUTOTB_TVIN_accept_out_wrapc : STRING := "../tv/rtldatafile/rtl.nfa_accept_samples_generic_hw.autotvin_accept.dat"; AUTOTB_TVOUT_ap_return : STRING := "../tv/cdatafile/c.nfa_accept_samples_generic_hw.autotvout_ap_return.dat"; AUTOTB_TVOUT_ap_return_out_wrapc : STRING := "../tv/rtldatafile/rtl.nfa_accept_samples_generic_hw.autotvout_ap_return.dat"; AUTOTB_LAT_RESULT_FILE : STRING := "nfa_accept_samples_generic_hw.result.lat.rb"; AUTOTB_PER_RESULT_TRANS_FILE : STRING := "nfa_accept_samples_generic_hw.performance.result.transaction.xml"; LENGTH_nfa_initials_buckets : INTEGER := 2; LENGTH_nfa_finals_buckets : INTEGER := 2; LENGTH_nfa_forward_buckets : INTEGER := 10; LENGTH_nfa_symbols : INTEGER := 1; LENGTH_sample_buffer : INTEGER := 10; LENGTH_sample_buffer_length : INTEGER := 1; LENGTH_sample_length : INTEGER := 1; LENGTH_indices_begin : INTEGER := 10; LENGTH_indices_samples : INTEGER := 10; LENGTH_indices_stride : INTEGER := 10; LENGTH_begin_index : INTEGER := 1; LENGTH_begin_sample : INTEGER := 1; LENGTH_end_index : INTEGER := 1; LENGTH_end_sample : INTEGER := 1; LENGTH_stop_on_first : INTEGER := 1; LENGTH_accept : INTEGER := 1; LENGTH_ap_return : INTEGER := 1; AUTOTB_TRANSACTION_NUM : INTEGER := 4 ); end apatb_nfa_accept_samples_generic_hw_top; architecture behav of apatb_nfa_accept_samples_generic_hw_top is signal AESL_clock : STD_LOGIC := '0'; signal rst : STD_LOGIC; signal start : STD_LOGIC := '0'; signal ce : STD_LOGIC; signal continue : STD_LOGIC := '0'; signal AESL_reset : STD_LOGIC := '0'; signal AESL_start : STD_LOGIC := '0'; signal AESL_ce : STD_LOGIC := '0'; signal AESL_continue : STD_LOGIC := '0'; signal AESL_ready : STD_LOGIC := '0'; signal AESL_idle : STD_LOGIC := '0'; signal AESL_done : STD_LOGIC := '0'; signal AESL_done_delay : STD_LOGIC := '0'; signal AESL_done_delay2 : STD_LOGIC := '0'; signal AESL_ready_delay : STD_LOGIC := '0'; signal ready : STD_LOGIC := '0'; signal ready_wire : STD_LOGIC := '0'; signal ap_clk : STD_LOGIC; signal ap_rst : STD_LOGIC; signal ap_start : STD_LOGIC; signal ap_done : STD_LOGIC; signal ap_idle : STD_LOGIC; signal ap_ready : STD_LOGIC; signal nfa_initials_buckets_req_din : STD_LOGIC; signal nfa_initials_buckets_req_full_n : STD_LOGIC; signal nfa_initials_buckets_req_write : STD_LOGIC; signal nfa_initials_buckets_rsp_empty_n : STD_LOGIC; signal nfa_initials_buckets_rsp_read : STD_LOGIC; signal nfa_initials_buckets_address : STD_LOGIC_VECTOR (31 DOWNTO 0); signal nfa_initials_buckets_datain : STD_LOGIC_VECTOR (31 DOWNTO 0); signal nfa_initials_buckets_dataout : STD_LOGIC_VECTOR (31 DOWNTO 0); signal nfa_initials_buckets_size : STD_LOGIC_VECTOR (31 DOWNTO 0); signal nfa_finals_buckets_req_din : STD_LOGIC; signal nfa_finals_buckets_req_full_n : STD_LOGIC; signal nfa_finals_buckets_req_write : STD_LOGIC; signal nfa_finals_buckets_rsp_empty_n : STD_LOGIC; signal nfa_finals_buckets_rsp_read : STD_LOGIC; signal nfa_finals_buckets_address : STD_LOGIC_VECTOR (31 DOWNTO 0); signal nfa_finals_buckets_datain : STD_LOGIC_VECTOR (31 DOWNTO 0); signal nfa_finals_buckets_dataout : STD_LOGIC_VECTOR (31 DOWNTO 0); signal nfa_finals_buckets_size : STD_LOGIC_VECTOR (31 DOWNTO 0); signal nfa_forward_buckets_req_din : STD_LOGIC; signal nfa_forward_buckets_req_full_n : STD_LOGIC; signal nfa_forward_buckets_req_write : STD_LOGIC; signal nfa_forward_buckets_rsp_empty_n : STD_LOGIC; signal nfa_forward_buckets_rsp_read : STD_LOGIC; signal nfa_forward_buckets_address : STD_LOGIC_VECTOR (31 DOWNTO 0); signal nfa_forward_buckets_datain : STD_LOGIC_VECTOR (31 DOWNTO 0); signal nfa_forward_buckets_dataout : STD_LOGIC_VECTOR (31 DOWNTO 0); signal nfa_forward_buckets_size : STD_LOGIC_VECTOR (31 DOWNTO 0); signal nfa_symbols : STD_LOGIC_VECTOR (7 DOWNTO 0); signal sample_buffer_req_din : STD_LOGIC; signal sample_buffer_req_full_n : STD_LOGIC; signal sample_buffer_req_write : STD_LOGIC; signal sample_buffer_rsp_empty_n : STD_LOGIC; signal sample_buffer_rsp_read : STD_LOGIC; signal sample_buffer_address : STD_LOGIC_VECTOR (31 DOWNTO 0); signal sample_buffer_datain : STD_LOGIC_VECTOR (7 DOWNTO 0); signal sample_buffer_dataout : STD_LOGIC_VECTOR (7 DOWNTO 0); signal sample_buffer_size : STD_LOGIC_VECTOR (31 DOWNTO 0); signal sample_buffer_length : STD_LOGIC_VECTOR (31 DOWNTO 0); signal sample_length : STD_LOGIC_VECTOR (15 DOWNTO 0); signal indices_begin_req_din : STD_LOGIC; signal indices_begin_req_full_n : STD_LOGIC; signal indices_begin_req_write : STD_LOGIC; signal indices_begin_rsp_empty_n : STD_LOGIC; signal indices_begin_rsp_read : STD_LOGIC; signal indices_begin_address : STD_LOGIC_VECTOR (31 DOWNTO 0); signal indices_begin_datain : STD_LOGIC_VECTOR (31 DOWNTO 0); signal indices_begin_dataout : STD_LOGIC_VECTOR (31 DOWNTO 0); signal indices_begin_size : STD_LOGIC_VECTOR (31 DOWNTO 0); signal indices_samples_req_din : STD_LOGIC; signal indices_samples_req_full_n : STD_LOGIC; signal indices_samples_req_write : STD_LOGIC; signal indices_samples_rsp_empty_n : STD_LOGIC; signal indices_samples_rsp_read : STD_LOGIC; signal indices_samples_address : STD_LOGIC_VECTOR (31 DOWNTO 0); signal indices_samples_datain : STD_LOGIC_VECTOR (15 DOWNTO 0); signal indices_samples_dataout : STD_LOGIC_VECTOR (15 DOWNTO 0); signal indices_samples_size : STD_LOGIC_VECTOR (31 DOWNTO 0); signal indices_stride_req_din : STD_LOGIC; signal indices_stride_req_full_n : STD_LOGIC; signal indices_stride_req_write : STD_LOGIC; signal indices_stride_rsp_empty_n : STD_LOGIC; signal indices_stride_rsp_read : STD_LOGIC; signal indices_stride_address : STD_LOGIC_VECTOR (31 DOWNTO 0); signal indices_stride_datain : STD_LOGIC_VECTOR (7 DOWNTO 0); signal indices_stride_dataout : STD_LOGIC_VECTOR (7 DOWNTO 0); signal indices_stride_size : STD_LOGIC_VECTOR (31 DOWNTO 0); signal i_size : STD_LOGIC_VECTOR (15 DOWNTO 0); signal begin_index : STD_LOGIC_VECTOR (15 DOWNTO 0); signal begin_sample : STD_LOGIC_VECTOR (15 DOWNTO 0); signal end_index : STD_LOGIC_VECTOR (15 DOWNTO 0); signal end_sample : STD_LOGIC_VECTOR (15 DOWNTO 0); signal stop_on_first : STD_LOGIC_VECTOR (0 DOWNTO 0); signal accept : STD_LOGIC_VECTOR (0 DOWNTO 0); signal ap_return : STD_LOGIC_VECTOR (31 DOWNTO 0); shared variable AESL_ready_cnt : INTEGER := 0; shared variable ready_cnt : INTEGER := 0; shared variable done_cnt : INTEGER := 0; signal ready_initial : STD_LOGIC; signal ready_initial_n : STD_LOGIC; signal ready_last_n : STD_LOGIC; signal ready_delay_last_n : STD_LOGIC; signal done_delay_last_n : STD_LOGIC; signal interface_done : STD_LOGIC := '0'; -- Subtype for random state number, to prevent confusing it with true integers -- Top of range should be (2**31)-1 but this literal calculation causes overflow on 32-bit machines subtype T_RANDINT is integer range 1 to integer'high; type latency_record is array(0 to AUTOTB_TRANSACTION_NUM + 1) of INTEGER; shared variable AESL_mLatCnterIn : latency_record; shared variable AESL_mLatCnterOut : latency_record; shared variable AESL_mLatCnterIn_addr : INTEGER; shared variable AESL_mLatCnterOut_addr : INTEGER; shared variable AESL_clk_counter : INTEGER; component nfa_accept_samples_generic_hw is port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; nfa_initials_buckets_req_din : OUT STD_LOGIC; nfa_initials_buckets_req_full_n : IN STD_LOGIC; nfa_initials_buckets_req_write : OUT STD_LOGIC; nfa_initials_buckets_rsp_empty_n : IN STD_LOGIC; nfa_initials_buckets_rsp_read : OUT STD_LOGIC; nfa_initials_buckets_address : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); nfa_initials_buckets_datain : IN STD_LOGIC_VECTOR (31 DOWNTO 0); nfa_initials_buckets_dataout : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); nfa_initials_buckets_size : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); nfa_finals_buckets_req_din : OUT STD_LOGIC; nfa_finals_buckets_req_full_n : IN STD_LOGIC; nfa_finals_buckets_req_write : OUT STD_LOGIC; nfa_finals_buckets_rsp_empty_n : IN STD_LOGIC; nfa_finals_buckets_rsp_read : OUT STD_LOGIC; nfa_finals_buckets_address : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); nfa_finals_buckets_datain : IN STD_LOGIC_VECTOR (31 DOWNTO 0); nfa_finals_buckets_dataout : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); nfa_finals_buckets_size : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); nfa_forward_buckets_req_din : OUT STD_LOGIC; nfa_forward_buckets_req_full_n : IN STD_LOGIC; nfa_forward_buckets_req_write : OUT STD_LOGIC; nfa_forward_buckets_rsp_empty_n : IN STD_LOGIC; nfa_forward_buckets_rsp_read : OUT STD_LOGIC; nfa_forward_buckets_address : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); nfa_forward_buckets_datain : IN STD_LOGIC_VECTOR (31 DOWNTO 0); nfa_forward_buckets_dataout : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); nfa_forward_buckets_size : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); nfa_symbols : IN STD_LOGIC_VECTOR (7 DOWNTO 0); sample_buffer_req_din : OUT STD_LOGIC; sample_buffer_req_full_n : IN STD_LOGIC; sample_buffer_req_write : OUT STD_LOGIC; sample_buffer_rsp_empty_n : IN STD_LOGIC; sample_buffer_rsp_read : OUT STD_LOGIC; sample_buffer_address : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); sample_buffer_datain : IN STD_LOGIC_VECTOR (7 DOWNTO 0); sample_buffer_dataout : OUT STD_LOGIC_VECTOR (7 DOWNTO 0); sample_buffer_size : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); sample_buffer_length : IN STD_LOGIC_VECTOR (31 DOWNTO 0); sample_length : IN STD_LOGIC_VECTOR (15 DOWNTO 0); indices_begin_req_din : OUT STD_LOGIC; indices_begin_req_full_n : IN STD_LOGIC; indices_begin_req_write : OUT STD_LOGIC; indices_begin_rsp_empty_n : IN STD_LOGIC; indices_begin_rsp_read : OUT STD_LOGIC; indices_begin_address : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); indices_begin_datain : IN STD_LOGIC_VECTOR (31 DOWNTO 0); indices_begin_dataout : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); indices_begin_size : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); indices_samples_req_din : OUT STD_LOGIC; indices_samples_req_full_n : IN STD_LOGIC; indices_samples_req_write : OUT STD_LOGIC; indices_samples_rsp_empty_n : IN STD_LOGIC; indices_samples_rsp_read : OUT STD_LOGIC; indices_samples_address : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); indices_samples_datain : IN STD_LOGIC_VECTOR (15 DOWNTO 0); indices_samples_dataout : OUT STD_LOGIC_VECTOR (15 DOWNTO 0); indices_samples_size : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); indices_stride_req_din : OUT STD_LOGIC; indices_stride_req_full_n : IN STD_LOGIC; indices_stride_req_write : OUT STD_LOGIC; indices_stride_rsp_empty_n : IN STD_LOGIC; indices_stride_rsp_read : OUT STD_LOGIC; indices_stride_address : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); indices_stride_datain : IN STD_LOGIC_VECTOR (7 DOWNTO 0); indices_stride_dataout : OUT STD_LOGIC_VECTOR (7 DOWNTO 0); indices_stride_size : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); i_size : IN STD_LOGIC_VECTOR (15 DOWNTO 0); begin_index : IN STD_LOGIC_VECTOR (15 DOWNTO 0); begin_sample : IN STD_LOGIC_VECTOR (15 DOWNTO 0); end_index : IN STD_LOGIC_VECTOR (15 DOWNTO 0); end_sample : IN STD_LOGIC_VECTOR (15 DOWNTO 0); stop_on_first : IN STD_LOGIC_VECTOR (0 DOWNTO 0); accept : IN STD_LOGIC_VECTOR (0 DOWNTO 0); ap_return : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)); end component; signal bus_nfa_initials_buckets_req_RW : STD_LOGIC; signal bus_nfa_initials_buckets_req_full_n : STD_LOGIC; signal reg_bus_nfa_initials_buckets_req_full_n : STD_LOGIC; signal bus_nfa_initials_buckets_req_RW_en : STD_LOGIC; signal bus_nfa_initials_buckets_rsp_empty_n : STD_LOGIC; signal reg_bus_nfa_initials_buckets_rsp_empty_n : STD_LOGIC; signal bus_nfa_initials_buckets_rsp_read : STD_LOGIC; signal bus_nfa_initials_buckets_address : STD_LOGIC_VECTOR(31 downto 0); signal bus_nfa_initials_buckets_din : STD_LOGIC_VECTOR(31 downto 0); signal bus_nfa_initials_buckets_dout : STD_LOGIC_VECTOR(31 downto 0); signal bus_nfa_initials_buckets_size : STD_LOGIC_VECTOR(31 downto 0); signal bus_nfa_initials_buckets_ready : STD_LOGIC; signal bus_nfa_initials_buckets_done : STD_LOGIC; component AESL_autobus_nfa_initials_buckets is port( clk : IN STD_LOGIC; rst : IN STD_LOGIC; bus_req_RW : IN STD_LOGIC; bus_req_full_n : OUT STD_LOGIC; bus_req_RW_en : IN STD_LOGIC; bus_rsp_empty_n : OUT STD_LOGIC; bus_rsp_read : IN STD_LOGIC; bus_address : IN STD_LOGIC_VECTOR; bus_din : IN STD_LOGIC_VECTOR; bus_dout : OUT STD_LOGIC_VECTOR; bus_size : IN STD_LOGIC_VECTOR; ready : IN STD_LOGIC; done : IN STD_LOGIC ); end component; signal bus_nfa_finals_buckets_req_RW : STD_LOGIC; signal bus_nfa_finals_buckets_req_full_n : STD_LOGIC; signal reg_bus_nfa_finals_buckets_req_full_n : STD_LOGIC; signal bus_nfa_finals_buckets_req_RW_en : STD_LOGIC; signal bus_nfa_finals_buckets_rsp_empty_n : STD_LOGIC; signal reg_bus_nfa_finals_buckets_rsp_empty_n : STD_LOGIC; signal bus_nfa_finals_buckets_rsp_read : STD_LOGIC; signal bus_nfa_finals_buckets_address : STD_LOGIC_VECTOR(31 downto 0); signal bus_nfa_finals_buckets_din : STD_LOGIC_VECTOR(31 downto 0); signal bus_nfa_finals_buckets_dout : STD_LOGIC_VECTOR(31 downto 0); signal bus_nfa_finals_buckets_size : STD_LOGIC_VECTOR(31 downto 0); signal bus_nfa_finals_buckets_ready : STD_LOGIC; signal bus_nfa_finals_buckets_done : STD_LOGIC; component AESL_autobus_nfa_finals_buckets is port( clk : IN STD_LOGIC; rst : IN STD_LOGIC; bus_req_RW : IN STD_LOGIC; bus_req_full_n : OUT STD_LOGIC; bus_req_RW_en : IN STD_LOGIC; bus_rsp_empty_n : OUT STD_LOGIC; bus_rsp_read : IN STD_LOGIC; bus_address : IN STD_LOGIC_VECTOR; bus_din : IN STD_LOGIC_VECTOR; bus_dout : OUT STD_LOGIC_VECTOR; bus_size : IN STD_LOGIC_VECTOR; ready : IN STD_LOGIC; done : IN STD_LOGIC ); end component; signal bus_nfa_forward_buckets_req_RW : STD_LOGIC; signal bus_nfa_forward_buckets_req_full_n : STD_LOGIC; signal reg_bus_nfa_forward_buckets_req_full_n : STD_LOGIC; signal bus_nfa_forward_buckets_req_RW_en : STD_LOGIC; signal bus_nfa_forward_buckets_rsp_empty_n : STD_LOGIC; signal reg_bus_nfa_forward_buckets_rsp_empty_n : STD_LOGIC; signal bus_nfa_forward_buckets_rsp_read : STD_LOGIC; signal bus_nfa_forward_buckets_address : STD_LOGIC_VECTOR(31 downto 0); signal bus_nfa_forward_buckets_din : STD_LOGIC_VECTOR(31 downto 0); signal bus_nfa_forward_buckets_dout : STD_LOGIC_VECTOR(31 downto 0); signal bus_nfa_forward_buckets_size : STD_LOGIC_VECTOR(31 downto 0); signal bus_nfa_forward_buckets_ready : STD_LOGIC; signal bus_nfa_forward_buckets_done : STD_LOGIC; component AESL_autobus_nfa_forward_buckets is port( clk : IN STD_LOGIC; rst : IN STD_LOGIC; bus_req_RW : IN STD_LOGIC; bus_req_full_n : OUT STD_LOGIC; bus_req_RW_en : IN STD_LOGIC; bus_rsp_empty_n : OUT STD_LOGIC; bus_rsp_read : IN STD_LOGIC; bus_address : IN STD_LOGIC_VECTOR; bus_din : IN STD_LOGIC_VECTOR; bus_dout : OUT STD_LOGIC_VECTOR; bus_size : IN STD_LOGIC_VECTOR; ready : IN STD_LOGIC; done : IN STD_LOGIC ); end component; signal arraynfa_backward_buckets_ce0, arraynfa_backward_buckets_ce1 : STD_LOGIC; signal arraynfa_backward_buckets_we0, arraynfa_backward_buckets_we1 : STD_LOGIC; signal arraynfa_backward_buckets_address0, arraynfa_backward_buckets_address1 : STD_LOGIC_VECTOR(14 downto 0); signal arraynfa_backward_buckets_din0, arraynfa_backward_buckets_din1 : STD_LOGIC_VECTOR(31 downto 0); signal arraynfa_backward_buckets_dout0, arraynfa_backward_buckets_dout1 : STD_LOGIC_VECTOR(31 downto 0); signal arraynfa_backward_buckets_ready : STD_LOGIC; signal arraynfa_backward_buckets_done : STD_LOGIC; component AESL_automem_nfa_backward_buckets is port( clk : IN STD_LOGIC; rst : IN STD_LOGIC; ce0 : IN STD_LOGIC; we0 : IN STD_LOGIC; address0 : IN STD_LOGIC_VECTOR; din0 : IN STD_LOGIC_VECTOR; dout0 : OUT STD_LOGIC_VECTOR; ce1 : IN STD_LOGIC; we1 : IN STD_LOGIC; address1 : IN STD_LOGIC_VECTOR; din1 : IN STD_LOGIC_VECTOR; dout1 : OUT STD_LOGIC_VECTOR; ready : IN STD_LOGIC; done : IN STD_LOGIC ); end component; -- The signal of port nfa_symbols shared variable AESL_REG_nfa_symbols : STD_LOGIC_VECTOR(7 downto 0) := (others => '0'); signal bus_sample_buffer_req_RW : STD_LOGIC; signal bus_sample_buffer_req_full_n : STD_LOGIC; signal reg_bus_sample_buffer_req_full_n : STD_LOGIC; signal bus_sample_buffer_req_RW_en : STD_LOGIC; signal bus_sample_buffer_rsp_empty_n : STD_LOGIC; signal reg_bus_sample_buffer_rsp_empty_n : STD_LOGIC; signal bus_sample_buffer_rsp_read : STD_LOGIC; signal bus_sample_buffer_address : STD_LOGIC_VECTOR(31 downto 0); signal bus_sample_buffer_din : STD_LOGIC_VECTOR(7 downto 0); signal bus_sample_buffer_dout : STD_LOGIC_VECTOR(7 downto 0); signal bus_sample_buffer_size : STD_LOGIC_VECTOR(31 downto 0); signal bus_sample_buffer_ready : STD_LOGIC; signal bus_sample_buffer_done : STD_LOGIC; component AESL_autobus_sample_buffer is port( clk : IN STD_LOGIC; rst : IN STD_LOGIC; bus_req_RW : IN STD_LOGIC; bus_req_full_n : OUT STD_LOGIC; bus_req_RW_en : IN STD_LOGIC; bus_rsp_empty_n : OUT STD_LOGIC; bus_rsp_read : IN STD_LOGIC; bus_address : IN STD_LOGIC_VECTOR; bus_din : IN STD_LOGIC_VECTOR; bus_dout : OUT STD_LOGIC_VECTOR; bus_size : IN STD_LOGIC_VECTOR; ready : IN STD_LOGIC; done : IN STD_LOGIC ); end component; -- The signal of port sample_buffer_length shared variable AESL_REG_sample_buffer_length : STD_LOGIC_VECTOR(31 downto 0) := (others => '0'); -- The signal of port sample_length shared variable AESL_REG_sample_length : STD_LOGIC_VECTOR(15 downto 0) := (others => '0'); signal bus_indices_begin_req_RW : STD_LOGIC; signal bus_indices_begin_req_full_n : STD_LOGIC; signal reg_bus_indices_begin_req_full_n : STD_LOGIC; signal bus_indices_begin_req_RW_en : STD_LOGIC; signal bus_indices_begin_rsp_empty_n : STD_LOGIC; signal reg_bus_indices_begin_rsp_empty_n : STD_LOGIC; signal bus_indices_begin_rsp_read : STD_LOGIC; signal bus_indices_begin_address : STD_LOGIC_VECTOR(31 downto 0); signal bus_indices_begin_din : STD_LOGIC_VECTOR(31 downto 0); signal bus_indices_begin_dout : STD_LOGIC_VECTOR(31 downto 0); signal bus_indices_begin_size : STD_LOGIC_VECTOR(31 downto 0); signal bus_indices_begin_ready : STD_LOGIC; signal bus_indices_begin_done : STD_LOGIC; component AESL_autobus_indices_begin is port( clk : IN STD_LOGIC; rst : IN STD_LOGIC; bus_req_RW : IN STD_LOGIC; bus_req_full_n : OUT STD_LOGIC; bus_req_RW_en : IN STD_LOGIC; bus_rsp_empty_n : OUT STD_LOGIC; bus_rsp_read : IN STD_LOGIC; bus_address : IN STD_LOGIC_VECTOR; bus_din : IN STD_LOGIC_VECTOR; bus_dout : OUT STD_LOGIC_VECTOR; bus_size : IN STD_LOGIC_VECTOR; ready : IN STD_LOGIC; done : IN STD_LOGIC ); end component; signal bus_indices_samples_req_RW : STD_LOGIC; signal bus_indices_samples_req_full_n : STD_LOGIC; signal reg_bus_indices_samples_req_full_n : STD_LOGIC; signal bus_indices_samples_req_RW_en : STD_LOGIC; signal bus_indices_samples_rsp_empty_n : STD_LOGIC; signal reg_bus_indices_samples_rsp_empty_n : STD_LOGIC; signal bus_indices_samples_rsp_read : STD_LOGIC; signal bus_indices_samples_address : STD_LOGIC_VECTOR(31 downto 0); signal bus_indices_samples_din : STD_LOGIC_VECTOR(15 downto 0); signal bus_indices_samples_dout : STD_LOGIC_VECTOR(15 downto 0); signal bus_indices_samples_size : STD_LOGIC_VECTOR(31 downto 0); signal bus_indices_samples_ready : STD_LOGIC; signal bus_indices_samples_done : STD_LOGIC; component AESL_autobus_indices_samples is port( clk : IN STD_LOGIC; rst : IN STD_LOGIC; bus_req_RW : IN STD_LOGIC; bus_req_full_n : OUT STD_LOGIC; bus_req_RW_en : IN STD_LOGIC; bus_rsp_empty_n : OUT STD_LOGIC; bus_rsp_read : IN STD_LOGIC; bus_address : IN STD_LOGIC_VECTOR; bus_din : IN STD_LOGIC_VECTOR; bus_dout : OUT STD_LOGIC_VECTOR; bus_size : IN STD_LOGIC_VECTOR; ready : IN STD_LOGIC; done : IN STD_LOGIC ); end component; signal bus_indices_stride_req_RW : STD_LOGIC; signal bus_indices_stride_req_full_n : STD_LOGIC; signal reg_bus_indices_stride_req_full_n : STD_LOGIC; signal bus_indices_stride_req_RW_en : STD_LOGIC; signal bus_indices_stride_rsp_empty_n : STD_LOGIC; signal reg_bus_indices_stride_rsp_empty_n : STD_LOGIC; signal bus_indices_stride_rsp_read : STD_LOGIC; signal bus_indices_stride_address : STD_LOGIC_VECTOR(31 downto 0); signal bus_indices_stride_din : STD_LOGIC_VECTOR(7 downto 0); signal bus_indices_stride_dout : STD_LOGIC_VECTOR(7 downto 0); signal bus_indices_stride_size : STD_LOGIC_VECTOR(31 downto 0); signal bus_indices_stride_ready : STD_LOGIC; signal bus_indices_stride_done : STD_LOGIC; component AESL_autobus_indices_stride is port( clk : IN STD_LOGIC; rst : IN STD_LOGIC; bus_req_RW : IN STD_LOGIC; bus_req_full_n : OUT STD_LOGIC; bus_req_RW_en : IN STD_LOGIC; bus_rsp_empty_n : OUT STD_LOGIC; bus_rsp_read : IN STD_LOGIC; bus_address : IN STD_LOGIC_VECTOR; bus_din : IN STD_LOGIC_VECTOR; bus_dout : OUT STD_LOGIC_VECTOR; bus_size : IN STD_LOGIC_VECTOR; ready : IN STD_LOGIC; done : IN STD_LOGIC ); end component; -- The signal of port i_size shared variable AESL_REG_i_size : STD_LOGIC_VECTOR(15 downto 0) := (others => '0'); -- The signal of port begin_index shared variable AESL_REG_begin_index : STD_LOGIC_VECTOR(15 downto 0) := (others => '0'); -- The signal of port begin_sample shared variable AESL_REG_begin_sample : STD_LOGIC_VECTOR(15 downto 0) := (others => '0'); -- The signal of port end_index shared variable AESL_REG_end_index : STD_LOGIC_VECTOR(15 downto 0) := (others => '0'); -- The signal of port end_sample shared variable AESL_REG_end_sample : STD_LOGIC_VECTOR(15 downto 0) := (others => '0'); -- The signal of port stop_on_first shared variable AESL_REG_stop_on_first : STD_LOGIC_VECTOR(0 downto 0) := (others => '0'); -- The signal of port accept shared variable AESL_REG_accept : STD_LOGIC_VECTOR(0 downto 0) := (others => '0'); procedure esl_read_token (file textfile: TEXT; textline: inout LINE; token: out STRING; token_len: out INTEGER) is variable whitespace : CHARACTER; variable i : INTEGER; variable ok: BOOLEAN; variable buff: STRING(1 to token'length); begin ok := false; i := 1; loop_main: while not endfile(textfile) loop if textline = null or textline'length = 0 then readline(textfile, textline); end if; loop_remove_whitespace: while textline'length > 0 loop if textline(textline'left) = ' ' or textline(textline'left) = HT or textline(textline'left) = CR or textline(textline'left) = LF then read(textline, whitespace); else exit loop_remove_whitespace; end if; end loop; loop_aesl_read_token: while textline'length > 0 and i <= buff'length loop if textline(textline'left) = ' ' or textline(textline'left) = HT or textline(textline'left) = CR or textline(textline'left) = LF then exit loop_aesl_read_token; else read(textline, buff(i)); i := i + 1; end if; ok := true; end loop; if ok = true then exit loop_main; end if; end loop; buff(i) := ' '; token := buff; token_len:= i-1; end procedure esl_read_token; procedure esl_read_token (file textfile: TEXT; textline: inout LINE; token: out STRING) is variable i : INTEGER; begin esl_read_token (textfile, textline, token, i); end procedure esl_read_token; function esl_str2lv_hex (RHS : STRING; data_width : INTEGER) return STD_LOGIC_VECTOR is variable ret : STD_LOGIC_VECTOR(data_width - 1 downto 0); variable idx : integer := 3; begin ret := (others => '0'); if(RHS(1) /= '0' and (RHS(2) /= 'x' or RHS(2) /= 'X')) then report "Error! The format of hex number is not initialed by 0x"; end if; while true loop if (data_width > 4) then case RHS(idx) is when '0' => ret := ret(data_width - 5 downto 0) & "0000"; when '1' => ret := ret(data_width - 5 downto 0) & "0001"; when '2' => ret := ret(data_width - 5 downto 0) & "0010"; when '3' => ret := ret(data_width - 5 downto 0) & "0011"; when '4' => ret := ret(data_width - 5 downto 0) & "0100"; when '5' => ret := ret(data_width - 5 downto 0) & "0101"; when '6' => ret := ret(data_width - 5 downto 0) & "0110"; when '7' => ret := ret(data_width - 5 downto 0) & "0111"; when '8' => ret := ret(data_width - 5 downto 0) & "1000"; when '9' => ret := ret(data_width - 5 downto 0) & "1001"; when 'a' | 'A' => ret := ret(data_width - 5 downto 0) & "1010"; when 'b' | 'B' => ret := ret(data_width - 5 downto 0) & "1011"; when 'c' | 'C' => ret := ret(data_width - 5 downto 0) & "1100"; when 'd' | 'D' => ret := ret(data_width - 5 downto 0) & "1101"; when 'e' | 'E' => ret := ret(data_width - 5 downto 0) & "1110"; when 'f' | 'F' => ret := ret(data_width - 5 downto 0) & "1111"; when ' ' => return ret; when others => report "Wrong hex char " & RHS(idx); return ret; end case; elsif (data_width = 4) then case RHS(idx) is when '0' => ret := "0000"; when '1' => ret := "0001"; when '2' => ret := "0010"; when '3' => ret := "0011"; when '4' => ret := "0100"; when '5' => ret := "0101"; when '6' => ret := "0110"; when '7' => ret := "0111"; when '8' => ret := "1000"; when '9' => ret := "1001"; when 'a' | 'A' => ret := "1010"; when 'b' | 'B' => ret := "1011"; when 'c' | 'C' => ret := "1100"; when 'd' | 'D' => ret := "1101"; when 'e' | 'E' => ret := "1110"; when 'f' | 'F' => ret := "1111"; when ' ' => return ret; when others => report "Wrong hex char " & RHS(idx); return ret; end case; elsif (data_width = 3) then case RHS(idx) is when '0' => ret := "000"; when '1' => ret := "001"; when '2' => ret := "010"; when '3' => ret := "011"; when '4' => ret := "100"; when '5' => ret := "101"; when '6' => ret := "110"; when '7' => ret := "111"; when ' ' => return ret; when others => report "Wrong hex char " & RHS(idx); return ret; end case; elsif (data_width = 2) then case RHS(idx) is when '0' => ret := "00"; when '1' => ret := "01"; when '2' => ret := "10"; when '3' => ret := "11"; when ' ' => return ret; when others => report "Wrong hex char " & RHS(idx); return ret; end case; elsif (data_width = 1) then case RHS(idx) is when '0' => ret := "0"; when '1' => ret := "1"; when ' ' => return ret; when others => report "Wrong hex char " & RHS(idx); return ret; end case; else report string'("Wrong data_width."); return ret; end if; idx := idx + 1; end loop; return ret; end function; function esl_str_dec2int (RHS : STRING) return INTEGER is variable ret : integer; variable idx : integer := 1; begin ret := 0; while true loop case RHS(idx) is when '0' => ret := ret * 10 + 0; when '1' => ret := ret * 10 + 1; when '2' => ret := ret * 10 + 2; when '3' => ret := ret * 10 + 3; when '4' => ret := ret * 10 + 4; when '5' => ret := ret * 10 + 5; when '6' => ret := ret * 10 + 6; when '7' => ret := ret * 10 + 7; when '8' => ret := ret * 10 + 8; when '9' => ret := ret * 10 + 9; when ' ' => return ret; when others => report "Wrong dec char " & RHS(idx); return ret; end case; idx := idx + 1; end loop; return ret; end function; function esl_conv_string_hex (lv : STD_LOGIC_VECTOR) return STRING is constant str_len : integer := (lv'length + 3)/4; variable ret : STRING (1 to str_len); variable i, tmp: INTEGER; variable normal_lv : STD_LOGIC_VECTOR(lv'length - 1 downto 0); variable tmp_lv : STD_LOGIC_VECTOR(3 downto 0); begin normal_lv := lv; for i in 1 to str_len loop if(i = 1) then if((lv'length mod 4) = 3) then tmp_lv(2 downto 0) := normal_lv(lv'length - 1 downto lv'length - 3); case tmp_lv(2 downto 0) is when "000" => ret(i) := '0'; when "001" => ret(i) := '1'; when "010" => ret(i) := '2'; when "011" => ret(i) := '3'; when "100" => ret(i) := '4'; when "101" => ret(i) := '5'; when "110" => ret(i) := '6'; when "111" => ret(i) := '7'; when others => ret(i) := '0'; end case; elsif((lv'length mod 4) = 2) then tmp_lv(1 downto 0) := normal_lv(lv'length - 1 downto lv'length - 2); case tmp_lv(1 downto 0) is when "00" => ret(i) := '0'; when "01" => ret(i) := '1'; when "10" => ret(i) := '2'; when "11" => ret(i) := '3'; when others => ret(i) := '0'; end case; elsif((lv'length mod 4) = 1) then tmp_lv(0 downto 0) := normal_lv(lv'length - 1 downto lv'length - 1); case tmp_lv(0 downto 0) is when "0" => ret(i) := '0'; when "1" => ret(i) := '1'; when others=> ret(i) := '0'; end case; elsif((lv'length mod 4) = 0) then tmp_lv(3 downto 0) := normal_lv(lv'length - 1 downto lv'length - 4); case tmp_lv(3 downto 0) is when "0000" => ret(i) := '0'; when "0001" => ret(i) := '1'; when "0010" => ret(i) := '2'; when "0011" => ret(i) := '3'; when "0100" => ret(i) := '4'; when "0101" => ret(i) := '5'; when "0110" => ret(i) := '6'; when "0111" => ret(i) := '7'; when "1000" => ret(i) := '8'; when "1001" => ret(i) := '9'; when "1010" => ret(i) := 'a'; when "1011" => ret(i) := 'b'; when "1100" => ret(i) := 'c'; when "1101" => ret(i) := 'd'; when "1110" => ret(i) := 'e'; when "1111" => ret(i) := 'f'; when others => ret(i) := '0'; end case; end if; else tmp_lv(3 downto 0) := normal_lv((str_len - i) * 4 + 3 downto (str_len - i) * 4); case tmp_lv(3 downto 0) is when "0000" => ret(i) := '0'; when "0001" => ret(i) := '1'; when "0010" => ret(i) := '2'; when "0011" => ret(i) := '3'; when "0100" => ret(i) := '4'; when "0101" => ret(i) := '5'; when "0110" => ret(i) := '6'; when "0111" => ret(i) := '7'; when "1000" => ret(i) := '8'; when "1001" => ret(i) := '9'; when "1010" => ret(i) := 'a'; when "1011" => ret(i) := 'b'; when "1100" => ret(i) := 'c'; when "1101" => ret(i) := 'd'; when "1110" => ret(i) := 'e'; when "1111" => ret(i) := 'f'; when others => ret(i) := '0'; end case; end if; end loop; return ret; end function; -- purpose: initialise the random state variable based on an integer seed function init_rand(seed : integer) return T_RANDINT is variable result : T_RANDINT; begin -- If the seed is smaller than the minimum value of the random state variable, use the minimum value if seed < T_RANDINT'low then result := T_RANDINT'low; -- If the seed is larger than the maximum value of the random state variable, use the maximum value elsif seed > T_RANDINT'high then result := T_RANDINT'high; -- If the seed is within the range of the random state variable, just use the seed else result := seed; end if; -- Return the result return result; end init_rand; -- purpose: generate a random integer between min and max limits procedure rand_int(variable rand : inout T_RANDINT; constant minval : in integer; constant maxval : in integer; variable result : out integer ) is variable k, q : integer; variable real_rand : real; variable res : integer; begin -- Create a new random integer in the range 1 to 2**31-1 and put it back into rand VARIABLE -- Based on an example from Numerical Recipes in C, 2nd Edition, page 279 k := rand/127773; q := 16807*(rand-k*127773)-2836*k; if q < 0 then q := q + 2147483647; end if; rand := init_rand(q); -- Convert this integer to a real number in the range 0 to 1 real_rand := (real(rand - T_RANDINT'low)) / real(T_RANDINT'high - T_RANDINT'low); -- Convert this real number to an integer in the range minval to maxval -- The +1 and -0.5 are to get equal probability of minval and maxval as other values res := integer((real_rand * real(maxval+1-minval)) - 0.5) + minval; -- VHDL real to integer conversion doesn't define what happens for x.5 so deal with this if res < minval then res := minval; elsif res > maxval then res := maxval; end if; -- assign output result := res; end rand_int; begin AESL_inst_nfa_accept_samples_generic_hw : nfa_accept_samples_generic_hw port map ( ap_clk => ap_clk, ap_rst => ap_rst, ap_start => ap_start, ap_done => ap_done, ap_idle => ap_idle, ap_ready => ap_ready, nfa_initials_buckets_req_din => nfa_initials_buckets_req_din, nfa_initials_buckets_req_full_n => nfa_initials_buckets_req_full_n, nfa_initials_buckets_req_write => nfa_initials_buckets_req_write, nfa_initials_buckets_rsp_empty_n => nfa_initials_buckets_rsp_empty_n, nfa_initials_buckets_rsp_read => nfa_initials_buckets_rsp_read, nfa_initials_buckets_address => nfa_initials_buckets_address, nfa_initials_buckets_datain => nfa_initials_buckets_datain, nfa_initials_buckets_dataout => nfa_initials_buckets_dataout, nfa_initials_buckets_size => nfa_initials_buckets_size, nfa_finals_buckets_req_din => nfa_finals_buckets_req_din, nfa_finals_buckets_req_full_n => nfa_finals_buckets_req_full_n, nfa_finals_buckets_req_write => nfa_finals_buckets_req_write, nfa_finals_buckets_rsp_empty_n => nfa_finals_buckets_rsp_empty_n, nfa_finals_buckets_rsp_read => nfa_finals_buckets_rsp_read, nfa_finals_buckets_address => nfa_finals_buckets_address, nfa_finals_buckets_datain => nfa_finals_buckets_datain, nfa_finals_buckets_dataout => nfa_finals_buckets_dataout, nfa_finals_buckets_size => nfa_finals_buckets_size, nfa_forward_buckets_req_din => nfa_forward_buckets_req_din, nfa_forward_buckets_req_full_n => nfa_forward_buckets_req_full_n, nfa_forward_buckets_req_write => nfa_forward_buckets_req_write, nfa_forward_buckets_rsp_empty_n => nfa_forward_buckets_rsp_empty_n, nfa_forward_buckets_rsp_read => nfa_forward_buckets_rsp_read, nfa_forward_buckets_address => nfa_forward_buckets_address, nfa_forward_buckets_datain => nfa_forward_buckets_datain, nfa_forward_buckets_dataout => nfa_forward_buckets_dataout, nfa_forward_buckets_size => nfa_forward_buckets_size, nfa_symbols => nfa_symbols, sample_buffer_req_din => sample_buffer_req_din, sample_buffer_req_full_n => sample_buffer_req_full_n, sample_buffer_req_write => sample_buffer_req_write, sample_buffer_rsp_empty_n => sample_buffer_rsp_empty_n, sample_buffer_rsp_read => sample_buffer_rsp_read, sample_buffer_address => sample_buffer_address, sample_buffer_datain => sample_buffer_datain, sample_buffer_dataout => sample_buffer_dataout, sample_buffer_size => sample_buffer_size, sample_buffer_length => sample_buffer_length, sample_length => sample_length, indices_begin_req_din => indices_begin_req_din, indices_begin_req_full_n => indices_begin_req_full_n, indices_begin_req_write => indices_begin_req_write, indices_begin_rsp_empty_n => indices_begin_rsp_empty_n, indices_begin_rsp_read => indices_begin_rsp_read, indices_begin_address => indices_begin_address, indices_begin_datain => indices_begin_datain, indices_begin_dataout => indices_begin_dataout, indices_begin_size => indices_begin_size, indices_samples_req_din => indices_samples_req_din, indices_samples_req_full_n => indices_samples_req_full_n, indices_samples_req_write => indices_samples_req_write, indices_samples_rsp_empty_n => indices_samples_rsp_empty_n, indices_samples_rsp_read => indices_samples_rsp_read, indices_samples_address => indices_samples_address, indices_samples_datain => indices_samples_datain, indices_samples_dataout => indices_samples_dataout, indices_samples_size => indices_samples_size, indices_stride_req_din => indices_stride_req_din, indices_stride_req_full_n => indices_stride_req_full_n, indices_stride_req_write => indices_stride_req_write, indices_stride_rsp_empty_n => indices_stride_rsp_empty_n, indices_stride_rsp_read => indices_stride_rsp_read, indices_stride_address => indices_stride_address, indices_stride_datain => indices_stride_datain, indices_stride_dataout => indices_stride_dataout, indices_stride_size => indices_stride_size, i_size => i_size, begin_index => begin_index, begin_sample => begin_sample, end_index => end_index, end_sample => end_sample, stop_on_first => stop_on_first, accept => accept, ap_return => ap_return ); -- Assignment for control signal ap_clk <= AESL_clock; ap_rst <= AESL_reset; AESL_reset <= rst; ap_start <= AESL_start; AESL_start <= start; AESL_done <= ap_done; AESL_idle <= ap_idle; AESL_ready <= ap_ready; AESL_ce <= ce; AESL_continue <= continue; AESL_inst_nfa_initials_buckets : AESL_autobus_nfa_initials_buckets port map ( clk => AESL_clock, rst => AESL_reset, bus_req_RW => bus_nfa_initials_buckets_req_RW, bus_req_full_n => bus_nfa_initials_buckets_req_full_n, bus_req_RW_en => bus_nfa_initials_buckets_req_RW_en, bus_rsp_empty_n => bus_nfa_initials_buckets_rsp_empty_n, bus_rsp_read => bus_nfa_initials_buckets_rsp_read, bus_address => bus_nfa_initials_buckets_address, bus_din => bus_nfa_initials_buckets_din, bus_dout => bus_nfa_initials_buckets_dout, bus_size => bus_nfa_initials_buckets_size, ready => bus_nfa_initials_buckets_ready, done => bus_nfa_initials_buckets_done ); -- Assignment between dut and bus nfa_initials_buckets -- Assign input of bus nfa_initials_buckets bus_nfa_initials_buckets_req_RW <= nfa_initials_buckets_req_din; bus_nfa_initials_buckets_req_RW_en <= nfa_initials_buckets_req_write and nfa_initials_buckets_req_full_n; bus_nfa_initials_buckets_rsp_read <= nfa_initials_buckets_rsp_read and nfa_initials_buckets_rsp_empty_n; bus_nfa_initials_buckets_address <= nfa_initials_buckets_address; bus_nfa_initials_buckets_din <= nfa_initials_buckets_dataout; bus_nfa_initials_buckets_size <= nfa_initials_buckets_size; bus_nfa_initials_buckets_ready <= ready; -- Assign input of dut nfa_initials_buckets_datain <= bus_nfa_initials_buckets_dout; gen_reg_bus_nfa_initials_buckets_req_full_n_proc : process variable rand : T_RANDINT := init_rand(0); variable rint : INTEGER; begin reg_bus_nfa_initials_buckets_req_full_n <= '0'; while(true) loop wait until bus_nfa_initials_buckets_req_full_n'event; if(bus_nfa_initials_buckets_req_full_n = '1') then end if; reg_bus_nfa_initials_buckets_req_full_n <= bus_nfa_initials_buckets_req_full_n; end loop; end process; nfa_initials_buckets_req_full_n <= reg_bus_nfa_initials_buckets_req_full_n; gen_reg_bus_nfa_initials_buckets_rsp_empty_n_proc : process variable rand : T_RANDINT := init_rand(0); variable rint : INTEGER; begin reg_bus_nfa_initials_buckets_rsp_empty_n <= '0'; while(true) loop wait until bus_nfa_initials_buckets_rsp_empty_n'event; if(bus_nfa_initials_buckets_rsp_empty_n = '1') then end if; reg_bus_nfa_initials_buckets_rsp_empty_n <= bus_nfa_initials_buckets_rsp_empty_n; end loop; end process; nfa_initials_buckets_rsp_empty_n <= reg_bus_nfa_initials_buckets_rsp_empty_n; AESL_inst_nfa_finals_buckets : AESL_autobus_nfa_finals_buckets port map ( clk => AESL_clock, rst => AESL_reset, bus_req_RW => bus_nfa_finals_buckets_req_RW, bus_req_full_n => bus_nfa_finals_buckets_req_full_n, bus_req_RW_en => bus_nfa_finals_buckets_req_RW_en, bus_rsp_empty_n => bus_nfa_finals_buckets_rsp_empty_n, bus_rsp_read => bus_nfa_finals_buckets_rsp_read, bus_address => bus_nfa_finals_buckets_address, bus_din => bus_nfa_finals_buckets_din, bus_dout => bus_nfa_finals_buckets_dout, bus_size => bus_nfa_finals_buckets_size, ready => bus_nfa_finals_buckets_ready, done => bus_nfa_finals_buckets_done ); -- Assignment between dut and bus nfa_finals_buckets -- Assign input of bus nfa_finals_buckets bus_nfa_finals_buckets_req_RW <= nfa_finals_buckets_req_din; bus_nfa_finals_buckets_req_RW_en <= nfa_finals_buckets_req_write and nfa_finals_buckets_req_full_n; bus_nfa_finals_buckets_rsp_read <= nfa_finals_buckets_rsp_read and nfa_finals_buckets_rsp_empty_n; bus_nfa_finals_buckets_address <= nfa_finals_buckets_address; bus_nfa_finals_buckets_din <= nfa_finals_buckets_dataout; bus_nfa_finals_buckets_size <= nfa_finals_buckets_size; bus_nfa_finals_buckets_ready <= ready; -- Assign input of dut nfa_finals_buckets_datain <= bus_nfa_finals_buckets_dout; gen_reg_bus_nfa_finals_buckets_req_full_n_proc : process variable rand : T_RANDINT := init_rand(0); variable rint : INTEGER; begin reg_bus_nfa_finals_buckets_req_full_n <= '0'; while(true) loop wait until bus_nfa_finals_buckets_req_full_n'event; if(bus_nfa_finals_buckets_req_full_n = '1') then end if; reg_bus_nfa_finals_buckets_req_full_n <= bus_nfa_finals_buckets_req_full_n; end loop; end process; nfa_finals_buckets_req_full_n <= reg_bus_nfa_finals_buckets_req_full_n; gen_reg_bus_nfa_finals_buckets_rsp_empty_n_proc : process variable rand : T_RANDINT := init_rand(0); variable rint : INTEGER; begin reg_bus_nfa_finals_buckets_rsp_empty_n <= '0'; while(true) loop wait until bus_nfa_finals_buckets_rsp_empty_n'event; if(bus_nfa_finals_buckets_rsp_empty_n = '1') then end if; reg_bus_nfa_finals_buckets_rsp_empty_n <= bus_nfa_finals_buckets_rsp_empty_n; end loop; end process; nfa_finals_buckets_rsp_empty_n <= reg_bus_nfa_finals_buckets_rsp_empty_n; AESL_inst_nfa_forward_buckets : AESL_autobus_nfa_forward_buckets port map ( clk => AESL_clock, rst => AESL_reset, bus_req_RW => bus_nfa_forward_buckets_req_RW, bus_req_full_n => bus_nfa_forward_buckets_req_full_n, bus_req_RW_en => bus_nfa_forward_buckets_req_RW_en, bus_rsp_empty_n => bus_nfa_forward_buckets_rsp_empty_n, bus_rsp_read => bus_nfa_forward_buckets_rsp_read, bus_address => bus_nfa_forward_buckets_address, bus_din => bus_nfa_forward_buckets_din, bus_dout => bus_nfa_forward_buckets_dout, bus_size => bus_nfa_forward_buckets_size, ready => bus_nfa_forward_buckets_ready, done => bus_nfa_forward_buckets_done ); -- Assignment between dut and bus nfa_forward_buckets -- Assign input of bus nfa_forward_buckets bus_nfa_forward_buckets_req_RW <= nfa_forward_buckets_req_din; bus_nfa_forward_buckets_req_RW_en <= nfa_forward_buckets_req_write and nfa_forward_buckets_req_full_n; bus_nfa_forward_buckets_rsp_read <= nfa_forward_buckets_rsp_read and nfa_forward_buckets_rsp_empty_n; bus_nfa_forward_buckets_address <= nfa_forward_buckets_address; bus_nfa_forward_buckets_din <= nfa_forward_buckets_dataout; bus_nfa_forward_buckets_size <= nfa_forward_buckets_size; bus_nfa_forward_buckets_ready <= ready; -- Assign input of dut nfa_forward_buckets_datain <= bus_nfa_forward_buckets_dout; gen_reg_bus_nfa_forward_buckets_req_full_n_proc : process variable rand : T_RANDINT := init_rand(0); variable rint : INTEGER; begin reg_bus_nfa_forward_buckets_req_full_n <= '0'; while(true) loop wait until bus_nfa_forward_buckets_req_full_n'event; if(bus_nfa_forward_buckets_req_full_n = '1') then end if; reg_bus_nfa_forward_buckets_req_full_n <= bus_nfa_forward_buckets_req_full_n; end loop; end process; nfa_forward_buckets_req_full_n <= reg_bus_nfa_forward_buckets_req_full_n; gen_reg_bus_nfa_forward_buckets_rsp_empty_n_proc : process variable rand : T_RANDINT := init_rand(0); variable rint : INTEGER; begin reg_bus_nfa_forward_buckets_rsp_empty_n <= '0'; while(true) loop wait until bus_nfa_forward_buckets_rsp_empty_n'event; if(bus_nfa_forward_buckets_rsp_empty_n = '1') then end if; reg_bus_nfa_forward_buckets_rsp_empty_n <= bus_nfa_forward_buckets_rsp_empty_n; end loop; end process; nfa_forward_buckets_rsp_empty_n <= reg_bus_nfa_forward_buckets_rsp_empty_n; -- Assignment between dut and arraynfa_backward_buckets arraynfa_backward_buckets_done <= '0'; gen_assign_nfa_symbols_proc : process begin wait until (AESL_clock'event and AESL_clock = '1'); wait for 0.45 ns; nfa_symbols <= AESL_REG_nfa_symbols; end process; read_file_process_nfa_symbols : process file fp : TEXT; variable fstatus : FILE_OPEN_STATUS; variable token_line : LINE; variable token : STRING(1 to 280); variable i : INTEGER; variable transaction_finish : INTEGER; variable rand : T_RANDINT := init_rand(0); variable rint : INTEGER; begin wait until AESL_reset = '0'; file_open(fstatus, fp, AUTOTB_TVIN_nfa_symbols, READ_MODE); if(fstatus /= OPEN_OK) then assert false report "Open file " & AUTOTB_TVIN_nfa_symbols & " failed!!!" severity note; assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); if(token(1 to 13) /= "[[[runtime]]]") then report "The token is " & token; assert false report "Illegal format of [[[runtime]]] part in " & AUTOTB_TVIN_nfa_symbols severity note; assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); while(token(1 to 14) /= "[[[/runtime]]]") loop if(token(1 to 15) /= "[[transaction]]") then report "The token is " & token; assert false report "Illegal format of [[transaction]] part in " & AUTOTB_TVIN_nfa_symbols severity note; assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); -- Skip transaction number esl_read_token(fp, token_line, token); wait until AESL_clock'event and AESL_clock = '1'; wait for 0.2 ns; while(ready_wire /= '1') loop wait until AESL_clock'event and AESL_clock = '1'; wait for 0.2 ns; end loop; if(token(1 to 16) /= "[[/transaction]]") then AESL_REG_nfa_symbols := esl_str2lv_hex(token, 8 ); esl_read_token(fp, token_line, token); end if; esl_read_token(fp, token_line, token); end loop; file_close(fp); wait; end process; AESL_inst_sample_buffer : AESL_autobus_sample_buffer port map ( clk => AESL_clock, rst => AESL_reset, bus_req_RW => bus_sample_buffer_req_RW, bus_req_full_n => bus_sample_buffer_req_full_n, bus_req_RW_en => bus_sample_buffer_req_RW_en, bus_rsp_empty_n => bus_sample_buffer_rsp_empty_n, bus_rsp_read => bus_sample_buffer_rsp_read, bus_address => bus_sample_buffer_address, bus_din => bus_sample_buffer_din, bus_dout => bus_sample_buffer_dout, bus_size => bus_sample_buffer_size, ready => bus_sample_buffer_ready, done => bus_sample_buffer_done ); -- Assignment between dut and bus sample_buffer -- Assign input of bus sample_buffer bus_sample_buffer_req_RW <= sample_buffer_req_din; bus_sample_buffer_req_RW_en <= sample_buffer_req_write and sample_buffer_req_full_n; bus_sample_buffer_rsp_read <= sample_buffer_rsp_read and sample_buffer_rsp_empty_n; bus_sample_buffer_address <= sample_buffer_address; bus_sample_buffer_din <= sample_buffer_dataout; bus_sample_buffer_size <= sample_buffer_size; bus_sample_buffer_ready <= ready; -- Assign input of dut sample_buffer_datain <= bus_sample_buffer_dout; gen_reg_bus_sample_buffer_req_full_n_proc : process variable rand : T_RANDINT := init_rand(0); variable rint : INTEGER; begin reg_bus_sample_buffer_req_full_n <= '0'; while(true) loop wait until bus_sample_buffer_req_full_n'event; if(bus_sample_buffer_req_full_n = '1') then end if; reg_bus_sample_buffer_req_full_n <= bus_sample_buffer_req_full_n; end loop; end process; sample_buffer_req_full_n <= reg_bus_sample_buffer_req_full_n; gen_reg_bus_sample_buffer_rsp_empty_n_proc : process variable rand : T_RANDINT := init_rand(0); variable rint : INTEGER; begin reg_bus_sample_buffer_rsp_empty_n <= '0'; while(true) loop wait until bus_sample_buffer_rsp_empty_n'event; if(bus_sample_buffer_rsp_empty_n = '1') then end if; reg_bus_sample_buffer_rsp_empty_n <= bus_sample_buffer_rsp_empty_n; end loop; end process; sample_buffer_rsp_empty_n <= reg_bus_sample_buffer_rsp_empty_n; gen_assign_sample_buffer_length_proc : process begin wait until (AESL_clock'event and AESL_clock = '1'); wait for 0.45 ns; sample_buffer_length <= AESL_REG_sample_buffer_length; end process; read_file_process_sample_buffer_length : process file fp : TEXT; variable fstatus : FILE_OPEN_STATUS; variable token_line : LINE; variable token : STRING(1 to 280); variable i : INTEGER; variable transaction_finish : INTEGER; variable rand : T_RANDINT := init_rand(0); variable rint : INTEGER; begin wait until AESL_reset = '0'; file_open(fstatus, fp, AUTOTB_TVIN_sample_buffer_length, READ_MODE); if(fstatus /= OPEN_OK) then assert false report "Open file " & AUTOTB_TVIN_sample_buffer_length & " failed!!!" severity note; assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); if(token(1 to 13) /= "[[[runtime]]]") then report "The token is " & token; assert false report "Illegal format of [[[runtime]]] part in " & AUTOTB_TVIN_sample_buffer_length severity note; assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); while(token(1 to 14) /= "[[[/runtime]]]") loop if(token(1 to 15) /= "[[transaction]]") then report "The token is " & token; assert false report "Illegal format of [[transaction]] part in " & AUTOTB_TVIN_sample_buffer_length severity note; assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); -- Skip transaction number esl_read_token(fp, token_line, token); wait until AESL_clock'event and AESL_clock = '1'; wait for 0.2 ns; while(ready_wire /= '1') loop wait until AESL_clock'event and AESL_clock = '1'; wait for 0.2 ns; end loop; if(token(1 to 16) /= "[[/transaction]]") then AESL_REG_sample_buffer_length := esl_str2lv_hex(token, 32 ); esl_read_token(fp, token_line, token); end if; esl_read_token(fp, token_line, token); end loop; file_close(fp); wait; end process; gen_assign_sample_length_proc : process begin wait until (AESL_clock'event and AESL_clock = '1'); wait for 0.45 ns; sample_length <= AESL_REG_sample_length; end process; read_file_process_sample_length : process file fp : TEXT; variable fstatus : FILE_OPEN_STATUS; variable token_line : LINE; variable token : STRING(1 to 280); variable i : INTEGER; variable transaction_finish : INTEGER; variable rand : T_RANDINT := init_rand(0); variable rint : INTEGER; begin wait until AESL_reset = '0'; file_open(fstatus, fp, AUTOTB_TVIN_sample_length, READ_MODE); if(fstatus /= OPEN_OK) then assert false report "Open file " & AUTOTB_TVIN_sample_length & " failed!!!" severity note; assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); if(token(1 to 13) /= "[[[runtime]]]") then report "The token is " & token; assert false report "Illegal format of [[[runtime]]] part in " & AUTOTB_TVIN_sample_length severity note; assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); while(token(1 to 14) /= "[[[/runtime]]]") loop if(token(1 to 15) /= "[[transaction]]") then report "The token is " & token; assert false report "Illegal format of [[transaction]] part in " & AUTOTB_TVIN_sample_length severity note; assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); -- Skip transaction number esl_read_token(fp, token_line, token); wait until AESL_clock'event and AESL_clock = '1'; wait for 0.2 ns; while(ready_wire /= '1') loop wait until AESL_clock'event and AESL_clock = '1'; wait for 0.2 ns; end loop; if(token(1 to 16) /= "[[/transaction]]") then AESL_REG_sample_length := esl_str2lv_hex(token, 16 ); esl_read_token(fp, token_line, token); end if; esl_read_token(fp, token_line, token); end loop; file_close(fp); wait; end process; AESL_inst_indices_begin : AESL_autobus_indices_begin port map ( clk => AESL_clock, rst => AESL_reset, bus_req_RW => bus_indices_begin_req_RW, bus_req_full_n => bus_indices_begin_req_full_n, bus_req_RW_en => bus_indices_begin_req_RW_en, bus_rsp_empty_n => bus_indices_begin_rsp_empty_n, bus_rsp_read => bus_indices_begin_rsp_read, bus_address => bus_indices_begin_address, bus_din => bus_indices_begin_din, bus_dout => bus_indices_begin_dout, bus_size => bus_indices_begin_size, ready => bus_indices_begin_ready, done => bus_indices_begin_done ); -- Assignment between dut and bus indices_begin -- Assign input of bus indices_begin bus_indices_begin_req_RW <= indices_begin_req_din; bus_indices_begin_req_RW_en <= indices_begin_req_write and indices_begin_req_full_n; bus_indices_begin_rsp_read <= indices_begin_rsp_read and indices_begin_rsp_empty_n; bus_indices_begin_address <= indices_begin_address; bus_indices_begin_din <= indices_begin_dataout; bus_indices_begin_size <= indices_begin_size; bus_indices_begin_ready <= ready; -- Assign input of dut indices_begin_datain <= bus_indices_begin_dout; gen_reg_bus_indices_begin_req_full_n_proc : process variable rand : T_RANDINT := init_rand(0); variable rint : INTEGER; begin reg_bus_indices_begin_req_full_n <= '0'; while(true) loop wait until bus_indices_begin_req_full_n'event; if(bus_indices_begin_req_full_n = '1') then end if; reg_bus_indices_begin_req_full_n <= bus_indices_begin_req_full_n; end loop; end process; indices_begin_req_full_n <= reg_bus_indices_begin_req_full_n; gen_reg_bus_indices_begin_rsp_empty_n_proc : process variable rand : T_RANDINT := init_rand(0); variable rint : INTEGER; begin reg_bus_indices_begin_rsp_empty_n <= '0'; while(true) loop wait until bus_indices_begin_rsp_empty_n'event; if(bus_indices_begin_rsp_empty_n = '1') then end if; reg_bus_indices_begin_rsp_empty_n <= bus_indices_begin_rsp_empty_n; end loop; end process; indices_begin_rsp_empty_n <= reg_bus_indices_begin_rsp_empty_n; AESL_inst_indices_samples : AESL_autobus_indices_samples port map ( clk => AESL_clock, rst => AESL_reset, bus_req_RW => bus_indices_samples_req_RW, bus_req_full_n => bus_indices_samples_req_full_n, bus_req_RW_en => bus_indices_samples_req_RW_en, bus_rsp_empty_n => bus_indices_samples_rsp_empty_n, bus_rsp_read => bus_indices_samples_rsp_read, bus_address => bus_indices_samples_address, bus_din => bus_indices_samples_din, bus_dout => bus_indices_samples_dout, bus_size => bus_indices_samples_size, ready => bus_indices_samples_ready, done => bus_indices_samples_done ); -- Assignment between dut and bus indices_samples -- Assign input of bus indices_samples bus_indices_samples_req_RW <= indices_samples_req_din; bus_indices_samples_req_RW_en <= indices_samples_req_write and indices_samples_req_full_n; bus_indices_samples_rsp_read <= indices_samples_rsp_read and indices_samples_rsp_empty_n; bus_indices_samples_address <= indices_samples_address; bus_indices_samples_din <= indices_samples_dataout; bus_indices_samples_size <= indices_samples_size; bus_indices_samples_ready <= ready; -- Assign input of dut indices_samples_datain <= bus_indices_samples_dout; gen_reg_bus_indices_samples_req_full_n_proc : process variable rand : T_RANDINT := init_rand(0); variable rint : INTEGER; begin reg_bus_indices_samples_req_full_n <= '0'; while(true) loop wait until bus_indices_samples_req_full_n'event; if(bus_indices_samples_req_full_n = '1') then end if; reg_bus_indices_samples_req_full_n <= bus_indices_samples_req_full_n; end loop; end process; indices_samples_req_full_n <= reg_bus_indices_samples_req_full_n; gen_reg_bus_indices_samples_rsp_empty_n_proc : process variable rand : T_RANDINT := init_rand(0); variable rint : INTEGER; begin reg_bus_indices_samples_rsp_empty_n <= '0'; while(true) loop wait until bus_indices_samples_rsp_empty_n'event; if(bus_indices_samples_rsp_empty_n = '1') then end if; reg_bus_indices_samples_rsp_empty_n <= bus_indices_samples_rsp_empty_n; end loop; end process; indices_samples_rsp_empty_n <= reg_bus_indices_samples_rsp_empty_n; AESL_inst_indices_stride : AESL_autobus_indices_stride port map ( clk => AESL_clock, rst => AESL_reset, bus_req_RW => bus_indices_stride_req_RW, bus_req_full_n => bus_indices_stride_req_full_n, bus_req_RW_en => bus_indices_stride_req_RW_en, bus_rsp_empty_n => bus_indices_stride_rsp_empty_n, bus_rsp_read => bus_indices_stride_rsp_read, bus_address => bus_indices_stride_address, bus_din => bus_indices_stride_din, bus_dout => bus_indices_stride_dout, bus_size => bus_indices_stride_size, ready => bus_indices_stride_ready, done => bus_indices_stride_done ); -- Assignment between dut and bus indices_stride -- Assign input of bus indices_stride bus_indices_stride_req_RW <= indices_stride_req_din; bus_indices_stride_req_RW_en <= indices_stride_req_write and indices_stride_req_full_n; bus_indices_stride_rsp_read <= indices_stride_rsp_read and indices_stride_rsp_empty_n; bus_indices_stride_address <= indices_stride_address; bus_indices_stride_din <= indices_stride_dataout; bus_indices_stride_size <= indices_stride_size; bus_indices_stride_ready <= ready; -- Assign input of dut indices_stride_datain <= bus_indices_stride_dout; gen_reg_bus_indices_stride_req_full_n_proc : process variable rand : T_RANDINT := init_rand(0); variable rint : INTEGER; begin reg_bus_indices_stride_req_full_n <= '0'; while(true) loop wait until bus_indices_stride_req_full_n'event; if(bus_indices_stride_req_full_n = '1') then end if; reg_bus_indices_stride_req_full_n <= bus_indices_stride_req_full_n; end loop; end process; indices_stride_req_full_n <= reg_bus_indices_stride_req_full_n; gen_reg_bus_indices_stride_rsp_empty_n_proc : process variable rand : T_RANDINT := init_rand(0); variable rint : INTEGER; begin reg_bus_indices_stride_rsp_empty_n <= '0'; while(true) loop wait until bus_indices_stride_rsp_empty_n'event; if(bus_indices_stride_rsp_empty_n = '1') then end if; reg_bus_indices_stride_rsp_empty_n <= bus_indices_stride_rsp_empty_n; end loop; end process; indices_stride_rsp_empty_n <= reg_bus_indices_stride_rsp_empty_n; gen_assign_i_size_proc : process begin wait until (AESL_clock'event and AESL_clock = '1'); wait for 0.45 ns; i_size <= AESL_REG_i_size; end process; gen_assign_begin_index_proc : process begin wait until (AESL_clock'event and AESL_clock = '1'); wait for 0.45 ns; begin_index <= AESL_REG_begin_index; end process; read_file_process_begin_index : process file fp : TEXT; variable fstatus : FILE_OPEN_STATUS; variable token_line : LINE; variable token : STRING(1 to 280); variable i : INTEGER; variable transaction_finish : INTEGER; variable rand : T_RANDINT := init_rand(0); variable rint : INTEGER; begin wait until AESL_reset = '0'; file_open(fstatus, fp, AUTOTB_TVIN_begin_index, READ_MODE); if(fstatus /= OPEN_OK) then assert false report "Open file " & AUTOTB_TVIN_begin_index & " failed!!!" severity note; assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); if(token(1 to 13) /= "[[[runtime]]]") then report "The token is " & token; assert false report "Illegal format of [[[runtime]]] part in " & AUTOTB_TVIN_begin_index severity note; assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); while(token(1 to 14) /= "[[[/runtime]]]") loop if(token(1 to 15) /= "[[transaction]]") then report "The token is " & token; assert false report "Illegal format of [[transaction]] part in " & AUTOTB_TVIN_begin_index severity note; assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); -- Skip transaction number esl_read_token(fp, token_line, token); wait until AESL_clock'event and AESL_clock = '1'; wait for 0.2 ns; while(ready_wire /= '1') loop wait until AESL_clock'event and AESL_clock = '1'; wait for 0.2 ns; end loop; if(token(1 to 16) /= "[[/transaction]]") then AESL_REG_begin_index := esl_str2lv_hex(token, 16 ); esl_read_token(fp, token_line, token); end if; esl_read_token(fp, token_line, token); end loop; file_close(fp); wait; end process; gen_assign_begin_sample_proc : process begin wait until (AESL_clock'event and AESL_clock = '1'); wait for 0.45 ns; begin_sample <= AESL_REG_begin_sample; end process; read_file_process_begin_sample : process file fp : TEXT; variable fstatus : FILE_OPEN_STATUS; variable token_line : LINE; variable token : STRING(1 to 280); variable i : INTEGER; variable transaction_finish : INTEGER; variable rand : T_RANDINT := init_rand(0); variable rint : INTEGER; begin wait until AESL_reset = '0'; file_open(fstatus, fp, AUTOTB_TVIN_begin_sample, READ_MODE); if(fstatus /= OPEN_OK) then assert false report "Open file " & AUTOTB_TVIN_begin_sample & " failed!!!" severity note; assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); if(token(1 to 13) /= "[[[runtime]]]") then report "The token is " & token; assert false report "Illegal format of [[[runtime]]] part in " & AUTOTB_TVIN_begin_sample severity note; assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); while(token(1 to 14) /= "[[[/runtime]]]") loop if(token(1 to 15) /= "[[transaction]]") then report "The token is " & token; assert false report "Illegal format of [[transaction]] part in " & AUTOTB_TVIN_begin_sample severity note; assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); -- Skip transaction number esl_read_token(fp, token_line, token); wait until AESL_clock'event and AESL_clock = '1'; wait for 0.2 ns; while(ready_wire /= '1') loop wait until AESL_clock'event and AESL_clock = '1'; wait for 0.2 ns; end loop; if(token(1 to 16) /= "[[/transaction]]") then AESL_REG_begin_sample := esl_str2lv_hex(token, 16 ); esl_read_token(fp, token_line, token); end if; esl_read_token(fp, token_line, token); end loop; file_close(fp); wait; end process; gen_assign_end_index_proc : process begin wait until (AESL_clock'event and AESL_clock = '1'); wait for 0.45 ns; end_index <= AESL_REG_end_index; end process; read_file_process_end_index : process file fp : TEXT; variable fstatus : FILE_OPEN_STATUS; variable token_line : LINE; variable token : STRING(1 to 280); variable i : INTEGER; variable transaction_finish : INTEGER; variable rand : T_RANDINT := init_rand(0); variable rint : INTEGER; begin wait until AESL_reset = '0'; file_open(fstatus, fp, AUTOTB_TVIN_end_index, READ_MODE); if(fstatus /= OPEN_OK) then assert false report "Open file " & AUTOTB_TVIN_end_index & " failed!!!" severity note; assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); if(token(1 to 13) /= "[[[runtime]]]") then report "The token is " & token; assert false report "Illegal format of [[[runtime]]] part in " & AUTOTB_TVIN_end_index severity note; assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); while(token(1 to 14) /= "[[[/runtime]]]") loop if(token(1 to 15) /= "[[transaction]]") then report "The token is " & token; assert false report "Illegal format of [[transaction]] part in " & AUTOTB_TVIN_end_index severity note; assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); -- Skip transaction number esl_read_token(fp, token_line, token); wait until AESL_clock'event and AESL_clock = '1'; wait for 0.2 ns; while(ready_wire /= '1') loop wait until AESL_clock'event and AESL_clock = '1'; wait for 0.2 ns; end loop; if(token(1 to 16) /= "[[/transaction]]") then AESL_REG_end_index := esl_str2lv_hex(token, 16 ); esl_read_token(fp, token_line, token); end if; esl_read_token(fp, token_line, token); end loop; file_close(fp); wait; end process; gen_assign_end_sample_proc : process begin wait until (AESL_clock'event and AESL_clock = '1'); wait for 0.45 ns; end_sample <= AESL_REG_end_sample; end process; read_file_process_end_sample : process file fp : TEXT; variable fstatus : FILE_OPEN_STATUS; variable token_line : LINE; variable token : STRING(1 to 280); variable i : INTEGER; variable transaction_finish : INTEGER; variable rand : T_RANDINT := init_rand(0); variable rint : INTEGER; begin wait until AESL_reset = '0'; file_open(fstatus, fp, AUTOTB_TVIN_end_sample, READ_MODE); if(fstatus /= OPEN_OK) then assert false report "Open file " & AUTOTB_TVIN_end_sample & " failed!!!" severity note; assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); if(token(1 to 13) /= "[[[runtime]]]") then report "The token is " & token; assert false report "Illegal format of [[[runtime]]] part in " & AUTOTB_TVIN_end_sample severity note; assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); while(token(1 to 14) /= "[[[/runtime]]]") loop if(token(1 to 15) /= "[[transaction]]") then report "The token is " & token; assert false report "Illegal format of [[transaction]] part in " & AUTOTB_TVIN_end_sample severity note; assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); -- Skip transaction number esl_read_token(fp, token_line, token); wait until AESL_clock'event and AESL_clock = '1'; wait for 0.2 ns; while(ready_wire /= '1') loop wait until AESL_clock'event and AESL_clock = '1'; wait for 0.2 ns; end loop; if(token(1 to 16) /= "[[/transaction]]") then AESL_REG_end_sample := esl_str2lv_hex(token, 16 ); esl_read_token(fp, token_line, token); end if; esl_read_token(fp, token_line, token); end loop; file_close(fp); wait; end process; gen_assign_stop_on_first_proc : process begin wait until (AESL_clock'event and AESL_clock = '1'); wait for 0.45 ns; stop_on_first <= AESL_REG_stop_on_first; end process; read_file_process_stop_on_first : process file fp : TEXT; variable fstatus : FILE_OPEN_STATUS; variable token_line : LINE; variable token : STRING(1 to 280); variable i : INTEGER; variable transaction_finish : INTEGER; variable rand : T_RANDINT := init_rand(0); variable rint : INTEGER; begin wait until AESL_reset = '0'; file_open(fstatus, fp, AUTOTB_TVIN_stop_on_first, READ_MODE); if(fstatus /= OPEN_OK) then assert false report "Open file " & AUTOTB_TVIN_stop_on_first & " failed!!!" severity note; assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); if(token(1 to 13) /= "[[[runtime]]]") then report "The token is " & token; assert false report "Illegal format of [[[runtime]]] part in " & AUTOTB_TVIN_stop_on_first severity note; assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); while(token(1 to 14) /= "[[[/runtime]]]") loop if(token(1 to 15) /= "[[transaction]]") then report "The token is " & token; assert false report "Illegal format of [[transaction]] part in " & AUTOTB_TVIN_stop_on_first severity note; assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); -- Skip transaction number esl_read_token(fp, token_line, token); wait until AESL_clock'event and AESL_clock = '1'; wait for 0.2 ns; while(ready_wire /= '1') loop wait until AESL_clock'event and AESL_clock = '1'; wait for 0.2 ns; end loop; if(token(1 to 16) /= "[[/transaction]]") then AESL_REG_stop_on_first := esl_str2lv_hex(token, 1 ); esl_read_token(fp, token_line, token); end if; esl_read_token(fp, token_line, token); end loop; file_close(fp); wait; end process; gen_assign_accept_proc : process begin wait until (AESL_clock'event and AESL_clock = '1'); wait for 0.45 ns; accept <= AESL_REG_accept; end process; read_file_process_accept : process file fp : TEXT; variable fstatus : FILE_OPEN_STATUS; variable token_line : LINE; variable token : STRING(1 to 280); variable i : INTEGER; variable transaction_finish : INTEGER; variable rand : T_RANDINT := init_rand(0); variable rint : INTEGER; begin wait until AESL_reset = '0'; file_open(fstatus, fp, AUTOTB_TVIN_accept, READ_MODE); if(fstatus /= OPEN_OK) then assert false report "Open file " & AUTOTB_TVIN_accept & " failed!!!" severity note; assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); if(token(1 to 13) /= "[[[runtime]]]") then report "The token is " & token; assert false report "Illegal format of [[[runtime]]] part in " & AUTOTB_TVIN_accept severity note; assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); while(token(1 to 14) /= "[[[/runtime]]]") loop if(token(1 to 15) /= "[[transaction]]") then report "The token is " & token; assert false report "Illegal format of [[transaction]] part in " & AUTOTB_TVIN_accept severity note; assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; esl_read_token(fp, token_line, token); -- Skip transaction number esl_read_token(fp, token_line, token); wait until AESL_clock'event and AESL_clock = '1'; wait for 0.2 ns; while(ready_wire /= '1') loop wait until AESL_clock'event and AESL_clock = '1'; wait for 0.2 ns; end loop; if(token(1 to 16) /= "[[/transaction]]") then AESL_REG_accept := esl_str2lv_hex(token, 1 ); esl_read_token(fp, token_line, token); end if; esl_read_token(fp, token_line, token); end loop; file_close(fp); wait; end process; write_file_process_ap_return : process file fp : TEXT; file fp_size : TEXT; variable fstatus : FILE_OPEN_STATUS; variable token_line : LINE; variable token : STRING(1 to 280); variable transaction_idx : INTEGER; variable ap_return_count : INTEGER; variable hls_stream_size : INTEGER; variable i : INTEGER; variable rand : T_RANDINT := init_rand(0); variable rint : INTEGER; begin wait until AESL_reset = '0'; file_open(fstatus, fp, AUTOTB_TVOUT_ap_return_out_wrapc, WRITE_MODE); if(fstatus /= OPEN_OK) then assert false report "Open file " & AUTOTB_TVOUT_ap_return_out_wrapc & " failed!!!" severity note; assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; write(token_line, string'("[[[runtime]]]")); writeline(fp, token_line); transaction_idx := 0; while (transaction_idx /= AUTOTB_TRANSACTION_NUM) loop write(token_line, string'("[[transaction]] ") & integer'image(transaction_idx)); writeline(fp, token_line); wait until AESL_clock'event and AESL_clock = '1'; while(AESL_done /= '1') loop wait until AESL_clock'event and AESL_clock = '1'; end loop; write(token_line, "0x" & esl_conv_string_hex(ap_return)); writeline(fp, token_line); transaction_idx := transaction_idx + 1; write(token_line, string'("[[/transaction]]")); writeline(fp, token_line); end loop; write(token_line, string'("[[[/runtime]]]")); writeline(fp, token_line); file_close(fp); wait; end process; generate_AESL_ready_cnt_proc : process begin AESL_ready_cnt := 0; wait until AESL_reset = '0'; while(AESL_ready_cnt /= AUTOTB_TRANSACTION_NUM) loop while(AESL_ready /= '1') loop wait until AESL_clock'event and AESL_clock = '1'; wait for 0.4 ns; end loop; wait until AESL_clock'event and AESL_clock = '0'; AESL_ready_cnt := AESL_ready_cnt + 1; wait until AESL_clock'event and AESL_clock = '1'; wait for 0.4 ns; end loop; end process; generate_ready_cnt_proc : process begin ready_cnt := 0; wait until AESL_reset = '0'; while(ready_cnt /= AUTOTB_TRANSACTION_NUM) loop while(ready /= '1') loop wait until AESL_clock'event and AESL_clock = '1'; wait for 0.4 ns; end loop; wait until AESL_clock'event and AESL_clock = '0'; ready_cnt := ready_cnt + 1; wait until AESL_clock'event and AESL_clock = '1'; wait for 0.4 ns; end loop; wait; end process; generate_done_cnt_proc : process begin done_cnt := 0; wait until AESL_reset = '0'; while(done_cnt /= AUTOTB_TRANSACTION_NUM) loop while(AESL_done /= '1') loop wait until AESL_clock'event and AESL_clock = '1'; wait for 0.4 ns; end loop; wait until AESL_clock'event and AESL_clock = '0'; done_cnt := done_cnt + 1; wait until AESL_clock'event and AESL_clock = '1'; wait for 0.4 ns; end loop; wait until AESL_clock'event and AESL_clock = '1'; wait for 0.4 ns; assert false report "simulation done!" severity note; assert false report "NORMAL EXIT (note: failure is to force the simulator to stop)" severity failure; wait; end process; gen_clock_proc : process begin AESL_clock <= '0'; while(true) loop wait for (AUTOTB_CLOCK_PERIOD/2.0); AESL_clock <= not AESL_clock; end loop; wait; end process; gen_reset_proc : process variable rand : T_RANDINT := init_rand(0); variable rint : INTEGER; begin rst <= '1'; wait for 100 ns; for i in 1 to 3 loop wait until AESL_clock'event and AESL_clock = '1'; end loop; rst <= '0'; wait; end process; gen_start_proc : process variable rand : T_RANDINT := init_rand(0); variable rint : INTEGER; begin start <= '0'; ce <= '1'; wait until AESL_reset = '0'; wait until (AESL_clock'event and AESL_clock = '1'); start <= '1'; while(ready_cnt /= AUTOTB_TRANSACTION_NUM + 1) loop wait until (AESL_clock'event and AESL_clock = '1'); if(AESL_ready = '1') then start <= '0'; start <= '1'; end if; end loop; start <= '0'; wait; end process; gen_continue_proc : process(AESL_done) begin continue <= AESL_done; end process; gen_ready_initial_proc : process begin ready_initial <= '0'; wait until AESL_start = '1'; ready_initial <= '1'; wait until AESL_clock'event and AESL_clock = '1'; ready_initial <= '0'; wait; end process; gen_AESL_ready_delay_proc : process(AESL_clock) begin if (AESL_clock'event and AESL_clock = '1') then if(AESL_reset = '1') then AESL_ready_delay <= '0'; else AESL_ready_delay <= AESL_ready; end if; end if; end process; ready_last_n_proc : process begin ready_last_n <= '1'; while(ready_cnt /= AUTOTB_TRANSACTION_NUM) loop wait until AESL_clock'event and AESL_clock = '1'; end loop; ready_last_n <= '0'; wait; end process; gen_ready_delay_n_last_proc : process(AESL_clock) begin if (AESL_clock'event and AESL_clock = '1') then if(AESL_reset = '1') then ready_delay_last_n <= '0'; else ready_delay_last_n <= ready_last_n; end if; end if; end process; ready <= (ready_initial or AESL_ready_delay); ready_wire <= ready_initial or AESL_ready_delay; gen_done_delay_last_n_proc : process begin done_delay_last_n <= '1'; while(done_cnt /= AUTOTB_TRANSACTION_NUM) loop wait until (AESL_clock'event and AESL_clock = '1'); end loop; done_delay_last_n <= '0'; wait; end process; gen_done_delay_proc : process(AESL_clock) begin if (AESL_clock'event and AESL_clock = '1') then if(AESL_reset = '1') then AESL_done_delay <= '0'; AESL_done_delay2 <= '0'; else AESL_done_delay <= AESL_done and done_delay_last_n; AESL_done_delay2 <= AESL_done_delay; end if; end if; end process; gen_interface_done : process(ready, AESL_done_delay) begin if(ready_cnt > 0 and ready_cnt < AUTOTB_TRANSACTION_NUM) then interface_done <= ready; elsif(ready_cnt = AUTOTB_TRANSACTION_NUM) then interface_done <= AESL_done_delay; else interface_done <= '0'; end if; end process; gen_clock_counter_proc : process(AESL_clock) begin if (AESL_clock'event and AESL_clock = '1') then if(AESL_reset = '1') then AESL_clk_counter := 0; else AESL_clk_counter := AESL_clk_counter + 1; end if; end if; end process; gen_mLatcnterout_proc : process(AESL_clock) begin if (AESL_clock'event and AESL_clock = '1') then if(AESL_reset = '1') then AESL_mLatCnterOut_addr := 0; AESL_mLatCnterOut(AESL_mLatCnterOut_addr) := AESL_clk_counter + 1 ; else if (AESL_done = '1' and AESL_mLatCnterOut_addr < AUTOTB_TRANSACTION_NUM + 1) then AESL_mLatCnterOut(AESL_mLatCnterOut_addr) := AESL_clk_counter; AESL_mLatCnterOut_addr := AESL_mLatCnterOut_addr + 1; end if; end if; end if; end process; gen_mLatcnterin_proc : process(AESL_clock) begin if (AESL_clock'event and AESL_clock = '1') then if(AESL_reset = '1') then AESL_mLatCnterIn_addr := 0; else if (AESL_start = '1' and AESL_mLatCnterIn_addr = 0) then AESL_mLatCnterIn(AESL_mLatCnterIn_addr) := AESL_clk_counter; AESL_mLatCnterIn_addr := AESL_mLatCnterIn_addr + 1; end if; if (AESL_ready = '1' and AESL_mLatCnterIn_addr < AUTOTB_TRANSACTION_NUM + 1 ) then AESL_mLatCnterIn(AESL_mLatCnterIn_addr) := AESL_clk_counter; AESL_mLatCnterIn_addr := AESL_mLatCnterIn_addr + 1; end if; end if; end if; end process; gen_performance_check_proc : process variable transaction_counter : INTEGER; variable i : INTEGER; file fp : TEXT; variable fstatus : FILE_OPEN_STATUS; variable token_line : LINE; variable token : STRING(1 to 1024); variable latthistime : INTEGER; variable lattotal : INTEGER; variable latmax : INTEGER; variable latmin : INTEGER; variable thrthistime : INTEGER; variable thrtotal : INTEGER; variable thrmax : INTEGER; variable thrmin : INTEGER; variable lataver : INTEGER; variable thraver : INTEGER; type latency_record is array(0 to AUTOTB_TRANSACTION_NUM + 1) of INTEGER; variable lat_array : latency_record; variable thr_array : latency_record; begin i := 0; lattotal := 0; latmax := 0; latmin := 16#7fffffff#; lataver := 0; thrtotal := 0; thrmax := 0; thrmin := 16#7fffffff#; thraver := 0; wait until (AESL_clock'event and AESL_clock = '1'); wait until (AESL_reset = '0'); while (done_cnt /= AUTOTB_TRANSACTION_NUM) loop wait until (AESL_clock'event and AESL_clock = '1'); end loop; wait for 0.001 ns; if (AESL_mLatCnterIn_addr = 1 or AESL_mLatCnterIn_addr = 0 ) then latmax := 0; latmin := 0; lataver := 0; thrmax := 0; thrmin := 0; thraver := 0; lat_array(0) := 0; thr_array(0) := 0; elsif (AESL_mLatCnterOut_addr = 1 or AESL_mLatCnterOut_addr = 0 ) then latmax := AESL_mLatCnterOut(0) - AESL_mLatCnterIn(0); latmin := AESL_mLatCnterOut(0) - AESL_mLatCnterIn(0); lataver := AESL_mLatCnterOut(0) - AESL_mLatCnterIn(0); thrmax := AESL_mLatCnterIn(1) - AESL_mLatCnterIn(0) + 1; thrmin := AESL_mLatCnterIn(1) - AESL_mLatCnterIn(0) + 1; thraver := AESL_mLatCnterIn(1) - AESL_mLatCnterIn(0) + 1; lat_array(0) := lataver; thr_array(0) := thraver; else -- LATENCY for i in 0 to AESL_mLatCnterOut_addr - 1 loop latthistime := AESL_mLatCnterOut(i) - AESL_mLatCnterIn(i) ; if ( i > 0 ) then if (latthistime - 1 < 0) then latthistime := 0; else latthistime := latthistime - 1; end if; end if; lattotal := lattotal + latthistime; lat_array(i) := latthistime; if (latthistime > latmax) then latmax := latthistime; end if; if (latthistime < latmin) then latmin := latthistime; end if; end loop; -- II for i in 0 to AESL_mLatCnterIn_addr - 2 loop thrthistime := AESL_mLatCnterIn(i + 1) - AESL_mLatCnterIn(i); if ( i = 0 ) then thrthistime := thrthistime + 1; end if; thrtotal := thrtotal + thrthistime; thr_array(i) := thrthistime; if (thrthistime > thrmax) then thrmax := thrthistime; end if; if (thrthistime < thrmin) then thrmin := thrthistime; end if; end loop; thr_array(AESL_mLatCnterIn_addr - 1) := 0; lataver := lattotal / ( AESL_mLatCnterOut_addr); thraver := thrtotal / ( AESL_mLatCnterIn_addr - 1 ); end if; file_open(fstatus, fp, AUTOTB_LAT_RESULT_FILE, WRITE_MODE); if(fstatus /= OPEN_OK) then assert false report "Open file " & AUTOTB_LAT_RESULT_FILE & " failed!!!" severity note; assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; write(token_line, "$MAX_LATENCY = " & '"' & integer'image(latmax) & '"'); writeline(fp, token_line); write(token_line, "$MIN_LATENCY = " & '"' & integer'image(latmin) & '"'); writeline(fp, token_line); write(token_line, "$AVER_LATENCY = " & '"' & integer'image(lataver) & '"'); writeline(fp, token_line); write(token_line, "$MAX_THROUGHPUT = " & '"' & integer'image(thrmax) & '"'); writeline(fp, token_line); write(token_line, "$MIN_THROUGHPUT = " & '"' & integer'image(thrmin) & '"'); writeline(fp, token_line); write(token_line, "$AVER_THROUGHPUT = " & '"' & integer'image(thraver) & '"'); writeline(fp, token_line); file_close(fp); file_open(fstatus, fp, AUTOTB_PER_RESULT_TRANS_FILE, WRITE_MODE); if(fstatus /= OPEN_OK) then assert false report "Open file " & AUTOTB_PER_RESULT_TRANS_FILE & " failed!!!" severity note; assert false report "ERROR: Simulation using HLS TB failed." severity failure; end if; write(token_line,string'(" latency interval")); writeline(fp, token_line); for i in 0 to AESL_mLatCnterOut_addr - 1 loop write(token_line,"transaction " & integer'image(i) & " " & integer'image(lat_array(i) ) & " " & integer'image(thr_array(i) ) ); writeline(fp, token_line); end loop; file_close(fp); wait; end process; end behav;
lgpl-3.0
jairov4/accel-oil
impl/impl_test_single/simulation/behavioral/nfa_accept_samples_generic_hw_top_v1_01_a/nfa_accept_samples_generic_hw_mul_8ns_6ns_14_2/_primary.vhd
1
1039
library verilog; use verilog.vl_types.all; entity nfa_accept_samples_generic_hw_mul_8ns_6ns_14_2 is generic( ID : integer := 1; NUM_STAGE : integer := 1; din0_WIDTH : integer := 1; din1_WIDTH : integer := 1; dout_WIDTH : integer := 1 ); port( clk : in vl_logic; reset : in vl_logic; ce : in vl_logic; din0 : in vl_logic_vector; din1 : in vl_logic_vector; dout : out vl_logic_vector ); attribute mti_svvh_generic_type : integer; attribute mti_svvh_generic_type of ID : constant is 1; attribute mti_svvh_generic_type of NUM_STAGE : constant is 1; attribute mti_svvh_generic_type of din0_WIDTH : constant is 1; attribute mti_svvh_generic_type of din1_WIDTH : constant is 1; attribute mti_svvh_generic_type of dout_WIDTH : constant is 1; end nfa_accept_samples_generic_hw_mul_8ns_6ns_14_2;
lgpl-3.0
lerwys/GitTest
hdl/modules/wb_un_cross/cross_uncross_core/swap_cnt_top.vhd
1
7231
------------------------------------------------------------------------------ -- Title : Swapping Channel Pairs under Counter, Top entity ------------------------------------------------------------------------------ -- Author : José Alvim Berkenbrock -- Company : CNPEM LNLS-DIG -- Platform : FPGA-generic ------------------------------------------------------------------------------- -- Description: This design uses a counter to divide clock input frequency and -- apply it as enable signal to swap the switches of rf_ch_swap -- block. The counting constant is a generic parameter. -- Is possible to select the blocks independently. This option -- allow us to compare with x without swiching mode of channels to -- see how useful is switching mode to mitigate board drifts. ------------------------------------------------------------------------------- -- Copyright (c) 2013 CNPEM -- Licensed under GNU Lesser General Public License (LGPL) v3.0 ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2013-01-24 1.0 jose.berkenbrock Created -- 2013-01-25 1.1 jose.berkenbrock Independently mode selection -- 2013-01-30 1.1 jose.berkenbrock Core description -- 2013-02-14 1.2 jose.berkenbrock Set enable divider as generic -- 2013-02-18 2.0 jose.berkenbrock New outputs swap and en_inv[2:1] -- 2013-02-21 3.0 jose.berkenbrock New flag output, en_inv supressed -- 2013-02-22 4.0 jose.berkenbrock New status out;flag/swap supressed -- 2013-03-09 5.0 jose.berkenbrock swap_div_f_i added -- 2013-07-01 5.1 lucas.russo Changed to synchronous resets ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; --library unisim; --use unisim.vcomponents.all; entity swap_cnt_top is generic( --g_en_swap_div : natural := 1023 g_swap_div_freq_vec_width : natural range 0 to 16 := 10 ); port( clk_i : in std_logic; rst_n_i : in std_logic; mode1_i : in std_logic_vector(1 downto 0); mode2_i : in std_logic_vector(1 downto 0); swap_div_f_i : in std_logic_vector(g_swap_div_freq_vec_width-1 downto 0); ext_clk_i : in std_logic; ext_clk_en_i : in std_logic; clk_swap_o : out std_logic; clk_swap_en_i : in std_logic; --blink_fmc : out std_logic; status1_o : out std_logic; status2_o : out std_logic; ctrl1_o : out std_logic_vector(7 downto 0); ctrl2_o : out std_logic_vector(7 downto 0) ); end swap_cnt_top; architecture rtl of swap_cnt_top is component rf_ch_swap generic( g_direct : std_logic_vector(7 downto 0) := "10100101"; g_inverted : std_logic_vector(7 downto 0) := "01011010"); port( clk_i : in std_logic; rst_n_i : in std_logic; en_swap_i : in std_logic; mode_i : in std_logic_vector(1 downto 0); status_o : out std_logic; ctrl_o : out std_logic_vector(7 downto 0) ); end component; signal count : natural range 0 to 2**g_swap_div_freq_vec_width-1; signal count_half : natural range 0 to 1; signal cnst_swap_div_f : natural range 0 to 2**g_swap_div_freq_vec_width-1; signal count2 : natural range 0 to 20000000; signal blink : std_logic; signal swap : std_logic; signal swap_mux : std_logic; signal swap_posedge : std_logic; signal swap_old : std_logic; signal swap_half : std_logic; signal status1, status1_old : std_logic; signal status2, status2_old : std_logic; begin cnst_swap_div_f <= (to_integer(unsigned(swap_div_f_i))+1); ------------------------------------------------------------------ ---- Mode Register ---------------------------------- -- p_reg_mode : process(clk_i) -- begin -- if rising_edge(clk_i) then -- if rst_n_i = '0' then -- s_mode <= (others => '0'); -- else -- s_mode <= mode_i; -- end if; -- end if; -- end process p_reg_mode; ---------------------------------------------------------------- -- Swapp_ch_rf Components Instantiation ---------------------------------------------------------------- swapp_inst_1: rf_ch_swap port map ( clk_i => clk_i, rst_n_i => rst_n_i, --en_swap_i => swap, en_swap_i => swap_half, mode_i => mode1_i, status_o => status1, ctrl_o => ctrl1_o ); swapp_inst_2: rf_ch_swap port map ( clk_i => clk_i, rst_n_i => rst_n_i, --en_swap_i => swap, en_swap_i => swap_half, mode_i => mode2_i, status_o => status2, ctrl_o => ctrl2_o ); ---------------------------------------------------------------- p_freq_swap : process(clk_i) begin if rising_edge(clk_i) then if rst_n_i = '0' then count <= 0; swap <= '0'; else if clk_swap_en_i = '0' then count <= 0; swap <= '0'; elsif count = cnst_swap_div_f then count <= 0; swap <= not swap; else count <= count + 1; end if; end if; end if; end process p_freq_swap; ---------------------------------------------------------------- -- Use external provided clock or the internal generated one swap_mux <= ext_clk_i when ext_clk_en_i = '1' else swap; p_swap_reg : process(clk_i) begin if rising_edge(clk_i) then if rst_n_i = '0' then swap_old <= '0'; else swap_old <= swap_mux; end if; end if; end process p_swap_reg; swap_posedge <= '1' when swap_mux = '1' and swap_old = '0' else '0'; p_freq_swap_half : process(clk_i) begin if rising_edge(clk_i) then if rst_n_i = '0' then --count_half <= 0; swap_half <= '0'; else if clk_swap_en_i = '0' then swap_half <= '0'; elsif swap_posedge = '1' then swap_half <= not swap_half; end if; end if; end if; end process p_freq_swap_half; ---------------------------------------------------------------- p_status : process(clk_i) begin if rising_edge(clk_i) then if rst_n_i = '0' then status1_old <= '0'; status2_old <= '0'; else status1_old <= status1; status2_old <= status2; end if; end if; end process p_status; ---------------------------------------------------------------- clk_swap_o <= swap_mux; status1_o <= status1 xor status1_old; status2_o <= status2 xor status2_old; end;
lgpl-3.0
fpga-logi/logi-hard
hdl/wishbone/logi_wishbone_pack.vhd
1
7247
-- ---------------------------------------------------------------------- --LOGI-hard --Copyright (c) 2013, Jonathan Piat, Michael Jones, All rights reserved. -- --This library is free software; you can redistribute it and/or --modify it under the terms of the GNU Lesser General Public --License as published by the Free Software Foundation; either --version 3.0 of the License, or (at your option) any later version. -- --This library is distributed in the hope that it will be useful, --but WITHOUT ANY WARRANTY; without even the implied warranty of --MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU --Lesser General Public License for more details. -- --You should have received a copy of the GNU Lesser General Public --License along with this library. -- ---------------------------------------------------------------------- -- -- Package File Template -- -- Purpose: This package defines supplemental types, subtypes, -- constants, and functions -- -- To use any of the example code shown below, uncomment the lines and modify as necessary -- library IEEE; use IEEE.STD_LOGIC_1164.all; package logi_wishbone_pack is function find_X(slv : std_logic_vector) return natural; type wishbone16_bus is record address : std_logic_vector(15 downto 0); writedata : std_logic_vector(15 downto 0); readdata : std_logic_vector(15 downto 0); cycle: std_logic; write : std_logic; strobe : std_logic; ack : std_logic; end record; type array_of_addr is array(NATURAL range <>) of std_logic_vector(15 downto 0); type array_of_slv16 is array(NATURAL range <>) of std_logic_vector(15 downto 0); component gpmc_wishbone_wrapper is generic(sync : boolean := false ; burst : boolean := false ); port ( -- GPMC SIGNALS gpmc_ad : inout std_logic_vector(15 downto 0); gpmc_csn : in std_logic; gpmc_oen : in std_logic; gpmc_wen : in std_logic; gpmc_advn : in std_logic; gpmc_clk : in std_logic; -- Global Signals gls_reset : in std_logic; gls_clk : in std_logic; -- Wishbone interface signals wbm_address : out std_logic_vector(15 downto 0); -- Address bus wbm_readdata : in std_logic_vector(15 downto 0); -- Data bus for read access wbm_writedata : out std_logic_vector(15 downto 0); -- Data bus for write access wbm_strobe : out std_logic; -- Data Strobe wbm_write : out std_logic; -- Write access wbm_ack : in std_logic ; -- acknowledge wbm_cycle : out std_logic -- bus cycle in progress ); end component; component gpmc_wishbone_wrapper_aad is generic( sync : boolean := true; burst : boolean := false; addr_width : natural := 28 ); port ( -- GPMC SIGNALS gpmc_ad : inout std_logic_vector(15 downto 0); gpmc_csn : in std_logic; gpmc_oen : in std_logic; gpmc_wen : in std_logic; gpmc_advn : in std_logic; gpmc_clk : in std_logic; -- Global Signals gls_reset : in std_logic; gls_clk : in std_logic; -- Wishbone master interface signals wbm_address : out std_logic_vector(ADDR_WIDTH-1 downto 0); -- Address bus wbm_readdata : in std_logic_vector(15 downto 0); -- Data bus for read access wbm_writedata : out std_logic_vector(15 downto 0); -- Data bus for write access wbm_strobe : out std_logic; -- Data Strobe wbm_write : out std_logic; -- Write access wbm_ack : in std_logic; -- acknowledge wbm_cycle : out std_logic -- bus cycle in progress ); end component; component spi_wishbone_wrapper is generic(BIG_ENDIAN : boolean := true); port ( -- SPI SIGNALS mosi, ss, sck : in std_logic; miso : out std_logic; -- Global Signals gls_reset : in std_logic; gls_clk : in std_logic; -- Wishbone interface signals wbm_address : out std_logic_vector(15 downto 0); -- Address bus wbm_readdata : in std_logic_vector(15 downto 0); -- Data bus for read access wbm_writedata : out std_logic_vector(15 downto 0); -- Data bus for write access wbm_strobe : out std_logic; -- Data Strobe wbm_write : out std_logic; -- Write access wbm_ack : in std_logic ; -- acknowledge wbm_cycle : out std_logic -- bus cycle in progress ); end component; component wishbone_intercon is generic(memory_map : array_of_addr ); port( -- Syscon signals gls_reset : in std_logic ; gls_clk : in std_logic ; -- Wishbone slave signals wbs_address : in std_logic_vector(15 downto 0) ; wbs_writedata : in std_logic_vector(15 downto 0); wbs_readdata : out std_logic_vector(15 downto 0); wbs_strobe : in std_logic ; wbs_cycle : in std_logic ; wbs_write : in std_logic ; wbs_ack : out std_logic; -- Wishbone master signals wbm_address : out array_of_slv16((memory_map'length-1) downto 0) ; wbm_writedata : out array_of_slv16((memory_map'length-1) downto 0); wbm_readdata : in array_of_slv16((memory_map'length-1) downto 0); wbm_strobe : out std_logic_vector((memory_map'length-1) downto 0) ; wbm_cycle : out std_logic_vector((memory_map'length-1) downto 0) ; wbm_write : out std_logic_vector((memory_map'length-1) downto 0) ; wbm_ack : in std_logic_vector((memory_map'length-1) downto 0) ); end component; end logi_wishbone_pack; package body logi_wishbone_pack is function find_X(slv : std_logic_vector) return natural is begin for i in slv'range loop if slv(i) ='X' then return i+1 ; end if; end loop; return 0; end function find_X; --function sim_wishbone_write(data :integer; address : integer; wish_bus : wishbone16_bus ; clk : std_logic) return natural is -- -- begin -- wish_bus.cycle <= '0' ; -- wish_bus.strobe <= '0'; -- wish_bus.write <= '0' ; -- wish_bus.writedata <= std_logic_vector(to_unsigned(data, 16)); -- wish_bus.address <= std_logic_vector(to_unsigned(address, 16)); -- wait for rising_edge(clk); -- wish_bus.cycle <= '1' ; -- wish_bus.strobe <= '1'; -- wish_bus.write <= '1' ; -- wait for wish_bus.ack = '1' ; -- wish_bus.cycle <= '0' ; -- wish_bus.strobe <= '0'; -- wish_bus.write <= '0' ; -- wait for falling_edge(clk); -- return 1; --end function sim_wishbone_write; -- --function sim_wishbone_write(data :integer; address : integer; wish_bus : wishbone16_bus ; clk : std_logic) return std_logic_vector(15 downto 0) is -- -- begin -- wish_bus.cycle <= '0' ; -- wish_bus.strobe <= '0'; -- wish_bus.write <= '0' ; -- wish_bus.writedata <= std_logic_vector(to_unsigned(0, 16)); -- wish_bus.address <= std_logic_vector(to_unsigned(address, 16)); -- wait for rising_edge(clk); -- wish_bus.cycle <= '1' ; -- wish_bus.strobe <= '1'; -- wish_bus.write <= '0' ; -- wait for wish_bus.ack = '1' ; -- wish_bus.cycle <= '0' ; -- wish_bus.strobe <= '0'; -- wish_bus.write <= '0' ; -- wait for falling_edge(clk); -- return wish_bus.readdata; --end function sim_wishbone_write; end logi_wishbone_pack;
lgpl-3.0
fpga-logi/logi-hard
hdl/wishbone/peripherals/wishbone_to_xil_fifo.vhd
2
5572
-- ---------------------------------------------------------------------- --LOGI-hard --Copyright (c) 2013, Jonathan Piat, Michael Jones, All rights reserved. -- --This library is free software; you can redistribute it and/or --modify it under the terms of the GNU Lesser General Public --License as published by the Free Software Foundation; either --version 3.0 of the License, or (at your option) any later version. -- --This library is distributed in the hope that it will be useful, --but WITHOUT ANY WARRANTY; without even the implied warranty of --MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU --Lesser General Public License for more details. -- --You should have received a copy of the GNU Lesser General Public --License along with this library. -- ---------------------------------------------------------------------- ---------------------------------------------------------------------------------- -- Company:LAAS-CNRS -- Author:Jonathan Piat <[email protected]> -- -- Create Date: 10:54:36 06/19/2012 -- Design Name: -- Module Name: fifo_peripheral - Behavioral -- Project Name: -- Target Devices: Spartan 6 Spartan 6 -- Tool versions: ISE 14.1 ISE 14.1 -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.ALL; library work ; use work.logi_utils_pack.all ; --! peripheral with fifo interface to the logic --! fifo B can be written from logic and read from bus --! fifo A can be written from bus and read from logic entity wishbone_to_xil_fifo is generic( ADDR_WIDTH: positive := 16; --! width of the address bus WIDTH : positive := 16; --! width of the data bus WR_FIFO_SIZE : natural := 128; RD_FIFO_SIZE : natural := 128 ); port( -- Syscon signals gls_reset : in std_logic ; gls_clk : in std_logic ; -- Wishbone signals wbs_address : in std_logic_vector(ADDR_WIDTH-1 downto 0) ; wbs_writedata : in std_logic_vector( WIDTH-1 downto 0); wbs_readdata : out std_logic_vector( WIDTH-1 downto 0); wbs_strobe : in std_logic ; wbs_cycle : in std_logic ; wbs_write : in std_logic ; wbs_ack : out std_logic; -- fifo signals fifo_rst : out std_logic; -- write xil_fifo signals wr_clk : out std_logic ; dout : out std_logic_vector(15 downto 0); wr_en : out std_logic ; full : in std_logic ; wr_data_count : in std_logic_vector(15 downto 0); overflow : in std_logic; -- read xil_fifo signals rd_clk : out std_logic ; din : in std_logic_vector(15 downto 0); rd_en : out std_logic ; empty : in std_logic ; rd_data_count : in std_logic_vector(15 downto 0); underflow : in std_logic ); end wishbone_to_xil_fifo; architecture RTL of wishbone_to_xil_fifo is constant address_space_nbit : integer := MAX(nbit(WR_FIFO_SIZE), nbit(RD_FIFO_SIZE)); signal write_ack, read_ack : std_logic ; signal gls_resetn : std_logic ; signal control_latched : std_logic_vector(15 downto 0) ; signal control_data, fifo_status : std_logic_vector(15 downto 0) ; signal fifo_data : std_logic_vector(15 downto 0) ; signal data_access : std_logic ; signal control_space_data_spacen : std_logic ; begin rd_clk <= gls_clk ; wr_clk <= gls_clk ; gls_resetn <= NOT gls_reset ; write_bloc : process(gls_clk,gls_reset) begin if gls_reset = '1' then write_ack <= '0'; elsif rising_edge(gls_clk) then if ((wbs_strobe and wbs_write and wbs_cycle) = '1' ) then write_ack <= '1'; else write_ack <= '0'; end if; end if; end process write_bloc; read_bloc : process(gls_clk, gls_reset) begin if gls_reset = '1' then elsif rising_edge(gls_clk) then control_latched <= control_data ; if (wbs_strobe = '1' and wbs_write = '0' and wbs_cycle = '1' ) then read_ack <= '1'; else read_ack <= '0'; end if; end if; end process read_bloc; wbs_ack <= read_ack or write_ack; control_space_data_spacen <= wbs_address(address_space_nbit) ; wbs_readdata <= control_latched when control_space_data_spacen = '1' else --data_access = '0' else fifo_data ; rd_en <= '1' when control_space_data_spacen = '0' and wbs_strobe = '1' and wbs_write = '0' and wbs_cycle = '1' and read_ack = '0' else '0' ; wr_en <= '1' when control_space_data_spacen = '0' and (wbs_strobe and wbs_write and wbs_cycle)= '1' and write_ack = '0' else '0' ; with conv_integer(wbs_address(address_space_nbit-1 downto 0)) select control_data <= std_logic_vector(to_unsigned(RD_FIFO_SIZE, 16)) when 0, std_logic_vector(to_unsigned(WR_FIFO_SIZE, 16)) when 1, std_logic_vector(resize(unsigned(rd_data_count), 16)) when 2, std_logic_vector(resize(unsigned(wr_data_count), 16)) when 3, fifo_status when others; fifo_status <= X"000" & empty & underflow & full & overflow ; fifo_rst <= '1' when gls_reset = '1' else '1' when control_space_data_spacen = '1' and (wbs_strobe and wbs_write and wbs_cycle)= '1' else '0' ; dout <= wbs_writedata ; process(gls_clk, gls_reset) begin if gls_reset = '1' then fifo_data <= (others => '0'); elsif rising_edge(gls_clk) then if control_space_data_spacen = '0' and wbs_strobe = '1' and wbs_write = '0' and wbs_cycle = '1' and read_ack = '0' then fifo_data <= din ; end if ; end if; end process; end RTL;
lgpl-3.0
fpga-logi/logi-hard
hdl/control/l3gd20_interface.vhd
2
10118
-- ---------------------------------------------------------------------- --LOGI-hard --Copyright (c) 2013, Jonathan Piat, Michael Jones, All rights reserved. -- --This library is free software; you can redistribute it and/or --modify it under the terms of the GNU Lesser General Public --License as published by the Free Software Foundation; either --version 3.0 of the License, or (at your option) any later version. -- --This library is distributed in the hope that it will be useful, --but WITHOUT ANY WARRANTY; without even the implied warranty of --MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU --Lesser General Public License for more details. -- --You should have received a copy of the GNU Lesser General Public --License along with this library. -- ---------------------------------------------------------------------- ---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 09:47:08 08/26/2013 -- Design Name: -- Module Name: mcp3002_interface - Behavioral -- Project Name: -- Target Devices: Spartan 6 -- Tool versions: ISE 14.1 -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; library work ; use work.logi_utils_pack.all ; entity l3gd20_interface is generic(CLK_DIV : positive := 100; SAMPLING_DIV : positive := 1_000_000; POL : std_logic := '0'; PHA : std_logic := '0'); port( clk, resetn : std_logic ; offset_x : in std_logic_vector(15 downto 0); offset_y : in std_logic_vector(15 downto 0); offset_z : in std_logic_vector(15 downto 0); sample_x : out std_logic_vector(15 downto 0); sample_y : out std_logic_vector(15 downto 0); sample_z : out std_logic_vector(15 downto 0); dv : out std_logic ; -- spi signals DOUT : out std_logic ; DIN : in std_logic ; SCLK : out std_logic ; SSN : out std_logic ); end l3gd20_interface; architecture Behavioral of l3gd20_interface is type tranfer_state is (WAIT_SAMPLE, ASSERT_CS, XFER_DATA, DEASSERT_CS); signal current_transfer_state, next_transfer_state : tranfer_state; signal data_out_shift_reg : std_logic_vector(15 downto 0) ; signal data_in_shift_reg : std_logic_vector(55 downto 0); signal load_shift_register : std_logic ; signal tempo_val : std_logic_vector(nbit(SAMPLING_DIV)-1 downto 0); signal count_tempo : std_logic_vector(nbit(SAMPLING_DIV)-1 downto 0 ); signal load_tempo, en_tempo, end_tempo : std_logic ; signal data_clk, data_clk_old, data_clk_re, data_clk_fe : std_logic ; signal en_bit_count, reset_byte_count : std_logic ; signal bit_count, byte_count : std_logic_vector(4 downto 0); signal bit_count_eq_8 : std_logic ; signal cmd_word, config_word : std_logic_vector(15 downto 0); signal init_done : std_logic ; signal ssn_d : std_logic ; signal shift_in_en, shift_out_en : std_logic ; signal end_of_xfer: std_logic ; signal latch_data : std_logic ; signal sample_x_temp, sample_y_temp, sample_z_temp : std_logic_vector(15 downto 0); begin -- tempo process(clk, resetn) begin if resetn = '0' then count_tempo <= (others => '1'); elsif clk'event and clk = '1' then if load_tempo = '1' then count_tempo <= tempo_val ; elsif en_tempo = '1' then if count_tempo /= 0 then count_tempo <= count_tempo - 1 ; end if ; end if ; end if ; end process ; end_tempo <= '1' when count_tempo = 0 else '0' ; -- bit counter process(clk, resetn) begin if resetn = '0' then bit_count <= (others => '0'); byte_count <= (others => '0'); elsif clk'event and clk = '1' then if bit_count_eq_8 = '1' then bit_count <= (others => '0'); elsif en_bit_count = '1' then bit_count <= bit_count + 1 ; end if ; if reset_byte_count = '1' then byte_count <= (others => '0'); elsif bit_count_eq_8 = '1' then byte_count <= byte_count + 1 ; end if ; end if ; end process ; bit_count_eq_8 <= '1' when bit_count = 8 else '0' ; process(clk, resetn) begin if resetn = '0' then current_transfer_state <= WAIT_SAMPLE; elsif clk'event and clk = '1' then current_transfer_state <= next_transfer_state; end if ; end process ; process(bit_count, byte_count, end_tempo, init_done, bit_count_eq_8, current_transfer_state) begin next_transfer_state <= current_transfer_state ; case current_transfer_state is when wait_sample => if end_tempo = '1' then next_transfer_state <= assert_cs ; end if ; when assert_cs => if end_tempo = '1' then next_transfer_state <= xfer_data ; end if ; when xfer_data => if end_of_xfer = '1' then next_transfer_state <= deassert_cs ; end if ; when deassert_cs => if end_tempo = '1' then next_transfer_state <= wait_sample ; end if ; when others => next_transfer_state <= wait_sample ; end case; end process ; end_of_xfer <= '1' when byte_count = 2 and bit_count = 0 and init_done = '0' and end_tempo = '1' else -- once on reset '1' when byte_count = 7 and bit_count = 0 and init_done = '1' and end_tempo = '1' else '0' ; process(clk, resetn) begin if resetn = '0' then init_done <= '0' ; elsif clk'event and clk = '1' then if current_transfer_state = deassert_cs then init_done <= '1' ; end if ; end if ; end process ; -- generating clk for spi communication process(clk, resetn) begin if resetn = '0' then data_clk <= '0' ; elsif clk'event and clk = '1' then if current_transfer_state = xfer_data and end_of_xfer = '0'then if end_tempo = '1' then data_clk <= not data_clk ; end if ; else data_clk <= POL ; end if ; end if ; end process ; -- data clock rising edge and falling edge detect process(clk, resetn) begin if resetn = '0' then data_clk_old <= '0' ; elsif clk'event and clk = '1' then data_clk_old <= data_clk ; end if ; end process ; data_clk_re <= data_clk and (not data_clk_old); data_clk_fe <= (not data_clk) and data_clk_old; -- command to send on reset ... -- starting on register 2, and auto increment of address enabled config_word <= X"60" & X"0F" ;--& X"00" & X"80" ; -- L3GD20_REGISTER_OUT_X_L | 0x80 for reading the data cmd_word <= X"E8FF" ; shift_out_en <= data_clk_re when POL = '0' else data_clk_fe when POL = '1' and (bit_count > 0 or byte_count > 0); --shift register for data out process(clk, resetn) begin if resetn = '0' then data_out_shift_reg <= (others => '0') ; elsif clk'event and clk = '1' then if load_shift_register = '1' and init_done = '0' then data_out_shift_reg <= config_word ; elsif load_shift_register = '1' and init_done = '1' then data_out_shift_reg <= cmd_word ; elsif shift_out_en = '1' then data_out_shift_reg(15 downto 1) <= data_out_shift_reg(14 downto 0) ; data_out_shift_reg(0) <= '1' ; end if ; end if ; end process ; shift_in_en <= data_clk_fe when POL = '0' else data_clk_re;--shift register for data in process(clk, resetn) begin if resetn = '0' then data_in_shift_reg <= (others => '0') ; elsif clk'event and clk = '1' then if shift_in_en = '1' then data_in_shift_reg(55 downto 1) <= data_in_shift_reg(54 downto 0) ; data_in_shift_reg(0) <= DIN ; end if ; end if ; end process ; with current_transfer_state select load_shift_register <= end_tempo when assert_cs, '0' when others ; en_tempo <= '1' ; with current_transfer_state select tempo_val <= std_logic_vector(to_unsigned(CLK_DIV, nbit(SAMPLING_DIV))) when wait_sample, std_logic_vector(to_unsigned(CLK_DIV, nbit(SAMPLING_DIV))) when assert_cs, std_logic_vector(to_unsigned(CLK_DIV, nbit(SAMPLING_DIV))) when xfer_data, std_logic_vector(to_unsigned(SAMPLING_DIV, nbit(SAMPLING_DIV))) when deassert_cs, (others => '0') when others ; with current_transfer_state select load_tempo <= end_tempo when wait_sample, end_tempo when assert_cs, end_tempo when xfer_data, end_tempo when deassert_cs, '0' when others ; with current_transfer_state select en_bit_count <= shift_in_en when xfer_data, '0' when others ; with current_transfer_state select reset_byte_count <= '1' when deassert_cs, '1' when wait_sample, '1' when assert_cs, '0' when others ; -- outputs with current_transfer_state select ssn_d <= '0' when assert_cs, '0' when xfer_data, '1' when others ; latch_data <= '1' when current_transfer_state=xfer_data and byte_count = 7 and bit_count_eq_8 = '1' else '0' ; sample_x_temp(7 downto 0) <= data_in_shift_reg(47 downto 40); sample_x_temp(15 downto 8) <= data_in_shift_reg(39 downto 32); sample_y_temp(7 downto 0) <= data_in_shift_reg(31 downto 24); sample_y_temp(15 downto 8) <= data_in_shift_reg(23 downto 16); sample_z_temp(7 downto 0) <= data_in_shift_reg(15 downto 8); sample_z_temp(15 downto 8) <= data_in_shift_reg(7 downto 0); process(clk, resetn) begin if resetn = '0' then sample_x <=(others => '0'); sample_y <= (others => '0'); sample_z <= (others => '0'); elsif clk'event and clk = '1' then if latch_data <= '1' then sample_x <= sample_x_temp - offset_x ; sample_y <= sample_y_temp - offset_y ; sample_z <= sample_z_temp - offset_z ; end if ; dv <= latch_data ; end if ; end process ; -- todo may delete following stuf, output are not combinatorial ... process(clk, resetn) begin if resetn = '0' then DOUT <= '0' ; SCLK <= '0' ; SSN <= '1' ; elsif clk'event and clk = '1' then DOUT <= data_out_shift_reg(15) ; SCLK <= data_clk ; SSN <= ssn_d ; end if ; end process ; end Behavioral;
lgpl-3.0
fpga-logi/logi-hard
hdl/interface/SDRAM_Controller.vhd
2
25292
---------------------------------------------------------------------------------- -- Engineer: Mike Field <[email protected]> -- -- Create Date: 14:09:12 09/15/2013 -- Module Name: SDRAM_Controller - Behavioral -- Description: Simple SDRAM controller for a Micron 48LC16M16A2-7E -- or Micron 48LC4M16A2-7E @ 100MHz -- Revision: -- Revision 0.1 - Initial version -- Revision 0.2 - Removed second clock signal that isn't needed. -- Revision 0.3 - Added back-to-back reads and writes. -- Revision 0.4 - Allow refeshes to be delayed till next PRECHARGE is issued, -- Unless they get really, really delayed. If a delay occurs multiple -- refreshes might get pushed out, but it will have avioded about -- 50% of the refresh overhead -- Revision 0.5 - Add more paramaters to the design, allowing it to work for both the -- Papilio Pro and Logi-Pi -- -- Worst case performance (single accesses to different rows or banks) is: -- Writes 16 cycles = 6,250,000 writes/sec = 25.0MB/s (excluding refresh overhead) -- Reads 17 cycles = 5,882,352 reads/sec = 23.5MB/s (excluding refresh overhead) -- -- For 1:1 mixed reads and writes into the same row it is around 88MB/s -- For reads or wries to the same it is can be as high as 184MB/s ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VComponents.all; use IEEE.NUMERIC_STD.ALL; entity SDRAM_Controller is generic ( sdram_address_width : natural; sdram_column_bits : natural; sdram_startup_cycles: natural; cycles_per_refresh : natural ; very_low_speed : natural := 0 ); Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; -- Interface to issue reads or write data cmd_ready : out STD_LOGIC; -- '1' when a new command will be acted on cmd_enable : in STD_LOGIC; -- Set to '1' to issue new command (only acted on when cmd_read = '1') cmd_wr : in STD_LOGIC; -- Is this a write? cmd_address : in STD_LOGIC_VECTOR(sdram_address_width-2 downto 0); -- address to read/write cmd_byte_enable : in STD_LOGIC_VECTOR(3 downto 0); -- byte masks for the write command cmd_data_in : in STD_LOGIC_VECTOR(31 downto 0); -- data for the write command data_out : out STD_LOGIC_VECTOR(31 downto 0); -- word read from SDRAM data_out_ready : out STD_LOGIC; -- is new data ready? -- SDRAM signals SDRAM_CLK : out STD_LOGIC; SDRAM_CKE : out STD_LOGIC; SDRAM_CS : out STD_LOGIC; SDRAM_RAS : out STD_LOGIC; SDRAM_CAS : out STD_LOGIC; SDRAM_WE : out STD_LOGIC; SDRAM_DQM : out STD_LOGIC_VECTOR( 1 downto 0); SDRAM_ADDR : out STD_LOGIC_VECTOR(12 downto 0); SDRAM_BA : out STD_LOGIC_VECTOR( 1 downto 0); SDRAM_DATA : inout STD_LOGIC_VECTOR(15 downto 0)); end SDRAM_Controller; architecture Behavioral of SDRAM_Controller is -- From page 37 of MT48LC16M16A2 datasheet -- Name (Function) CS# RAS# CAS# WE# DQM Addr Data -- COMMAND INHIBIT (NOP) H X X X X X X -- NO OPERATION (NOP) L H H H X X X -- ACTIVE L L H H X Bank/row X -- READ L H L H L/H Bank/col X -- WRITE L H L L L/H Bank/col Valid -- BURST TERMINATE L H H L X X Active -- PRECHARGE L L H L X Code X -- AUTO REFRESH L L L H X X X -- LOAD MODE REGISTER L L L L X Op-code X -- Write enable X X X X L X Active -- Write inhibit X X X X H X High-Z -- Here are the commands mapped to constants constant CMD_UNSELECTED : std_logic_vector(3 downto 0) := "1000"; constant CMD_NOP : std_logic_vector(3 downto 0) := "0111"; constant CMD_ACTIVE : std_logic_vector(3 downto 0) := "0011"; constant CMD_READ : std_logic_vector(3 downto 0) := "0101"; constant CMD_WRITE : std_logic_vector(3 downto 0) := "0100"; constant CMD_TERMINATE : std_logic_vector(3 downto 0) := "0110"; constant CMD_PRECHARGE : std_logic_vector(3 downto 0) := "0010"; constant CMD_REFRESH : std_logic_vector(3 downto 0) := "0001"; constant CMD_LOAD_MODE_REG : std_logic_vector(3 downto 0) := "0000"; constant MODE_REG : std_logic_vector(12 downto 0) := -- Reserved, wr bust, OpMode, CAS Latency (2), Burst Type, Burst Length (2) "000" & "0" & "00" & "010" & "0" & "001"; signal iob_command : std_logic_vector( 3 downto 0) := CMD_NOP; signal iob_address : std_logic_vector(12 downto 0) := (others => '0'); signal iob_data : std_logic_vector(15 downto 0) := (others => '0'); signal iob_dqm : std_logic_vector( 1 downto 0) := (others => '0'); signal iob_cke : std_logic := '0'; signal iob_bank : std_logic_vector( 1 downto 0) := (others => '0'); attribute IOB: string; attribute IOB of iob_command: signal is "true"; attribute IOB of iob_address: signal is "true"; attribute IOB of iob_dqm : signal is "true"; attribute IOB of iob_cke : signal is "true"; attribute IOB of iob_bank : signal is "true"; attribute IOB of iob_data : signal is "true"; signal iob_data_next : std_logic_vector(15 downto 0) := (others => '0'); signal captured_data : std_logic_vector(15 downto 0) := (others => '0'); signal captured_data_last : std_logic_vector(15 downto 0) := (others => '0'); signal sdram_din : std_logic_vector(15 downto 0); attribute IOB of captured_data : signal is "true"; type fsm_state is (s_startup, s_idle_in_6, s_idle_in_5, s_idle_in_4, s_idle_in_3, s_idle_in_2, s_idle_in_1, s_idle, s_open_in_2, s_open_in_1, s_write_1, s_write_2, s_write_3, s_read_1, s_read_2, s_read_3, s_read_4, s_precharge ); signal state : fsm_state := s_startup; attribute FSM_ENCODING : string; attribute FSM_ENCODING of state : signal is "ONE-HOT"; -- dual purpose counter, it counts up during the startup phase, then is used to trigger refreshes. constant startup_refresh_max : unsigned(13 downto 0) := (others => '1'); signal startup_refresh_count : unsigned(13 downto 0) := startup_refresh_max-to_unsigned(sdram_startup_cycles,14); -- logic to decide when to refresh signal pending_refresh : std_logic := '0'; signal forcing_refresh : std_logic := '0'; -- The incoming address is split into these three values signal addr_row : std_logic_vector(12 downto 0) := (others => '0'); signal addr_col : std_logic_vector(12 downto 0) := (others => '0'); signal addr_bank : std_logic_vector( 1 downto 0) := (others => '0'); signal dqm_sr : std_logic_vector( 3 downto 0) := (others => '1'); -- an extra two bits in case CAS=3 -- signals to hold the requested transaction before it is completed signal save_wr : std_logic := '0'; signal save_row : std_logic_vector(12 downto 0); signal save_bank : std_logic_vector( 1 downto 0); signal save_col : std_logic_vector(12 downto 0); signal save_data_in : std_logic_vector(31 downto 0); signal save_byte_enable : std_logic_vector( 3 downto 0); -- control when new transactions are accepted signal ready_for_new : std_logic := '0'; signal got_transaction : std_logic := '0'; signal can_back_to_back : std_logic := '0'; -- signal to control the Hi-Z state of the DQ bus signal iob_dq_hiz : std_logic := '1'; -- signals for when to read the data off of the bus signal data_ready_delay : std_logic_vector( 4 - (very_low_speed) downto 0); -- bit indexes used when splitting the address into row/colum/bank. constant start_of_col : natural := 0; constant end_of_col : natural := sdram_column_bits-2; constant start_of_bank : natural := sdram_column_bits-1; constant end_of_bank : natural := sdram_column_bits; constant start_of_row : natural := sdram_column_bits+1; constant end_of_row : natural := sdram_address_width-2; constant prefresh_cmd : natural := 10; begin -- Indicate the need to refresh when the counter is 2048, -- Force a refresh when the counter is 4096 - (if a refresh is forced, -- multiple refresshes will be forced until the counter is below 2048 pending_refresh <= startup_refresh_count(11); forcing_refresh <= startup_refresh_count(12); -- tell the outside world when we can accept a new transaction; cmd_ready <= ready_for_new; ---------------------------------------------------------------------------- -- Seperate the address into row / bank / address ---------------------------------------------------------------------------- addr_row(end_of_row-start_of_row downto 0) <= cmd_address(end_of_row downto start_of_row); -- 12:0 <= 22:10 addr_bank <= cmd_address(end_of_bank downto start_of_bank); -- 1:0 <= 9:8 addr_col(sdram_column_bits-1 downto 0) <= cmd_address(end_of_col downto start_of_col) & '0'; -- 8:0 <= 7:0 & '0' ----------------------------------------------------------- -- Forward the SDRAM clock to the SDRAM chip - 180 degress -- out of phase with the control signals (ensuring setup and holdup ----------------------------------------------------------- sdram_clk_forward : ODDR2 generic map(DDR_ALIGNMENT => "NONE", INIT => '0', SRTYPE => "SYNC") port map (Q => sdram_clk, C0 => clk, C1 => not clk, CE => '1', R => '0', S => '0', D0 => '0', D1 => '1'); ----------------------------------------------- --!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!! --!! Ensure that all outputs are registered. !! --!! Check the pinout report to be sure !! --!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!! ----------------------------------------------- sdram_cke <= iob_cke; sdram_CS <= iob_command(3); sdram_RAS <= iob_command(2); sdram_CAS <= iob_command(1); sdram_WE <= iob_command(0); sdram_dqm <= iob_dqm; sdram_ba <= iob_bank; sdram_addr <= iob_address; --------------------------------------------------------------- -- Explicitly set up the tristate I/O buffers on the DQ signals --------------------------------------------------------------- iob_dq_g: for i in 0 to 15 generate begin iob_dq_iob: IOBUF generic map (DRIVE => 12, IOSTANDARD => "LVTTL", SLEW => "FAST") port map ( O => sdram_din(i), IO => sdram_data(i), I => iob_data(i), T => iob_dq_hiz); end generate; capture_proc: process(clk) begin if rising_edge(clk) then captured_data <= sdram_din; end if; end process; main_proc: process(clk) begin if rising_edge(clk) then captured_data_last <= captured_data; ------------------------------------------------ -- Default state is to do nothing ------------------------------------------------ iob_command <= CMD_NOP; iob_address <= (others => '0'); iob_bank <= (others => '0'); ------------------------------------------------ -- countdown for initialisation & refresh ------------------------------------------------ startup_refresh_count <= startup_refresh_count+1; ------------------------------------------------------------------- -- It we are ready for a new tranasction and one is being presented -- then accept it. Also remember what we are reading or writing, -- and if it can be back-to-backed with the last transaction ------------------------------------------------------------------- if ready_for_new = '1' and cmd_enable = '1' then if save_bank = addr_bank and save_row = addr_row then can_back_to_back <= '1'; else can_back_to_back <= '0'; end if; save_row <= addr_row; save_bank <= addr_bank; save_col <= addr_col; save_wr <= cmd_wr; save_data_in <= cmd_data_in; save_byte_enable <= cmd_byte_enable; got_transaction <= '1'; ready_for_new <= '0'; end if; ------------------------------------------------ -- Handle the data coming back from the -- SDRAM for the Read transaction ------------------------------------------------ data_out_ready <= '0'; if data_ready_delay(0) = '1' then data_out <= captured_data & captured_data_last; data_out_ready <= '1'; end if; ---------------------------------------------------------------------------- -- update shift registers used to choose when to present data to/from memory ---------------------------------------------------------------------------- data_ready_delay <= '0' & data_ready_delay(data_ready_delay'high downto 1); iob_dqm <= dqm_sr(1 downto 0); dqm_sr <= "11" & dqm_sr(dqm_sr'high downto 2); case state is when s_startup => ------------------------------------------------------------------------ -- This is the initial startup state, where we wait for at least 100us -- before starting the start sequence -- -- The initialisation is sequence is -- * de-assert SDRAM_CKE -- * 100us wait, -- * assert SDRAM_CKE -- * wait at least one cycle, -- * PRECHARGE -- * wait 2 cycles -- * REFRESH, -- * tREF wait -- * REFRESH, -- * tREF wait -- * LOAD_MODE_REG -- * 2 cycles wait ------------------------------------------------------------------------ iob_CKE <= '1'; -- All the commands during the startup are NOPS, except these if startup_refresh_count = startup_refresh_max-31 then -- ensure all rows are closed iob_command <= CMD_PRECHARGE; iob_address(prefresh_cmd) <= '1'; -- all banks iob_bank <= (others => '0'); elsif startup_refresh_count = startup_refresh_max-23 then -- these refreshes need to be at least tREF (66ns) apart iob_command <= CMD_REFRESH; elsif startup_refresh_count = startup_refresh_max-15 then iob_command <= CMD_REFRESH; elsif startup_refresh_count = startup_refresh_max-7 then -- Now load the mode register iob_command <= CMD_LOAD_MODE_REG; iob_address <= MODE_REG; end if; ------------------------------------------------------ -- if startup is coomplete then go into idle mode, -- get prepared to accept a new command, and schedule -- the first refresh cycle ------------------------------------------------------ if startup_refresh_count = 0 then state <= s_idle; ready_for_new <= '1'; got_transaction <= '0'; startup_refresh_count <= to_unsigned(2048 - cycles_per_refresh+1,14); end if; when s_idle_in_6 => state <= s_idle_in_5; when s_idle_in_5 => state <= s_idle_in_4; when s_idle_in_4 => state <= s_idle_in_3; when s_idle_in_3 => state <= s_idle_in_2; when s_idle_in_2 => state <= s_idle_in_1; when s_idle_in_1 => state <= s_idle; when s_idle => -- Priority is to issue a refresh if one is outstanding if pending_refresh = '1' or forcing_refresh = '1' then ------------------------------------------------------------------------ -- Start the refresh cycle. -- This tasks tRFC (66ns), so 6 idle cycles are needed @ 100MHz ------------------------------------------------------------------------ state <= s_idle_in_6; iob_command <= CMD_REFRESH; startup_refresh_count <= startup_refresh_count - cycles_per_refresh+1; elsif got_transaction = '1' then -------------------------------- -- Start the read or write cycle. -- First task is to open the row -------------------------------- state <= s_open_in_2; iob_command <= CMD_ACTIVE; iob_address <= save_row; iob_bank <= save_bank; end if; -------------------------------------------- -- Opening the row ready for reads or writes -------------------------------------------- when s_open_in_2 => state <= s_open_in_1; when s_open_in_1 => -- still waiting for row to open if save_wr = '1' then state <= s_write_1; iob_dq_hiz <= '0'; iob_data <= save_data_in(15 downto 0); -- get the DQ bus out of HiZ early else iob_dq_hiz <= '1'; state <= s_read_1; end if; -- we will be ready for a new transaction next cycle! ready_for_new <= '1'; got_transaction <= '0'; ---------------------------------- -- Processing the read transaction ---------------------------------- when s_read_1 => state <= s_read_2; iob_command <= CMD_READ; iob_address <= save_col; iob_bank <= save_bank; iob_address(prefresh_cmd) <= '0'; -- A10 actually matters - it selects auto precharge -- Schedule reading the data values off the bus data_ready_delay(data_ready_delay'high) <= '1'; -- Set the data masks to read all bytes iob_dqm <= (others => '0'); dqm_sr(1 downto 0) <= (others => '0'); when s_read_2 => state <= s_read_3; if forcing_refresh = '0' and got_transaction = '1' and can_back_to_back = '1' then if save_wr = '0' then state <= s_read_1; ready_for_new <= '1'; -- we will be ready for a new transaction next cycle! got_transaction <= '0'; end if; end if; when s_read_3 => state <= s_read_4; if forcing_refresh = '0' and got_transaction = '1' and can_back_to_back = '1' then if save_wr = '0' then state <= s_read_1; ready_for_new <= '1'; -- we will be ready for a new transaction next cycle! got_transaction <= '0'; end if; end if; when s_read_4 => state <= s_precharge; -- can we do back-to-back read? if forcing_refresh = '0' and got_transaction = '1' and can_back_to_back = '1' then if save_wr = '0' then state <= s_read_1; ready_for_new <= '1'; -- we will be ready for a new transaction next cycle! got_transaction <= '0'; else state <= s_open_in_2; -- we have to wait for the read data to come back before we swutch the bus into HiZ end if; end if; ------------------------------------------------------------------ -- Processing the write transaction ------------------------------------------------------------------- when s_write_1 => state <= s_write_2; iob_command <= CMD_WRITE; iob_address <= save_col; iob_address(prefresh_cmd) <= '0'; -- A10 actually matters - it selects auto precharge iob_bank <= save_bank; iob_dqm <= NOT save_byte_enable(1 downto 0); dqm_sr(1 downto 0) <= NOT save_byte_enable(3 downto 2); iob_data <= save_data_in(15 downto 0); iob_data_next <= save_data_in(31 downto 16); when s_write_2 => state <= s_write_3; iob_data <= iob_data_next; -- can we do a back-to-back write? if forcing_refresh = '0' and got_transaction = '1' and can_back_to_back = '1' then if save_wr = '1' then -- back-to-back write? state <= s_write_1; ready_for_new <= '1'; got_transaction <= '0'; end if; -- Although it looks right in simulation you can't go write-to-read -- here due to bus contention, as iob_dq_hiz takes a few ns. end if; when s_write_3 => -- must wait tRDL, hence the extra idle state -- back to back transaction? if forcing_refresh = '0' and got_transaction = '1' and can_back_to_back = '1' then if save_wr = '1' then -- back-to-back write? state <= s_write_1; ready_for_new <= '1'; got_transaction <= '0'; else -- write-to-read switch? state <= s_read_1; iob_dq_hiz <= '1'; ready_for_new <= '1'; -- we will be ready for a new transaction next cycle! got_transaction <= '0'; end if; else iob_dq_hiz <= '1'; state <= s_precharge; end if; ------------------------------------------------------------------- -- Closing the row off (this closes all banks) ------------------------------------------------------------------- when s_precharge => state <= s_idle_in_3; iob_command <= CMD_PRECHARGE; iob_address(prefresh_cmd) <= '1'; -- A10 actually matters - it selects all banks or just one ------------------------------------------------------------------- -- We should never get here, but if we do then reset the memory ------------------------------------------------------------------- when others => state <= s_startup; ready_for_new <= '0'; startup_refresh_count <= startup_refresh_max-to_unsigned(sdram_startup_cycles,14); end case; if reset = '1' then -- Sync reset state <= s_startup; ready_for_new <= '0'; startup_refresh_count <= startup_refresh_max-to_unsigned(sdram_startup_cycles,14); end if; end if; end process; end Behavioral;
lgpl-3.0
lerwys/bpm-sw-old-backup
hdl/modules/dbe_wishbone/wb_stream/generic/wb_stream_sink_gen.vhd
1
13680
------------------------------------------------------------------------------- -- Title : Wishbone Packet Fabric buffered packet sink -- Project : WR Cores Collection ------------------------------------------------------------------------------- -- File : xwb_fabric_sink.vhd -- Author : Tomasz Wlostowski -- Company : CERN BE-CO-HT -- Created : 2012-01-16 -- Last update: 2012-01-22 -- Platform : -- Standard : VHDL'93 ------------------------------------------------------------------------------- -- Description: A simple WB packet streaming sink with builtin FIFO buffer. -- Outputs a trivial interface (start-of-packet, end-of-packet, data-valid) ------------------------------------------------------------------------------- -- -- Copyright (c) 2011 CERN -- -- This source file is free software; you can redistribute it -- and/or modify it under the terms of the GNU Lesser General -- Public License as published by the Free Software Foundation; -- either version 2.1 of the License, or (at your option) any -- later version. -- -- This source is distributed in the hope that it will be -- useful, but WITHOUT ANY WARRANTY; without even the implied -- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR -- PURPOSE. See the GNU Lesser General Public License for more -- details. -- -- You should have received a copy of the GNU Lesser General -- Public License along with this source; if not, download it -- from http://www.gnu.org/licenses/lgpl-2.1.html -- ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2011-01-16 1.0 twlostow Created ------------------------------------------------------------------------------- -- -- Modified by Lucas Russo <[email protected]> for multiple width support library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.genram_pkg.all; use work.wb_stream_generic_pkg.all; entity wb_stream_sink_gen is generic ( --g_wbs_adr_width : natural := c_wbs_adr4_width; g_wbs_interface_width : t_wbs_interface_width := LARGE1 ); port ( clk_i : in std_logic; rst_n_i : in std_logic; -- Wishbone Streaming Interface I/O. -- Only the used interface should be connected. The others can be left unconnected -- 16-bit interface snk_adr16_i : in t_wbs_adr4 := cc_dummy_wbs_adr4; snk_dat16_i : in t_wbs_dat16 := cc_dummy_wbs_dat16; snk_sel16_i : in t_wbs_sel16 := cc_dummy_wbs_sel16; -- 32-bit interface snk_adr32_i : in t_wbs_adr4 := cc_dummy_wbs_adr4; snk_dat32_i : in t_wbs_dat32 := cc_dummy_wbs_dat32; snk_sel32_i : in t_wbs_sel32 := cc_dummy_wbs_sel32; -- 64-bit interface snk_adr64_i : in t_wbs_adr4 := cc_dummy_wbs_adr4; snk_dat64_i : in t_wbs_dat64 := cc_dummy_wbs_dat64; snk_sel64_i : in t_wbs_sel64 := cc_dummy_wbs_sel64; -- 128-bit interface snk_adr128_i : in t_wbs_adr4 := cc_dummy_wbs_adr4; snk_dat128_i : in t_wbs_dat128 := cc_dummy_wbs_dat128; snk_sel128_i : in t_wbs_sel128 := cc_dummy_wbs_sel128; -- Common Wishbone Streaming lines snk_cyc_i : in std_logic := '0'; snk_stb_i : in std_logic := '0'; snk_we_i : in std_logic := '0'; snk_ack_o : out std_logic; snk_stall_o : out std_logic; snk_err_o : out std_logic; snk_rty_o : out std_logic; -- Decoded & buffered logic -- Only the used interface must be connected. The others can be left unconnected -- 16-bit interface adr16_o : out std_logic_vector(c_wbs_adr4_width-1 downto 0); dat16_o : out std_logic_vector(c_wbs_dat16_width-1 downto 0); sel16_o : out std_logic_vector(c_wbs_sel16_width-1 downto 0); -- 32-bit interface adr32_o : out std_logic_vector(c_wbs_adr4_width-1 downto 0); dat32_o : out std_logic_vector(c_wbs_dat32_width-1 downto 0); sel32_o : out std_logic_vector(c_wbs_sel32_width-1 downto 0); -- 64-bit interface adr64_o : out std_logic_vector(c_wbs_adr4_width-1 downto 0); dat64_o : out std_logic_vector(c_wbs_dat64_width-1 downto 0); sel64_o : out std_logic_vector(c_wbs_sel64_width-1 downto 0); -- 128-bit interface adr128_o : out std_logic_vector(c_wbs_adr4_width-1 downto 0); dat128_o : out std_logic_vector(c_wbs_dat128_width-1 downto 0); sel128_o : out std_logic_vector(c_wbs_sel128_width-1 downto 0); -- Common lines dvalid_o : out std_logic; sof_o : out std_logic; eof_o : out std_logic; error_o : out std_logic; dreq_i : in std_logic := '0' ); end wb_stream_sink_gen; architecture rtl of wb_stream_sink_gen is -- Convert enum to natural constant c_wbs_dat_width : natural := f_conv_wbs_interface_width(g_wbs_interface_width); constant c_wbs_sel_width : natural := c_wbs_dat_width/8; -- Fixed 4-bit address as we do not exceptct it to address real peripheral -- just to inform some other conditions constant c_wbs_adr_width : natural := c_wbs_adr4_width; -- FIFO ranges constant c_dat_lsb : natural := 0; constant c_dat_msb : natural := c_dat_lsb + c_wbs_dat_width - 1; constant c_adr_lsb : natural := c_dat_msb + 1; constant c_adr_msb : natural := c_adr_lsb + c_wbs_adr_width -1; constant c_valid_bit : natural := c_adr_msb + 1; constant c_sel_lsb : natural := c_valid_bit + 1; constant c_sel_msb : natural := c_sel_lsb + c_wbs_sel_width - 1; constant c_eof_bit : natural := c_sel_msb + 1; constant c_sof_bit : natural := c_eof_bit + 1; alias c_logic_lsb is c_valid_bit; alias c_logic_msb is c_sof_bit; constant c_logic_width : integer := c_sof_bit - c_valid_bit + 1; constant c_fifo_width : integer := c_sof_bit - c_dat_lsb + 1; constant c_fifo_depth : integer := 32; constant c_logic_zeros : std_logic_vector(c_logic_msb downto c_logic_lsb) := std_logic_vector(to_unsigned(0, c_logic_width)); signal q_valid, full, we, rd : std_logic; signal fin, fout, fout_reg : std_logic_vector(c_fifo_width-1 downto 0); signal cyc_d0, rd_d0 : std_logic; signal pre_sof, pre_dvalid : std_logic; signal pre_eof : std_logic; signal pre_dat : std_logic_vector(c_wbs_dat_width-1 downto 0); signal pre_adr : std_logic_vector(c_wbs_adr_width-1 downto 0); signal pre_sel : std_logic_vector(c_wbs_sel_width-1 downto 0); signal post_sof, post_dvalid : std_logic; signal post_adr : std_logic_vector(c_wbs_adr_width-1 downto 0); signal post_dat : std_logic_vector(c_wbs_dat_width-1 downto 0); signal post_eof : std_logic; signal post_sel : std_logic_vector(c_wbs_sel_width-1 downto 0); -- Internal signals signal snk_stall_int : std_logic; signal snk_ack_int : std_logic; signal snk_rty_int : std_logic; signal snk_err_int : std_logic; begin -- rtl p_delay_cyc_and_rd : process(clk_i) begin if rising_edge(clk_i) then if rst_n_i = '0' then cyc_d0 <= '0'; rd_d0 <= '0'; else if(full = '0') then cyc_d0 <= snk_cyc_i; end if; rd_d0 <= rd; end if; end if; end process; pre_sof <= snk_cyc_i and not cyc_d0; pre_eof <= not snk_cyc_i and cyc_d0; pre_dvalid <= snk_stb_i and snk_we_i and snk_cyc_i and not snk_stall_int; ----------------------------- -- Wishbone Streaming Interface selection ----------------------------- gen_16_bit_interface_in : if g_wbs_interface_width = NARROW2 generate fin(c_dat_msb downto c_dat_lsb) <= snk_dat16_i; fin(c_adr_msb downto c_adr_lsb) <= snk_adr16_i; pre_sel <= snk_sel16_i; end generate; gen_32_bit_interface_in : if g_wbs_interface_width = NARROW1 generate fin(c_dat_msb downto c_dat_lsb) <= snk_dat32_i; fin(c_adr_msb downto c_adr_lsb) <= snk_adr32_i; pre_sel <= snk_sel32_i; end generate; gen_64_bit_interface_in : if g_wbs_interface_width = LARGE1 generate fin(c_dat_msb downto c_dat_lsb) <= snk_dat64_i; fin(c_adr_msb downto c_adr_lsb) <= snk_adr64_i; pre_sel <= snk_sel64_i; end generate; gen_128_bit_interface_in : if g_wbs_interface_width = LARGE2 generate fin(c_dat_msb downto c_dat_lsb) <= snk_dat128_i; fin(c_adr_msb downto c_adr_lsb) <= snk_adr128_i; pre_sel <= snk_sel128_i; end generate; fin(c_logic_msb downto c_logic_lsb) <= pre_sof & pre_eof & pre_sel & pre_dvalid; snk_stall_int <= full or (snk_cyc_i and not cyc_d0); snk_err_int <= '0'; snk_rty_int <= '0'; p_gen_ack : process(clk_i) begin if rising_edge(clk_i) then if rst_n_i = '0' then snk_ack_int <= '0'; else snk_ack_int <= snk_cyc_i and snk_stb_i and snk_we_i and not snk_stall_int; end if; end if; end process; we <= '1' when fin(c_logic_msb downto c_logic_lsb) /= c_logic_zeros and full = '0' else '0'; rd <= q_valid and dreq_i and not post_sof; cmp_fifo : generic_shiftreg_fifo generic map ( g_data_width => c_fifo_width, g_size => c_fifo_depth ) port map ( rst_n_i => rst_n_i, clk_i => clk_i, d_i => fin, we_i => we, q_o => fout, rd_i => rd, almost_full_o => full, q_valid_o => q_valid ); p_fout_reg : process(clk_i) begin if rising_edge(clk_i) then if rst_n_i = '0' then fout_reg <= (others => '0'); elsif(rd = '1') then fout_reg <= fout; end if; end if; end process; -- Output fifo registers only when valid --p_post_regs : process(fout_reg, q_valid) --begin -- if q_valid = '1' then -- post_data <= fout_reg(c_data_msb downto c_data_lsb); -- post_addr <= fout_reg(c_addr_msb downto c_addr_lsb); -- post_sof <= fout_reg(c_sof_bit); --and rd_d0; --and q_valid; -- post_dvalid <= fout_reg(c_valid_bit); -- post_eof <= fout_reg(c_eof_bit);-- and rd_d0; -- post_bytesel <= fout_reg(c_sel_msb downto c_sel_lsb); -- else -- post_data <= (others => '0'); -- post_addr <= (others => '0'); -- post_sof <= '0'; -- post_dvalid <= '0'; -- post_eof <= '0'; -- post_bytesel <= (others => '0'); -- end if; --end process; post_sof <= fout_reg(c_sof_bit) and rd_d0; --and q_valid; post_dvalid <= fout_reg(c_valid_bit); post_eof <= fout_reg(c_eof_bit); post_sel <= fout_reg(c_sel_msb downto c_sel_lsb); post_dat <= fout_reg(c_dat_msb downto c_dat_lsb); post_adr <= fout_reg(c_adr_msb downto c_adr_lsb); snk_stall_o <= snk_stall_int; snk_ack_o <= snk_ack_int; snk_rty_o <= snk_rty_int; snk_err_o <= snk_err_int; sof_o <= post_sof and rd_d0; dvalid_o <= post_dvalid and rd_d0; error_o <= '1' when rd_d0 = '1' and (post_adr = std_logic_vector(resize(c_WBS_STATUS, post_adr'length))) and (f_unmarshall_wbs_status(post_dat).error = '1') else '0'; eof_o <= post_eof and rd_d0; ----------------------------- -- Wishbone Streaming Interface selection ----------------------------- gen_16_bit_interface_out : if g_wbs_interface_width = NARROW2 generate sel16_o <= post_sel; dat16_o <= post_dat; adr16_o <= post_adr; end generate; gen_32_bit_interface_out : if g_wbs_interface_width = NARROW1 generate sel32_o <= post_sel; dat32_o <= post_dat; adr32_o <= post_adr; end generate; gen_64_bit_interface_out : if g_wbs_interface_width = LARGE1 generate sel64_o <= post_sel; dat64_o <= post_dat; adr64_o <= post_adr; end generate; gen_128_bit_interface_out : if g_wbs_interface_width = LARGE2 generate sel128_o <= post_sel; dat128_o <= post_dat; adr128_o <= post_adr; end generate; end rtl;
lgpl-3.0
lerwys/bpm-sw-old-backup
hdl/modules/dbe_wishbone/wb_fmc150/sim/dac3283_init_mem.vhd
1
5466
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used solely -- -- for design, simulation, implementation and creation of design files -- -- limited to Xilinx devices or technologies. Use with non-Xilinx -- -- devices or technologies is expressly prohibited and immediately -- -- terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- -- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- -- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- -- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- -- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- -- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- -- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support appliances, -- -- devices, or systems. Use in such applications are expressly -- -- prohibited. -- -- -- -- (c) Copyright 1995-2012 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- You must compile the wrapper file dac3283_init_mem.vhd when simulating -- the core, dac3283_init_mem. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off LIBRARY XilinxCoreLib; -- synthesis translate_on ENTITY dac3283_init_mem IS PORT ( clka : IN STD_LOGIC; addra : IN STD_LOGIC_VECTOR(4 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END dac3283_init_mem; ARCHITECTURE dac3283_init_mem_a OF dac3283_init_mem IS -- synthesis translate_off COMPONENT wrapped_dac3283_init_mem PORT ( clka : IN STD_LOGIC; addra : IN STD_LOGIC_VECTOR(4 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END COMPONENT; -- Configuration specification FOR ALL : wrapped_dac3283_init_mem USE ENTITY XilinxCoreLib.blk_mem_gen_v6_3(behavioral) GENERIC MAP ( c_addra_width => 5, c_addrb_width => 5, c_algorithm => 1, c_axi_id_width => 4, c_axi_slave_type => 0, c_axi_type => 1, c_byte_size => 9, c_common_clk => 0, c_default_data => "0", c_disable_warn_bhv_coll => 0, c_disable_warn_bhv_range => 0, c_enable_32bit_address => 0, c_family => "virtex6", c_has_axi_id => 0, c_has_ena => 0, c_has_enb => 0, c_has_injecterr => 0, c_has_mem_output_regs_a => 0, c_has_mem_output_regs_b => 0, c_has_mux_output_regs_a => 0, c_has_mux_output_regs_b => 0, c_has_regcea => 0, c_has_regceb => 0, c_has_rsta => 0, c_has_rstb => 0, c_has_softecc_input_regs_a => 0, c_has_softecc_output_regs_b => 0, c_init_file_name => "/home/lerwys/Repos/bpm-sw/hdl/modules/dbe_wishbone/wb_fmc150/sim/dac3283_init_mem.mif", c_inita_val => "0", c_initb_val => "0", c_interface_type => 0, c_load_init_file => 1, c_mem_type => 3, c_mux_pipeline_stages => 0, c_prim_type => 1, c_read_depth_a => 32, c_read_depth_b => 32, c_read_width_a => 16, c_read_width_b => 16, c_rst_priority_a => "CE", c_rst_priority_b => "CE", c_rst_type => "SYNC", c_rstram_a => 0, c_rstram_b => 0, c_sim_collision_check => "ALL", c_use_byte_wea => 0, c_use_byte_web => 0, c_use_default_data => 1, c_use_ecc => 0, c_use_softecc => 0, c_wea_width => 1, c_web_width => 1, c_write_depth_a => 32, c_write_depth_b => 32, c_write_mode_a => "WRITE_FIRST", c_write_mode_b => "WRITE_FIRST", c_write_width_a => 16, c_write_width_b => 16, c_xdevicefamily => "virtex6" ); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_dac3283_init_mem PORT MAP ( clka => clka, addra => addra, douta => douta ); -- synthesis translate_on END dac3283_init_mem_a;
lgpl-3.0
lerwys/bpm-sw-old-backup
hdl/ip_cores/pcie/ml605/ddr_v6/user_design/rtl/controller/rank_common.vhd
1
20129
--***************************************************************************** -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor : Xilinx -- \ \ \/ Version : 3.92 -- \ \ Application : MIG -- / / Filename : rank_common.vhd -- /___/ /\ Date Last Modified : $date$ -- \ \ / \ Date Created : Wed Jun 17 2009 -- \___\/\___\ -- --Device : Virtex-6 --Design Name : DDR3 SDRAM --Purpose : --Reference : --Revision History : --***************************************************************************** library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; --use ieee.std_logic_arith.all; use ieee.numeric_std.all; -- Block for logic common to all rank machines. Contains -- a clock prescaler, and arbiters for refresh and periodic -- read functions. entity rank_common is generic ( TCQ : integer := 100; DRAM_TYPE : string := "DDR3"; MAINT_PRESCALER_DIV : integer := 40; nBANK_MACHS : integer := 4; RANK_WIDTH : integer := 2; RANKS : integer := 4; REFRESH_TIMER_DIV : integer := 39; ZQ_TIMER_DIV : integer := 640000 ); port ( -- Outputs -- Inputs -- ceiling logb2 -- Maintenance and periodic read prescaler. Nominally 200 nS. --clogb2(MAINT_PRESCALER_DIV + 1); maint_prescaler_tick_r : out std_logic; -- Refresh timebase. Nominically 7800 nS. refresh_tick : out std_logic; -- block: maintenance_request maint_zq_r : out std_logic; maint_req_r : out std_logic; maint_rank_r : out std_logic_vector(RANK_WIDTH - 1 downto 0); -- Periodic reads to maintain PHY alignment. -- Demand insertion of periodic read as soon as -- possible. Since the is a single rank, bank compare mechanism -- must be used, periodic reads must be forced in at the -- expense of not accepting a normal request. clear_periodic_rd_request : out std_logic_vector(RANKS - 1 downto 0); -- Maintenance request pipeline. -- Arbitrate periodic read requests. -- Inputs -- Encode and set periodic read rank into periodic_rd_rank_r. -- Once the request is dropped in the queue, it might be a while before it -- emerges. Can't clear the request based on seeing the read issued. -- Need to clear the request as soon as its made it into the queue. -- block: maintenance_request periodic_rd_r : out std_logic; periodic_rd_rank_r : out std_logic_vector(RANK_WIDTH - 1 downto 0); clk : in std_logic; rst : in std_logic; dfi_init_complete : in std_logic; app_zq_req : in std_logic; insert_maint_r1 : in std_logic; refresh_request : in std_logic_vector(RANKS - 1 downto 0); maint_wip_r : in std_logic; slot_0_present : in std_logic_vector(7 downto 0); slot_1_present : in std_logic_vector(7 downto 0); periodic_rd_request : in std_logic_vector(RANKS - 1 downto 0); periodic_rd_ack_r : in std_logic ); end entity rank_common; architecture trans of rank_common is component round_robin_arb generic ( TCQ : integer := 100; WIDTH : integer := 3 ); port ( grant_ns : out std_logic_vector(WIDTH - 1 downto 0); grant_r : out std_logic_vector(WIDTH - 1 downto 0); clk : in std_logic; rst : in std_logic; req : in std_logic_vector(WIDTH - 1 downto 0); disable_grant : in std_logic; current_master : in std_logic_vector(WIDTH - 1 downto 0); upd_last_master : in std_logic ); end component; function nCOPY (A : in std_logic; B : in integer) return std_logic_vector is variable tmp : std_logic_vector(B - 1 downto 0); begin for i in 0 to B - 1 loop tmp(i) := A; end loop; return tmp; end function nCOPY; function clogb2(size: integer) return integer is variable tmp : integer := 1; variable tmp_size : std_logic_vector (31 downto 0); begin tmp_size := std_logic_vector(TO_UNSIGNED((size - 1),32)); while ( to_integer(UNSIGNED(tmp_size)) > 1 ) loop tmp_size := std_logic_vector(UNSIGNED(tmp_size) srl 1); tmp := tmp + 1; end loop; return tmp; --for i in 23 downto 0 loop -- if( size <= 2** i) then -- tmp := i; -- end if; --end loop; --return tmp; end function clogb2; function BOOLEAN_TO_STD_LOGIC(A : in BOOLEAN) return std_logic is begin if A = true then return '1'; else return '0'; end if; end function BOOLEAN_TO_STD_LOGIC; function REDUCTION_OR( A: in std_logic_vector) return std_logic is variable tmp : std_logic := '0'; begin for i in A'range loop tmp := tmp or A(i); end loop; return tmp; end function REDUCTION_OR; constant ONE : integer := 1; constant MAINT_PRESCALER_WIDTH : integer := clogb2(MAINT_PRESCALER_DIV + 1); constant REFRESH_TIMER_WIDTH : integer := clogb2(REFRESH_TIMER_DIV + 1); constant ZQ_TIMER_WIDTH : integer := clogb2(ZQ_TIMER_DIV + 1); signal maint_prescaler_tick_r_lcl : std_logic; signal refresh_tick_lcl : std_logic; signal maint_zq_r_lcl : std_logic; signal zq_request : std_logic := '0'; signal maint_req_r_lcl : std_logic; signal maint_rank_r_lcl : std_logic_vector(RANK_WIDTH - 1 downto 0); signal periodic_rd_r_lcl : std_logic; signal periodic_rd_rank_r_lcl : std_logic_vector(RANK_WIDTH - 1 downto 0); signal periodic_rd_rank_ns : std_logic_vector(RANK_WIDTH - 1 downto 0); signal periodic_rd_grant_r : std_logic_vector(RANKS - 1 downto 0); signal periodic_rd_grant_ns : std_logic_vector(RANKS - 1 downto 0); signal maint_grant_ns : std_logic_vector(RANKS downto 0); signal maint_grant_r : std_logic_vector(RANKS downto 0); signal maint_rank_ns : std_logic_vector(RANK_WIDTH - 1 downto 0); signal periodic_rd_busy : std_logic; signal maint_zq_ns : std_logic; signal upd_last_master_ns : std_logic; signal upd_last_master_r : std_logic; signal new_maint_rank_r : std_logic; signal zq_timer_r : std_logic_vector(ZQ_TIMER_WIDTH - 1 downto 0); signal zq_timer_ns : std_logic_vector(ZQ_TIMER_WIDTH - 1 downto 0); signal refresh_timer_r : std_logic_vector(REFRESH_TIMER_WIDTH - 1 downto 0); signal refresh_timer_ns : std_logic_vector(REFRESH_TIMER_WIDTH - 1 downto 0); signal periodic_upd_last_master_ns : std_logic; -- local signal in verilog code within periodic request signal periodic_upd_last_master_r : std_logic; signal maint_request : std_logic_vector(RANKS downto 0); signal maint_busy : std_logic; signal maint_prescaler_r : std_logic_vector(MAINT_PRESCALER_WIDTH-1 downto 0); signal maint_prescaler_ns : std_logic_vector(MAINT_PRESCALER_WIDTH-1 downto 0); signal maint_prescaler_tick_ns : std_logic; signal zq_request_r : std_logic; signal zq_request_ns : std_logic; signal zq_tick : std_logic := '0'; signal zq_clears_zq_request : std_logic; signal present : std_logic_vector(7 downto 0); signal periodic_rd_ns : std_logic; signal int2 : std_logic; signal int3 : std_logic_vector(RANKS - 1 downto 0); signal tst_rdor_rd_request : std_logic; begin maint_prescaler_tick_ns <= BOOLEAN_TO_STD_LOGIC(maint_prescaler_r = std_logic_vector(TO_UNSIGNED(1,MAINT_PRESCALER_WIDTH))); process (dfi_init_complete, maint_prescaler_r, maint_prescaler_tick_ns) begin maint_prescaler_ns <= maint_prescaler_r; if ((not(dfi_init_complete) or maint_prescaler_tick_ns) = '1') then maint_prescaler_ns <= std_logic_vector(TO_UNSIGNED(MAINT_PRESCALER_DIV,MAINT_PRESCALER_WIDTH)); elsif ((REDUCTION_OR(maint_prescaler_r)) = '1') then maint_prescaler_ns <= maint_prescaler_r - std_logic_vector(TO_UNSIGNED(1,MAINT_PRESCALER_WIDTH)); end if; end process; process (clk) begin if (clk'event and clk = '1') then maint_prescaler_r <= maint_prescaler_ns after (TCQ)*1 ps; end if; end process; process (clk) begin if (clk'event and clk = '1') then maint_prescaler_tick_r_lcl <= maint_prescaler_tick_ns after (TCQ)*1 ps; end if; end process; maint_prescaler_tick_r <= maint_prescaler_tick_r_lcl; process (dfi_init_complete, maint_prescaler_tick_r_lcl, refresh_tick_lcl, refresh_timer_r) begin refresh_timer_ns <= refresh_timer_r; if ((not(dfi_init_complete) or refresh_tick_lcl) = '1') then refresh_timer_ns <= std_logic_vector(TO_UNSIGNED(REFRESH_TIMER_DIV,REFRESH_TIMER_WIDTH )); elsif ((REDUCTION_OR(refresh_timer_r) and maint_prescaler_tick_r_lcl) = '1') then refresh_timer_ns <= refresh_timer_r - std_logic_vector(TO_UNSIGNED(1,REFRESH_TIMER_WIDTH)); end if; end process; process (clk) begin if (clk'event and clk = '1') then refresh_timer_r <= refresh_timer_ns after (TCQ)*1 ps; end if; end process; refresh_tick_lcl <= BOOLEAN_TO_STD_LOGIC(refresh_timer_r = std_logic_vector(TO_UNSIGNED(1,REFRESH_TIMER_WIDTH ))) and maint_prescaler_tick_r_lcl; refresh_tick <= refresh_tick_lcl; int0 : if (DRAM_TYPE = "DDR3") generate int1 : if (ZQ_TIMER_DIV /= 0) generate process (dfi_init_complete, maint_prescaler_tick_r_lcl, zq_tick, zq_timer_r) variable zq_timer_ns_tmp : std_logic_vector(ZQ_TIMER_WIDTH - 1 downto 0); begin zq_timer_ns_tmp := zq_timer_r; if ((not(dfi_init_complete) or zq_tick) = '1') then zq_timer_ns_tmp := std_logic_vector(TO_UNSIGNED(ZQ_TIMER_DIV,ZQ_TIMER_WIDTH )); elsif ((REDUCTION_OR(zq_timer_r) and maint_prescaler_tick_r_lcl) = '1') then zq_timer_ns_tmp := zq_timer_r - std_logic_vector(TO_UNSIGNED(1,ZQ_TIMER_WIDTH )); end if; zq_timer_ns <= zq_timer_ns_tmp ; end process; process (clk) begin if (clk'event and clk = '1') then zq_timer_r <= zq_timer_ns after (TCQ)*1 ps; end if; end process; process (maint_prescaler_tick_r_lcl, zq_timer_r) begin zq_tick <= (BOOLEAN_TO_STD_LOGIC(zq_timer_r = std_logic_vector(TO_UNSIGNED(1,ZQ_TIMER_WIDTH ))) and maint_prescaler_tick_r_lcl); end process; end generate; zq_clears_zq_request <= insert_maint_r1 and maint_zq_r_lcl; zq_request_ns <= not(rst) and BOOLEAN_TO_STD_LOGIC(DRAM_TYPE = "DDR3") and ((not(dfi_init_complete) and BOOLEAN_TO_STD_LOGIC(ZQ_TIMER_DIV /= 0)) or (zq_request_r and not(zq_clears_zq_request)) or zq_tick or (app_zq_req and dfi_init_complete)); process (clk) begin if (clk'event and clk = '1') then zq_request_r <= zq_request_ns after (TCQ)*1 ps; end if; end process; process (dfi_init_complete, zq_request_r) begin zq_request <= dfi_init_complete and zq_request_r; end process; end generate; -- Maintenance_request maint_busy <= upd_last_master_r or new_maint_rank_r or maint_req_r_lcl or maint_wip_r; maint_request <= (zq_request & refresh_request(RANKS - 1 downto 0)); upd_last_master_ns <= REDUCTION_OR(maint_request) and not(maint_busy); process (clk) begin if (clk'event and clk = '1') then upd_last_master_r <= upd_last_master_ns after (TCQ)*1 ps; end if; end process; process (clk) begin if (clk'event and clk = '1') then new_maint_rank_r <= upd_last_master_r after (TCQ)*1 ps; end if; end process; process (clk) begin if (clk'event and clk = '1') then maint_req_r_lcl <= new_maint_rank_r after (TCQ)*1 ps; end if; end process; maint_arb0 : round_robin_arb generic map ( WIDTH => (RANKS + 1) ) port map ( grant_ns => maint_grant_ns, grant_r => maint_grant_r, upd_last_master => upd_last_master_r, current_master => maint_grant_r, req => maint_request, disable_grant => '0', clk => clk, rst => rst ); present <= slot_0_present or slot_1_present; maint_zq_ns <= not(rst) and maint_grant_r(RANKS) when (upd_last_master_r = '1') else not(rst) and maint_zq_r_lcl; process (maint_grant_r, maint_rank_r_lcl, maint_zq_ns, present, rst, upd_last_master_r) variable maint_rank_ns_tmp : std_logic_vector(RANK_WIDTH-1 downto 0); begin if (rst = '1') then maint_rank_ns_tmp := (others => '0' ); else maint_rank_ns_tmp := maint_rank_r_lcl; if (maint_zq_ns = '1') then maint_rank_ns_tmp := maint_rank_r_lcl + std_logic_vector(TO_UNSIGNED(1,RANK_WIDTH )); for i in 0 to 7 loop if ((not(present(to_integer(UNSIGNED(maint_rank_ns_tmp))))) = '1') then maint_rank_ns_tmp := maint_rank_ns_tmp + std_logic_vector(TO_UNSIGNED(1,RANK_WIDTH)); end if; end loop; elsif (upd_last_master_r = '1') then for i in 0 to RANKS - 1 loop if ((maint_grant_r(i)) = '1') then maint_rank_ns_tmp := std_logic_vector(TO_UNSIGNED(i,RANK_WIDTH )); end if; end loop; end if; end if; maint_rank_ns <= maint_rank_ns_tmp; end process; process (clk) begin if (clk'event and clk = '1') then maint_rank_r_lcl <= maint_rank_ns after (TCQ)*1 ps; end if; end process; process (clk) begin if (clk'event and clk = '1') then maint_zq_r_lcl <= maint_zq_ns after (TCQ)*1 ps; end if; end process; maint_zq_r <= maint_zq_r_lcl; maint_req_r <= maint_req_r_lcl; maint_rank_r <= maint_rank_r_lcl; -- generate : periodic_read_request periodic_rd_busy <= periodic_upd_last_master_r or periodic_rd_r_lcl; --upd_last_master_ns <= dfi_init_complete and (REDUCTION_OR(periodic_rd_request) and not(periodic_rd_busy)); periodic_upd_last_master_ns <= dfi_init_complete and (REDUCTION_OR(periodic_rd_request) and not(periodic_rd_busy)); process (clk) begin if (clk'event and clk = '1') then periodic_upd_last_master_r <= periodic_upd_last_master_ns after (TCQ)*1 ps; end if; end process; periodic_rd_ns <= dfi_init_complete and (periodic_upd_last_master_r or (periodic_rd_r_lcl and not(periodic_rd_ack_r))); process (clk) begin if (clk'event and clk = '1') then periodic_rd_r_lcl <= periodic_rd_ns after (TCQ)*1 ps; end if; end process; periodic_rd_arb0 : round_robin_arb generic map ( WIDTH => RANKS ) port map ( grant_ns => periodic_rd_grant_ns(RANKS - 1 downto 0), grant_r => open, upd_last_master => periodic_upd_last_master_r,--upd_last_master_r, current_master => periodic_rd_grant_r(RANKS - 1 downto 0), req => periodic_rd_request(RANKS - 1 downto 0), disable_grant => '0', clk => clk, rst => rst ); int3 <= periodic_rd_grant_ns when (periodic_upd_last_master_ns = '1') else periodic_rd_grant_r; process (clk) begin if (clk'event and clk = '1') then periodic_rd_grant_r <= int3; end if; end process; process (periodic_rd_grant_r, periodic_rd_rank_r_lcl, periodic_upd_last_master_r) begin periodic_rd_rank_ns <= periodic_rd_rank_r_lcl; if (periodic_upd_last_master_r = '1') then for i in 0 to RANKS - 1 loop if ((periodic_rd_grant_r(i)) = '1') then periodic_rd_rank_ns <= std_logic_vector(TO_UNSIGNED(i,RANK_WIDTH )); end if; end loop; end if; end process; process (clk) begin if (clk'event and clk = '1') then periodic_rd_rank_r_lcl <= periodic_rd_rank_ns after (TCQ)*1 ps; end if; end process; clear_periodic_rd_request <= periodic_rd_grant_r and nCOPY(periodic_rd_ack_r,RANKS); periodic_rd_r <= periodic_rd_r_lcl; periodic_rd_rank_r <= periodic_rd_rank_r_lcl; end architecture trans;
lgpl-3.0
lerwys/bpm-sw-old-backup
hdl/platform/virtex6/chipscope/ila/chipscope_ila_8192.vhd
2
1215
------------------------------------------------------------------------------- -- Copyright (c) 2013 Xilinx, Inc. -- All Rights Reserved ------------------------------------------------------------------------------- -- ____ ____ -- / /\/ / -- /___/ \ / Vendor : Xilinx -- \ \ \/ Version : 13.4 -- \ \ Application: XILINX CORE Generator -- / / Filename : chipscope_ila_8192.vhd -- /___/ /\ Timestamp : Thu Mar 28 15:52:52 BRT 2013 -- \ \ / \ -- \___\/\___\ -- -- Design Name: VHDL Synthesis Wrapper ------------------------------------------------------------------------------- -- This wrapper is used to integrate with Project Navigator and PlanAhead LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY chipscope_ila_8192 IS port ( CONTROL: inout std_logic_vector(35 downto 0); CLK: in std_logic; TRIG0: in std_logic_vector(7 downto 0); TRIG1: in std_logic_vector(31 downto 0); TRIG2: in std_logic_vector(31 downto 0); TRIG3: in std_logic_vector(31 downto 0); TRIG4: in std_logic_vector(31 downto 0)); END chipscope_ila_8192; ARCHITECTURE chipscope_ila_8192_a OF chipscope_ila_8192 IS BEGIN END chipscope_ila_8192_a;
lgpl-3.0
lerwys/bpm-sw-old-backup
hdl/top/pcie/top_ml605.vhd
1
11157
library IEEE; use IEEE.STD_LOGIC_1164.all; library work; use work.abb64Package.all; library UNISIM; use UNISIM.VComponents.all; entity top is generic ( SIMULATION : string := "FALSE"; -- **** -- PCIe core parameters -- **** constant pcieLanes : integer := 4; PL_FAST_TRAIN : string := "FALSE"; PIPE_SIM_MODE : string := "FALSE"; --*************************************************************************** -- Necessary parameters for DDR core support -- (dependent on memory chip connected to FPGA, not to be modified at will) --*************************************************************************** constant DDR_DQ_WIDTH : integer := 64; constant DDR_PAYLOAD_WIDTH : integer := 256; constant DDR_DQS_WIDTH : integer := 8; constant DDR_DM_WIDTH : integer := 8; constant DDR_ROW_WIDTH : integer := 14; constant DDR_BANK_WIDTH : integer := 3; constant DDR_CK_WIDTH : integer := 1; constant DDR_CKE_WIDTH : integer := 1; constant DDR_ODT_WIDTH : integer := 1 ); port ( --DDR3 memory pins ddr3_dq : inout std_logic_vector(DDR_DQ_WIDTH-1 downto 0); ddr3_dqs_p : inout std_logic_vector(DDR_DQS_WIDTH-1 downto 0); ddr3_dqs_n : inout std_logic_vector(DDR_DQS_WIDTH-1 downto 0); ddr3_addr : out std_logic_vector(DDR_ROW_WIDTH-1 downto 0); ddr3_ba : out std_logic_vector(DDR_BANK_WIDTH-1 downto 0); ddr3_cs_n : out std_logic_vector(0 downto 0); ddr3_ras_n : out std_logic; ddr3_cas_n : out std_logic; ddr3_we_n : out std_logic; ddr3_reset_n : out std_logic; ddr3_ck_p : out std_logic_vector(DDR_CK_WIDTH-1 downto 0); ddr3_ck_n : out std_logic_vector(DDR_CK_WIDTH-1 downto 0); ddr3_cke : out std_logic_vector(DDR_CKE_WIDTH-1 downto 0); ddr3_dm : out std_logic_vector(DDR_DM_WIDTH-1 downto 0); ddr3_odt : out std_logic_vector(DDR_ODT_WIDTH-1 downto 0); -- PCIe transceivers pci_exp_rxp : in std_logic_vector(pcieLanes - 1 downto 0); pci_exp_rxn : in std_logic_vector(pcieLanes - 1 downto 0); pci_exp_txp : out std_logic_vector(pcieLanes - 1 downto 0); pci_exp_txn : out std_logic_vector(pcieLanes - 1 downto 0); -- Necessity signals ddr_sys_clk_p : in std_logic; --200 MHz DDR core clock (connect through BUFG or PLL) ddr_sys_clk_n : in std_logic; --200 MHz DDR core clock (connect through BUFG or PLL) sys_clk_p : in std_logic; --100 MHz PCIe Clock (connect directly to input pin) sys_clk_n : in std_logic; --100 MHz PCIe Clock sys_rst_n : in std_logic --Reset to PCIe core ); end entity top; architecture arch of top is component bpm_pcie_ml605 is generic ( SIMULATION : string := "FALSE"; -- **** -- PCIe core parameters -- **** constant pcieLanes : integer := 4; PL_FAST_TRAIN : string := "FALSE"; PIPE_SIM_MODE : string := "FALSE" ); port ( --DDR3 memory pins ddr3_dq : inout std_logic_vector(DDR_DQ_WIDTH-1 downto 0); ddr3_dqs_p : inout std_logic_vector(DDR_DQS_WIDTH-1 downto 0); ddr3_dqs_n : inout std_logic_vector(DDR_DQS_WIDTH-1 downto 0); ddr3_addr : out std_logic_vector(DDR_ROW_WIDTH-1 downto 0); ddr3_ba : out std_logic_vector(DDR_BANK_WIDTH-1 downto 0); ddr3_cs_n : out std_logic_vector(0 downto 0); ddr3_ras_n : out std_logic; ddr3_cas_n : out std_logic; ddr3_we_n : out std_logic; ddr3_reset_n : out std_logic; ddr3_ck_p : out std_logic_vector(DDR_CK_WIDTH-1 downto 0); ddr3_ck_n : out std_logic_vector(DDR_CK_WIDTH-1 downto 0); ddr3_cke : out std_logic_vector(DDR_CKE_WIDTH-1 downto 0); ddr3_dm : out std_logic_vector(DDR_DM_WIDTH-1 downto 0); ddr3_odt : out std_logic_vector(DDR_ODT_WIDTH-1 downto 0); -- PCIe transceivers pci_exp_rxp : in std_logic_vector(pcieLanes - 1 downto 0); pci_exp_rxn : in std_logic_vector(pcieLanes - 1 downto 0); pci_exp_txp : out std_logic_vector(pcieLanes - 1 downto 0); pci_exp_txn : out std_logic_vector(pcieLanes - 1 downto 0); -- Necessity signals ddr_sys_clk_p : in std_logic; --200 MHz DDR core clock (connect through BUFG or PLL) sys_clk_p : in std_logic; --100 MHz PCIe Clock (connect directly to input pin) sys_clk_n : in std_logic; --100 MHz PCIe Clock sys_rst_n : in std_logic; --Reset to PCIe core -- DDR memory controller interface -- ddr_core_rst : in std_logic; memc_ui_clk : out std_logic; memc_ui_rst : out std_logic; memc_cmd_rdy : out std_logic; memc_cmd_en : in std_logic; memc_cmd_instr : in std_logic_vector(2 downto 0); memc_cmd_addr : in std_logic_vector(31 downto 0); memc_wr_en : in std_logic; memc_wr_end : in std_logic; memc_wr_mask : in std_logic_vector(DDR_PAYLOAD_WIDTH/8-1 downto 0); memc_wr_data : in std_logic_vector(DDR_PAYLOAD_WIDTH-1 downto 0); memc_wr_rdy : out std_logic; memc_rd_data : out std_logic_vector(DDR_PAYLOAD_WIDTH-1 downto 0); memc_rd_valid : out std_logic; ---- memory arbiter interface memarb_acc_req : in std_logic; memarb_acc_gnt : out std_logic; --/ DDR memory controller interface -- Wishbone interface -- CLK_I : in std_logic; RST_I : in std_logic; ACK_I : in std_logic; DAT_I : in std_logic_vector(63 downto 0); ADDR_O : out std_logic_vector(28 downto 0); DAT_O : out std_logic_vector(63 downto 0); WE_O : out std_logic; STB_O : out std_logic; SEL_O : out std_logic; CYC_O : out std_logic; --/ Wishbone interface -- Additional exported signals for instantiation ext_rst_o : out std_logic ); end component bpm_pcie_ml605; -- WISHBONE SLAVE interface: -- Single-Port RAM with Asynchronous Read -- component WB_MEM is generic( AWIDTH : natural range 2 to 29 := 7; DWIDTH : natural range 8 to 128 := 64 ); port( CLK_I : in std_logic; ACK_O : out std_logic; ADR_I : in std_logic_vector(AWIDTH-1 downto 0); DAT_I : in std_logic_vector(DWIDTH-1 downto 0); DAT_O : out std_logic_vector(DWIDTH-1 downto 0); STB_I : in std_logic; WE_I : in std_logic ); end component; signal ddr_sys_clk_i : std_logic; signal ddr_sys_rst_i : std_logic; signal ddr_ui_clk : std_logic; signal pll_clkin : std_logic; signal pll_clkfbout : std_logic; signal pll_clkout0 : std_logic; signal pll_locked : std_logic; signal wbone_clk : std_logic; signal wbone_addr : std_logic_vector(31 downto 0); signal wbone_mdin : std_logic_vector(C_DBUS_WIDTH-1 downto 0); signal wbone_mdout : std_logic_vector(C_DBUS_WIDTH-1 downto 0); signal wbone_we : std_logic; signal wbone_sel : std_logic_vector(0 downto 0); signal wbone_stb : std_logic; signal wbone_ack : std_logic; signal wbone_cyc : std_logic; signal wbone_rst : std_logic; begin bpm_pcie : bpm_pcie_ml605 generic map( SIMULATION => SIMULATION, -- **** -- PCIe core parameters -- **** pcieLanes => pcieLanes, PL_FAST_TRAIN => PL_FAST_TRAIN, PIPE_SIM_MODE => PIPE_SIM_MODE ) port map( --DDR3 memory pins ddr3_dq => ddr3_dq, ddr3_dqs_p => ddr3_dqs_p, ddr3_dqs_n => ddr3_dqs_n, ddr3_addr => ddr3_addr, ddr3_ba => ddr3_ba, ddr3_cs_n => ddr3_cs_n, ddr3_ras_n => ddr3_ras_n, ddr3_cas_n => ddr3_cas_n, ddr3_we_n => ddr3_we_n, ddr3_reset_n => ddr3_reset_n, ddr3_ck_p => ddr3_ck_p, ddr3_ck_n => ddr3_ck_n, ddr3_cke => ddr3_cke, ddr3_dm => ddr3_dm, ddr3_odt => ddr3_odt, -- PCIe transceivers pci_exp_rxp => pci_exp_rxp, pci_exp_rxn => pci_exp_rxn, pci_exp_txp => pci_exp_txp, pci_exp_txn => pci_exp_txn, -- Necessity signals ddr_sys_clk_p => ddr_sys_clk_i, sys_clk_p => sys_clk_p, sys_clk_n => sys_clk_n, sys_rst_n => sys_rst_n, -- DDR memory controller interface -- -- uncomment when instantiating in another project ddr_core_rst => ddr_sys_rst_i, memc_ui_clk => ddr_ui_clk, memc_ui_rst => open, memc_cmd_rdy => open, memc_cmd_en => '0', memc_cmd_instr => (others => '0'), memc_cmd_addr => (others => '0'), memc_wr_en => '0', memc_wr_end => '0', memc_wr_mask => (others => '0'), memc_wr_data => (others => '0'), memc_wr_rdy => open, memc_rd_data => open, memc_rd_valid => open, ---- memory arbiter interface memarb_acc_req => '0', memarb_acc_gnt => open, --/ DDR memory controller interface -- Wishbone interface -- -- uncomment when instantiating in another project CLK_I => wbone_clk, RST_I => wbone_rst, ACK_I => wbone_ack, DAT_I => wbone_mdin, ADDR_O => wbone_addr(28 downto 0), DAT_O => wbone_mdout, WE_O => wbone_we, STB_O => wbone_stb, SEL_O => wbone_sel(0), CYC_O => wbone_cyc, --/ Wishbone interface -- Additional exported signals for instantiation ext_rst_o => wbone_rst ); Wishbone_mem_large: if (SIMULATION = "TRUE") generate wb_mem_sim : wb_mem generic map( AWIDTH => 16, DWIDTH => 64 ) port map( CLK_I => wbone_clk, --in std_logic; ACK_O => wbone_ack, --out std_logic; ADR_I => wbone_addr(16-1 downto 0), --in std_logic_vector(AWIDTH-1 downto 0); DAT_I => wbone_mdout, --in std_logic_vector(DWIDTH-1 downto 0); DAT_O => wbone_mdin, --out std_logic_vector(DWIDTH-1 downto 0); STB_I => wbone_stb, --in std_logic; WE_I => wbone_we --in std_logic ); end generate; Wishbone_mem_sample: if (SIMULATION = "FALSE") generate wb_mem_syn : wb_mem generic map( AWIDTH => 7, DWIDTH => 64 ) port map( CLK_I => wbone_clk, --in std_logic; ACK_O => wbone_ack, --out std_logic; ADR_I => wbone_addr(7-1 downto 0), --in std_logic_vector(AWIDTH-1 downto 0); DAT_I => wbone_mdout, --in std_logic_vector(DWIDTH-1 downto 0); DAT_O => wbone_mdin, --out std_logic_vector(DWIDTH-1 downto 0); STB_I => wbone_stb, --in std_logic; WE_I => wbone_we --in std_logic ); end generate; --temporary clock assignment wbone_clk <= ddr_ui_clk; ddr_inclk_bufgds : IBUFGDS generic map( DIFF_TERM => TRUE, IBUF_LOW_PWR => FALSE ) port map( O => ddr_sys_clk_i, I => ddr_sys_clk_p, IB => ddr_sys_clk_n ); ddr_sys_rst_i <= wbone_rst; end architecture;
lgpl-3.0
lerwys/bpm-sw-old-backup
hdl/modules/pcie/common/rx_dsDMA_Channel.vhd
1
25924
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Design Name: -- Module Name: dsDMA_Transact - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision 1.30 - DMA engine divided into 2 modules: calculation and FSM. 26.07.2007 -- -- Revision 1.20 - DMA engine shared out. 12.02.2007 -- -- Revision 1.10 - x4 timing constraints met. 02.02.2007 -- -- Revision 1.04 - Timing improved. 17.01.2007 -- -- Revision 1.02 - FIFO added. 20.12.2006 -- -- Revision 1.00 - first release. 14.12.2006 -- -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_ARITH.all; use IEEE.STD_LOGIC_UNSIGNED.all; library work; use work.abb64Package.all; use work.genram_pkg.all; entity dsDMA_Transact is port ( -- downstream DMA Channel Buffer MRd_dsp_Req : out std_logic; MRd_dsp_RE : in std_logic; MRd_dsp_Qout : out std_logic_vector(C_CHANNEL_BUF_WIDTH-1 downto 0); -- Downstream reset from MWr channel dsDMA_Channel_Rst : in std_logic; -- Downstream Registers from MWr Channel DMA_ds_PA : in std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_ds_HA : in std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_ds_BDA : in std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_ds_Length : in std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_ds_Control : in std_logic_vector(C_DBUS_WIDTH-1 downto 0); dsDMA_BDA_eq_Null : in std_logic; -- Calculation in advance, for better timing dsHA_is_64b : in std_logic; dsBDA_is_64b : in std_logic; -- Calculation in advance, for better timing dsLeng_Hi19b_True : in std_logic; dsLeng_Lo7b_True : in std_logic; -- from Cpl/D channel dsDMA_dex_Tag : in std_logic_vector(C_TAG_WIDTH-1 downto 0); -- Downstream Control Signals from MWr Channel dsDMA_Start : in std_logic; -- out of 1st dex dsDMA_Stop : in std_logic; -- out of 1st dex -- Downstream Control Signals from CplD Channel dsDMA_Start2 : in std_logic; -- out of consecutive dex dsDMA_Stop2 : in std_logic; -- out of consecutive dex -- Downstream DMA Acknowledge to the start command DMA_Cmd_Ack : out std_logic; -- Downstream Handshake Signals with CplD Channel for Busy/Done Tag_Map_Clear : in std_logic_vector(C_TAG_MAP_WIDTH-1 downto 0); FC_pop : in std_logic; -- Downstream tRAM port A write request tRAM_weB : out std_logic; tRAM_AddrB : out std_logic_vector(C_TAGRAM_AWIDTH-1 downto 0); tRAM_dinB : out std_logic_vector(C_TAGRAM_DWIDTH-1 downto 0); -- To Interrupt module DMA_Done : out std_logic; DMA_TimeOut : out std_logic; DMA_Busy : out std_logic; -- To Tx Port DMA_ds_Status : out std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- Additional cfg_dcommand : in std_logic_vector(C_CFG_COMMAND_DWIDTH-1 downto 0); -- Common ports user_clk : in std_logic ); end entity dsDMA_Transact; architecture Behavioral of dsDMA_Transact is signal FC_push : std_logic; signal FC_counter : std_logic_vector(C_TAGRAM_AWIDTH-1 downto 0); signal dsFC_stop : std_logic; signal dsFC_stop_128B : std_logic; signal dsFC_stop_256B : std_logic; signal dsFC_stop_512B : std_logic; signal dsFC_stop_1024B : std_logic; signal dsFC_stop_2048B : std_logic; signal dsFC_stop_4096B : std_logic; -- Reset signal Local_Reset_i : std_logic; signal Local_Reset_n_i : std_logic; signal cfg_MRS : std_logic_vector(C_CFG_MRS_BIT_TOP-C_CFG_MRS_BIT_BOT downto 0); -- Tag RAM port B write signal tRAM_dinB_i : std_logic_vector(C_TAGRAM_DWIDTH-1 downto 0); signal tRAM_AddrB_i : std_logic_vector(C_TAGRAM_AWIDTH-1 downto 0); signal tRAM_weB_i : std_logic; -- DMA calculation component DMA_Calculate port( -- Downstream Registers from MWr Channel DMA_PA : in std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- EP (local) DMA_HA : in std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- Host (remote) DMA_BDA : in std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_Length : in std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_Control : in std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- Calculation in advance, for better timing HA_is_64b : in std_logic; BDA_is_64b : in std_logic; -- Calculation in advance, for better timing Leng_Hi19b_True : in std_logic; Leng_Lo7b_True : in std_logic; -- Parameters fed to DMA_FSM DMA_PA_Loaded : out std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_PA_Var : out std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_HA_Var : out std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_BDA_fsm : out std_logic_vector(C_DBUS_WIDTH-1 downto 0); BDA_is_64b_fsm : out std_logic; -- Only for downstream channel DMA_PA_Snout : out std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_BAR_Number : out std_logic_vector(C_TAGBAR_BIT_TOP-C_TAGBAR_BIT_BOT downto 0); -- DMA_Snout_Length : out std_logic_vector(C_MAXSIZE_FLD_BIT_TOP downto 0); DMA_Body_Length : out std_logic_vector(C_MAXSIZE_FLD_BIT_TOP downto 0); DMA_Tail_Length : out std_logic_vector(C_TLP_FLD_WIDTH_OF_LENG+1 downto 0); -- Engine control signals DMA_Start : in std_logic; DMA_Start2 : in std_logic; -- out of consecutive dex -- Control signals to FSM No_More_Bodies : out std_logic; ThereIs_Snout : out std_logic; ThereIs_Body : out std_logic; ThereIs_Tail : out std_logic; ThereIs_Dex : out std_logic; HA64bit : out std_logic; Addr_Inc : out std_logic; -- FSM indicators State_Is_LoadParam : in std_logic; State_Is_Snout : in std_logic; State_Is_Body : in std_logic; -- State_Is_Tail : IN std_logic; -- Additional Param_Max_Cfg : in std_logic_vector(2 downto 0); -- Common ports dma_clk : in std_logic; dma_reset : in std_logic ); end component; signal dsDMA_PA_Loaded : std_logic_vector(C_DBUS_WIDTH-1 downto 0); signal dsDMA_PA_Var : std_logic_vector(C_DBUS_WIDTH-1 downto 0); signal dsDMA_HA_Var : std_logic_vector(C_DBUS_WIDTH-1 downto 0); signal dsDMA_BDA_fsm : std_logic_vector(C_DBUS_WIDTH-1 downto 0); signal dsBDA_is_64b_fsm : std_logic; signal dsDMA_PA_snout : std_logic_vector(C_DBUS_WIDTH-1 downto 0); signal dsDMA_BAR_Number : std_logic_vector(C_TAGBAR_BIT_TOP-C_TAGBAR_BIT_BOT downto 0); signal dsDMA_Snout_Length : std_logic_vector(C_MAXSIZE_FLD_BIT_TOP downto 0); signal dsDMA_Body_Length : std_logic_vector(C_MAXSIZE_FLD_BIT_TOP downto 0); signal dsDMA_Tail_Length : std_logic_vector(C_TLP_FLD_WIDTH_OF_LENG+1 downto 0); signal dsNo_More_Bodies : std_logic; signal dsThereIs_Snout : std_logic; signal dsThereIs_Body : std_logic; signal dsThereIs_Tail : std_logic; signal dsThereIs_Dex : std_logic; signal dsHA64bit : std_logic; signal ds_AInc : std_logic; -- DMA state machine component DMA_FSM port( -- Fixed information for 1st header of TLP: MRd/MWr TLP_Has_Payload : in std_logic; TLP_Hdr_is_4DW : in std_logic; DMA_Addr_Inc : in std_logic; DMA_BAR_Number : in std_logic_vector(C_TAGBAR_BIT_TOP-C_TAGBAR_BIT_BOT downto 0); -- FSM control signals DMA_Start : in std_logic; DMA_Start2 : in std_logic; DMA_Stop : in std_logic; DMA_Stop2 : in std_logic; No_More_Bodies : in std_logic; ThereIs_Snout : in std_logic; ThereIs_Body : in std_logic; ThereIs_Tail : in std_logic; ThereIs_Dex : in std_logic; -- Parameters to be written into ChBuf DMA_PA_Loaded : in std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_PA_Var : in std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_HA_Var : in std_logic_vector(C_DBUS_WIDTH-1 downto 0); DMA_BDA_fsm : in std_logic_vector(C_DBUS_WIDTH-1 downto 0); BDA_is_64b_fsm : in std_logic; DMA_Snout_Length : in std_logic_vector(C_MAXSIZE_FLD_BIT_TOP downto 0); DMA_Body_Length : in std_logic_vector(C_MAXSIZE_FLD_BIT_TOP downto 0); DMA_Tail_Length : in std_logic_vector(C_TLP_FLD_WIDTH_OF_LENG+1 downto 0); -- Busy/Done conditions Done_Condition_1 : in std_logic; Done_Condition_2 : in std_logic; Done_Condition_3 : in std_logic; Done_Condition_4 : in std_logic; Done_Condition_5 : in std_logic; -- Channel buffer write us_MWr_Param_Vec : in std_logic_vector(6-1 downto 0); ChBuf_aFull : in std_logic; ChBuf_WrEn : out std_logic; ChBuf_WrDin : out std_logic_vector(C_CHANNEL_BUF_WIDTH-1 downto 0); -- FSM indicators State_Is_LoadParam : out std_logic; State_Is_Snout : out std_logic; State_Is_Body : out std_logic; State_Is_Tail : out std_logic; DMA_Cmd_Ack : out std_logic; -- To Tx Port ChBuf_ValidRd : in std_logic; BDA_nAligned : out std_logic; DMA_TimeOut : out std_logic; DMA_Busy : out std_logic; DMA_Done : out std_logic; -- DMA_Done_Rise : OUT std_logic; -- Tags Pkt_Tag : in std_logic_vector(C_TAG_WIDTH-1 downto 0); Dex_Tag : in std_logic_vector(C_TAG_WIDTH-1 downto 0); -- Common ports dma_clk : in std_logic; dma_reset : in std_logic ); end component; signal Tag_DMA_dsp : std_logic_vector(C_TAG_WIDTH-1 downto 0); -- FSM state indicators signal dsState_Is_LoadParam : std_logic; signal dsState_Is_Snout : std_logic; signal dsState_Is_Body : std_logic; signal dsState_Is_Tail : std_logic; signal dsChBuf_ValidRd : std_logic; signal dsBDA_nAligned : std_logic; signal dsDMA_TimeOut_i : std_logic; signal dsDMA_Busy_i : std_logic; signal dsDMA_Done_i : std_logic; signal DMA_Status_i : std_logic_vector(C_DBUS_WIDTH-1 downto 0); --------------------------------------------------------------- -- Done state identification uses 2^C_TAGRAM_AWIDTH bits, 2 stages logic signal Tag_Map_Bits : std_logic_vector(C_TAG_MAP_WIDTH-1 downto 0); signal Tag_Map_filling : std_logic_vector(C_SUB_TAG_MAP_WIDTH-1 downto 0); signal All_CplD_have_come : std_logic; -- Built-in single-port fifo as downstream DMA channel buffer -- 128-bit wide, for 64-bit address component sfifo_15x128 port ( clk : in std_logic; rst : in std_logic; prog_full : out std_logic; -- wr_clk : IN std_logic; wr_en : in std_logic; din : in std_logic_vector(C_CHANNEL_BUF_WIDTH-1 downto 0); full : out std_logic; -- rd_clk : IN std_logic; rd_en : in std_logic; dout : out std_logic_vector(C_CHANNEL_BUF_WIDTH-1 downto 0); prog_empty : out std_logic; empty : out std_logic ); end component; -- Signal with DMA_downstream channel FIFO signal MRd_dsp_din : std_logic_vector(C_CHANNEL_BUF_WIDTH-1 downto 0); signal MRd_dsp_dout : std_logic_vector(C_CHANNEL_BUF_WIDTH-1 downto 0); signal MRd_dsp_re_i : std_logic; signal MRd_dsp_we : std_logic; signal MRd_dsp_empty_i : std_logic; signal MRd_dsp_full : std_logic; signal MRd_dsp_prog_Full : std_logic; signal MRd_dsp_prog_Full_r1 : std_logic; signal MRd_dsp_re_r1 : std_logic; signal MRd_dsp_empty_r1 : std_logic; -- Request for output arbitration signal MRd_dsp_Req_i : std_logic; begin -- DMA done signal DMA_Done <= dsDMA_Done_i; DMA_TimeOut <= dsDMA_TimeOut_i; DMA_Busy <= dsDMA_Busy_i; -- connecting FIFO's signals MRd_dsp_Qout <= MRd_dsp_dout; MRd_dsp_re_i <= MRd_dsp_RE; MRd_dsp_Req <= MRd_dsp_Req_i; -- tag RAM write request signals tRAM_weB <= tRAM_weB_i; tRAM_AddrB <= tRAM_AddrB_i; tRAM_dinB <= tRAM_dinB_i; -- positive local reset Local_Reset_i <= dsDMA_Channel_Rst; Local_Reset_n_i <= not(Local_Reset_i); -- Max Read Request Size bits cfg_MRS <= cfg_dcommand(C_CFG_MRS_BIT_TOP downto C_CFG_MRS_BIT_BOT); -- Kernel Engine ds_DMA_Calculation : DMA_Calculate port map( DMA_PA => DMA_ds_PA , DMA_HA => DMA_ds_HA , DMA_BDA => DMA_ds_BDA , DMA_Length => DMA_ds_Length , DMA_Control => DMA_ds_Control , HA_is_64b => dsHA_is_64b , BDA_is_64b => dsBDA_is_64b , Leng_Hi19b_True => dsLeng_Hi19b_True , Leng_Lo7b_True => dsLeng_Lo7b_True , DMA_PA_Loaded => dsDMA_PA_Loaded , DMA_PA_Var => dsDMA_PA_Var , DMA_HA_Var => dsDMA_HA_Var , DMA_BDA_fsm => dsDMA_BDA_fsm , BDA_is_64b_fsm => dsBDA_is_64b_fsm , -- Only for downstream channel DMA_PA_Snout => dsDMA_PA_snout , DMA_BAR_Number => dsDMA_BAR_Number , -- Lengths DMA_Snout_Length => dsDMA_Snout_Length , DMA_Body_Length => dsDMA_Body_Length , DMA_Tail_Length => dsDMA_Tail_Length , -- Control signals to FSM No_More_Bodies => dsNo_More_Bodies , ThereIs_Snout => dsThereIs_Snout , ThereIs_Body => dsThereIs_Body , ThereIs_Tail => dsThereIs_Tail , ThereIs_Dex => dsThereIs_Dex , HA64bit => dsHA64bit , Addr_Inc => ds_AInc , DMA_Start => dsDMA_Start , DMA_Start2 => dsDMA_Start2 , State_Is_LoadParam => dsState_Is_LoadParam , State_Is_Snout => dsState_Is_Snout , State_Is_Body => dsState_Is_Body , -- State_Is_Tail => dsState_Is_Tail , Param_Max_Cfg => cfg_MRS , dma_clk => user_clk , dma_reset => Local_Reset_i ); -- Kernel FSM ds_DMA_StateMachine : DMA_FSM port map( TLP_Has_Payload => '0' , TLP_Hdr_is_4DW => dsHA64bit , DMA_Addr_Inc => '0' , -- of any value DMA_BAR_Number => dsDMA_BAR_Number , DMA_Start => dsDMA_Start , DMA_Start2 => dsDMA_Start2 , DMA_Stop => dsDMA_Stop , DMA_Stop2 => dsDMA_Stop2 , -- Control signals to FSM No_More_Bodies => dsNo_More_Bodies , ThereIs_Snout => dsThereIs_Snout , ThereIs_Body => dsThereIs_Body , ThereIs_Tail => dsThereIs_Tail , ThereIs_Dex => dsThereIs_Dex , DMA_PA_Loaded => dsDMA_PA_Loaded , DMA_PA_Var => dsDMA_PA_Var , DMA_HA_Var => dsDMA_HA_Var , DMA_BDA_fsm => dsDMA_BDA_fsm , BDA_is_64b_fsm => dsBDA_is_64b_fsm , DMA_Snout_Length => dsDMA_Snout_Length , DMA_Body_Length => dsDMA_Body_Length , DMA_Tail_Length => dsDMA_Tail_Length , ChBuf_ValidRd => dsChBuf_ValidRd, BDA_nAligned => dsBDA_nAligned , DMA_TimeOut => dsDMA_TimeOut_i, DMA_Busy => dsDMA_Busy_i , DMA_Done => dsDMA_Done_i , -- DMA_Done_Rise => open , Pkt_Tag => Tag_DMA_dsp , Dex_Tag => dsDMA_dex_Tag , Done_Condition_1 => '1' , Done_Condition_2 => MRd_dsp_empty_r1 , Done_Condition_3 => '1' , Done_Condition_4 => '1' , Done_Condition_5 => All_CplD_have_come , us_MWr_Param_Vec => "000000" , ChBuf_aFull => MRd_dsp_prog_Full_r1 , ChBuf_WrEn => MRd_dsp_we , ChBuf_WrDin => MRd_dsp_din , State_Is_LoadParam => dsState_Is_LoadParam , State_Is_Snout => dsState_Is_Snout , State_Is_Body => dsState_Is_Body , State_Is_Tail => dsState_Is_Tail , DMA_Cmd_Ack => DMA_Cmd_Ack , dma_clk => user_clk , dma_reset => Local_Reset_i ); dsChBuf_ValidRd <= MRd_dsp_RE; -- MRd_dsp_re_i and not MRd_dsp_empty_i; -- ------------------------------------------------- -- DMA_ds_Status <= DMA_Status_i; -- -- Synchronous output: DMA_Status -- DS_DMA_Status_Concat : process (user_clk, Local_Reset_i) begin if Local_Reset_i = '1' then DMA_Status_i <= (others => '0'); elsif user_clk'event and user_clk = '1' then DMA_Status_i <= ( CINT_BIT_DMA_STAT_NALIGN => dsBDA_nAligned, CINT_BIT_DMA_STAT_TIMEOUT => dsDMA_TimeOut_i, CINT_BIT_DMA_STAT_BDANULL => dsDMA_BDA_eq_Null, CINT_BIT_DMA_STAT_BUSY => dsDMA_Busy_i, CINT_BIT_DMA_STAT_DONE => dsDMA_Done_i, others => '0' ); end if; end process; -- ------------------------------------------------------------- -- Synchronous reg: tRAM_weB -- tRAM_AddrB -- tRAM_dinB -- FSM_dsDMA_tRAM_PortB : process (user_clk, Local_Reset_i) begin if Local_Reset_i = '1' then tRAM_weB_i <= '0'; tRAM_AddrB_i <= (others => '1'); tRAM_dinB_i <= (others => '0'); elsif user_clk'event and user_clk = '1' then tRAM_AddrB_i <= Tag_DMA_dsp(C_TAGRAM_AWIDTH-1 downto 0); tRAM_weB_i <= dsState_Is_Snout or dsState_Is_Body or dsState_Is_Tail; if dsState_Is_Snout = '1' then tRAM_dinB_i <= ds_AInc -- DMA_ds_Control(CINT_BIT_DMA_CTRL_AINC) & dsDMA_BAR_Number -- (C_TAGBAR_BIT_TOP-C_TAGBAR_BIT_BOT downto 0) & dsDMA_PA_snout(C_TAGBAR_BIT_BOT-1 downto 2)&"00"; elsif dsState_Is_Body = '1' then tRAM_dinB_i <= ds_AInc -- DMA_ds_Control(CINT_BIT_DMA_CTRL_AINC) & dsDMA_BAR_Number -- (C_TAGBAR_BIT_TOP-C_TAGBAR_BIT_BOT downto 0) & dsDMA_PA_Var(C_TAGBAR_BIT_BOT-1 downto 2) &"00"; elsif dsState_Is_Tail = '1' then tRAM_dinB_i <= ds_AInc -- DMA_ds_Control(CINT_BIT_DMA_CTRL_AINC) & dsDMA_BAR_Number -- (C_TAGBAR_BIT_TOP-C_TAGBAR_BIT_BOT downto 0) & dsDMA_PA_Var(C_TAGBAR_BIT_BOT-1 downto 2) &"00"; else tRAM_dinB_i <= (others => '0'); end if; end if; end process; -- ------------------------------------------ -- Loop: Tag_Map -- Sync_Tag_set_reset_Bits : process (user_clk, Local_Reset_i) begin if Local_Reset_i = '1' then Tag_Map_Bits <= (others => '0'); elsif user_clk'event and user_clk = '1' then for j in 0 to C_TAG_MAP_WIDTH-1 loop if tRAM_AddrB_i = CONV_STD_LOGIC_VECTOR(j, C_TAGRAM_AWIDTH) and tRAM_weB_i = '1' then Tag_Map_Bits(j) <= '1'; elsif Tag_Map_Clear(j) = '1' then Tag_Map_Bits(j) <= '0'; else Tag_Map_Bits(j) <= Tag_Map_Bits(j); end if; end loop; end if; end process; -- ------------------------------------------ -- Determination: All_CplD_have_come -- Sync_Reg_All_CplD_have_come : process (user_clk, Local_Reset_i) begin if Local_Reset_i = '1' then Tag_Map_filling <= (others => '0'); All_CplD_have_come <= '0'; elsif user_clk'event and user_clk = '1' then for k in 0 to C_SUB_TAG_MAP_WIDTH-1 loop if Tag_Map_Bits((C_TAG_MAP_WIDTH/C_SUB_TAG_MAP_WIDTH)*(k+1)-1 downto (C_TAG_MAP_WIDTH/C_SUB_TAG_MAP_WIDTH)*k) = C_ALL_ZEROS((C_TAG_MAP_WIDTH/C_SUB_TAG_MAP_WIDTH)*(k+1)-1 downto (C_TAG_MAP_WIDTH/C_SUB_TAG_MAP_WIDTH)*k) then Tag_Map_filling(k) <= '1'; else Tag_Map_filling(k) <= '0'; end if; end loop; -- final signal : All_CplD_have_come if Tag_Map_filling = C_ALL_ONES(C_SUB_TAG_MAP_WIDTH-1 downto 0) then All_CplD_have_come <= '1'; else All_CplD_have_come <= '0'; end if; end if; end process; -- ------------------------------------------ -- Synchronous Output: Tag_DMA_dsp -- FSM_dsDMA_Tag_DMA_dsp : process (user_clk, Local_Reset_i) begin if Local_Reset_i = '1' then Tag_DMA_dsp <= (others => '0'); elsif user_clk'event and user_clk = '1' then if dsState_Is_Snout = '1' or dsState_Is_Body = '1' or dsState_Is_Tail = '1' then Tag_DMA_dsp <= '0' & dsDMA_BAR_Number(CINT_FIFO_SPACE_BAR/2) & (Tag_DMA_dsp(C_TAGRAM_AWIDTH-1 downto 0) + CONV_STD_LOGIC_VECTOR(1, C_TAGRAM_AWIDTH)); else Tag_DMA_dsp <= '0' & dsDMA_BAR_Number(CINT_FIFO_SPACE_BAR/2) & Tag_DMA_dsp(C_TAGRAM_AWIDTH-1 downto 0); end if; end if; end process; -- ------------------------------------------------- -- ds MRd TLP Buffer -- ------------------------------------------------- DMA_DSP_Buffer : generic_sync_fifo generic map ( g_data_width => 128, g_size => 16, g_show_ahead => false, g_with_empty => true, g_with_full => false, g_with_almost_empty => true, g_with_almost_full => true, g_with_count => false, g_almost_empty_threshold => 3, g_almost_full_threshold => 13) port map ( rst_n_i => Local_Reset_n_i, clk_i => user_clk, d_i => MRd_dsp_din, we_i => MRd_dsp_we, q_o => MRd_dsp_dout, rd_i => MRd_dsp_re_i, empty_o => MRd_dsp_empty_i, full_o => MRd_dsp_full, almost_empty_o => open, almost_full_o => MRd_dsp_prog_Full, count_o => open); -- --------------------------------------------- -- Delay of Empty and prog_Full -- Synch_Delay_empty_and_full : process (user_clk) begin if user_clk'event and user_clk = '1' then MRd_dsp_re_r1 <= MRd_dsp_re_i; MRd_dsp_empty_r1 <= MRd_dsp_empty_i; MRd_dsp_prog_Full_r1 <= MRd_dsp_prog_Full; MRd_dsp_Req_i <= not MRd_dsp_empty_i and not dsDMA_Stop and not dsDMA_Stop2 and not dsFC_stop; end if; end process; -- ------------------------------------------ -- Synchronous: FC_push -- Synch_Calc_FC_push : process (user_clk, Local_Reset_i) begin if Local_Reset_i = '1' then FC_push <= '0'; elsif user_clk'event and user_clk = '1' then FC_push <= MRd_dsp_re_r1 and not MRd_dsp_empty_r1 and not MRd_dsp_dout(C_CHBUF_TAG_BIT_TOP); end if; end process; -- ------------------------------------------ -- Synchronous: FC_counter -- Synch_Calc_FC_counter : process (user_clk, Local_Reset_i) begin if Local_Reset_i = '1' then FC_counter <= (others => '0'); elsif user_clk'event and user_clk = '1' then if FC_push = '1' and FC_pop = '0' then FC_counter <= FC_counter + '1'; elsif FC_push = '0' and FC_pop = '1' then FC_counter <= FC_counter - '1'; else FC_counter <= FC_counter; end if; end if; end process; -- ------------------------------------------ -- Synchronous: dsFC_stop -- Synch_Calc_dsFC_stop : process (user_clk, Local_Reset_i) begin if Local_Reset_i = '1' then dsFC_stop_128B <= '1'; dsFC_stop_256B <= '1'; dsFC_stop_512B <= '1'; dsFC_stop_1024B <= '1'; dsFC_stop_2048B <= '1'; dsFC_stop_4096B <= '1'; elsif user_clk'event and user_clk = '1' then if FC_counter(C_TAGRAM_AWIDTH-1 downto 0) /= C_ALL_ZEROS(C_TAGRAM_AWIDTH-1 downto 0) then dsFC_stop_4096B <= '1'; else dsFC_stop_4096B <= '0'; end if; if FC_counter(C_TAGRAM_AWIDTH-1 downto 0) /= C_ALL_ZEROS(C_TAGRAM_AWIDTH-1 downto 0) then dsFC_stop_2048B <= '1'; else dsFC_stop_2048B <= '0'; end if; if FC_counter(C_TAGRAM_AWIDTH-1 downto 1) /= C_ALL_ZEROS(C_TAGRAM_AWIDTH-1 downto 1) then dsFC_stop_1024B <= '1'; else dsFC_stop_1024B <= '0'; end if; if FC_counter(C_TAGRAM_AWIDTH-1 downto 2) /= C_ALL_ZEROS(C_TAGRAM_AWIDTH-1 downto 2) then dsFC_stop_512B <= '1'; else dsFC_stop_512B <= '0'; end if; if FC_counter(C_TAGRAM_AWIDTH-1 downto 3) /= C_ALL_ZEROS(C_TAGRAM_AWIDTH-1 downto 3) then dsFC_stop_256B <= '1'; else dsFC_stop_256B <= '0'; end if; if FC_counter(C_TAGRAM_AWIDTH-1 downto 4) /= C_ALL_ZEROS(C_TAGRAM_AWIDTH-1 downto 4) then dsFC_stop_128B <= '1'; else dsFC_stop_128B <= '0'; end if; end if; end process; -- ------------------------------------------ -- Configuration pamameters: cfg_MRS -- Syn_Config_Param_cfg_MRS : process (user_clk, Local_Reset_i) begin if Local_Reset_i = '1' then -- 0x0080 Bytes dsFC_stop <= '1'; elsif user_clk'event and user_clk = '1' then case cfg_MRS is when "000" => -- 0x0080 Bytes dsFC_stop <= dsFC_stop_128B; when "001" => -- 0x0100 Bytes dsFC_stop <= dsFC_stop_256B; when "010" => -- 0x0200 Bytes dsFC_stop <= dsFC_stop_512B; when "011" => -- 0x0400 Bytes dsFC_stop <= dsFC_stop_1024B; when "100" => -- 0x0800 Bytes dsFC_stop <= dsFC_stop_2048B; when "101" => -- 0x1000 Bytes dsFC_stop <= dsFC_stop_4096B; when others => -- as 0x0080 Bytes dsFC_stop <= dsFC_stop_128B; end case; end if; end process; end architecture Behavioral;
lgpl-3.0
lerwys/bpm-sw-old-backup
hdl/ip_cores/pcie/ml605/ddr_v6/user_design/rtl/controller/rank_mach.vhd
1
16673
--***************************************************************************** -- (c) Copyright 2008 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor : Xilinx -- \ \ \/ Version : 3.92 -- \ \ Application : MIG -- / / Filename : rank_mach.vhd -- /___/ /\ Date Last Modified : $date$ -- \ \ / \ Date Created : -- \___\/\___\ -- --Device : Virtex-6 --Design Name : DDR3 SDRAM --Purpose : --Reference : --Revision History : --***************************************************************************** library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; -- Top level rank machine structural block. This block -- instantiates a configurable number of rank controller blocks. entity rank_mach is generic ( BURST_MODE : string := "8"; CS_WIDTH : integer := 4; DRAM_TYPE : string := "DDR3"; MAINT_PRESCALER_DIV : integer := 40; nBANK_MACHS : integer := 4; nCK_PER_CLK : integer := 2; CL : integer := 5; nFAW : integer := 30; nREFRESH_BANK : integer := 8; nRRD : integer := 4; nWTR : integer := 4; PERIODIC_RD_TIMER_DIV : integer := 20; RANK_BM_BV_WIDTH : integer := 16; RANK_WIDTH : integer := 2; RANKS : integer := 4; PHASE_DETECT : string := "OFF"; --Added to control periodic reads REFRESH_TIMER_DIV : integer := 39; ZQ_TIMER_DIV : integer := 640000 ); port ( -- Outputs -- Inputs -- Beginning of automatic inputs (from unused autoinst inputs) -- To rank_cntrl0 of rank_cntrl.v -- To rank_cntrl0 of rank_cntrl.v -- To rank_cntrl0 of rank_cntrl.v -- To rank_common0 of rank_common.v -- To rank_cntrl0 of rank_cntrl.v, ... -- To rank_cntrl0 of rank_cntrl.v, ... -- To rank_cntrl0 of rank_cntrl.v, ... -- To rank_common0 of rank_common.v -- To rank_common0 of rank_common.v -- To rank_cntrl0 of rank_cntrl.v -- To rank_cntrl0 of rank_cntrl.v -- To rank_cntrl0 of rank_cntrl.v, ... -- To rank_cntrl0 of rank_cntrl.v -- To rank_cntrl0 of rank_cntrl.v -- To rank_common0 of rank_common.v -- To rank_common0 of rank_common.v -- To rank_cntrl0 of rank_cntrl.v -- End of automatics -- Beginning of automatic outputs (from unused autoinst outputs) -- From rank_common0 of rank_common.v -- From rank_common0 of rank_common.v periodic_rd_rank_r : out std_logic_vector(RANK_WIDTH - 1 downto 0); -- From rank_common0 of rank_common.v periodic_rd_r : out std_logic; maint_req_r : out std_logic; -- End of automatics -- Beginning of automatic wires (for undeclared instantiated-module outputs) -- From rank_common0 of rank_common.v -- From rank_common0 of rank_common.v -- End of automatics inhbt_act_faw_r : out std_logic_vector(RANKS - 1 downto 0); inhbt_rd_r : out std_logic_vector(RANKS - 1 downto 0); wtr_inhbt_config_r : out std_logic_vector(RANKS - 1 downto 0); maint_rank_r : out std_logic_vector(RANK_WIDTH - 1 downto 0); maint_zq_r : out std_logic; wr_this_rank_r : in std_logic_vector(RANK_BM_BV_WIDTH - 1 downto 0); slot_1_present : in std_logic_vector(7 downto 0); slot_0_present : in std_logic_vector(7 downto 0); sending_row : in std_logic_vector(nBANK_MACHS - 1 downto 0); sending_col : in std_logic_vector(nBANK_MACHS - 1 downto 0); rst : in std_logic; rd_this_rank_r : in std_logic_vector(RANK_BM_BV_WIDTH - 1 downto 0); rank_busy_r : in std_logic_vector((RANKS * nBANK_MACHS) - 1 downto 0); periodic_rd_ack_r : in std_logic; maint_wip_r : in std_logic; insert_maint_r1 : in std_logic; dfi_init_complete : in std_logic; clk : in std_logic; app_zq_req : in std_logic; app_ref_req : in std_logic; app_periodic_rd_req : in std_logic; act_this_rank_r : in std_logic_vector(RANK_BM_BV_WIDTH - 1 downto 0) ); end entity rank_mach; architecture trans of rank_mach is component rank_cntrl is generic ( TCQ : integer := 100; BURST_MODE : string := "8"; ID : integer := 0; nBANK_MACHS : integer := 4; nCK_PER_CLK : integer := 2; CL : integer := 5; nFAW : integer := 30; nREFRESH_BANK : integer := 8; nRRD : integer := 4; nWTR : integer := 4; PERIODIC_RD_TIMER_DIV : integer := 20; RANK_BM_BV_WIDTH : integer := 16; RANK_WIDTH : integer := 2; RANKS : integer := 4; PHASE_DETECT : string := "OFF"; REFRESH_TIMER_DIV : integer := 39 ); port ( inhbt_act_faw_r : out std_logic; inhbt_rd_r : out std_logic; wtr_inhbt_config_r : out std_logic; refresh_request : out std_logic; periodic_rd_request : out std_logic; clk : in std_logic; rst : in std_logic; sending_row : in std_logic_vector(nBANK_MACHS - 1 downto 0); act_this_rank_r : in std_logic_vector(RANK_BM_BV_WIDTH - 1 downto 0); sending_col : in std_logic_vector(nBANK_MACHS - 1 downto 0); wr_this_rank_r : in std_logic_vector(RANK_BM_BV_WIDTH - 1 downto 0); app_ref_req : in std_logic; dfi_init_complete : in std_logic; rank_busy_r : in std_logic_vector((RANKS * nBANK_MACHS) - 1 downto 0); refresh_tick : in std_logic; insert_maint_r1 : in std_logic; maint_zq_r : in std_logic; maint_rank_r : in std_logic_vector(RANK_WIDTH - 1 downto 0); app_periodic_rd_req : in std_logic; maint_prescaler_tick_r : in std_logic; clear_periodic_rd_request : in std_logic; rd_this_rank_r : in std_logic_vector(RANK_BM_BV_WIDTH - 1 downto 0) ); end component; component rank_common is generic ( TCQ : integer := 100; DRAM_TYPE : string := "DDR3"; MAINT_PRESCALER_DIV : integer := 40; nBANK_MACHS : integer := 4; RANK_WIDTH : integer := 2; RANKS : integer := 4; REFRESH_TIMER_DIV : integer := 39; ZQ_TIMER_DIV : integer := 640000 ); port ( maint_prescaler_tick_r : out std_logic; refresh_tick : out std_logic; maint_zq_r : out std_logic; maint_req_r : out std_logic; maint_rank_r : out std_logic_vector(RANK_WIDTH - 1 downto 0); clear_periodic_rd_request : out std_logic_vector(RANKS - 1 downto 0); periodic_rd_r : out std_logic; periodic_rd_rank_r : out std_logic_vector(RANK_WIDTH - 1 downto 0); clk : in std_logic; rst : in std_logic; dfi_init_complete : in std_logic; app_zq_req : in std_logic; insert_maint_r1 : in std_logic; refresh_request : in std_logic_vector(RANKS - 1 downto 0); maint_wip_r : in std_logic; slot_0_present : in std_logic_vector(7 downto 0); slot_1_present : in std_logic_vector(7 downto 0); periodic_rd_request : in std_logic_vector(RANKS - 1 downto 0); periodic_rd_ack_r : in std_logic ); end component; signal maint_prescaler_tick_r : std_logic; signal refresh_tick : std_logic; signal refresh_request : std_logic_vector(RANKS - 1 downto 0); signal periodic_rd_request : std_logic_vector(RANKS - 1 downto 0); signal clear_periodic_rd_request : std_logic_vector(RANKS - 1 downto 0); -- Declare intermediate signals for referenced outputs signal periodic_rd_rank_r_int6 : std_logic_vector(RANK_WIDTH - 1 downto 0); signal periodic_rd_r_int5 : std_logic; signal maint_req_r_int3 : std_logic; signal inhbt_act_faw_r_int0 : std_logic_vector(RANKS - 1 downto 0); signal inhbt_rd_r_int1 : std_logic_vector(RANKS - 1 downto 0); signal wtr_inhbt_config_r_int7 : std_logic_vector(RANKS - 1 downto 0); signal maint_rank_r_int2 : std_logic_vector(RANK_WIDTH - 1 downto 0); signal maint_zq_r_int4 : std_logic; begin -- Drive referenced outputs periodic_rd_rank_r <= periodic_rd_rank_r_int6; periodic_rd_r <= periodic_rd_r_int5; maint_req_r <= maint_req_r_int3; inhbt_act_faw_r <= inhbt_act_faw_r_int0; inhbt_rd_r <= inhbt_rd_r_int1; wtr_inhbt_config_r <= wtr_inhbt_config_r_int7; maint_rank_r <= maint_rank_r_int2; maint_zq_r <= maint_zq_r_int4; rank_cntrl_inst : for ID in 0 to RANKS - 1 generate -- Parameters rank_cntrl0 : rank_cntrl generic map ( BURST_MODE => BURST_MODE, ID => ID, nBANK_MACHS => nBANK_MACHS, nCK_PER_CLK => nCK_PER_CLK, CL => CL, nFAW => nFAW, nREFRESH_BANK => nREFRESH_BANK, nRRD => nRRD, nWTR => nWTR, PERIODIC_RD_TIMER_DIV => PERIODIC_RD_TIMER_DIV, RANK_BM_BV_WIDTH => RANK_BM_BV_WIDTH, RANK_WIDTH => RANK_WIDTH, RANKS => RANKS, PHASE_DETECT => PHASE_DETECT, REFRESH_TIMER_DIV => REFRESH_TIMER_DIV ) port map ( clear_periodic_rd_request => clear_periodic_rd_request(ID), inhbt_act_faw_r => inhbt_act_faw_r_int0(ID), inhbt_rd_r => inhbt_rd_r_int1(ID), periodic_rd_request => periodic_rd_request(ID), refresh_request => refresh_request(ID), wtr_inhbt_config_r => wtr_inhbt_config_r_int7(ID), -- Inputs clk => clk, rst => rst, sending_row => sending_row(nBANK_MACHS - 1 downto 0), act_this_rank_r => act_this_rank_r(RANK_BM_BV_WIDTH - 1 downto 0), sending_col => sending_col(nBANK_MACHS - 1 downto 0), wr_this_rank_r => wr_this_rank_r(RANK_BM_BV_WIDTH - 1 downto 0), app_ref_req => app_ref_req, dfi_init_complete => dfi_init_complete, rank_busy_r => rank_busy_r((RANKS * nBANK_MACHS) - 1 downto 0), refresh_tick => refresh_tick, insert_maint_r1 => insert_maint_r1, maint_zq_r => maint_zq_r_int4, maint_rank_r => maint_rank_r_int2(RANK_WIDTH - 1 downto 0), app_periodic_rd_req => app_periodic_rd_req, maint_prescaler_tick_r => maint_prescaler_tick_r, rd_this_rank_r => rd_this_rank_r(RANK_BM_BV_WIDTH - 1 downto 0) ); end generate; -- Parameters rank_common0 : rank_common generic map ( DRAM_TYPE => DRAM_TYPE, MAINT_PRESCALER_DIV => MAINT_PRESCALER_DIV, nBANK_MACHS => nBANK_MACHS, RANK_WIDTH => RANK_WIDTH, RANKS => RANKS, REFRESH_TIMER_DIV => REFRESH_TIMER_DIV, ZQ_TIMER_DIV => ZQ_TIMER_DIV ) port map ( clear_periodic_rd_request => clear_periodic_rd_request(RANKS - 1 downto 0), -- Outputs maint_prescaler_tick_r => maint_prescaler_tick_r, refresh_tick => refresh_tick, maint_zq_r => maint_zq_r_int4, maint_req_r => maint_req_r_int3, maint_rank_r => maint_rank_r_int2(RANK_WIDTH - 1 downto 0), periodic_rd_r => periodic_rd_r_int5, periodic_rd_rank_r => periodic_rd_rank_r_int6(RANK_WIDTH - 1 downto 0), -- Inputs clk => clk, rst => rst, dfi_init_complete => dfi_init_complete, app_zq_req => app_zq_req, insert_maint_r1 => insert_maint_r1, refresh_request => refresh_request(RANKS - 1 downto 0), maint_wip_r => maint_wip_r, slot_0_present => slot_0_present(7 downto 0), slot_1_present => slot_1_present(7 downto 0), periodic_rd_request => periodic_rd_request(RANKS - 1 downto 0), periodic_rd_ack_r => periodic_rd_ack_r ); end architecture trans;
lgpl-3.0
lerwys/bpm-sw-old-backup
hdl/modules/pcie/bpm_pcie_ml605.vhd
1
55209
---------------------------------------------------------------------------------- -- Company: Creotech -- Engineer: Adrian Byszuk ([email protected]) -- -- Design Name: -- Module Name: bpm_pcie_ml605 - Behavioral -- Project Name: -- Target Devices: XC7A200T on AC uTCA card from OHWR -- Tool versions: ISE 14.4, ISE 14.6 -- Description: This is TOP module for the versatile firmware for PCIe communication. -- It provides DMA engine with scatter-gather (linked list) functionality. -- DDR memory is supported through BAR1. Wishbone endpoint is accessible through BAR2. -- -- Dependencies: Xilinx PCIe core for 7 series. Xilinx DDR core for 7 series. -- -- Revision: 2.00 - Original file completely rewritten by abyszuk. -- -- Revision 1.00 - File Released -- -- Additional Comments: This file can be used both as TOP module for independent operation, or -- instantiated in another projects. To use it in your project, change INSTANTIATED generic to -- "TRUE" and uncomment relevant interface sections in entity declaration. ATTENTION: you also -- have to comment out dummy signal with names exactly the same as port names (it was necessary so -- that XST won't complain about missing signal names). -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; library work; use work.abb64Package.all; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. library UNISIM; use UNISIM.VComponents.all; entity bpm_pcie_ml605 is generic ( SIMULATION : string := "FALSE"; -- **** -- PCIe core parameters -- **** constant pcieLanes : integer := 4; PL_FAST_TRAIN : string := "FALSE"; PIPE_SIM_MODE : string := "FALSE"; --*************************************************************************** -- Necessary parameters for DDR core support -- (dependent on memory chip connected to FPGA, not to be modified at will) --*************************************************************************** constant DDR_DQ_WIDTH : integer := 64; constant DDR_PAYLOAD_WIDTH : integer := 256; constant DDR_DQS_WIDTH : integer := 8; constant DDR_DM_WIDTH : integer := 8; constant DDR_ROW_WIDTH : integer := 14; constant DDR_BANK_WIDTH : integer := 3; constant DDR_CK_WIDTH : integer := 1; constant DDR_CKE_WIDTH : integer := 1; constant DDR_ODT_WIDTH : integer := 1; SIM_BYPASS_INIT_CAL : string := "FAST" -- # = "OFF" - Complete memory init & -- calibration sequence -- # = "SKIP" - Not supported -- # = "FAST" - Complete memory init & use -- abbreviated calib sequence ); port ( --DDR3 memory pins ddr3_dq : inout std_logic_vector(DDR_DQ_WIDTH-1 downto 0); ddr3_dqs_p : inout std_logic_vector(DDR_DQS_WIDTH-1 downto 0); ddr3_dqs_n : inout std_logic_vector(DDR_DQS_WIDTH-1 downto 0); ddr3_addr : out std_logic_vector(DDR_ROW_WIDTH-1 downto 0); ddr3_ba : out std_logic_vector(DDR_BANK_WIDTH-1 downto 0); ddr3_cs_n : out std_logic_vector(0 downto 0); ddr3_ras_n : out std_logic; ddr3_cas_n : out std_logic; ddr3_we_n : out std_logic; ddr3_reset_n : out std_logic; ddr3_ck_p : out std_logic_vector(DDR_CK_WIDTH-1 downto 0); ddr3_ck_n : out std_logic_vector(DDR_CK_WIDTH-1 downto 0); ddr3_cke : out std_logic_vector(DDR_CKE_WIDTH-1 downto 0); ddr3_dm : out std_logic_vector(DDR_DM_WIDTH-1 downto 0); ddr3_odt : out std_logic_vector(DDR_ODT_WIDTH-1 downto 0); -- PCIe transceivers pci_exp_rxp : in std_logic_vector(pcieLanes - 1 downto 0); pci_exp_rxn : in std_logic_vector(pcieLanes - 1 downto 0); pci_exp_txp : out std_logic_vector(pcieLanes - 1 downto 0); pci_exp_txn : out std_logic_vector(pcieLanes - 1 downto 0); -- Necessity signals ddr_sys_clk_p : in std_logic; --200 MHz DDR core clock (connect through BUFG or PLL) sys_clk_p : in std_logic; --100 MHz PCIe Clock (connect directly to input pin) sys_clk_n : in std_logic; --100 MHz PCIe Clock sys_rst_n : in std_logic; --Reset to PCIe core -- DDR memory controller interface -- -- uncomment when instantiating in another project ddr_core_rst : in std_logic; memc_ui_clk : out std_logic; memc_ui_rst : out std_logic; memc_cmd_rdy : out std_logic; memc_cmd_en : in std_logic; memc_cmd_instr : in std_logic_vector(2 downto 0); memc_cmd_addr : in std_logic_vector(31 downto 0); memc_wr_en : in std_logic; memc_wr_end : in std_logic; memc_wr_mask : in std_logic_vector(DDR_PAYLOAD_WIDTH/8-1 downto 0); memc_wr_data : in std_logic_vector(DDR_PAYLOAD_WIDTH-1 downto 0); memc_wr_rdy : out std_logic; memc_rd_data : out std_logic_vector(DDR_PAYLOAD_WIDTH-1 downto 0); memc_rd_valid : out std_logic; ---- memory arbiter interface memarb_acc_req : in std_logic; memarb_acc_gnt : out std_logic; --/ DDR memory controller interface -- Wishbone interface -- -- uncomment when instantiating in another project CLK_I : in std_logic; RST_I : in std_logic; ACK_I : in std_logic; DAT_I : in std_logic_vector(63 downto 0); ADDR_O : out std_logic_vector(28 downto 0); DAT_O : out std_logic_vector(63 downto 0); WE_O : out std_logic; STB_O : out std_logic; SEL_O : out std_logic; CYC_O : out std_logic; --/ Wishbone interface -- Additional exported signals for instantiation ext_rst_o : out std_logic ); end entity bpm_pcie_ml605; architecture Behavioral of bpm_pcie_ml605 is constant DDR_ADDR_WIDTH : integer := 28; component pcie_core generic ( PL_FAST_TRAIN : string := "FALSE"; UPSTREAM_FACING : string := "TRUE" ); port ( ------------------------------------------------------------------------------------------------------------------- -- 1. PCI Express (pci_exp) Interface -- ------------------------------------------------------------------------------------------------------------------- pci_exp_txp : out std_logic_vector(3 downto 0); pci_exp_txn : out std_logic_vector(3 downto 0); pci_exp_rxp : in std_logic_vector(3 downto 0); pci_exp_rxn : in std_logic_vector(3 downto 0); ------------------------------------------------------------------------------------------------------------------- -- 2. AXI-S Interface -- ------------------------------------------------------------------------------------------------------------------- -- Common user_clk_out : out std_logic; user_reset_out : out std_logic; user_lnk_up : out std_logic; -- TX tx_buf_av : out std_logic_vector(5 downto 0); tx_cfg_req : out std_logic; tx_err_drop : out std_logic; s_axis_tx_tready : out std_logic; s_axis_tx_tdata : in std_logic_vector((C_DATA_WIDTH - 1) downto 0); s_axis_tx_tkeep : in std_logic_vector((C_DATA_WIDTH / 8 - 1) downto 0); s_axis_tx_tlast : in std_logic; s_axis_tx_tvalid : in std_logic; s_axis_tx_tuser : in std_logic_vector(3 downto 0); tx_cfg_gnt : in std_logic; -- RX m_axis_rx_tdata : out std_logic_vector((C_DATA_WIDTH - 1) downto 0); m_axis_rx_tkeep : out std_logic_vector((C_DATA_WIDTH / 8 - 1) downto 0); m_axis_rx_tlast : out std_logic; m_axis_rx_tvalid : out std_logic; m_axis_rx_tready : in std_logic; m_axis_rx_tuser : out std_logic_vector(21 downto 0); rx_np_ok : in std_logic; -- Flow Control fc_cpld : out std_logic_vector(11 downto 0); fc_cplh : out std_logic_vector(7 downto 0); fc_npd : out std_logic_vector(11 downto 0); fc_nph : out std_logic_vector(7 downto 0); fc_pd : out std_logic_vector(11 downto 0); fc_ph : out std_logic_vector(7 downto 0); fc_sel : in std_logic_vector(2 downto 0); ------------------------------------------------------------------------------------------------------------------- -- 3. Configuration (CFG) Interface -- ------------------------------------------------------------------------------------------------------------------- cfg_di : in std_logic_vector(31 downto 0); cfg_byte_en : in std_logic_vector(3 downto 0); cfg_dwaddr : in std_logic_vector(9 downto 0); cfg_wr_en : in std_logic; cfg_rd_en : in std_logic; cfg_status : out std_logic_vector(15 downto 0); cfg_command : out std_logic_vector(15 downto 0); cfg_dstatus : out std_logic_vector(15 downto 0); cfg_dcommand : out std_logic_vector(15 downto 0); cfg_lstatus : out std_logic_vector(15 downto 0); cfg_lcommand : out std_logic_vector(15 downto 0); cfg_dcommand2 : out std_logic_vector(15 downto 0); cfg_pcie_link_state : out std_logic_vector(2 downto 0); cfg_pmcsr_pme_en : out std_logic; cfg_pmcsr_powerstate : out std_logic_vector(1 downto 0); cfg_pmcsr_pme_status : out std_logic; -- Error Reporting Interface cfg_err_ecrc : in std_logic; cfg_err_ur : in std_logic; cfg_err_cpl_timeout : in std_logic; cfg_err_cpl_unexpect : in std_logic; cfg_err_cpl_abort : in std_logic; cfg_err_posted : in std_logic; cfg_err_cor : in std_logic; cfg_err_tlp_cpl_header : in std_logic_vector(47 downto 0); cfg_err_cpl_rdy : out std_logic; cfg_err_locked : in std_logic; cfg_trn_pending : in std_logic; cfg_dsn : std_logic_vector(63 downto 0); --------------------------------------------------------------------- -- EP Only -- --------------------------------------------------------------------- cfg_interrupt : in std_logic; cfg_interrupt_rdy : out std_logic; cfg_interrupt_assert : in std_logic; cfg_interrupt_di : in std_logic_vector(7 downto 0); cfg_interrupt_do : out std_logic_vector(7 downto 0); cfg_interrupt_mmenable : out std_logic_vector(2 downto 0); cfg_interrupt_msienable : out std_logic; cfg_interrupt_msixenable : out std_logic; cfg_interrupt_msixfm : out std_logic; cfg_to_turnoff : out std_logic; cfg_turnoff_ok : in std_logic; cfg_bus_number : out std_logic_vector(7 downto 0); cfg_device_number : out std_logic_vector(4 downto 0); cfg_function_number : out std_logic_vector(2 downto 0); cfg_pm_wake : in std_logic; ------------------------------------------------------------------------------------------------------------------- -- 4. Physical Layer Control and Status (PL) Interface -- ------------------------------------------------------------------------------------------------------------------- pl_directed_link_change : in std_logic_vector(1 downto 0); pl_directed_link_width : in std_logic_vector(1 downto 0); pl_directed_link_speed : in std_logic; pl_directed_link_auton : in std_logic; pl_upstream_prefer_deemph : in std_logic; pl_ltssm_state : out std_logic_vector(5 downto 0); pl_lane_reversal_mode : out std_logic_vector(1 downto 0); pl_link_partner_gen2_supported : out std_logic; pl_initial_link_width : out std_logic_vector(2 downto 0); --------------------------------------------------------------------- -- EP Only -- --------------------------------------------------------------------- pl_received_hot_rst : out std_logic; ------------------------------------------------------------------------------------------------------------------- -- 6. System(SYS) Interface -- ------------------------------------------------------------------------------------------------------------------- sys_clk : in std_logic; sys_reset : in std_logic); end component; component ddr_v6 generic( SIM_BYPASS_INIT_CAL : string := "OFF"; -- # = "OFF" - Complete memory init & -- calibration sequence -- # = "SKIP" - Skip memory init & -- calibration sequence -- # = "FAST" - Skip memory init & use -- abbreviated calib sequence RST_ACT_LOW : integer := 1 -- =1 for active low reset, -- =0 for active high. ); port( ddr3_dq : inout std_logic_vector(DDR_DQ_WIDTH-1 downto 0); ddr3_dqs_p : inout std_logic_vector(DDR_DQS_WIDTH-1 downto 0); ddr3_dqs_n : inout std_logic_vector(DDR_DQS_WIDTH-1 downto 0); ddr3_addr : out std_logic_vector(DDR_ROW_WIDTH-1 downto 0); ddr3_ba : out std_logic_vector(DDR_BANK_WIDTH-1 downto 0); ddr3_ras_n : out std_logic; ddr3_cas_n : out std_logic; ddr3_we_n : out std_logic; ddr3_reset_n : out std_logic; ddr3_ck_p : out std_logic_vector(DDR_CK_WIDTH-1 downto 0); ddr3_ck_n : out std_logic_vector(DDR_CK_WIDTH-1 downto 0); ddr3_cke : out std_logic_vector(DDR_CKE_WIDTH-1 downto 0); ddr3_dm : out std_logic_vector(DDR_DM_WIDTH-1 downto 0); ddr3_odt : out std_logic_vector(DDR_ODT_WIDTH-1 downto 0); ddr3_cs_n : out std_logic_vector(DDR_ODT_WIDTH-1 downto 0); sda : inout std_logic; scl : out std_logic; app_addr : in std_logic_vector(DDR_ADDR_WIDTH-1 downto 0); app_cmd : in std_logic_vector(2 downto 0); app_en : in std_logic; app_wdf_data : in std_logic_vector(DDR_PAYLOAD_WIDTH-1 downto 0); app_wdf_end : in std_logic; app_wdf_mask : in std_logic_vector(DDR_PAYLOAD_WIDTH/8-1 downto 0); app_wdf_wren : in std_logic; app_rd_data : out std_logic_vector(DDR_PAYLOAD_WIDTH-1 downto 0); app_rd_data_end : out std_logic; app_rd_data_valid : out std_logic; app_rdy : out std_logic; app_wdf_rdy : out std_logic; ui_clk_sync_rst : out std_logic; ui_clk : out std_logic; phy_init_done : out std_logic; sys_clk : in std_logic; clk_ref : in std_logic; sys_rst : in std_logic ); end component ddr_v6; -- ----------------------------------------------------------------------- -- DDR SDRAM control module -- ----------------------------------------------------------------------- component bram_DDRs_Control_loopback generic ( C_ASYNFIFO_WIDTH : integer; P_SIMULATION : boolean ); port ( DDR_wr_sof : in std_logic; DDR_wr_eof : in std_logic; DDR_wr_v : in std_logic; DDR_wr_Shift : in std_logic; DDR_wr_Mask : in std_logic_vector(2-1 downto 0); DDR_wr_din : in std_logic_vector(C_DBUS_WIDTH-1 downto 0); DDR_wr_full : out std_logic; DDR_rdc_sof : in std_logic; DDR_rdc_eof : in std_logic; DDR_rdc_v : in std_logic; DDR_rdc_Shift : in std_logic; DDR_rdc_din : in std_logic_vector(C_DBUS_WIDTH-1 downto 0); DDR_rdc_full : out std_logic; -- DDR payload FIFO Read Port DDR_FIFO_RdEn : in std_logic; DDR_FIFO_Empty : out std_logic; DDR_FIFO_RdQout : out std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- Common interface DDR_Ready : out std_logic; DDR_Blinker : out std_logic; mem_clk : in std_logic; user_clk : in std_logic; Sim_Zeichen : out std_logic; user_reset : in std_logic ); end component; component DDR_Transact generic ( SIMULATION : string; DATA_WIDTH : integer; ADDR_WIDTH : integer; DDR_UI_DATAWIDTH : integer; DDR_DQ_WIDTH : integer; DEVICE_TYPE : string -- "VIRTEX6" -- "KINTEX7" -- "ARTIX7" ); port ( --ext logic interface to memory core -- memory controller interface -- memc_ui_clk : out std_logic; memc_cmd_rdy : out std_logic; memc_cmd_en : in std_logic; memc_cmd_instr : in std_logic_vector(2 downto 0); memc_cmd_addr : in std_logic_vector(31 downto 0); memc_wr_en : in std_logic; memc_wr_end : in std_logic; memc_wr_mask : in std_logic_vector(DDR_UI_DATAWIDTH/8-1 downto 0); memc_wr_data : in std_logic_vector(DDR_UI_DATAWIDTH-1 downto 0); memc_wr_rdy : out std_logic; memc_rd_data : out std_logic_vector(DDR_UI_DATAWIDTH-1 downto 0); memc_rd_valid : out std_logic; -- memory arbiter interface memarb_acc_req : in std_logic; memarb_acc_gnt : out std_logic; --/ext logic interface -- PCIE interface DDR_wr_eof : in std_logic; DDR_wr_v : in std_logic; DDR_wr_Shift : in std_logic; DDR_wr_Mask : in std_logic_vector(2-1 downto 0); DDR_wr_din : in std_logic_vector(C_DBUS_WIDTH-1 downto 0); DDR_wr_full : out std_logic; DDR_rdc_v : in std_logic; DDR_rdc_Shift : in std_logic; DDR_rdc_din : in std_logic_vector(C_DBUS_WIDTH-1 downto 0); DDR_rdc_full : out std_logic; -- DDR payload FIFO Read Port DDR_FIFO_RdEn : in std_logic; DDR_FIFO_Empty : out std_logic; DDR_FIFO_RdQout : out std_logic_vector(C_DBUS_WIDTH-1 downto 0); --/PCIE interface -- Common interface DDR_Ready : out std_logic; -- DDR core UI app_addr : out std_logic_vector(ADDR_WIDTH-1 downto 0); app_cmd : out std_logic_vector(2 downto 0); app_en : out std_logic; app_wdf_data : out std_logic_vector((DDR_UI_DATAWIDTH)-1 downto 0); app_wdf_end : out std_logic; app_wdf_mask : out std_logic_vector((DDR_UI_DATAWIDTH)/8-1 downto 0); app_wdf_wren : out std_logic; app_rd_data : in std_logic_vector((DDR_UI_DATAWIDTH)-1 downto 0); app_rd_data_end : in std_logic; app_rd_data_valid : in std_logic; app_rdy : in std_logic; app_wdf_rdy : in std_logic; ui_clk : in std_logic; ui_clk_sync_rst : in std_logic; init_calib_complete : in std_logic; --clocking & reset user_clk : in std_logic; user_reset : in std_logic ); end component; signal DDR_wr_sof : std_logic; signal DDR_wr_eof : std_logic; signal DDR_wr_v : std_logic; signal DDR_wr_Shift : std_logic; signal DDR_wr_Mask : std_logic_vector(2-1 downto 0); signal DDR_wr_din : std_logic_vector(C_DBUS_WIDTH-1 downto 0); signal DDR_wr_full : std_logic; signal DDR_rdc_sof : std_logic; signal DDR_rdc_eof : std_logic; signal DDR_rdc_v : std_logic; signal DDR_rdc_Shift : std_logic; signal DDR_rdc_din : std_logic_vector(C_DBUS_WIDTH-1 downto 0); signal DDR_rdc_full : std_logic; signal DDR_FIFO_RdEn : std_logic; signal DDR_FIFO_Empty : std_logic; signal DDR_FIFO_RdQout : std_logic_vector(C_DBUS_WIDTH-1 downto 0); signal DDR_Ready : std_logic; -- ----------------------------------------------------------------------- -- Wishbone interface module -- ----------------------------------------------------------------------- component wb_transact is port ( -- PCIE user clk user_clk : in std_logic; -- Write port wr_we : in std_logic; wr_sof : in std_logic; wr_eof : in std_logic; wr_din : in std_logic_vector(C_DBUS_WIDTH-1 downto 0); wr_full : out std_logic; -- Read command port rdc_sof : in std_logic; rdc_v : in std_logic; rdc_din : in std_logic_vector(C_DBUS_WIDTH-1 downto 0); rdc_full : out std_logic; rd_tout : in std_logic; -- Read data port rd_ren : in std_logic; rd_empty : out std_logic; rd_dout : out std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- Wishbone interface wb_clk : in std_logic; wb_rst : in std_logic; addr_o : out std_logic_vector(28 downto 0); dat_i : in std_logic_vector(63 downto 0); dat_o : out std_logic_vector(63 downto 0); we_o : out std_logic; sel_o : out std_logic_vector(0 downto 0); stb_o : out std_logic; ack_i : in std_logic; cyc_o : out std_logic; --RESET from PCIe rst : in std_logic ); end component; signal wbone_clk : std_logic; signal wb_wr_we : std_logic; signal wb_wr_wsof : std_logic; signal wb_wr_weof : std_logic; signal wb_wr_din : std_logic_vector(C_DBUS_WIDTH-1 downto 0); signal wb_wr_pfull : std_logic; signal wb_wr_full : std_logic; signal wb_rdc_sof : std_logic; signal wb_rdc_v : std_logic; signal wb_rdc_din : std_logic_vector(C_DBUS_WIDTH-1 downto 0); signal wb_rdc_full : std_logic; signal wb_timeout : std_logic; signal wb_rdd_ren : std_logic; signal wb_rdd_dout : std_logic_vector(C_DBUS_WIDTH-1 downto 0); signal wb_rdd_pempty : std_logic; signal wb_rdd_empty : std_logic; signal wbone_rst : std_logic; signal wb_fifo_rst : std_logic; signal wbone_addr : std_logic_vector(28 downto 0); signal wbone_mdin : std_logic_vector(C_DBUS_WIDTH-1 downto 0); signal wbone_mdout : std_logic_vector(C_DBUS_WIDTH-1 downto 0); signal wbone_we : std_logic; signal wbone_sel : std_logic_vector(0 downto 0); signal wbone_stb : std_logic; signal wbone_ack : std_logic; signal wbone_cyc : std_logic; ------------- COMPONENT Declaration: tlpControl ------ -- component tlpControl port ( -- Wishbone interface wb_FIFO_we : out std_logic; wb_FIFO_wsof : out std_logic; wb_FIFO_weof : out std_logic; wb_FIFO_din : out std_logic_vector(C_DBUS_WIDTH-1 downto 0); wb_FIFO_full : in std_logic; wb_FIFO_Rst : out std_logic; -- Wishbone Read interface wb_rdc_sof : out std_logic; wb_rdc_v : out std_logic; wb_rdc_din : out std_logic_vector(C_DBUS_WIDTH-1 downto 0); wb_rdc_full : in std_logic; wb_timeout : out std_logic; -- Wisbbone Buffer read port wb_FIFO_re : out std_logic; wb_FIFO_empty : in std_logic; wb_FIFO_qout : in std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- DDR control interface DDR_Ready : in std_logic; DDR_wr_sof : out std_logic; DDR_wr_eof : out std_logic; DDR_wr_v : out std_logic; DDR_wr_Shift : out std_logic; DDR_wr_Mask : out std_logic_vector(2-1 downto 0); DDR_wr_din : out std_logic_vector(C_DBUS_WIDTH-1 downto 0); DDR_wr_full : in std_logic; DDR_rdc_sof : out std_logic; DDR_rdc_eof : out std_logic; DDR_rdc_v : out std_logic; DDR_rdc_Shift : out std_logic; DDR_rdc_din : out std_logic_vector(C_DBUS_WIDTH-1 downto 0); DDR_rdc_full : in std_logic; -- DDR payload FIFO Read Port DDR_FIFO_RdEn : out std_logic; DDR_FIFO_Empty : in std_logic; DDR_FIFO_RdQout : in std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- Transaction layer interface user_lnk_up : in std_logic; rx_np_ok : out std_logic; rx_np_req : out std_logic; s_axis_tx_tdsc : out std_logic; tx_buf_av : in std_logic_vector(C_TBUF_AWIDTH-1 downto 0); s_axis_tx_terrfwd : out std_logic; user_clk : in std_logic; user_reset : in std_logic; m_axis_rx_tvalid : in std_logic; s_axis_tx_tready : in std_logic; m_axis_rx_tlast : in std_logic; m_axis_rx_terrfwd : in std_logic; m_axis_rx_tkeep : in std_logic_vector(C_DBUS_WIDTH/8-1 downto 0); m_axis_rx_tdata : in std_logic_vector(C_DBUS_WIDTH-1 downto 0); cfg_dcommand : in std_logic_vector(15 downto 0); pcie_link_width : in std_logic_vector(5 downto 0); localId : in std_logic_vector(15 downto 0); cfg_interrupt : out std_logic; cfg_interrupt_rdy : in std_logic; cfg_interrupt_mmenable : in std_logic_vector(2 downto 0); cfg_interrupt_msienable : in std_logic; cfg_interrupt_msixenable : in std_logic; cfg_interrupt_msixfm : in std_logic; cfg_interrupt_di : out std_logic_vector(7 downto 0); cfg_interrupt_do : in std_logic_vector(7 downto 0); cfg_interrupt_assert : out std_logic; m_axis_rx_tbar_hit : in std_logic_vector(6 downto 0); s_axis_tx_tvalid : out std_logic; m_axis_rx_tready : out std_logic; s_axis_tx_tlast : out std_logic; s_axis_tx_tkeep : out std_logic_vector(C_DBUS_WIDTH/8-1 downto 0); s_axis_tx_tdata : out std_logic_vector(C_DBUS_WIDTH-1 downto 0) ); end component; -- TRN Layer signals signal tx_err_drop : std_logic; signal tx_cfg_gnt : std_logic; signal fc_cpld : std_logic_vector (12-1 downto 0); signal fc_cplh : std_logic_vector (8-1 downto 0); signal fc_npd : std_logic_vector (12-1 downto 0); signal fc_nph : std_logic_vector (8-1 downto 0); signal fc_pd : std_logic_vector (12-1 downto 0); signal fc_ph : std_logic_vector (8-1 downto 0); signal fc_sel : std_logic_vector (3-1 downto 0); signal cfg_dcommand2 : std_logic_vector (16-1 downto 0); signal tx_cfg_req : std_logic; signal pl_initial_link_width : std_logic_vector (3-1 downto 0); signal pl_lane_reversal_mode : std_logic_vector (2-1 downto 0); signal pl_link_partner_gen2_supported : std_logic; signal pl_received_hot_rst : std_logic; signal pl_directed_link_auton : std_logic; signal pl_directed_link_change : std_logic_vector (2-1 downto 0); signal pl_directed_link_speed : std_logic; signal pl_directed_link_width : std_logic_vector (2-1 downto 0); signal pl_upstream_prefer_deemph : std_logic; -- Wires used for external clocking connectivity signal PIPE_PCLK_IN : std_logic := '0'; signal PIPE_RXUSRCLK_IN : std_logic := '0'; signal PIPE_RXOUTCLK_IN : std_logic_vector(3 downto 0) := (others => '0'); signal PIPE_DCLK_IN : std_logic := '0'; signal PIPE_USERCLK1_IN : std_logic := '0'; signal PIPE_USERCLK2_IN : std_logic := '0'; signal PIPE_OOBCLK_IN : std_logic := '0'; signal PIPE_MMCM_LOCK_IN : std_logic := '0'; signal PIPE_TXOUTCLK_OUT : std_logic; signal PIPE_RXOUTCLK_OUT : std_logic_vector(3 downto 0); signal PIPE_PCLK_SEL_OUT : std_logic_vector(3 downto 0); signal PIPE_GEN3_OUT : std_logic; ---------------------------------------------------- signal user_reset_int1 : std_logic; signal user_lnk_up_int1 : std_logic; signal user_clk : std_logic; signal user_reset : std_logic; signal user_lnk_up : std_logic; signal s_axis_tx_tdata : std_logic_vector(63 downto 0); signal s_axis_tx_tkeep : std_logic_vector(7 downto 0); signal s_axis_tx_tlast : std_logic; signal s_axis_tx_tvalid : std_logic; signal s_axis_tx_tready : std_logic; signal s_axis_tx_tuser : std_logic_vector(3 downto 0); signal s_axis_tx_tdsc : std_logic; signal s_axis_tx_terrfwd : std_logic; signal tx_buf_av : std_logic_vector(5 downto 0); signal m_axis_rx_tdata : std_logic_vector(63 downto 0); signal m_axis_rx_tkeep : std_logic_vector(7 downto 0); signal m_axis_rx_tlast : std_logic; signal m_axis_rx_tvalid : std_logic; signal m_axis_rx_tready : std_logic; signal m_axis_rx_terrfwd : std_logic; signal m_axis_rx_tuser : std_logic_vector(21 downto 0); signal rx_np_ok : std_logic; signal rx_np_req : std_logic; signal m_axis_rx_tbar_hit : std_logic_vector(6 downto 0); signal trn_rfc_nph_av : std_logic_vector(7 downto 0); signal trn_rfc_npd_av : std_logic_vector(11 downto 0); signal trn_rfc_ph_av : std_logic_vector(7 downto 0); signal trn_rfc_pd_av : std_logic_vector(11 downto 0); signal trn_rfc_cplh_av : std_logic_vector(7 downto 0); signal trn_rfc_cpld_av : std_logic_vector(11 downto 0); signal cfg_do : std_logic_vector(31 downto 0); signal cfg_mgmt_rd_wr_done : std_logic; signal cfg_di : std_logic_vector(31 downto 0); signal cfg_mgmt_byte_en : std_logic_vector(3 downto 0); signal cfg_dwaddr : std_logic_vector(9 downto 0); signal cfg_mgmt_wr_en : std_logic; signal cfg_mgmt_rd_en : std_logic; signal cfg_err_cor : std_logic; signal cfg_err_ur : std_logic; signal cfg_err_cpl_rdy : std_logic; signal cfg_err_ecrc : std_logic; signal cfg_err_cpl_timeout : std_logic; signal cfg_err_cpl_abort : std_logic; signal cfg_err_cpl_unexpect : std_logic; signal cfg_err_posted : std_logic; signal cfg_err_locked : std_logic; signal cfg_err_tlp_cpl_header : std_logic_vector(47 downto 0); signal cfg_interrupt : std_logic; signal cfg_interrupt_rdy : std_logic; signal cfg_interrupt_mmenable : std_logic_vector(2 downto 0); signal cfg_interrupt_msienable : std_logic; signal cfg_interrupt_msixenable : std_logic; signal cfg_interrupt_msixfm : std_logic; signal cfg_interrupt_di : std_logic_vector(7 downto 0); signal cfg_interrupt_do : std_logic_vector(7 downto 0); signal cfg_interrupt_assert : std_logic; signal cfg_turnoff_ok : std_logic; signal cfg_to_turnoff : std_logic; signal cfg_pm_wake : std_logic; signal cfg_pcie_link_state : std_logic_vector(2 downto 0); signal cfg_trn_pending : std_logic; signal cfg_bus_number : std_logic_vector(7 downto 0); signal cfg_device_number : std_logic_vector(4 downto 0); signal cfg_function_number : std_logic_vector(2 downto 0); signal cfg_dsn : std_logic_vector(63 downto 0); signal cfg_status : std_logic_vector(15 downto 0); signal cfg_command : std_logic_vector(15 downto 0); signal cfg_dstatus : std_logic_vector(15 downto 0); signal cfg_dcommand : std_logic_vector(15 downto 0); signal cfg_lstatus : std_logic_vector(15 downto 0); signal cfg_lcommand : std_logic_vector(15 downto 0); signal sys_clk_c : std_logic; signal sys_reset_n_c : std_logic; signal sys_reset_c : std_logic; signal reset_n : std_logic; signal localId : std_logic_vector(15 downto 0); signal pcie_link_width : std_logic_vector(5 downto 0); signal ddr_ref_clk_i : std_logic; ----- DDR core User Interface signals ----------------------- signal app_addr : std_logic_vector(DDR_ADDR_WIDTH-1 downto 0); signal app_cmd : std_logic_vector(2 downto 0); signal app_en : std_logic; signal app_wdf_data : std_logic_vector(DDR_PAYLOAD_WIDTH-1 downto 0); signal app_wdf_end : std_logic; signal app_wdf_mask : std_logic_vector(DDR_PAYLOAD_WIDTH/8-1 downto 0); signal app_wdf_wren : std_logic; signal app_rd_data : std_logic_vector(DDR_PAYLOAD_WIDTH-1 downto 0); signal app_rd_data_end : std_logic; signal app_rd_data_valid : std_logic; signal app_rdy : std_logic; signal app_wdf_rdy : std_logic; signal ddr_ui_clk : std_logic; signal ddr_ui_reset : std_logic; signal ddr_calib_done : std_logic; signal ddr_sys_clk_i : std_logic; signal ddr_sys_reset_i : std_logic; begin sys_reset_c <= not sys_reset_n_c; sys_reset_n_ibuf : IBUF port map ( O => sys_reset_n_c, I => sys_rst_n ); pcieclk_ibuf : IBUFDS_GTXE1 port map ( O => sys_clk_c, ODIV2 => open, I => sys_clk_p, IB => sys_clk_n, CEB => '0' ); cfg_err_cor <= '0'; cfg_err_ur <= '0'; cfg_err_ecrc <= '0'; cfg_err_cpl_timeout <= '0'; cfg_err_cpl_abort <= '0'; cfg_err_cpl_unexpect <= '0'; cfg_err_posted <= '1'; cfg_err_locked <= '1'; cfg_err_tlp_cpl_header <= (others => '0'); cfg_trn_pending <= '0'; cfg_pm_wake <= '0'; -- fc_sel <= (others => '0'); pl_directed_link_auton <= '0'; pl_directed_link_change <= (others => '0'); pl_directed_link_speed <= '0'; pl_directed_link_width <= (others => '0'); pl_upstream_prefer_deemph <= '0'; tx_cfg_gnt <= '1'; s_axis_tx_tuser <= s_axis_tx_tdsc & '0' & s_axis_tx_terrfwd & '0'; m_axis_rx_terrfwd <= m_axis_rx_tuser(1); m_axis_rx_tbar_hit <= m_axis_rx_tuser(8 downto 2); -- cfg_di <= (others => '0'); cfg_dwaddr <= (others => '1'); cfg_mgmt_byte_en <= (others => '0'); cfg_mgmt_wr_en <= '0'; cfg_mgmt_rd_en <= '0'; cfg_dsn <= X"00000001" & X"01" & X"000A35"; -- //this is taken from GUI - cfg_turnoff_ok <= '1'; localId <= cfg_bus_number & cfg_device_number & cfg_function_number; pcie_link_width <= cfg_lstatus(9 downto 4); user_lnk_up_int_i : FDPE generic map ( INIT => '0' ) port map ( Q => user_lnk_up, D => user_lnk_up_int1, C => user_clk, CE => '1', PRE => '0' ); user_reset_i : FDPE generic map ( INIT => '1' ) port map ( Q => user_reset, D => user_reset_int1, C => user_clk, CE => '1', PRE => '0' ); -- -------------------------------------------------------------- -- -------------------------------------------------------------- pcie_core_i : pcie_core generic map( PL_FAST_TRAIN => PL_FAST_TRAIN ) port map( -------------------------------------------------------------------------------------------------------------------- -- 1. PCI Express (pci_exp) Interface -- -------------------------------------------------------------------------------------------------------------------- --TX pci_exp_txp => pci_exp_txp, pci_exp_txn => pci_exp_txn, -- RX pci_exp_rxp => pci_exp_rxp, pci_exp_rxn => pci_exp_rxn, ------------------------------------------------------------------------------------------------------------------- -- 2. AXI-S Interface -- ------------------------------------------------------------------------------------------------------------------- -- Common user_clk_out => user_clk , user_reset_out => user_reset_int1, user_lnk_up => user_lnk_up_int1, -- TX tx_buf_av => tx_buf_av , tx_cfg_req => tx_cfg_req , tx_err_drop => tx_err_drop , s_axis_tx_tready => s_axis_tx_tready , s_axis_tx_tdata => s_axis_tx_tdata , s_axis_tx_tkeep => s_axis_tx_tkeep , s_axis_tx_tlast => s_axis_tx_tlast , s_axis_tx_tvalid => s_axis_tx_tvalid , s_axis_tx_tuser => s_axis_tx_tuser, tx_cfg_gnt => tx_cfg_gnt , -- RX m_axis_rx_tdata => m_axis_rx_tdata , m_axis_rx_tkeep => m_axis_rx_tkeep , m_axis_rx_tlast => m_axis_rx_tlast , m_axis_rx_tvalid => m_axis_rx_tvalid , m_axis_rx_tready => m_axis_rx_tready , m_axis_rx_tuser => m_axis_rx_tuser, rx_np_ok => rx_np_ok , -- Flow Control fc_cpld => fc_cpld , fc_cplh => fc_cplh , fc_npd => fc_npd , fc_nph => fc_nph , fc_pd => fc_pd , fc_ph => fc_ph , fc_sel => fc_sel , ------------------------------------------------------------------------------------------------------------------- -- 3. Configuration (CFG) Interface -- ------------------------------------------------------------------------------------------------------------------- cfg_di => cfg_di, cfg_byte_en => (others => '0'), cfg_dwaddr => cfg_dwaddr, cfg_wr_en => '0', cfg_rd_en => '0', cfg_status => cfg_status , cfg_command => cfg_command , cfg_dstatus => cfg_dstatus , cfg_dcommand => cfg_dcommand , cfg_lstatus => cfg_lstatus , cfg_lcommand => cfg_lcommand , cfg_dcommand2 => cfg_dcommand2 , cfg_pcie_link_state => cfg_pcie_link_state , cfg_pmcsr_pme_en => open , cfg_pmcsr_pme_status => open , cfg_pmcsr_powerstate => open , cfg_err_ecrc => cfg_err_ecrc , cfg_err_ur => cfg_err_ur , cfg_err_cpl_timeout => cfg_err_cpl_timeout , cfg_err_cpl_unexpect => cfg_err_cpl_unexpect , cfg_err_cpl_abort => cfg_err_cpl_abort , cfg_err_posted => cfg_err_posted , cfg_err_cor => cfg_err_cor , cfg_err_tlp_cpl_header => cfg_err_tlp_cpl_header, cfg_err_cpl_rdy => cfg_err_cpl_rdy , cfg_err_locked => cfg_err_locked , cfg_trn_pending => cfg_trn_pending , --------------------------------------------------------------------- -- EP Only -- --------------------------------------------------------------------- cfg_interrupt => cfg_interrupt , cfg_interrupt_rdy => cfg_interrupt_rdy , cfg_interrupt_assert => cfg_interrupt_assert , cfg_interrupt_di => cfg_interrupt_di , cfg_interrupt_do => cfg_interrupt_do , cfg_interrupt_mmenable => cfg_interrupt_mmenable , cfg_interrupt_msienable => cfg_interrupt_msienable , cfg_interrupt_msixenable => cfg_interrupt_msixenable , cfg_interrupt_msixfm => cfg_interrupt_msixfm , cfg_to_turnoff => cfg_to_turnoff , cfg_turnoff_ok => cfg_turnoff_ok , cfg_bus_number => cfg_bus_number , cfg_device_number => cfg_device_number , cfg_function_number => cfg_function_number , cfg_pm_wake => cfg_pm_wake , ------------------------------------------------------------------------------------------------------------------- -- 5. Physical Layer Control and Status (PL) Interface -- ------------------------------------------------------------------------------------------------------------------- pl_directed_link_auton => pl_directed_link_auton , pl_directed_link_change => pl_directed_link_change , pl_directed_link_speed => pl_directed_link_speed , pl_directed_link_width => pl_directed_link_width , pl_upstream_prefer_deemph => pl_upstream_prefer_deemph , pl_ltssm_state => open , pl_lane_reversal_mode => pl_lane_reversal_mode , cfg_dsn => cfg_dsn , pl_link_partner_gen2_supported => pl_link_partner_gen2_supported , pl_initial_link_width => pl_initial_link_width , --------------------------------------------------------------------- -- EP Only -- --------------------------------------------------------------------- pl_received_hot_rst => pl_received_hot_rst , ------------------------------------------------------------------------------------------------------------------- -- 6. System(SYS) Interface -- ------------------------------------------------------------------------------------------------------------------- sys_clk => sys_clk_c , sys_reset => sys_reset_c ); -- --------------------------------------------------------------- -- tlp control module -- --------------------------------------------------------------- theTlpControl : tlpControl port map ( -- Wishbone FIFO interface wb_FIFO_we => wb_wr_we , -- OUT std_logic; wb_FIFO_wsof => wb_wr_wsof , -- OUT std_logic; wb_FIFO_weof => wb_wr_weof , -- OUT std_logic; wb_FIFO_din => wb_wr_din(C_DBUS_WIDTH-1 downto 0) , -- OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); wb_fifo_full => wb_wr_full, wb_FIFO_re => wb_rdd_ren , -- OUT std_logic; wb_FIFO_empty => wb_rdd_empty , -- IN std_logic; wb_FIFO_qout => wb_rdd_dout(C_DBUS_WIDTH-1 downto 0) , -- IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); wb_rdc_sof => wb_rdc_sof, --out std_logic; wb_rdc_v => wb_rdc_v, --out std_logic; wb_rdc_din => wb_rdc_din, --out std_logic_vector(C_DBUS_WIDTH-1 downto 0); wb_rdc_full => wb_rdc_full, --in std_logic; wb_timeout => wb_timeout, wb_FIFO_Rst => wb_fifo_rst , -- OUT std_logic; ------------------- -- DDR Interface DDR_Ready => DDR_Ready , -- IN std_logic; DDR_wr_sof => DDR_wr_sof , -- OUT std_logic; DDR_wr_eof => DDR_wr_eof , -- OUT std_logic; DDR_wr_v => DDR_wr_v , -- OUT std_logic; DDR_wr_Shift => DDR_wr_Shift , -- OUT std_logic; DDR_wr_Mask => DDR_wr_Mask , -- OUT std_logic_vector(2-1 downto 0); DDR_wr_din => DDR_wr_din , -- OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); DDR_wr_full => DDR_wr_full , -- IN std_logic; DDR_rdc_sof => DDR_rdc_sof , -- OUT std_logic; DDR_rdc_eof => DDR_rdc_eof , -- OUT std_logic; DDR_rdc_v => DDR_rdc_v , -- OUT std_logic; DDR_rdc_Shift => DDR_rdc_Shift , -- OUT std_logic; DDR_rdc_din => DDR_rdc_din , -- OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); DDR_rdc_full => DDR_rdc_full , -- IN std_logic; -- DDR payload FIFO Read Port DDR_FIFO_RdEn => DDR_FIFO_RdEn , -- OUT std_logic; DDR_FIFO_Empty => DDR_FIFO_Empty , -- IN std_logic; DDR_FIFO_RdQout => DDR_FIFO_RdQout , -- IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); ------------------- -- Transaction Interface user_lnk_up => user_lnk_up , rx_np_ok => rx_np_ok , rx_np_req => rx_np_req , s_axis_tx_tdsc => s_axis_tx_tdsc , tx_buf_av => tx_buf_av , s_axis_tx_terrfwd => s_axis_tx_terrfwd , user_clk => user_clk , user_reset => user_reset , m_axis_rx_tvalid => m_axis_rx_tvalid , s_axis_tx_tready => s_axis_tx_tready , m_axis_rx_tlast => m_axis_rx_tlast , m_axis_rx_terrfwd => m_axis_rx_terrfwd , m_axis_rx_tkeep => m_axis_rx_tkeep , m_axis_rx_tdata => m_axis_rx_tdata , cfg_interrupt => cfg_interrupt , cfg_interrupt_rdy => cfg_interrupt_rdy , cfg_interrupt_mmenable => cfg_interrupt_mmenable , cfg_interrupt_msienable => cfg_interrupt_msienable , cfg_interrupt_msixenable => cfg_interrupt_msixenable , cfg_interrupt_msixfm => cfg_interrupt_msixfm , cfg_interrupt_di => cfg_interrupt_di , cfg_interrupt_do => cfg_interrupt_do , cfg_interrupt_assert => cfg_interrupt_assert , m_axis_rx_tbar_hit => m_axis_rx_tbar_hit , s_axis_tx_tvalid => s_axis_tx_tvalid , m_axis_rx_tready => m_axis_rx_tready , s_axis_tx_tlast => s_axis_tx_tlast , s_axis_tx_tkeep => s_axis_tx_tkeep , s_axis_tx_tdata => s_axis_tx_tdata , cfg_dcommand => cfg_dcommand , pcie_link_width => pcie_link_width , localId => localId ); -- ----------------------------------------------------------------------- -- DDR SDRAM: control module USER LOGIC (2 BRAM Module: -- ----------------------------------------------------------------------- LoopBack_BRAM_Off : if not USE_LOOPBACK_TEST generate DDRs_ctrl_module : DDR_Transact generic map ( SIMULATION => SIMULATION, DATA_WIDTH => C_DBUS_WIDTH, ADDR_WIDTH => DDR_ADDR_WIDTH, DDR_UI_DATAWIDTH => DDR_PAYLOAD_WIDTH, DDR_DQ_WIDTH => DDR_DQ_WIDTH/2, --!!! Fix for differences between Virtex6 and 7 family devices DEVICE_TYPE => "VIRTEX6" ) port map( memc_ui_clk => memc_ui_clk, --: out std_logic; memc_cmd_rdy => memc_cmd_rdy, --: out std_logic; memc_cmd_en => memc_cmd_en, --: in std_logic; memc_cmd_instr => memc_cmd_instr, --: in std_logic_vector(2 downto 0); memc_cmd_addr => memc_cmd_addr, --: in std_logic_vector(31 downto 0); memc_wr_en => memc_wr_en, --: in std_logic; memc_wr_end => memc_wr_end, --: in std_logic; memc_wr_mask => memc_wr_mask, --: in std_logic_vector(64/8-1 downto 0); memc_wr_data => memc_wr_data, --: in std_logic_vector(64-1 downto 0); memc_wr_rdy => memc_wr_rdy, --: out std_logic; memc_rd_data => memc_rd_data, --: out std_logic_vector(64-1 downto 0); memc_rd_valid => memc_rd_valid, --: out std_logic; memarb_acc_req => memarb_acc_req, --: in std_logic; memarb_acc_gnt => memarb_acc_gnt, --: out std_logic; -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- DDR_wr_eof => DDR_wr_eof , -- IN std_logic; DDR_wr_v => DDR_wr_v , -- IN std_logic; DDR_wr_Shift => DDR_wr_Shift , -- IN std_logic; DDR_wr_Mask => DDR_wr_Mask , -- IN std_logic_vector(2-1 downto 0); DDR_wr_din => DDR_wr_din , -- IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); DDR_wr_full => DDR_wr_full , -- OUT std_logic; DDR_rdc_v => DDR_rdc_v , -- IN std_logic; DDR_rdc_Shift => DDR_rdc_Shift , -- IN std_logic; DDR_rdc_din => DDR_rdc_din , -- IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); DDR_rdc_full => DDR_rdc_full , -- OUT std_logic; -- DDR payload FIFO Read Port DDR_FIFO_RdEn => DDR_FIFO_RdEn , -- IN std_logic; DDR_FIFO_Empty => DDR_FIFO_Empty , -- OUT std_logic; DDR_FIFO_RdQout => DDR_FIFO_RdQout , -- OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- Common interface DDR_Ready => DDR_Ready, -- OUT std_logic; -- DDR core User Interface signals app_addr => app_addr, app_cmd => app_cmd, app_en => app_en, app_wdf_data => app_wdf_data, app_wdf_end => app_wdf_end, app_wdf_wren => app_wdf_wren, app_wdf_mask => app_wdf_mask, app_rd_data => app_rd_data, app_rd_data_end => app_rd_data_end, app_rd_data_valid => app_rd_data_valid, app_rdy => app_rdy, app_wdf_rdy => app_wdf_rdy, ui_clk => ddr_ui_clk, ui_clk_sync_rst => ddr_ui_reset, init_calib_complete => ddr_calib_done, --clocking & reset user_clk => user_clk , -- IN std_logic; user_reset => user_reset -- IN std_logic ); end generate; LoopBack_BRAM_On : if USE_LOOPBACK_TEST generate DDRs_ctrl_module : bram_DDRs_Control_loopback generic map ( C_ASYNFIFO_WIDTH => 72 , P_SIMULATION => false ) port map( -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- DDR_wr_sof => DDR_wr_sof , -- IN std_logic; DDR_wr_eof => DDR_wr_eof , -- IN std_logic; DDR_wr_v => DDR_wr_v , -- IN std_logic; DDR_wr_Shift => DDR_wr_Shift , -- IN std_logic; DDR_wr_Mask => DDR_wr_Mask , -- IN std_logic_vector(2-1 downto 0); DDR_wr_din => DDR_wr_din , -- IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); DDR_wr_full => DDR_wr_full , -- OUT std_logic; DDR_rdc_sof => DDR_rdc_sof , -- IN std_logic; DDR_rdc_eof => DDR_rdc_eof , -- IN std_logic; DDR_rdc_v => DDR_rdc_v , -- IN std_logic; DDR_rdc_Shift => DDR_rdc_Shift , -- IN std_logic; DDR_rdc_din => DDR_rdc_din , -- IN std_logic_vector(C_DBUS_WIDTH-1 downto 0); DDR_rdc_full => DDR_rdc_full , -- OUT std_logic; -- DDR payload FIFO Read Port DDR_FIFO_RdEn => DDR_FIFO_RdEn , -- IN std_logic; DDR_FIFO_Empty => DDR_FIFO_Empty , -- OUT std_logic; DDR_FIFO_RdQout => DDR_FIFO_RdQout , -- OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- Common interface DDR_Ready => DDR_Ready , -- OUT std_logic; DDR_Blinker => open , -- OUT std_logic; mem_clk => user_clk , -- IN user_clk => user_clk , -- IN std_logic; Sim_Zeichen => open , -- OUT std_logic; user_reset => user_reset -- IN std_logic ); end generate; Wishbone_intf : wb_transact port map( -- PCIE user clk user_clk => user_clk, --in std_logic; -- Write port wr_we => wb_wr_we, --in std_logic; wr_sof => wb_wr_wsof, --in std_logic; wr_eof => wb_wr_weof, --in std_logic; wr_din => wb_wr_din, --in std_logic_vector(C_DBUS_WIDTH-1 downto 0); wr_full => wb_wr_full, --out std_logic; -- Read command port rdc_sof => wb_rdc_sof, --in std_logic; rdc_v => wb_rdc_v, --in std_logic; rdc_din => wb_rdc_din, --in std_logic_vector(C_DBUS_WIDTH-1 downto 0); rdc_full => wb_rdc_full,--out std_logic; rd_tout => wb_timeout, -- Read data port rd_ren => wb_rdd_ren, --in std_logic; rd_empty => wb_rdd_empty, --out std_logic; rd_dout => wb_rdd_dout, --out std_logic_vector(C_DBUS_WIDTH-1 downto 0); -- Wishbone interface wb_clk => wbone_clk, --in std_logic; wb_rst => wbone_rst, --in std_logic; addr_o => wbone_addr(28 downto 0), --out std_logic_vector(31 downto 0); dat_i => wbone_mdin, --in std_logic_vector(63 downto 0); dat_o => wbone_mdout, --out std_logic_vector(63 downto 0); we_o => wbone_we, --out std_logic; sel_o => wbone_sel, --out std_logic_vector(0 downto 0); stb_o => wbone_stb, --out std_logic; ack_i => wbone_ack, --in std_logic; cyc_o => wbone_cyc, --out std_logic; --RESET from PCIe rst => user_reset --in std_logic ); wbone_clk <= CLK_I; wbone_rst <= RST_I; wbone_mdin <= DAT_I; wbone_ack <= ACK_I; ADDR_O <= wbone_addr; DAT_O <= wbone_mdout; WE_O <= wbone_we; SEL_O <= wbone_sel(0); STB_O <= wbone_stb; CYC_O <= wbone_cyc; ext_rst_o <= wb_fifo_rst; u_ddr_core : ddr_v6 generic map ( SIM_BYPASS_INIT_CAL => SIM_BYPASS_INIT_CAL, RST_ACT_LOW => 0 ) port map ( -- Memory interface ports ddr3_addr => ddr3_addr, ddr3_ba => ddr3_ba, ddr3_cas_n => ddr3_cas_n, ddr3_ck_n => ddr3_ck_n, ddr3_ck_p => ddr3_ck_p, ddr3_cke => ddr3_cke, ddr3_ras_n => ddr3_ras_n, ddr3_reset_n => ddr3_reset_n, ddr3_cs_n => ddr3_cs_n, ddr3_we_n => ddr3_we_n, ddr3_dq => ddr3_dq, ddr3_dqs_n => ddr3_dqs_n, ddr3_dqs_p => ddr3_dqs_p, phy_init_done => ddr_calib_done, ddr3_dm => ddr3_dm, ddr3_odt => ddr3_odt, scl => open, sda => open, -- Application interface ports app_addr => app_addr, app_cmd => app_cmd, app_en => app_en, app_wdf_data => app_wdf_data, app_wdf_end => app_wdf_end, app_wdf_wren => app_wdf_wren, app_wdf_mask => app_wdf_mask, app_rd_data => app_rd_data, app_rd_data_end => app_rd_data_end, app_rd_data_valid => app_rd_data_valid, app_rdy => app_rdy, app_wdf_rdy => app_wdf_rdy, ui_clk => ddr_ui_clk, ui_clk_sync_rst => ddr_ui_reset, -- System Clock Ports sys_clk => ddr_sys_clk_i, clk_ref => ddr_ref_clk_i, sys_rst => ddr_sys_reset_i ); ddr_sys_clk_i <= ddr_sys_clk_p; ddr_ref_clk_i <= ddr_sys_clk_p; ddr_sys_reset_i <= ddr_core_rst; memc_ui_rst <= ddr_ui_reset; end Behavioral;
lgpl-3.0
lerwys/bpm-sw-old-backup
hdl/modules/fmc_adc_common/fmc_adc_clk.vhd
1
17659
------------------------------------------------------------------------------ -- Title : Wishbone FMC ADC clock Interface ------------------------------------------------------------------------------ -- Author : Lucas Maziero Russo -- Company : CNPEM LNLS-DIG -- Created : 2012-29-10 -- Platform : FPGA-generic ------------------------------------------------------------------------------- -- Description: Clock Interface with FMC ADC boards. ------------------------------------------------------------------------------- -- Copyright (c) 2012 CNPEM -- Licensed under GNU Lesser General Public License (LGPL) v3.0 ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2012-29-10 1.0 lucas.russo Created -- 2013-19-08 1.1 lucas.russo Refactored to enable use with other FMC ADC boards ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; library work; use work.fmc_adc_pkg.all; entity fmc_adc_clk is generic ( -- The only supported values are VIRTEX6 and 7SERIES g_fpga_device : string := "VIRTEX6"; g_delay_type : string := "VARIABLE"; g_adc_clock_period : real; g_default_adc_clk_delay : natural := 0; g_with_ref_clk : boolean := false; g_mmcm_param : t_mmcm_param := default_mmcm_param; g_with_fn_dly_select : boolean := false; g_with_bufio : boolean := true; g_with_bufr : boolean := true; g_sim : integer := 0 ); port ( sys_clk_i : in std_logic; sys_clk_200Mhz_i : in std_logic; sys_rst_i : in std_logic; ----------------------------- -- External ports ----------------------------- -- ADC clocks. One clock per ADC channel adc_clk_i : in std_logic; ----------------------------- -- ADC Delay signals. ----------------------------- -- ADC fine delay control adc_clk_fn_dly_i : in t_adc_clk_fn_dly; adc_clk_fn_dly_o : out t_adc_clk_fn_dly; ----------------------------- -- ADC output signals. ----------------------------- adc_clk_chain_priv_o : out t_adc_clk_chain_priv; adc_clk_chain_glob_o : out t_adc_clk_chain_glob ----------------------------- -- MMCM general signals ----------------------------- --mmcm_adc_locked_o : out std_logic ); end fmc_adc_clk; architecture rtl of fmc_adc_clk is alias c_mmcm_param is g_mmcm_param; -- Clock and reset signals signal adc_clk_ibufgds : std_logic; signal adc_clk_ibufgds_dly : std_logic; -- Clock BUFMR signals signal adc_clk_bufmr : std_logic; -- Clock BUFIO/BUFR input signals signal adc_clk_bufio_in : std_logic; signal adc_clk_bufr_in : std_logic; signal adc_clk_mmcm_in : std_logic; -- Clock internal signals interconnect signal adc_clk_bufio : std_logic; signal adc_clk_bufr : std_logic; signal adc_clk_bufg : std_logic; signal adc_clk2x_bufg : std_logic; -- Clock MMCM signals signal adc_clk_fbin : std_logic; signal adc_clk_fbout : std_logic; signal adc_clk_mmcm_out : std_logic; signal adc_clk2x_mmcm_out : std_logic; signal mmcm_adc_locked_int : std_logic; -- Clock delay signals signal iodelay_update : std_logic; --signal adc_clk_dly_val_int : std_logic_vector(4 downto 0); begin -- Check for unsupported generic configs -- Supported options --BUFIO yes / BUFR no (unsupported) --BUFIO no / BUFR yes (OK) --BUFIO yes / BUFR yes (OK) --BUFIO no / BUFR no (OK) assert not (g_with_bufio and not g_with_bufr) report "If BUFIO is used, then BUFR must also be!" severity failure; ----------------------------- -- Clock signal datapath ----------------------------- -- Delay for Clock Buffers -- From Virtex-6 SelectIO Datasheet: -- Sets the type of tap delay line. DEFAULT delay guarantees zero hold times. -- FIXED delay sets a static delay value. VAR_LOADABLE dynamically loads tap -- values. VARIABLE delay dynamically adjusts the delay value. -- -- HIGH_PERFORMANCE_MODE = TRUE reduces the output -- jitter in exchange of increase power dissipation gen_adc_clk_var_loadable_iodelay : if (g_delay_type = "VAR_LOADABLE") generate cmp_ibufds_clk_iodelay : iodelaye1 generic map( IDELAY_TYPE => g_delay_type, IDELAY_VALUE => g_default_adc_clk_delay, SIGNAL_PATTERN => "CLOCK", HIGH_PERFORMANCE_MODE => TRUE, DELAY_SRC => "I" ) port map( idatain => adc_clk_i, dataout => adc_clk_ibufgds_dly, c => sys_clk_i, ce => '0', --inc => adc_clk_dly_incdec_i, inc => '0', datain => '0', odatain => '0', clkin => '0', --rst => adc_clk_dly_pulse_i, rst => iodelay_update, cntvaluein => adc_clk_fn_dly_i.idelay.val, cntvalueout => adc_clk_fn_dly_o.idelay.val, cinvctrl => '0', t => '1' ); end generate; gen_adc_clk_variable_iodelay : if (g_delay_type = "VARIABLE") generate cmp_ibufds_clk_iodelay : iodelaye1 generic map( IDELAY_TYPE => g_delay_type, IDELAY_VALUE => g_default_adc_clk_delay, SIGNAL_PATTERN => "CLOCK", HIGH_PERFORMANCE_MODE => TRUE, DELAY_SRC => "I" ) port map( idatain => adc_clk_i, dataout => adc_clk_ibufgds_dly, c => sys_clk_i, --ce => adc_clk_dly_pulse_i, ce => iodelay_update, inc => adc_clk_fn_dly_i.idelay.incdec, datain => '0', odatain => '0', clkin => '0', rst => '0', cntvaluein => adc_clk_fn_dly_i.idelay.val, cntvalueout => adc_clk_fn_dly_o.idelay.val, cinvctrl => '0', t => '1' ); end generate; gen_with_fn_dly_select : if (g_with_fn_dly_select) generate iodelay_update <= '1' when adc_clk_fn_dly_i.idelay.pulse = '1' and adc_clk_fn_dly_i.sel.which = '1' else '0'; end generate; gen_without_fn_dly_select : if (not g_with_fn_dly_select) generate iodelay_update <= adc_clk_fn_dly_i.idelay.pulse; end generate; -- Generate BUFMR and connect directly to BUFIO/BUFR -- -- In Xilinx 7-Series devices, BUFIO/BUFR only drives a single clock region. -- If BUFIO/BUFR must drive multi clock-regions (up to 3: actual, above and -- below), we must instanciate a multi-clock buffer (BUFMR) and then drive -- the BUFIO/BUFR as needed. gen_bufmr : if (g_fpga_device = "7SERIES") generate -- We either have BUFIO + BUFR or just BUFR. We only -- have to check for BUFR, then. gen_bufmr_7_series : if (g_with_bufr) generate -- 1-bit output: Clock output (connect to BUFIOs/BUFRs) -- 1-bit input: Clock input (Connect to IBUFG) cmp_bufmr : bufmr port map ( O => adc_clk_bufmr, I => adc_clk_ibufgds_dly ); adc_clk_bufio_in <= adc_clk_bufmr; adc_clk_bufr_in <= adc_clk_bufmr; end generate; gen_not_bufmr_7_series : if (not g_with_bufr) generate adc_clk_bufio_in <= adc_clk_ibufgds_dly; adc_clk_bufr_in <= adc_clk_ibufgds_dly; end generate; end generate; -- Do not generate BUFMR and connect the input clock directly to BUFIO/BUFR gen_not_bufmr : if (g_fpga_device = "VIRTEX6") generate adc_clk_bufio_in <= adc_clk_ibufgds_dly; adc_clk_bufr_in <= adc_clk_ibufgds_dly; end generate; -- BUFIO (better switching characteristics than BUFR and BUFG). -- It can be used just inside ILOGIC blocks resources, such as -- an IDDR block. gen_with_bufio : if (g_with_bufio) generate cmp_adc_clk_bufio : bufio port map ( O => adc_clk_bufio, I => adc_clk_bufio_in ); end generate; -- BUFR (better switching characteristics than BUFG). -- It can drive logic elements (block ram, CLB, DSP tiles, -- etc) up to 6 clock regions. gen_with_bufr : if (g_with_bufr) generate cmp_adc_clk_bufr : bufr generic map( SIM_DEVICE => g_fpga_device, BUFR_DIVIDE => "BYPASS" ) port map ( CLR => '0', CE => '1', I => adc_clk_bufr_in, O => adc_clk_bufr ); end generate; -- MMCM input clock gen_mmcm_clk_fallback_in : if (not g_with_bufr and not g_with_bufio) generate adc_clk_mmcm_in <= adc_clk_ibufgds_dly; end generate; gen_mmcm_clk_in : if (g_with_bufr) generate adc_clk_mmcm_in <= adc_clk_bufr; end generate; gen_with_ref_clk : if (g_with_ref_clk) generate -- ADC Clock PLL cmp_mmcm_adc_clk : MMCM_ADV generic map( BANDWIDTH => "OPTIMIZED", CLKOUT4_CASCADE => FALSE, CLOCK_HOLD => FALSE, -- Let the synthesis tools select the best appropriate -- compensation method (as dictated in Virtex-6 clocking -- resourses guide page 53, note 2) --COMPENSATION => "ZHOLD", STARTUP_WAIT => FALSE, --DIVCLK_DIVIDE => 4, DIVCLK_DIVIDE => c_mmcm_param.divclk, --CLKFBOUT_MULT_F => 12.000, CLKFBOUT_MULT_F => c_mmcm_param.clkbout_mult_f, CLKFBOUT_PHASE => 0.000, CLKFBOUT_USE_FINE_PS => FALSE, -- adc clock --CLKOUT0_DIVIDE_F => 3.000, CLKOUT0_DIVIDE_F => c_mmcm_param.clk0_out_div_f, CLKOUT0_PHASE => 0.000, CLKOUT0_DUTY_CYCLE => 0.500, CLKOUT0_USE_FINE_PS => FALSE, -- 2x adc clock. --CLKOUT1_DIVIDE => 3, CLKOUT1_DIVIDE => c_mmcm_param.clk1_out_div, CLKOUT1_PHASE => 0.000, CLKOUT1_DUTY_CYCLE => 0.500, CLKOUT1_USE_FINE_PS => FALSE, -- 130 MHZ input clock CLKIN1_PERIOD => c_mmcm_param.clk0_in_period, REF_JITTER1 => 0.10, -- Not used. Just to bypass Xilinx errors -- Just input 130 MHz input clock CLKIN2_PERIOD => c_mmcm_param.clk0_in_period, REF_JITTER2 => 0.10 ) port map( -- Output clocks CLKFBOUT => adc_clk_fbout, CLKFBOUTB => open, CLKOUT0 => adc_clk_mmcm_out, CLKOUT0B => open, CLKOUT1 => adc_clk2x_mmcm_out, CLKOUT1B => open, CLKOUT2 => open, CLKOUT2B => open, CLKOUT3 => open, CLKOUT3B => open, CLKOUT4 => open, CLKOUT5 => open, CLKOUT6 => open, -- Input clock control CLKFBIN => adc_clk_fbin, CLKIN1 => adc_clk_mmcm_in, CLKIN2 => '0', -- Tied to always select the primary input clock CLKINSEL => '1', -- Ports for dynamic reconfiguration DADDR => (others => '0'), DCLK => '0', DEN => '0', DI => (others => '0'), DO => open, DRDY => open, DWE => '0', -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => open, -- Other control and status signals LOCKED => mmcm_adc_locked_int, CLKINSTOPPED => open, CLKFBSTOPPED => open, PWRDWN => '0', RST => sys_rst_i ); -- Global clock buffer for MMCM feedback. Deskew MMCM configuration cmp_adc_clk_fb_bufg : BUFG port map( O => adc_clk_fbin, I => adc_clk_fbout ); -- Global clock buffer for FPGA logic cmp_adc_out_bufg : BUFG port map( O => adc_clk_bufg, I => adc_clk_mmcm_out ); cmp_adc2x_out_bufg : BUFG port map( O => adc_clk2x_bufg, I => adc_clk2x_mmcm_out ); end generate; -- Only instantiate BUFG if BUFIO and BUFR not selected and not a reference clock gen_without_ref_clk : if (not g_with_ref_clk) generate gen_without_bufio_bufr : if (not g_with_bufio and not g_with_bufr) generate cmp_noref_clk_bufg : BUFG port map( O => adc_clk_bufg, I => adc_clk_mmcm_in ); end generate; end generate; -- Clock buffer supported options --BUFIO yes / BUFR no (unsupported) --BUFIO no / BUFR yes (OK) --BUFIO yes / BUFR yes (OK) --BUFIO no / BUFR no (OK) -- Output clocks. -- BUFIO selected gen_with_bufio_out : if (g_with_bufio) generate adc_clk_chain_priv_o.adc_clk_bufio <= adc_clk_bufio; end generate; -- BUFR selected gen_with_bufr_out : if (g_with_bufr) generate adc_clk_chain_priv_o.adc_clk_bufr <= adc_clk_bufr; -- BUFR selected but BUFIO NOT selected. Output BUFIO clock as BUFR clock gen_withou_bufio_out : if (not g_with_bufio) generate adc_clk_chain_priv_o.adc_clk_bufio <= adc_clk_bufr; end generate; end generate; -- BUFR NOT selected and BUFIO NOT selected. Output BUFIO and BUFR as BUFG clock gen_withou_bufr_bufio_out : if (not g_with_bufio and not g_with_bufr) generate adc_clk_chain_priv_o.adc_clk_bufr <= adc_clk_bufg; adc_clk_chain_priv_o.adc_clk_bufio <= adc_clk_bufg; end generate; -- Output Reference ADC clock if selected gen_ref_clks : if (g_with_ref_clk) generate adc_clk_chain_glob_o.adc_clk_bufg <= adc_clk_bufg; adc_clk_chain_glob_o.adc_clk2x_bufg <= adc_clk2x_bufg; end generate; gen_true_mmcm_lock_ref_clk : if (g_with_ref_clk) generate adc_clk_chain_glob_o.mmcm_adc_locked <= mmcm_adc_locked_int; end generate; gen_false_mmcm_lock_ref_clk : if (not g_with_ref_clk) generate adc_clk_chain_glob_o.mmcm_adc_locked <= '1'; end generate; end rtl;
lgpl-3.0
lerwys/bpm-sw-old-backup
hdl/ip_cores/pcie/ml605/ddr_v6/user_design/rtl/phy/phy_top.vhd
1
102365
--***************************************************************************** -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: 3.92 -- \ \ Application: MIG -- / / Filename: phy_top.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:18:13 $ -- \ \ / \ Date Created: Aug 03 2009 -- \___\/\___\ -- --Device: Virtex-6 --Design Name: DDR3 SDRAM --Purpose: --Purpose: -- Top-level for memory physical layer (PHY) interface -- NOTES: -- 1. Need to support multiple copies of CS outputs -- 2. DFI_DRAM_CKE_DISABLE not supported -- --Reference: --Revision History: --***************************************************************************** --****************************************************************************** --**$Id: phy_top.vhd,v 1.1 2011/06/02 07:18:13 mishra Exp $ --**$Date: 2011/06/02 07:18:13 $ --**$Author: mishra $ --**$Revision: 1.1 $ --**$Source: /devl/xcs/repo/env/Databases/ip/src2/O/mig_v3_9/data/dlib/virtex6/ddr3_sdram/vhdl/rtl/phy/phy_top.vhd,v $ --****************************************************************************** library unisim; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; entity phy_top is generic ( TCQ : integer := 100; nCK_PER_CLK : integer := 2; -- # of memory clocks per CLK CLK_PERIOD : integer := 3333; -- Internal clock period (in ps) REFCLK_FREQ : real := 300.0; -- IODELAY Reference Clock freq (MHz) DRAM_TYPE : string := "DDR3"; -- Memory I/F type: "DDR3", "DDR2" -- Slot Conifg parameters SLOT_0_CONFIG : std_logic_vector(7 downto 0) := X"01"; SLOT_1_CONFIG : std_logic_vector(7 downto 0) := X"00"; -- DRAM bus widths BANK_WIDTH : integer := 2; -- # of bank bits CK_WIDTH : integer := 1; -- # of CK/CK# outputs to memory COL_WIDTH : integer := 10; -- column address width nCS_PER_RANK : integer := 1; -- # of unique CS outputs per rank DQ_CNT_WIDTH : integer := 6; -- = ceil(log2(DQ_WIDTH)) DQ_WIDTH : integer := 64; -- # of DQ (data) DM_WIDTH : integer := 8; -- # of DM (data mask) DQS_CNT_WIDTH : integer := 3; -- = ceil(log2(DQS_WIDTH)) DQS_WIDTH : integer := 8; -- # of DQS (strobe) DRAM_WIDTH : integer := 8; -- # of DQ per DQS ROW_WIDTH : integer := 14; -- DRAM address bus width RANK_WIDTH : integer := 1; -- log2(CS_WIDTH) CS_WIDTH : integer := 1; -- # of DRAM ranks CKE_WIDTH : integer := 1; -- # of DRAM ranks CAL_WIDTH : string := "HALF"; -- # of DRAM ranks to be calibrated -- CAL_WIDTH = CS_WIDTH when "FULL" -- CAL_WIDTH = CS_WIDTH/2 when "HALF" -- calibration Address. The address given below will be used for calibration -- read and write operations. CALIB_ROW_ADD : std_logic_vector(15 downto 0) := X"0000"; -- Calibration row address CALIB_COL_ADD : std_logic_vector(11 downto 0) := X"000"; -- Calibration column address CALIB_BA_ADD : std_logic_vector(2 downto 0) := "000"; -- Calibration bank address -- DRAM mode settings AL : string := "0"; -- Additive Latency option BURST_MODE : string := "8"; -- Burst length BURST_TYPE : string := "SEQ"; -- Burst type nAL : integer := 0; -- Additive latency (in clk cyc) nCL : integer := 5; -- Read CAS latency (in clk cyc) nCWL : integer := 5; -- Write CAS latency (in clk cyc) tRFC : integer := 110000; -- Refresh-to-command delay OUTPUT_DRV : string := "HIGH"; -- DRAM reduced output drive option REG_CTRL : string := "ON"; -- "ON" for registered DIMM RTT_NOM : string := "60"; -- ODT Nominal termination value RTT_WR : string := "60"; -- ODT Write termination value WRLVL : string := "OFF"; -- Enable write leveling -- Phase Detector/Read Leveling options PHASE_DETECT : string := "OFF"; -- Enable read phase detector PD_TAP_REQ : integer := 0; -- # of IODELAY taps reserved for PD PD_MSB_SEL : integer := 8; -- # of IODELAY taps reserved for PD PD_DQS0_ONLY : string := "ON"; -- Enable use of DQS[0] only for -- phase detector PD_LHC_WIDTH : integer := 16; -- sampling averaging cntr widths PD_CALIB_MODE : string := "PARALLEL"; -- parallel/seq PD calibration -- IODELAY/BUFFER options IBUF_LPWR_MODE : string := "OFF"; -- Input buffer low power mode IODELAY_HP_MODE : string := "ON"; -- IODELAY High Performance Mode IODELAY_GRP : string := "IODELAY_MIG"; -- May be assigned unique name -- when mult IP cores in design -- Pin-out related parameters nDQS_COL0 : integer := 8; -- # DQS groups in I/O column #1 nDQS_COL1 : integer := 0; -- # DQS groups in I/O column #2 nDQS_COL2 : integer := 0; -- # DQS groups in I/O column #3 nDQS_COL3 : integer := 0; -- # DQS groups in I/O column #4 DQS_LOC_COL0 : std_logic_vector(143 downto 0) := X"11100F0E0D0C0B0A09080706050403020100"; -- DQS grps in col #1 DQS_LOC_COL1 : std_logic_vector(143 downto 0) := X"000000000000000000000000000000000000"; -- DQS grps in col #2 DQS_LOC_COL2 : std_logic_vector(143 downto 0) := X"000000000000000000000000000000000000"; -- DQS grps in col #3 DQS_LOC_COL3 : std_logic_vector(143 downto 0) := X"000000000000000000000000000000000000"; -- DQS grps in col #4 USE_DM_PORT : integer := 1; -- DM instantation enable -- Simulation /debug options SIM_BYPASS_INIT_CAL : string := "OFF"; -- Parameter used to force skipping -- or abbreviation of initialization -- and calibration. Overrides -- SIM_INIT_OPTION, SIM_CAL_OPTION, -- and disables various other blocks SIM_INIT_OPTION : string := "NONE"; -- Skip various initialization steps SIM_CAL_OPTION : string := "NONE"; -- Skip various calibration steps DEBUG_PORT : string := "OFF" -- Enable debug port ); port ( clk_mem : in std_logic; -- Memory clock clk : in std_logic; -- Internal (logic) clock clk_rd_base : in std_logic; -- For inner/outer I/O cols rst : in std_logic; -- Reset sync'ed to CLK -- Slot present inputs slot_0_present : in std_logic_vector(7 downto 0); slot_1_present : in std_logic_vector(7 downto 0); -- DFI Control/Address dfi_address0 : in std_logic_vector(ROW_WIDTH-1 downto 0); dfi_address1 : in std_logic_vector(ROW_WIDTH-1 downto 0); dfi_bank0 : in std_logic_vector(BANK_WIDTH-1 downto 0); dfi_bank1 : in std_logic_vector(BANK_WIDTH-1 downto 0); dfi_cas_n0 : in std_logic; dfi_cas_n1 : in std_logic; dfi_cke0 : in std_logic_vector(CKE_WIDTH-1 downto 0); dfi_cke1 : in std_logic_vector(CKE_WIDTH-1 downto 0); dfi_cs_n0 : in std_logic_vector(CS_WIDTH*nCS_PER_RANK - 1 downto 0); dfi_cs_n1 : in std_logic_vector(CS_WIDTH*nCS_PER_RANK - 1 downto 0); dfi_odt0 : in std_logic_vector(CS_WIDTH*nCS_PER_RANK - 1 downto 0); dfi_odt1 : in std_logic_vector(CS_WIDTH*nCS_PER_RANK - 1 downto 0); dfi_ras_n0 : in std_logic; dfi_ras_n1 : in std_logic; dfi_reset_n : in std_logic; dfi_we_n0 : in std_logic; dfi_we_n1 : in std_logic; -- DFI Write dfi_wrdata_en : in std_logic; dfi_wrdata : in std_logic_vector(4 * DQ_WIDTH - 1 downto 0); dfi_wrdata_mask : in std_logic_vector(4 * (DQ_WIDTH / 8) - 1 downto 0); -- DFI Read dfi_rddata_en : in std_logic; dfi_rddata : out std_logic_vector(4 * DQ_WIDTH - 1 downto 0); dfi_rddata_valid : out std_logic; -- DFI Initialization Status / CLK Disable dfi_dram_clk_disable : in std_logic; dfi_init_complete : out std_logic; -- sideband signals io_config_strobe : in std_logic; io_config : in std_logic_vector(RANK_WIDTH downto 0); -- DDRx Output Interface ddr_ck_p : out std_logic_vector(CK_WIDTH - 1 downto 0); ddr_ck_n : out std_logic_vector(CK_WIDTH - 1 downto 0); ddr_addr : out std_logic_vector(ROW_WIDTH - 1 downto 0); ddr_ba : out std_logic_vector(BANK_WIDTH - 1 downto 0); ddr_ras_n : out std_logic; ddr_cas_n : out std_logic; ddr_we_n : out std_logic; ddr_cs_n : out std_logic_vector(CS_WIDTH * nCS_PER_RANK - 1 downto 0); ddr_cke : out std_logic_vector(CKE_WIDTH - 1 downto 0); ddr_odt : out std_logic_vector(CS_WIDTH * nCS_PER_RANK - 1 downto 0); ddr_reset_n : out std_logic; ddr_parity : out std_logic; ddr_dm : out std_logic_vector(DM_WIDTH - 1 downto 0); ddr_dqs_p : inout std_logic_vector(DQS_WIDTH - 1 downto 0); ddr_dqs_n : inout std_logic_vector(DQS_WIDTH - 1 downto 0); ddr_dq : inout std_logic_vector(DQ_WIDTH - 1 downto 0); -- Read Phase Detector Interface pd_PSDONE : in std_logic; pd_PSEN : out std_logic; pd_PSINCDEC : out std_logic; -- Debug Port -- Write leveling logic dbg_wr_dqs_tap_set : in std_logic_vector(5 * DQS_WIDTH - 1 downto 0); dbg_wr_dq_tap_set : in std_logic_vector(5 * DQS_WIDTH - 1 downto 0); dbg_wr_tap_set_en : in std_logic; dbg_wrlvl_start : out std_logic; dbg_wrlvl_done : out std_logic; dbg_wrlvl_err : out std_logic; dbg_wl_dqs_inverted : out std_logic_vector(DQS_WIDTH - 1 downto 0); dbg_wr_calib_clk_delay : out std_logic_vector(2 * DQS_WIDTH - 1 downto 0); dbg_wl_odelay_dqs_tap_cnt : out std_logic_vector(5 * DQS_WIDTH - 1 downto 0); dbg_wl_odelay_dq_tap_cnt : out std_logic_vector(5 * DQS_WIDTH - 1 downto 0); dbg_tap_cnt_during_wrlvl : out std_logic_vector(4 downto 0); dbg_wl_edge_detect_valid : out std_logic; dbg_rd_data_edge_detect : out std_logic_vector(DQS_WIDTH - 1 downto 0); -- Read leveling logic dbg_rdlvl_start : out std_logic_vector(1 downto 0); dbg_rdlvl_done : out std_logic_vector(1 downto 0); dbg_rdlvl_err : out std_logic_vector(1 downto 0); dbg_cpt_first_edge_cnt : out std_logic_vector(5 * DQS_WIDTH - 1 downto 0); dbg_cpt_second_edge_cnt : out std_logic_vector(5 * DQS_WIDTH - 1 downto 0); dbg_rd_bitslip_cnt : out std_logic_vector(3 * DQS_WIDTH - 1 downto 0); dbg_rd_clkdly_cnt : out std_logic_vector(2 * DQS_WIDTH - 1 downto 0); dbg_rd_active_dly : out std_logic_vector(4 downto 0); dbg_rd_data : out std_logic_vector(4 * DQ_WIDTH - 1 downto 0); -- Delay control dbg_idel_up_all : in std_logic; dbg_idel_down_all : in std_logic; dbg_idel_up_cpt : in std_logic; dbg_idel_down_cpt : in std_logic; dbg_idel_up_rsync : in std_logic; dbg_idel_down_rsync : in std_logic; dbg_sel_idel_cpt : in std_logic_vector(DQS_CNT_WIDTH - 1 downto 0); dbg_sel_all_idel_cpt : in std_logic; dbg_sel_idel_rsync : in std_logic_vector(DQS_CNT_WIDTH - 1 downto 0); dbg_sel_all_idel_rsync : in std_logic; dbg_cpt_tap_cnt : out std_logic_vector(5 * DQS_WIDTH - 1 downto 0); dbg_rsync_tap_cnt : out std_logic_vector(19 downto 0); dbg_dqs_tap_cnt : out std_logic_vector(5 * DQS_WIDTH - 1 downto 0); dbg_dq_tap_cnt : out std_logic_vector(5 * DQS_WIDTH - 1 downto 0); -- Phase detector dbg_pd_off : in std_logic; dbg_pd_maintain_off : in std_logic; dbg_pd_maintain_0_only : in std_logic; dbg_pd_inc_cpt : in std_logic; dbg_pd_dec_cpt : in std_logic; dbg_pd_inc_dqs : in std_logic; dbg_pd_dec_dqs : in std_logic; dbg_pd_disab_hyst : in std_logic; dbg_pd_disab_hyst_0 : in std_logic; dbg_pd_msb_sel : in std_logic_vector(3 downto 0); dbg_pd_byte_sel : in std_logic_vector(DQS_CNT_WIDTH - 1 downto 0); dbg_inc_rd_fps : in std_logic; dbg_dec_rd_fps : in std_logic; -- General debug ports - connect to internal nets as needed dbg_phy_pd : out std_logic_vector(255 downto 0); -- Phase Detector dbg_phy_read : out std_logic_vector(255 downto 0); -- Read datapath dbg_phy_rdlvl : out std_logic_vector(255 downto 0); -- Read leveling calibration dbg_phy_top : out std_logic_vector(255 downto 0) -- General PHY debug ); end entity phy_top; architecture arch of phy_top is attribute X_CORE_INFO : string; attribute X_CORE_INFO of arch : ARCHITECTURE IS "mig_v3_92_ddr3_V6, Coregen 14.2"; attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of arch : ARCHITECTURE IS "ddr3_V6_phy,mig_v3_92,{LANGUAGE=VHDL, SYNTHESIS_TOOL=ISE, LEVEL=PHY, AXI_ENABLE=0, NO_OF_CONTROLLERS=1, INTERFACE_TYPE=DDR3, CLK_PERIOD=2500, MEMORY_TYPE=SODIMM, MEMORY_PART=mt4jsf12864hz-1g4, DQ_WIDTH=64, ECC=OFF, DATA_MASK=1, BURST_MODE=4, BURST_TYPE=SEQ, OUTPUT_DRV=HIGH, RTT_NOM=60, REFCLK_FREQ=200, MMCM_ADV_BANDWIDTH=OPTIMIZED, CLKFBOUT_MULT_F=6, CLKOUT_DIVIDE=3, DEBUG_PORT=OFF, IODELAY_HP_MODE=ON, INTERNAL_VREF=0, DCI_INOUTS=1, CLASS_ADDR=I, INPUT_CLK_TYPE=SINGLE_ENDED}"; -- For reg dimm addign one extra cycle of latency for CWL. The new value -- will be passed to phy_write and phy_data_io function CALC_CWL_M return integer is begin if (REG_CTRL = "ON") then return (nCWL + 1); else return nCWL; end if; end function; -- function to AND the bits in a vectored signal function AND_BR (inp_var: std_logic_vector) return std_logic is variable temp: std_logic := '1'; begin for idx in inp_var'range loop temp := temp and inp_var(idx); end loop; return temp; end function; -- function to OR the bits in a vectored signal function OR_BR (inp_var: std_logic_vector) return std_logic is variable temp: std_logic := '0'; begin for idx in inp_var'range loop temp := temp or inp_var(idx); end loop; return temp; end function; -- Calculate number of slots in the system function CALC_nSLOTS return integer is begin if (OR_BR(SLOT_1_CONFIG) = '1') then return (2); else return (1); end if; end function; -- Temp parameters used to force skipping or abbreviation of -- initialization and calibration. In some cases logic blocks -- may be disabled altogether. function CALC_SIM_INIT_OPTION_W return string is begin if (SIM_BYPASS_INIT_CAL = "SKIP" or SIM_BYPASS_INIT_CAL = "FAST") then return ("SKIP_PU_DLY"); else return (SIM_INIT_OPTION); end if; end function; function CALC_SIM_CAL_OPTION_W return string is begin if (SIM_BYPASS_INIT_CAL = "SKIP") then return ("SKIP_CAL"); elsif (SIM_BYPASS_INIT_CAL = "FAST") then return ("FAST_CAL"); else return (SIM_CAL_OPTION); end if; end function; function CALC_WRLVL_W return string is begin if (SIM_BYPASS_INIT_CAL = "SKIP") then return ("OFF"); else return (WRLVL); end if; end function; function CALC_PHASE_DETECT_W return string is begin if (SIM_BYPASS_INIT_CAL = "SKIP") then return ("OFF"); else return (PHASE_DETECT); end if; end function; -- Parameter used to force skipping or abbreviation of initialization -- and calibration. Overrides SIM_INIT_OPTION, SIM_CAL_OPTION, and -- disables various other blocks depending on the option selected -- This option should only be used during simulation. In the case of -- the "SKIP" option, the testbench used should also not be modeling -- propagation delays. -- Allowable options = {"NONE", "SKIP", "FAST"} -- "NONE" = options determined by the individual parameter settings -- "SKIP" = skip power-up delay, skip calibration for read leveling, -- write leveling, and phase detector. In the case of write -- leveling and the phase detector, this means not instantiating -- those blocks at all. -- "FAST" = skip power-up delay, and calibrate (read leveling, write -- leveling, and phase detector) only using one DQS group, and -- apply the results to all other DQS groups. constant SIM_INIT_OPTION_W : string := CALC_SIM_INIT_OPTION_W; constant SIM_CAL_OPTION_W : string := CALC_SIM_CAL_OPTION_W; constant WRLVL_W : string := CALC_WRLVL_W; constant PHASE_DETECT_W : string := CALC_PHASE_DETECT_W; -- Advance ODELAY of DQ by extra 0.25*tCK (quarter clock cycle) to center -- align DQ and DQS on writes. Round (up or down) value to nearest integer constant SHIFT_TBY4_TAP : integer := integer((real(CLK_PERIOD) + (real(nCK_PER_CLK)*(1000000.0/(REFCLK_FREQ*64.0))*2.0) - 1.0) / (real(nCK_PER_CLK)*(1000000.0/(REFCLK_FREQ*64.0)) * 4.0)); constant CWL_M : integer := CALC_CWL_M; constant nSLOTS : integer := CALC_nSLOTS; -- Temp parameter to enable disable PD based on the PD override parameter -- Disabling phase detect below 250 MHz for the MIG 3.2 release function CALC_PHASE_DETECT_TOP return string is begin if (CLK_PERIOD > 8000) then return ("OFF"); else return (PHASE_DETECT_W); end if; end function; constant USE_PHASE_DETECT : string := CALC_PHASE_DETECT_TOP; -- Param to determine if the configuration is an UDIMM configuration for DDR2 -- this parameter is used for advancing the chip select for frequencies above -- 200 MHz. function DDR2_EARLY_CS_CALC return integer is begin if ((CLK_PERIOD < 10000) and ( DQ_WIDTH >= 64) and (CK_WIDTH < 5) and (DRAM_TYPE = "DDR2") and (REG_CTRL = "OFF")) then return 1; else return 0; end if; end function; constant DDR2_EARLY_CS : integer := DDR2_EARLY_CS_CALC; signal calib_width : std_logic_vector(2 downto 0); signal chip_cnt : std_logic_vector(1 downto 0); signal chip_cnt_r : std_logic_vector(1 downto 0); signal chip_cnt_r1 : std_logic_vector(1 downto 0); signal clk_cpt : std_logic_vector(DQS_WIDTH - 1 downto 0); signal clk_rsync : std_logic_vector(3 downto 0); signal dfi_rd_dqs : std_logic_vector(4*DQS_WIDTH - 1 downto 0); signal dlyce_cpt : std_logic_vector(DQS_WIDTH - 1 downto 0); signal dlyce_pd_cpt : std_logic_vector(DQS_WIDTH - 1 downto 0); signal dlyce_rdlvl_cpt : std_logic_vector(DQS_WIDTH - 1 downto 0); signal dlyce_rdlvl_rsync : std_logic_vector(3 downto 0); signal dlyce_rsync : std_logic_vector(3 downto 0); signal dlyinc_cpt : std_logic_vector(DQS_WIDTH - 1 downto 0); signal dlyinc_pd_cpt : std_logic_vector(DQS_WIDTH - 1 downto 0); signal dlyinc_pd_dqs : std_logic; signal dlyinc_rdlvl_cpt : std_logic; signal dlyinc_rdlvl_rsync : std_logic; signal dlyinc_rsync : std_logic_vector(3 downto 0); signal dlyrst_cpt : std_logic; signal dlyrst_rsync : std_logic; signal dlyval_dq : std_logic_vector(5*DQS_WIDTH - 1 downto 0); signal dlyval_dqs : std_logic_vector(5*DQS_WIDTH - 1 downto 0); signal dlyval_pd_dqs : std_logic_vector(5*DQS_WIDTH - 1 downto 0); signal dlyval_rdlvl_dq : std_logic_vector(5*DQS_WIDTH - 1 downto 0); signal dlyval_rdlvl_dqs : std_logic_vector(5*DQS_WIDTH - 1 downto 0); signal dlyval_wrlvl_dq : std_logic_vector(5*DQS_WIDTH - 1 downto 0); signal dlyval_wrlvl_dq_w : std_logic_vector(5*DQS_WIDTH - 1 downto 0); signal dlyval_wrlvl_dqs : std_logic_vector(5*DQS_WIDTH - 1 downto 0); signal dlyval_wrlvl_dqs_w : std_logic_vector(5*DQS_WIDTH - 1 downto 0); signal dm_ce : std_logic_vector(DQS_WIDTH - 1 downto 0); signal dq_oe_n : std_logic_vector(4*DQS_WIDTH - 1 downto 0); signal dqs_inv : std_logic_vector(DQS_WIDTH - 1 downto 0); signal dqs_oe_n : std_logic_vector(4*DQS_WIDTH - 1 downto 0); signal dqs_oe : std_logic; signal dqs_rst : std_logic_vector((DQS_WIDTH*4) - 1 downto 0); signal inv_dqs : std_logic_vector(DQS_WIDTH - 1 downto 0); signal mask_data_fall0 : std_logic_vector((DQ_WIDTH / 8) - 1 downto 0); signal mask_data_fall1 : std_logic_vector((DQ_WIDTH / 8) - 1 downto 0); signal mask_data_rise0 : std_logic_vector((DQ_WIDTH / 8) - 1 downto 0); signal mask_data_rise1 : std_logic_vector((DQ_WIDTH / 8) - 1 downto 0); signal pd_cal_done : std_logic; signal pd_cal_start : std_logic; signal pd_prech_req : std_logic; signal phy_address0 : std_logic_vector(ROW_WIDTH - 1 downto 0); signal phy_address1 : std_logic_vector(ROW_WIDTH - 1 downto 0); signal phy_bank0 : std_logic_vector(BANK_WIDTH - 1 downto 0); signal phy_bank1 : std_logic_vector(BANK_WIDTH - 1 downto 0); signal phy_cas_n0 : std_logic; signal phy_cas_n1 : std_logic; signal phy_cke0 : std_logic_vector(CKE_WIDTH - 1 downto 0); signal phy_cke1 : std_logic_vector(CKE_WIDTH - 1 downto 0); signal phy_cs_n0 : std_logic_vector(CS_WIDTH*nCS_PER_RANK - 1 downto 0); signal phy_cs_n1 : std_logic_vector(CS_WIDTH*nCS_PER_RANK - 1 downto 0); signal phy_init_data_sel : std_logic; signal phy_io_config : std_logic_vector(0 downto 0); --bus can be expanded later signal phy_io_config_strobe : std_logic; signal phy_odt0 : std_logic_vector(CS_WIDTH*nCS_PER_RANK - 1 downto 0); signal phy_odt1 : std_logic_vector(CS_WIDTH*nCS_PER_RANK - 1 downto 0); signal phy_ras_n0 : std_logic; signal phy_ras_n1 : std_logic; signal phy_rddata_en : std_logic; signal phy_reset_n : std_logic; signal phy_we_n0 : std_logic; signal phy_we_n1 : std_logic; signal phy_wrdata : std_logic_vector(4*DQ_WIDTH - 1 downto 0); signal phy_wrdata_en : std_logic; signal phy_wrdata_mask : std_logic_vector(4*(DQ_WIDTH / 8) - 1 downto 0); signal prech_done : std_logic; signal rank_cnt : std_logic_vector(1 downto 0); signal rd_active_dly : std_logic_vector(4 downto 0); signal rd_bitslip_cnt : std_logic_vector(2*DQS_WIDTH - 1 downto 0); signal rd_clkdiv_inv : std_logic_vector(DQS_WIDTH - 1 downto 0); signal rd_clkdly_cnt : std_logic_vector(2*DQS_WIDTH - 1 downto 0); signal rd_data_fall0 : std_logic_vector(DQ_WIDTH - 1 downto 0); signal rd_data_fall1 : std_logic_vector(DQ_WIDTH - 1 downto 0); signal rd_data_rise0 : std_logic_vector(DQ_WIDTH - 1 downto 0); signal rd_data_rise1 : std_logic_vector(DQ_WIDTH - 1 downto 0); signal rd_dqs_fall0 : std_logic_vector(DQS_WIDTH - 1 downto 0); signal rd_dqs_fall1 : std_logic_vector(DQS_WIDTH - 1 downto 0); signal rd_dqs_rise0 : std_logic_vector(DQS_WIDTH - 1 downto 0); signal rd_dqs_rise1 : std_logic_vector(DQS_WIDTH - 1 downto 0); signal rdlvl_clkdiv_done : std_logic; signal rdlvl_clkdiv_start : std_logic; signal rdlvl_done : std_logic_vector(1 downto 0); signal rdlvl_err : std_logic_vector(1 downto 0); signal rdlvl_pat_resume : std_logic; signal rdlvl_pat_resume_w : std_logic; signal rdlvl_pat_err : std_logic; signal rdlvl_pat_err_cnt : std_logic_vector(DQS_CNT_WIDTH - 1 downto 0); signal rdlvl_prech_req : std_logic; signal rdlvl_start : std_logic_vector(1 downto 0); signal rst_rsync : std_logic_vector(3 downto 0); signal wl_sm_start : std_logic; signal wr_calib_dly : std_logic_vector(2*DQS_WIDTH - 1 downto 0); signal wr_data_rise0 : std_logic_vector(DQ_WIDTH - 1 downto 0); signal wr_data_fall0 : std_logic_vector(DQ_WIDTH - 1 downto 0); signal wr_data_rise1 : std_logic_vector(DQ_WIDTH - 1 downto 0); signal wr_data_fall1 : std_logic_vector(DQ_WIDTH - 1 downto 0); signal wrcal_dly_w : std_logic_vector(2*DQS_WIDTH - 1 downto 0); signal wrcal_err : std_logic; signal wrlvl_active : std_logic; signal wrlvl_done : std_logic; signal wrlvl_err : std_logic; signal wrlvl_start : std_logic; signal dfi_rddata_valid_phy : std_logic; signal dbg_wr_calib_clk_dly_cnt : std_logic; signal rdpath_rdy : std_logic; signal wrlvl_rank_done : std_logic; signal out_oserdes_wc : std_logic; -- X-HDL generated signals signal xhdl1 : std_logic_vector(3 downto 0); signal xhdl2 : std_logic_vector(3 downto 0); -- Declare intermediate signals for referenced outputs signal pll_lock_ck_fb_41 : std_logic; signal dfi_rddata_37 : std_logic_vector(4 * DQ_WIDTH - 1 downto 0); signal dfi_rddata_valid_38 : std_logic; signal dfi_init_complete_36 : std_logic; signal ddr_ck_p_27 : std_logic_vector(CK_WIDTH - 1 downto 0); signal ddr_ck_n_26 : std_logic_vector(CK_WIDTH - 1 downto 0); signal ddr_addr_23 : std_logic_vector(ROW_WIDTH - 1 downto 0); signal ddr_ba_24 : std_logic_vector(BANK_WIDTH - 1 downto 0); signal ddr_ras_n_33 : std_logic; signal ddr_cas_n_25 : std_logic; signal ddr_we_n_35 : std_logic; signal ddr_cs_n_29 : std_logic_vector(CS_WIDTH * nCS_PER_RANK - 1 downto 0); signal ddr_cke_28 : std_logic_vector(CKE_WIDTH - 1 downto 0); signal ddr_odt_31 : std_logic_vector(CS_WIDTH * nCS_PER_RANK - 1 downto 0); signal ddr_reset_n_34 : std_logic; signal ddr_parity_32 : std_logic; signal ddr_dm_30 : std_logic_vector(DM_WIDTH - 1 downto 0); signal dbg_tap_cnt_during_wrlvl_21 : std_logic_vector(4 downto 0); signal dbg_wl_edge_detect_valid_22 : std_logic; signal dbg_rd_data_edge_detect_16 : std_logic_vector(DQS_WIDTH - 1 downto 0); signal dbg_rdlvl_clk_17 : std_logic; signal dbg_cpt_first_edge_cnt_0 : std_logic_vector(5 * DQS_WIDTH - 1 downto 0); signal dbg_cpt_second_edge_cnt_1 : std_logic_vector(5 * DQS_WIDTH - 1 downto 0); signal dbg_rd_bitslip_cnt_14 : std_logic_vector(3 * DQS_WIDTH - 1 downto 0); signal dbg_rd_clkdly_cnt_15 : std_logic_vector(2 * DQS_WIDTH - 1 downto 0); signal dbg_rd_active_dly_13 : std_logic_vector(4 downto 0); signal dbg_phy_rdlvl_11 : std_logic_vector(255 downto 0); signal dbg_phy_read_12 : std_logic_vector(255 downto 0); signal dbg_dly_clk_3 : std_logic; signal dbg_cpt_tap_cnt_2 : std_logic_vector(5 * DQS_WIDTH - 1 downto 0); signal dbg_rsync_tap_cnt_20 : std_logic_vector(19 downto 0); signal dbg_dqs_tap_cnt_6 : std_logic_vector(5 * DQS_WIDTH - 1 downto 0); signal dbg_dq_tap_cnt_4 : std_logic_vector(5 * DQS_WIDTH - 1 downto 0); signal dbg_pd_clk_9 : std_logic; signal dbg_phy_pd_10 : std_logic_vector(255 downto 0); --------- component phy_init --------- component phy_init generic ( TCQ : integer := 100; nCK_PER_CLK : integer := 2; CLK_PERIOD : integer := 3333; BANK_WIDTH : integer := 2; COL_WIDTH : integer := 10; nCS_PER_RANK : integer := 1; DQ_WIDTH : integer := 64; ROW_WIDTH : integer := 14; CS_WIDTH : integer := 1; CKE_WIDTH : integer := 1; DRAM_TYPE : string := "DDR3"; REG_CTRL : string := "ON"; CALIB_ROW_ADD : std_logic_vector(15 downto 0) := X"0000"; CALIB_COL_ADD : std_logic_vector(11 downto 0) := X"000"; CALIB_BA_ADD : std_logic_vector(2 downto 0) := "000"; AL : string := "0"; BURST_MODE : string := "8"; BURST_TYPE : string := "SEQ"; nAL : integer := 0; nCL : integer := 5; nCWL : integer := 5; tRFC : integer := 110000; OUTPUT_DRV : string := "HIGH"; RTT_NOM : string := "60"; RTT_WR : string := "60"; WRLVL : string := "ON"; PHASE_DETECT : string := "ON"; DDR2_DQSN_ENABLE : string := "YES"; nSLOTS : integer := 1; SIM_INIT_OPTION : string := "NONE"; SIM_CAL_OPTION : string := "NONE" ); port ( clk : in std_logic; rst : in std_logic; calib_width : in std_logic_vector(2 downto 0); rdpath_rdy : in std_logic; wrlvl_done : in std_logic; wrlvl_rank_done : in std_logic; slot_0_present : in std_logic_vector(7 downto 0); slot_1_present : in std_logic_vector(7 downto 0); wrlvl_active : out std_logic; rdlvl_done : in std_logic_vector(1 downto 0); rdlvl_start : out std_logic_vector(1 downto 0); rdlvl_clkdiv_done : in std_logic; rdlvl_clkdiv_start : out std_logic; rdlvl_prech_req : in std_logic; rdlvl_resume : in std_logic; chip_cnt : out std_logic_vector(1 downto 0); pd_cal_start : out std_logic; pd_cal_done : in std_logic; pd_prech_req : in std_logic; prech_done : out std_logic; dfi_init_complete : out std_logic; phy_address0 : out std_logic_vector(ROW_WIDTH - 1 downto 0); phy_address1 : out std_logic_vector(ROW_WIDTH - 1 downto 0); phy_bank0 : out std_logic_vector(BANK_WIDTH - 1 downto 0); phy_bank1 : out std_logic_vector(BANK_WIDTH - 1 downto 0); phy_cas_n0 : out std_logic; phy_cas_n1 : out std_logic; phy_cke0 : out std_logic_vector(CKE_WIDTH - 1 downto 0); phy_cke1 : out std_logic_vector(CKE_WIDTH - 1 downto 0); phy_cs_n0 : out std_logic_vector(CS_WIDTH * nCS_PER_RANK - 1 downto 0); phy_cs_n1 : out std_logic_vector(CS_WIDTH * nCS_PER_RANK - 1 downto 0); phy_init_data_sel : out std_logic; phy_odt0 : out std_logic_vector(CS_WIDTH * nCS_PER_RANK - 1 downto 0); phy_odt1 : out std_logic_vector(CS_WIDTH * nCS_PER_RANK - 1 downto 0); phy_ras_n0 : out std_logic; phy_ras_n1 : out std_logic; phy_reset_n : out std_logic; phy_we_n0 : out std_logic; phy_we_n1 : out std_logic; phy_wrdata_en : out std_logic; phy_wrdata : out std_logic_vector(4 * DQ_WIDTH - 1 downto 0); phy_rddata_en : out std_logic; phy_ioconfig : out std_logic_vector(0 downto 0); phy_ioconfig_en : out std_logic ); end component; --------- component phy_control_io --------- component phy_control_io generic ( TCQ : integer := 100; BANK_WIDTH : integer := 2; RANK_WIDTH : integer := 1; nCS_PER_RANK : integer := 1; CS_WIDTH : integer := 1; CKE_WIDTH : integer := 1; ROW_WIDTH : integer := 14; WRLVL : string := "OFF"; nCWL : integer := 5; DRAM_TYPE : string := "DDR3"; REG_CTRL : string := "ON"; REFCLK_FREQ : real := 300.0; IODELAY_HP_MODE : string := "ON"; IODELAY_GRP : string := "IODELAY_MIG"; DDR2_EARLY_CS : integer := 0 ); port ( clk_mem : in std_logic; clk : in std_logic; rst : in std_logic; mc_data_sel : in std_logic; dfi_address0 : in std_logic_vector(ROW_WIDTH - 1 downto 0); dfi_address1 : in std_logic_vector(ROW_WIDTH - 1 downto 0); dfi_bank0 : in std_logic_vector(BANK_WIDTH - 1 downto 0); dfi_bank1 : in std_logic_vector(BANK_WIDTH - 1 downto 0); dfi_cas_n0 : in std_logic; dfi_cas_n1 : in std_logic; dfi_cke0 : in std_logic_vector(CKE_WIDTH - 1 downto 0); dfi_cke1 : in std_logic_vector(CKE_WIDTH - 1 downto 0); dfi_cs_n0 : in std_logic_vector(CS_WIDTH * nCS_PER_RANK - 1 downto 0); dfi_cs_n1 : in std_logic_vector(CS_WIDTH * nCS_PER_RANK - 1 downto 0); dfi_odt0 : in std_logic_vector(CS_WIDTH * nCS_PER_RANK - 1 downto 0); dfi_odt1 : in std_logic_vector(CS_WIDTH * nCS_PER_RANK - 1 downto 0); dfi_ras_n0 : in std_logic; dfi_ras_n1 : in std_logic; dfi_reset_n : in std_logic; dfi_we_n0 : in std_logic; dfi_we_n1 : in std_logic; phy_address0 : in std_logic_vector(ROW_WIDTH - 1 downto 0); phy_address1 : in std_logic_vector(ROW_WIDTH - 1 downto 0); phy_bank0 : in std_logic_vector(BANK_WIDTH - 1 downto 0); phy_bank1 : in std_logic_vector(BANK_WIDTH - 1 downto 0); phy_cas_n0 : in std_logic; phy_cas_n1 : in std_logic; phy_cke0 : in std_logic_vector(CKE_WIDTH - 1 downto 0); phy_cke1 : in std_logic_vector(CKE_WIDTH - 1 downto 0); phy_cs_n0 : in std_logic_vector(CS_WIDTH * nCS_PER_RANK - 1 downto 0); phy_cs_n1 : in std_logic_vector(CS_WIDTH * nCS_PER_RANK - 1 downto 0); phy_odt0 : in std_logic_vector(CS_WIDTH * nCS_PER_RANK - 1 downto 0); phy_odt1 : in std_logic_vector(CS_WIDTH * nCS_PER_RANK - 1 downto 0); phy_ras_n0 : in std_logic; phy_ras_n1 : in std_logic; phy_reset_n : in std_logic; phy_we_n0 : in std_logic; phy_we_n1 : in std_logic; ddr_addr : out std_logic_vector(ROW_WIDTH - 1 downto 0); ddr_ba : out std_logic_vector(BANK_WIDTH - 1 downto 0); ddr_ras_n : out std_logic; ddr_cas_n : out std_logic; ddr_we_n : out std_logic; ddr_cke : out std_logic_vector(CKE_WIDTH - 1 downto 0); ddr_cs_n : out std_logic_vector(CS_WIDTH * nCS_PER_RANK - 1 downto 0); ddr_odt : out std_logic_vector(CS_WIDTH * nCS_PER_RANK - 1 downto 0); ddr_parity : out std_logic; ddr_reset_n : out std_logic ); end component; --------- component phy_clock_io --------- component phy_clock_io generic ( TCQ : integer := 100; CK_WIDTH : integer := 2; WRLVL : string := "OFF"; DRAM_TYPE : string := "DDR3"; REFCLK_FREQ : real := 300.0; IODELAY_GRP : string := "IODELAY_MIG" ); port ( clk_mem : in std_logic; clk : in std_logic; rst : in std_logic; ddr_ck_p : out std_logic_vector(CK_WIDTH - 1 downto 0); ddr_ck_n : out std_logic_vector(CK_WIDTH - 1 downto 0) ); end component; --------- component phy_data_io --------- component phy_data_io generic ( TCQ : integer := 100; nCK_PER_CLK : integer := 2; CLK_PERIOD : integer := 3000; DRAM_WIDTH : integer := 8; DM_WIDTH : integer := 9; DQ_WIDTH : integer := 72; DQS_WIDTH : integer := 9; DRAM_TYPE : string := "DDR3"; nCWL : integer := 5; WRLVL : string := "OFF"; REFCLK_FREQ : real := 300.0; IBUF_LPWR_MODE : string := "OFF"; IODELAY_HP_MODE : string := "ON"; IODELAY_GRP : string := "IODELAY_MIG"; nDQS_COL0 : integer := 4; nDQS_COL1 : integer := 4; nDQS_COL2 : integer := 0; nDQS_COL3 : integer := 0; DQS_LOC_COL0 : std_logic_vector(143 downto 0) := X"000000000000000000000000000003020100"; DQS_LOC_COL1 : std_logic_vector(143 downto 0) := X"000000000000000000000000000007060504"; DQS_LOC_COL2 : std_logic_vector(143 downto 0) := X"000000000000000000000000000000000000"; DQS_LOC_COL3 : std_logic_vector(143 downto 0) := X"000000000000000000000000000000000000"; USE_DM_PORT : integer := 1 ); port ( clk_mem : in std_logic; clk : in std_logic; clk_cpt : in std_logic_vector(DQS_WIDTH - 1 downto 0); clk_rsync : in std_logic_vector(3 downto 0); rst : in std_logic; rst_rsync : in std_logic_vector(3 downto 0); dlyval_dq : in std_logic_vector(5*DQS_WIDTH - 1 downto 0); dlyval_dqs : in std_logic_vector(5*DQS_WIDTH - 1 downto 0); inv_dqs : in std_logic_vector(DQS_WIDTH - 1 downto 0); wr_calib_dly : in std_logic_vector(2*DQS_WIDTH - 1 downto 0); dqs_oe_n : in std_logic_vector(4*DQS_WIDTH - 1 downto 0); dq_oe_n : in std_logic_vector(4*DQS_WIDTH - 1 downto 0); dqs_rst : in std_logic_vector((DQS_WIDTH * 4) - 1 downto 0); dm_ce : in std_logic_vector(DQS_WIDTH - 1 downto 0); mask_data_rise0 : in std_logic_vector((DQ_WIDTH / 8) - 1 downto 0); mask_data_fall0 : in std_logic_vector((DQ_WIDTH / 8) - 1 downto 0); mask_data_rise1 : in std_logic_vector((DQ_WIDTH / 8) - 1 downto 0); mask_data_fall1 : in std_logic_vector((DQ_WIDTH / 8) - 1 downto 0); wr_data_rise0 : in std_logic_vector(DQ_WIDTH - 1 downto 0); wr_data_rise1 : in std_logic_vector(DQ_WIDTH - 1 downto 0); wr_data_fall0 : in std_logic_vector(DQ_WIDTH - 1 downto 0); wr_data_fall1 : in std_logic_vector(DQ_WIDTH - 1 downto 0); rd_bitslip_cnt : in std_logic_vector(2*DQS_WIDTH - 1 downto 0); rd_clkdly_cnt : in std_logic_vector(2*DQS_WIDTH - 1 downto 0); rd_clkdiv_inv : in std_logic_vector(DQS_WIDTH - 1 downto 0); rd_data_rise0 : out std_logic_vector(DQ_WIDTH - 1 downto 0); rd_data_fall0 : out std_logic_vector(DQ_WIDTH - 1 downto 0); rd_data_rise1 : out std_logic_vector(DQ_WIDTH - 1 downto 0); rd_data_fall1 : out std_logic_vector(DQ_WIDTH - 1 downto 0); rd_dqs_rise0 : out std_logic_vector(DQS_WIDTH - 1 downto 0); rd_dqs_fall0 : out std_logic_vector(DQS_WIDTH - 1 downto 0); rd_dqs_rise1 : out std_logic_vector(DQS_WIDTH - 1 downto 0); rd_dqs_fall1 : out std_logic_vector(DQS_WIDTH - 1 downto 0); ddr_dm : out std_logic_vector(DM_WIDTH - 1 downto 0); ddr_dqs_p : inout std_logic_vector(DQS_WIDTH - 1 downto 0); ddr_dqs_n : inout std_logic_vector(DQS_WIDTH - 1 downto 0); ddr_dq : inout std_logic_vector(DQ_WIDTH - 1 downto 0); dbg_dqs_tap_cnt : out std_logic_vector(5*DQS_WIDTH - 1 downto 0); dbg_dq_tap_cnt : out std_logic_vector(5*DQS_WIDTH - 1 downto 0) ); end component; --------- component phy_dly_ctrl --------- component phy_dly_ctrl generic ( TCQ : integer := 100; DQ_WIDTH : integer := 64; DQS_CNT_WIDTH : integer := 3; DQS_WIDTH : integer := 8; RANK_WIDTH : integer := 1; nCWL : integer := 5; REG_CTRL : string := "OFF"; WRLVL : string := "ON"; PHASE_DETECT : string := "ON"; DRAM_TYPE : string := "DDR3"; nDQS_COL0 : integer := 4; nDQS_COL1 : integer := 4; nDQS_COL2 : integer := 0; nDQS_COL3 : integer := 0; DQS_LOC_COL0 : std_logic_vector(143 downto 0) := X"000000000000000000000000000003020100"; DQS_LOC_COL1 : std_logic_vector(143 downto 0) := X"000000000000000000000000000007060504"; DQS_LOC_COL2 : std_logic_vector(143 downto 0) := X"000000000000000000000000000000000000"; DQS_LOC_COL3 : std_logic_vector(143 downto 0) := X"000000000000000000000000000000000000"; DEBUG_PORT : string := "OFF" ); port ( clk : in std_logic; rst : in std_logic; clk_rsync : in std_logic_vector(3 downto 0); rst_rsync : in std_logic_vector(3 downto 0); wrlvl_done : in std_logic; rdlvl_done : in std_logic_vector(1 downto 0); pd_cal_done : in std_logic; mc_data_sel : in std_logic; mc_ioconfig : in std_logic_vector(RANK_WIDTH downto 0); mc_ioconfig_en : in std_logic; phy_ioconfig : in std_logic_vector(0 downto 0); phy_ioconfig_en : in std_logic; dqs_oe : in std_logic; dlyval_wrlvl_dqs : in std_logic_vector((5*DQS_WIDTH-1) downto 0); dlyval_wrlvl_dq : in std_logic_vector((5*DQS_WIDTH-1) downto 0); dlyce_rdlvl_cpt : in std_logic_vector((DQS_WIDTH-1) downto 0); dlyinc_rdlvl_cpt : in std_logic; dlyce_rdlvl_rsync : in std_logic_vector(3 downto 0); dlyinc_rdlvl_rsync : in std_logic; dlyval_rdlvl_dq : in std_logic_vector((5*DQS_WIDTH-1) downto 0); dlyval_rdlvl_dqs : in std_logic_vector((5*DQS_WIDTH-1) downto 0); dlyce_pd_cpt : in std_logic_vector((DQS_WIDTH-1) downto 0); dlyinc_pd_cpt : in std_logic_vector((DQS_WIDTH-1) downto 0); dlyval_pd_dqs : in std_logic_vector((5*DQS_WIDTH-1) downto 0); dlyval_dqs : out std_logic_vector((5*DQS_WIDTH-1) downto 0); dlyval_dq : out std_logic_vector((5*DQS_WIDTH-1) downto 0); dlyrst_cpt : out std_logic; dlyce_cpt : out std_logic_vector((DQS_WIDTH-1) downto 0); dlyinc_cpt : out std_logic_vector((DQS_WIDTH-1) downto 0); dlyrst_rsync : out std_logic; dlyce_rsync : out std_logic_vector(3 downto 0); dlyinc_rsync : out std_logic_vector(3 downto 0); dbg_pd_off : in std_logic ); end component; -------- component phy_write --------- component phy_write generic ( TCQ : integer := 100; WRLVL : string := "ON"; DRAM_TYPE : string := "DDR3"; DQ_WIDTH : integer := 64; DQS_WIDTH : integer := 8; nCWL : integer := 5; REG_CTRL : string := "OFF"; RANK_WIDTH : integer := 1; CLKPERF_DLY_USED : string := "OFF" ); port ( clk : in std_logic; rst : in std_logic; mc_data_sel : in std_logic; wrlvl_active : in std_logic; wrlvl_done : in std_logic; inv_dqs : in std_logic_vector(DQS_WIDTH-1 downto 0); wr_calib_dly : in std_logic_vector(2*DQS_WIDTH-1 downto 0); dfi_wrdata : in std_logic_vector(4*DQ_WIDTH-1 downto 0); dfi_wrdata_mask : in std_logic_vector((4*DQ_WIDTH/8)-1 downto 0); dfi_wrdata_en : in std_logic; mc_ioconfig_en : in std_logic; mc_ioconfig : in std_logic_vector(RANK_WIDTH downto 0); phy_wrdata_en : in std_logic; phy_wrdata : in std_logic_vector(4*DQ_WIDTH-1 downto 0); phy_ioconfig_en : in std_logic; phy_ioconfig : in std_logic_vector(0 downto 0); out_oserdes_wc : in std_logic; dm_ce : out std_logic_vector(DQS_WIDTH-1 downto 0); dq_oe_n : out std_logic_vector(4*DQS_WIDTH-1 downto 0); dqs_oe_n : out std_logic_vector(4*DQS_WIDTH-1 downto 0); dqs_rst : out std_logic_vector(4*DQS_WIDTH-1 downto 0); dq_wc : out std_logic; dqs_wc : out std_logic; mask_data_rise0 : out std_logic_vector((DQ_WIDTH/8)-1 downto 0); mask_data_fall0 : out std_logic_vector((DQ_WIDTH/8)-1 downto 0); mask_data_rise1 : out std_logic_vector((DQ_WIDTH/8)-1 downto 0); mask_data_fall1 : out std_logic_vector((DQ_WIDTH/8)-1 downto 0); wl_sm_start : out std_logic; wr_lvl_start : out std_logic; wr_data_rise0 : out std_logic_vector(DQ_WIDTH-1 downto 0); wr_data_fall0 : out std_logic_vector(DQ_WIDTH-1 downto 0); wr_data_rise1 : out std_logic_vector(DQ_WIDTH-1 downto 0); wr_data_fall1 : out std_logic_vector(DQ_WIDTH-1 downto 0) ); end component; --------- component phy_wrlvl --------- component phy_wrlvl generic ( TCQ : integer := 100; DQS_CNT_WIDTH : integer := 3; DQ_WIDTH : integer := 64; SHIFT_TBY4_TAP : integer := 7; DQS_WIDTH : integer := 8; DRAM_WIDTH : integer := 8; CS_WIDTH : integer := 1; CAL_WIDTH : string := "HALF"; DQS_TAP_CNT_INDEX : integer := 42; SIM_CAL_OPTION : string := "NONE" ); port ( clk : in std_logic; rst : in std_logic; calib_width : in std_logic_vector(2 downto 0); rank_cnt : in std_logic_vector(1 downto 0); wr_level_start : in std_logic; wl_sm_start : in std_logic; rd_data_rise0 : in std_logic_vector((DQ_WIDTH-1) downto 0); rdlvl_error : in std_logic; rdlvl_err_byte : in std_logic_vector((DQS_CNT_WIDTH-1) downto 0); wr_level_done : out std_logic; wrlvl_rank_done : out std_logic; dlyval_wr_dqs : out std_logic_vector(DQS_TAP_CNT_INDEX downto 0); dlyval_wr_dq : out std_logic_vector(DQS_TAP_CNT_INDEX downto 0); inv_dqs : out std_logic_vector((DQS_WIDTH-1) downto 0); rdlvl_resume : out std_logic; wr_calib_dly : out std_logic_vector((2*DQS_WIDTH-1) downto 0); wrcal_err : out std_logic; wrlvl_err : out std_logic; dbg_wl_tap_cnt : out std_logic_vector(4 downto 0); dbg_wl_edge_detect_valid : out std_logic; dbg_rd_data_edge_detect : out std_logic_vector((DQS_WIDTH-1) downto 0); dbg_rd_data_inv_edge_detect : out std_logic_vector((DQS_WIDTH-1) downto 0); dbg_dqs_count : out std_logic_vector(DQS_CNT_WIDTH downto 0); dbg_wl_state : out std_logic_vector(3 downto 0) ); end component; --------- component phy_read --------- component phy_read generic ( TCQ : integer := 100; nCK_PER_CLK : integer := 2; CLK_PERIOD : integer := 3333; REFCLK_FREQ : real := 300.0; DQS_WIDTH : integer := 8; DQ_WIDTH : integer := 64; DRAM_WIDTH : integer := 8; IODELAY_GRP : string := "IODELAY_MIG"; nDQS_COL0 : integer := 4; nDQS_COL1 : integer := 4; nDQS_COL2 : integer := 0; nDQS_COL3 : integer := 0; DQS_LOC_COL0 : std_logic_vector(143 downto 0) := X"11100F0E0D0C0B0A09080706050403020100"; DQS_LOC_COL1 : std_logic_vector(143 downto 0) := X"000000000000000000000000000000000000"; DQS_LOC_COL2 : std_logic_vector(143 downto 0) := X"000000000000000000000000000000000000"; DQS_LOC_COL3 : std_logic_vector(143 downto 0) := X"000000000000000000000000000000000000" ); port ( clk_mem : in std_logic; clk : in std_logic; rst : in std_logic; clk_rd_base : in std_logic; dlyrst_cpt : in std_logic; dlyce_cpt : in std_logic_vector(DQS_WIDTH-1 downto 0); dlyinc_cpt : in std_logic_vector(DQS_WIDTH-1 downto 0); dlyrst_rsync : in std_logic; dlyce_rsync : in std_logic_vector(3 downto 0); dlyinc_rsync : in std_logic_vector(3 downto 0); clk_cpt : out std_logic_vector(DQS_WIDTH-1 downto 0); clk_rsync : out std_logic_vector(3 downto 0); rst_rsync : out std_logic_vector(3 downto 0); rdpath_rdy : out std_logic; mc_data_sel : in std_logic; rd_active_dly : in std_logic_vector(4 downto 0); rd_data_rise0 : in std_logic_vector((DQ_WIDTH-1) downto 0); rd_data_fall0 : in std_logic_vector((DQ_WIDTH-1) downto 0); rd_data_rise1 : in std_logic_vector((DQ_WIDTH-1) downto 0); rd_data_fall1 : in std_logic_vector((DQ_WIDTH-1) downto 0); rd_dqs_rise0 : in std_logic_vector((DQS_WIDTH-1) downto 0); rd_dqs_fall0 : in std_logic_vector((DQS_WIDTH-1) downto 0); rd_dqs_rise1 : in std_logic_vector((DQS_WIDTH-1) downto 0); rd_dqs_fall1 : in std_logic_vector((DQS_WIDTH-1) downto 0); dfi_rddata_en : in std_logic; phy_rddata_en : in std_logic; dfi_rddata_valid : out std_logic; dfi_rddata_valid_phy : out std_logic; dfi_rddata : out std_logic_vector((4*DQ_WIDTH-1) downto 0); dfi_rd_dqs : out std_logic_vector((4*DQS_WIDTH-1) downto 0); dbg_cpt_tap_cnt : out std_logic_vector(5*DQS_WIDTH-1 downto 0); dbg_rsync_tap_cnt : out std_logic_vector(19 downto 0); dbg_phy_read : out std_logic_vector(255 downto 0) ); end component; --------- component phy_rdlvl --------- component phy_rdlvl generic ( TCQ : integer := 100; nCK_PER_CLK : integer := 2; CLK_PERIOD : integer := 3333; REFCLK_FREQ : integer := 300; DQ_WIDTH : integer := 64; DQS_CNT_WIDTH : integer := 3; DQS_WIDTH : integer := 2; DRAM_WIDTH : integer := 8; DRAM_TYPE : string := "DDR3"; PD_TAP_REQ : integer := 10; nCL : integer := 5; SIM_CAL_OPTION : string := "FAST_WIN_DETECT"; REG_CTRL : string := "ON"; DEBUG_PORT : string := "ON" ); port ( clk : in std_logic; rst : in std_logic; rdlvl_start : in std_logic_vector(1 downto 0); rdlvl_clkdiv_start : in std_logic; rdlvl_rd_active : in std_logic; rdlvl_done : out std_logic_vector(1 downto 0); rdlvl_clkdiv_done : out std_logic; rdlvl_err : out std_logic_vector(1 downto 0); rdlvl_prech_req : out std_logic; prech_done : in std_logic; rd_data_rise0 : in std_logic_vector(DQ_WIDTH - 1 downto 0); rd_data_fall0 : in std_logic_vector(DQ_WIDTH - 1 downto 0); rd_data_rise1 : in std_logic_vector(DQ_WIDTH - 1 downto 0); rd_data_fall1 : in std_logic_vector(DQ_WIDTH - 1 downto 0); dlyce_cpt : out std_logic_vector(DQS_WIDTH - 1 downto 0); dlyinc_cpt : out std_logic; dlyce_rsync : out std_logic_vector(3 downto 0); dlyinc_rsync : out std_logic; dlyval_dq : out std_logic_vector(5*DQS_WIDTH - 1 downto 0); dlyval_dqs : out std_logic_vector(5*DQS_WIDTH - 1 downto 0); rd_bitslip_cnt : out std_logic_vector(2*DQS_WIDTH - 1 downto 0); rd_clkdly_cnt : out std_logic_vector(2*DQS_WIDTH - 1 downto 0); rd_active_dly : out std_logic_vector(4 downto 0); rdlvl_pat_resume : in std_logic; rdlvl_pat_err : out std_logic; rdlvl_pat_err_cnt : out std_logic_vector(DQS_CNT_WIDTH - 1 downto 0); rd_clkdiv_inv : out std_logic_vector(DQS_WIDTH - 1 downto 0); dbg_cpt_first_edge_cnt : out std_logic_vector(5*DQS_WIDTH - 1 downto 0); dbg_cpt_second_edge_cnt : out std_logic_vector(5*DQS_WIDTH - 1 downto 0); dbg_rd_bitslip_cnt : out std_logic_vector(3*DQS_WIDTH - 1 downto 0); dbg_rd_clkdiv_inv : out std_logic_vector(DQS_WIDTH - 1 downto 0); dbg_rd_clkdly_cnt : out std_logic_vector(2*DQS_WIDTH - 1 downto 0); dbg_rd_active_dly : out std_logic_vector(4 downto 0); dbg_idel_up_all : in std_logic; dbg_idel_down_all : in std_logic; dbg_idel_up_cpt : in std_logic; dbg_idel_down_cpt : in std_logic; dbg_idel_up_rsync : in std_logic; dbg_idel_down_rsync : in std_logic; dbg_sel_idel_cpt : in std_logic_vector(DQS_CNT_WIDTH - 1 downto 0); dbg_sel_all_idel_cpt : in std_logic; dbg_sel_idel_rsync : in std_logic_vector(DQS_CNT_WIDTH - 1 downto 0); dbg_sel_all_idel_rsync : in std_logic; dbg_phy_rdlvl : out std_logic_vector(255 downto 0) ); end component; --------- component phy_pd_top --------- component phy_pd_top generic ( TCQ : integer := 100; DQS_CNT_WIDTH : integer := 3; DQS_WIDTH : integer := 8; SIM_CAL_OPTION : string := "NONE"; PD_LHC_WIDTH : integer := 16; PD_CALIB_MODE : string := "PARALLEL"; PD_MSB_SEL : integer := 8; PD_DQS0_ONLY : string := "ON"; DEBUG_PORT : string := "OFF" ); port ( clk : in std_logic; rst : in std_logic; pd_cal_start : in std_logic; pd_cal_done : out std_logic; dfi_init_complete : in std_logic; read_valid : in std_logic; pd_PSEN : out std_logic; pd_PSINCDEC : out std_logic; dlyval_rdlvl_dqs : in std_logic_vector(5*DQS_WIDTH - 1 downto 0); dlyce_pd_cpt : out std_logic_vector(DQS_WIDTH - 1 downto 0); dlyinc_pd_cpt : out std_logic_vector(DQS_WIDTH - 1 downto 0); dlyval_pd_dqs : out std_logic_vector(5*DQS_WIDTH - 1 downto 0); rd_dqs_rise0 : in std_logic_vector(DQS_WIDTH - 1 downto 0); rd_dqs_fall0 : in std_logic_vector(DQS_WIDTH - 1 downto 0); rd_dqs_rise1 : in std_logic_vector(DQS_WIDTH - 1 downto 0); rd_dqs_fall1 : in std_logic_vector(DQS_WIDTH - 1 downto 0); pd_prech_req : out std_logic; prech_done : in std_logic; dbg_pd_off : in std_logic; dbg_pd_maintain_off : in std_logic; dbg_pd_maintain_0_only : in std_logic; dbg_pd_inc_cpt : in std_logic; dbg_pd_dec_cpt : in std_logic; dbg_pd_inc_dqs : in std_logic; dbg_pd_dec_dqs : in std_logic; dbg_pd_disab_hyst : in std_logic; dbg_pd_disab_hyst_0 : in std_logic; dbg_pd_msb_sel : in std_logic_vector(3 downto 0); dbg_pd_byte_sel : in std_logic_vector(DQS_CNT_WIDTH - 1 downto 0); dbg_inc_rd_fps : in std_logic; dbg_dec_rd_fps : in std_logic; dbg_phy_pd : out std_logic_vector(255 downto 0) ); end component; --------- component phy_ocb_mon_top --------- component phy_ocb_mon_top generic ( TCQ : integer := 100; MMCM_ADV_PS_WA : string := "OFF"; DRAM_TYPE : string := "DDR3"; CLKPERF_DLY_USED : string := "OFF"; SIM_CAL_OPTION : string := "NONE" ); port ( dbg_ocb_mon_off : in std_logic; dbg_ocb_mon_clk : out std_logic; dbg_ocb_mon : out std_logic_vector(255 downto 0); ocb_mon_PSEN : out std_logic; ocb_mon_PSINCDEC : out std_logic; ocb_mon_calib_done : out std_logic; ocb_mon_PSDONE : in std_logic; ocb_mon_go : in std_logic; clk_mem : in std_logic; clk : in std_logic; clk_wr : in std_logic; rst : in std_logic ); end component; begin -- Drive referenced outputs dfi_rddata <= dfi_rddata_37; dfi_rddata_valid <= dfi_rddata_valid_38; dfi_init_complete <= dfi_init_complete_36; ddr_ck_p <= ddr_ck_p_27; ddr_ck_n <= ddr_ck_n_26; ddr_addr <= ddr_addr_23; ddr_ba <= ddr_ba_24; ddr_ras_n <= ddr_ras_n_33; ddr_cas_n <= ddr_cas_n_25; ddr_we_n <= ddr_we_n_35; ddr_cs_n <= ddr_cs_n_29; ddr_cke <= ddr_cke_28; ddr_odt <= ddr_odt_31; ddr_reset_n <= ddr_reset_n_34; ddr_parity <= ddr_parity_32; ddr_dm <= ddr_dm_30; dbg_tap_cnt_during_wrlvl <= dbg_tap_cnt_during_wrlvl_21; dbg_wl_edge_detect_valid <= dbg_wl_edge_detect_valid_22; dbg_rd_data_edge_detect <= dbg_rd_data_edge_detect_16; dbg_cpt_first_edge_cnt <= dbg_cpt_first_edge_cnt_0; dbg_cpt_second_edge_cnt <= dbg_cpt_second_edge_cnt_1; dbg_rd_bitslip_cnt <= dbg_rd_bitslip_cnt_14; dbg_rd_clkdly_cnt <= dbg_rd_clkdly_cnt_15; dbg_rd_active_dly <= dbg_rd_active_dly_13; dbg_phy_rdlvl <= dbg_phy_rdlvl_11; dbg_phy_read <= dbg_phy_read_12; dbg_cpt_tap_cnt <= dbg_cpt_tap_cnt_2; dbg_rsync_tap_cnt <= dbg_rsync_tap_cnt_20; dbg_dqs_tap_cnt <= dbg_dqs_tap_cnt_6; dbg_dq_tap_cnt <= dbg_dq_tap_cnt_4; dbg_phy_pd <= dbg_phy_pd_10; --*************************************************************************** -- Debug --*************************************************************************** -- Captured data in clk domain -- NOTE: Prior to MIG 3.4, this data was synchronized to CLK_RSYNC domain -- But was never connected beyond PHY_TOP (at the MEM_INTFC level, this -- port is never used, and instead DFI_RDDATA was routed to DBG_RDDATA) dbg_rd_data <= dfi_rddata_37; -- Unused for now - use these as needed to bring up lower level signals dbg_phy_top <= (others => '0'); -- Write Level and write calibration debug observation ports dbg_wrlvl_start <= wrlvl_start; dbg_wrlvl_done <= wrlvl_done; dbg_wrlvl_err <= wrlvl_err; dbg_wl_dqs_inverted <= dqs_inv; dbg_wl_odelay_dqs_tap_cnt <= dlyval_wrlvl_dqs; dbg_wl_odelay_dq_tap_cnt <= dlyval_wrlvl_dq; dbg_wr_calib_clk_delay <= wr_calib_dly; -- Read Level debug observation ports dbg_rdlvl_start <= rdlvl_start; dbg_rdlvl_done <= rdlvl_done; dbg_rdlvl_err <= rdlvl_err; --*************************************************************************** -- Write leveling dependent signals --*************************************************************************** rdlvl_pat_resume_w <= rdlvl_pat_resume when (WRLVL_W = "ON") else '0'; dqs_inv <= inv_dqs when (WRLVL_W = "ON") else (others => '0'); wrcal_dly_w <= wr_calib_dly when (WRLVL_W = "ON") else (others => '0'); -- Rank count (chip_cnt) from phy_init for write bitslip during read leveling -- Rank count (io_config) from MC during normal operation process (rst, dfi_init_complete_36, chip_cnt_r1, io_config) begin if ((rst = '1') or (RANK_WIDTH = 0)) then rank_cnt <= "00"; else if (dfi_init_complete_36 = '0') then rank_cnt <= chip_cnt_r1; else -- io_config[1:0] causes warning with VCS -- io_config[RANK_WIDTH-1:0] causes error with VCS if (RANK_WIDTH = 2) then rank_cnt <= io_config(1 downto 0); else rank_cnt <= ('0' & io_config(0)); end if; end if; end if; end process; process (clk) begin if (clk'event and clk = '1') then chip_cnt_r <= chip_cnt after (TCQ)*1 ps; chip_cnt_r1 <= chip_cnt_r after (TCQ)*1 ps; end if; end process; --***************************************************************** -- DETERMINE DQ/DQS output delay values -- 1. If WRLVL disabled: DQS = 0 delay, DQ = 90 degrees delay -- 2. If WRLVL enabled: DQS and DQ delays are determined during -- write leveling -- For multi-rank design the appropriate rank values will be sent to -- phy_write, phy_dly_ctrl, and phy_data_io --***************************************************************** gen_offset_tap: for offset_i in 0 to (DQS_WIDTH-1) generate gen_offset_tap_dbg: if (DEBUG_PORT = "ON") generate -- Allow debug port to modify the post-write-leveling ODELAY -- values of DQ and DQS. This can be used to measure DQ-DQS -- (as well as tDQSS) timing margin on writes dlyval_wrlvl_dq(5*offset_i+4 downto 5*offset_i) <= dbg_wr_dq_tap_set(5*offset_i+4 downto 5*offset_i) when ((WRLVL_W = "ON") and ((wrlvl_done and dbg_wr_tap_set_en)= '1')) else dlyval_wrlvl_dq_w(5*offset_i+4 downto 5*offset_i) when ((WRLVL_W = "ON") and not((wrlvl_done and dbg_wr_tap_set_en)= '1')) else dbg_wr_dq_tap_set(5*offset_i+4 downto 5*offset_i) when (not(WRLVL_W = "ON") and (dbg_wr_tap_set_en = '1')) else std_logic_vector(to_unsigned(SHIFT_TBY4_TAP,5)); dlyval_wrlvl_dqs(5*offset_i+4 downto 5*offset_i) <= dbg_wr_dqs_tap_set(5*offset_i+4 downto 5*offset_i) when ((WRLVL_W = "ON") and ((wrlvl_done and dbg_wr_tap_set_en)= '1')) else dlyval_wrlvl_dqs_w(5*offset_i+4 downto 5*offset_i) when ((WRLVL_W = "ON") and not((wrlvl_done and dbg_wr_tap_set_en)= '1')) else dbg_wr_dqs_tap_set(5*offset_i+4 downto 5*offset_i) when (not(WRLVL_W = "ON") and (dbg_wr_tap_set_en = '1')) else (others => '0'); end generate; gen_offset_tap_nodbg: if (not(DEBUG_PORT = "ON")) generate dlyval_wrlvl_dq(5*offset_i+4 downto 5*offset_i) <= dlyval_wrlvl_dq_w(5*offset_i+4 downto 5*offset_i) when (WRLVL_W = "ON") else std_logic_vector(to_unsigned(SHIFT_TBY4_TAP,5)); dlyval_wrlvl_dqs(5*offset_i+4 downto 5*offset_i) <= dlyval_wrlvl_dqs_w(5*offset_i+4 downto 5*offset_i) when (WRLVL_W = "ON") else (others => '0'); end generate; end generate; --*************************************************************************** -- Used for multi-rank case to determine the number of ranks to be calibrated -- The number of ranks to be calibrated can be less than the CS_WIDTH (rank -- width) -- Assumes at least one rank per slot to be calibrated -- If nSLOTS equals 1 slot_1_present input will be ignored -- Assumes CS_WIDTH to be 1, 2, 3, or 4 --*************************************************************************** gen_single_slot : if (nSLOTS = 1) generate xhdl1 <= slot_0_present(0) & slot_0_present(1) & slot_0_present(2) & slot_0_present(3); process (clk) begin if (clk'event and clk = '1') then case xhdl1 is -- single slot quad rank calibration when "1111" => if (CAL_WIDTH = "FULL") then calib_width <= "100" after (TCQ)*1 ps; else calib_width <= "010" after (TCQ)*1 ps; end if; -- single slot dual rank calibration when "1100" => if (CAL_WIDTH = "FULL") then calib_width <= "010" after (TCQ)*1 ps; else calib_width <= "001" after (TCQ)*1 ps; end if; when others => calib_width <= "001" after (TCQ)*1 ps; end case; end if; end process; end generate; gen_dual_slot : if (nSLOTS = 2) generate xhdl2 <= slot_0_present(0) & slot_0_present(1) & slot_1_present(0) & slot_1_present(1); process (clk) begin if (clk'event and clk = '1') then case xhdl2 is -- two slots single rank per slot CAL_WIDTH ignored since one rank -- per slot must be calibrated when "1010" => calib_width <= "010" after (TCQ)*1 ps; -- two slots single rank in slot0 when "1000" => calib_width <= "001" after (TCQ)*1 ps; -- two slots single rank in slot1 when "0010" => calib_width <= "001" after (TCQ)*1 ps; -- two slots two ranks per slot calibration when "1111" => if (CAL_WIDTH = "FULL") then calib_width <= "100" after (TCQ)*1 ps; else calib_width <= "010" after (TCQ)*1 ps; end if; -- two slots: 2 ranks in slot0, 1 rank in slot1 when "1110" => if (CAL_WIDTH = "FULL") then calib_width <= "011" after (TCQ)*1 ps; else calib_width <= "010" after (TCQ)*1 ps; end if; -- two slots: 2 ranks in slot0, none in slot1 when "1100" => if (CAL_WIDTH = "FULL") then calib_width <= "010" after (TCQ)*1 ps; else calib_width <= "001" after (TCQ)*1 ps; end if; -- two slots: 1 rank in slot0, 2 ranks in slot1 when "1011" => if (CAL_WIDTH = "FULL") then calib_width <= "011" after (TCQ)*1 ps; else calib_width <= "010" after (TCQ)*1 ps; end if; -- two slots: none in slot0, 2 ranks in slot1 when "0011" => if (CAL_WIDTH = "FULL") then calib_width <= "010" after (TCQ)*1 ps; else calib_width <= "001" after (TCQ)*1 ps; end if; when others => calib_width <= "010" after (TCQ)*1 ps; end case; end if; end process; end generate; --*************************************************************************** -- Initialization / Master PHY state logic (overall control during memory -- init, timing leveling) --*************************************************************************** u_phy_init : phy_init generic map ( tcq => TCQ, nck_per_clk => nCK_PER_CLK, clk_period => CLK_PERIOD, dram_type => DRAM_TYPE, bank_width => BANK_WIDTH, col_width => COL_WIDTH, ncs_per_rank => nCS_PER_RANK, dq_width => DQ_WIDTH, row_width => ROW_WIDTH, cs_width => CS_WIDTH, cke_width => CKE_WIDTH, calib_row_add => CALIB_ROW_ADD, calib_col_add => CALIB_COL_ADD, calib_ba_add => CALIB_BA_ADD, al => AL, burst_mode => BURST_MODE, burst_type => BURST_TYPE, nal => nAL, ncl => nCL, ncwl => nCWL, trfc => tRFC, output_drv => OUTPUT_DRV, reg_ctrl => REG_CTRL, rtt_nom => RTT_NOM, rtt_wr => RTT_WR, wrlvl => WRLVL_W, phase_detect => USE_PHASE_DETECT, nslots => nSLOTS, sim_init_option => SIM_INIT_OPTION_W, sim_cal_option => SIM_CAL_OPTION_W ) port map ( clk => clk, rst => rst, calib_width => calib_width, rdpath_rdy => rdpath_rdy, wrlvl_done => wrlvl_done, wrlvl_rank_done => wrlvl_rank_done, wrlvl_active => wrlvl_active, slot_0_present => slot_0_present, slot_1_present => slot_1_present, rdlvl_done => rdlvl_done, rdlvl_start => rdlvl_start, rdlvl_clkdiv_done => rdlvl_clkdiv_done, rdlvl_clkdiv_start => rdlvl_clkdiv_start, rdlvl_prech_req => rdlvl_prech_req, rdlvl_resume => rdlvl_pat_resume_w, chip_cnt => chip_cnt, pd_cal_start => pd_cal_start, pd_cal_done => pd_cal_done, pd_prech_req => pd_prech_req, prech_done => prech_done, dfi_init_complete => dfi_init_complete_36, phy_address0 => phy_address0, phy_address1 => phy_address1, phy_bank0 => phy_bank0, phy_bank1 => phy_bank1, phy_cas_n0 => phy_cas_n0, phy_cas_n1 => phy_cas_n1, phy_cke0 => phy_cke0, phy_cke1 => phy_cke1, phy_cs_n0 => phy_cs_n0, phy_cs_n1 => phy_cs_n1, phy_init_data_sel => phy_init_data_sel, phy_odt0 => phy_odt0, phy_odt1 => phy_odt1, phy_ras_n0 => phy_ras_n0, phy_ras_n1 => phy_ras_n1, phy_reset_n => phy_reset_n, phy_we_n0 => phy_we_n0, phy_we_n1 => phy_we_n1, phy_wrdata_en => phy_wrdata_en, phy_wrdata => phy_wrdata, phy_rddata_en => phy_rddata_en, phy_ioconfig => phy_io_config, phy_ioconfig_en => phy_io_config_strobe ); --***************************************************************** -- Control/Address MUX and IOB logic --***************************************************************** u_phy_control_io : phy_control_io generic map ( tcq => TCQ, bank_width => BANK_WIDTH, rank_width => RANK_WIDTH, ncs_per_rank => nCS_PER_RANK, cs_width => CS_WIDTH, row_width => ROW_WIDTH, cke_width => CKE_WIDTH, wrlvl => WRLVL_W, ncwl => CWL_M, dram_type => DRAM_TYPE, reg_ctrl => REG_CTRL, refclk_freq => REFCLK_FREQ, iodelay_hp_mode => IODELAY_HP_MODE, iodelay_grp => IODELAY_GRP, ddr2_early_cs => DDR2_EARLY_CS ) port map ( clk_mem => clk_mem, clk => clk, rst => rst, mc_data_sel => phy_init_data_sel, dfi_address0 => dfi_address0, dfi_address1 => dfi_address1, dfi_bank0 => dfi_bank0, dfi_bank1 => dfi_bank1, dfi_cas_n0 => dfi_cas_n0, dfi_cas_n1 => dfi_cas_n1, dfi_cke0 => dfi_cke0, dfi_cke1 => dfi_cke1, dfi_cs_n0 => dfi_cs_n0, dfi_cs_n1 => dfi_cs_n1, dfi_odt0 => dfi_odt0, dfi_odt1 => dfi_odt1, dfi_ras_n0 => dfi_ras_n0, dfi_ras_n1 => dfi_ras_n1, dfi_reset_n => dfi_reset_n, dfi_we_n0 => dfi_we_n0, dfi_we_n1 => dfi_we_n1, phy_address0 => phy_address0, phy_address1 => phy_address1, phy_bank0 => phy_bank0, phy_bank1 => phy_bank1, phy_cas_n0 => phy_cas_n0, phy_cas_n1 => phy_cas_n1, phy_cke0 => phy_cke0, phy_cke1 => phy_cke1, phy_cs_n0 => phy_cs_n0, phy_cs_n1 => phy_cs_n1, phy_odt0 => phy_odt0, phy_odt1 => phy_odt1, phy_ras_n0 => phy_ras_n0, phy_ras_n1 => phy_ras_n1, phy_reset_n => phy_reset_n, phy_we_n0 => phy_we_n0, phy_we_n1 => phy_we_n1, ddr_addr => ddr_addr_23, ddr_ba => ddr_ba_24, ddr_ras_n => ddr_ras_n_33, ddr_cas_n => ddr_cas_n_25, ddr_we_n => ddr_we_n_35, ddr_cke => ddr_cke_28, ddr_cs_n => ddr_cs_n_29, ddr_odt => ddr_odt_31, ddr_parity => ddr_parity_32, ddr_reset_n => ddr_reset_n_34 ); --***************************************************************** -- Memory clock forwarding and feedback --***************************************************************** u_phy_clock_io : phy_clock_io generic map ( tcq => TCQ, ck_width => CK_WIDTH, wrlvl => WRLVL_W, dram_type => DRAM_TYPE, refclk_freq => REFCLK_FREQ, iodelay_grp => IODELAY_GRP ) port map ( clk_mem => clk_mem, clk => clk, rst => rst, ddr_ck_p => ddr_ck_p_27, ddr_ck_n => ddr_ck_n_26 ); --***************************************************************** -- Data-related IOBs (data, strobe, mask), and regional clock buffers -- Also includes output clock IOBs, and external feedback clock --***************************************************************** u_phy_data_io : phy_data_io generic map ( tcq => TCQ, nck_per_clk => nCK_PER_CLK, clk_period => CLK_PERIOD, dram_type => DRAM_TYPE, dram_width => DRAM_WIDTH, dm_width => DM_WIDTH, dq_width => DQ_WIDTH, dqs_width => DQS_WIDTH, ncwl => CWL_M, wrlvl => WRLVL_W, refclk_freq => REFCLK_FREQ, ibuf_lpwr_mode => IBUF_LPWR_MODE, iodelay_hp_mode => IODELAY_HP_MODE, iodelay_grp => IODELAY_GRP, ndqs_col0 => nDQS_COL0, ndqs_col1 => nDQS_COL1, ndqs_col2 => nDQS_COL2, ndqs_col3 => nDQS_COL3, dqs_loc_col0 => DQS_LOC_COL0, dqs_loc_col1 => DQS_LOC_COL1, dqs_loc_col2 => DQS_LOC_COL2, dqs_loc_col3 => DQS_LOC_COL3, use_dm_port => USE_DM_PORT ) port map ( clk_mem => clk_mem, clk => clk, clk_cpt => clk_cpt, clk_rsync => clk_rsync, rst => rst, rst_rsync => rst_rsync, -- IODELAY I/F dlyval_dq => dlyval_dq, dlyval_dqs => dlyval_dqs, -- Write datapath I/F inv_dqs => dqs_inv, wr_calib_dly => wrcal_dly_w, dqs_oe_n => dqs_oe_n, dq_oe_n => dq_oe_n, dqs_rst => dqs_rst, dm_ce => dm_ce, mask_data_rise0 => mask_data_rise0, mask_data_fall0 => mask_data_fall0, mask_data_rise1 => mask_data_rise1, mask_data_fall1 => mask_data_fall1, wr_data_rise0 => wr_data_rise0, wr_data_fall0 => wr_data_fall0, wr_data_rise1 => wr_data_rise1, wr_data_fall1 => wr_data_fall1, -- Read datapath I/F rd_bitslip_cnt => rd_bitslip_cnt, rd_clkdly_cnt => rd_clkdly_cnt, rd_clkdiv_inv => rd_clkdiv_inv, rd_data_rise0 => rd_data_rise0, rd_data_fall0 => rd_data_fall0, rd_data_rise1 => rd_data_rise1, rd_data_fall1 => rd_data_fall1, rd_dqs_rise0 => rd_dqs_rise0, rd_dqs_fall0 => rd_dqs_fall0, rd_dqs_rise1 => rd_dqs_rise1, rd_dqs_fall1 => rd_dqs_fall1, -- DDR3 bus signals ddr_dm => ddr_dm_30, ddr_dqs_p => ddr_dqs_p, ddr_dqs_n => ddr_dqs_n, ddr_dq => ddr_dq, -- Debug signals dbg_dqs_tap_cnt => dbg_dqs_tap_cnt_6, dbg_dq_tap_cnt => dbg_dq_tap_cnt_4 ); --***************************************************************** -- IODELAY control logic --***************************************************************** u_phy_dly_ctrl : phy_dly_ctrl generic map ( tcq => TCQ, dq_width => DQ_WIDTH, dqs_cnt_width => DQS_CNT_WIDTH, dqs_width => DQS_WIDTH, rank_width => RANK_WIDTH, ncwl => CWL_M, reg_ctrl => REG_CTRL, wrlvl => WRLVL_W, phase_detect => USE_PHASE_DETECT, dram_type => DRAM_TYPE, ndqs_col0 => nDQS_COL0, ndqs_col1 => nDQS_COL1, ndqs_col2 => nDQS_COL2, ndqs_col3 => nDQS_COL3, dqs_loc_col0 => DQS_LOC_COL0, dqs_loc_col1 => DQS_LOC_COL1, dqs_loc_col2 => DQS_LOC_COL2, dqs_loc_col3 => DQS_LOC_COL3, debug_port => DEBUG_PORT ) port map ( clk => clk, rst => rst, clk_rsync => clk_rsync, rst_rsync => rst_rsync, wrlvl_done => wrlvl_done, rdlvl_done => rdlvl_done, pd_cal_done => pd_cal_done, mc_data_sel => phy_init_data_sel, mc_ioconfig => io_config, mc_ioconfig_en => io_config_strobe, phy_ioconfig => phy_io_config, phy_ioconfig_en => phy_io_config_strobe, dqs_oe => dqs_oe, dlyval_wrlvl_dqs => dlyval_wrlvl_dqs, dlyval_wrlvl_dq => dlyval_wrlvl_dq, dlyce_rdlvl_cpt => dlyce_rdlvl_cpt, dlyinc_rdlvl_cpt => dlyinc_rdlvl_cpt, dlyce_rdlvl_rsync => dlyce_rdlvl_rsync, dlyinc_rdlvl_rsync => dlyinc_rdlvl_rsync, dlyval_rdlvl_dq => dlyval_rdlvl_dq, dlyval_rdlvl_dqs => dlyval_rdlvl_dqs, dlyce_pd_cpt => dlyce_pd_cpt, dlyinc_pd_cpt => dlyinc_pd_cpt, dlyval_pd_dqs => dlyval_pd_dqs, dlyval_dqs => dlyval_dqs, dlyval_dq => dlyval_dq, dlyrst_cpt => dlyrst_cpt, dlyce_cpt => dlyce_cpt, dlyinc_cpt => dlyinc_cpt, dlyrst_rsync => dlyrst_rsync, dlyce_rsync => dlyce_rsync, dlyinc_rsync => dlyinc_rsync, dbg_pd_off => dbg_pd_off ); --***************************************************************** -- Write path logic (datapath, tri-state enable) --***************************************************************** u_phy_write : phy_write generic map ( tcq => TCQ, wrlvl => WRLVL_W, dq_width => DQ_WIDTH, dqs_width => DQS_WIDTH, dram_type => DRAM_TYPE, rank_width => RANK_WIDTH, ncwl => CWL_M, REG_CTRL => REG_CTRL ) port map ( clk => clk, rst => rst, mc_data_sel => phy_init_data_sel, wrlvl_active => wrlvl_active, wrlvl_done => wrlvl_done, inv_dqs => dqs_inv, wr_calib_dly => wrcal_dly_w, dfi_wrdata => dfi_wrdata, dfi_wrdata_mask => dfi_wrdata_mask, dfi_wrdata_en => dfi_wrdata_en, mc_ioconfig_en => io_config_strobe, mc_ioconfig => io_config, phy_wrdata => phy_wrdata, phy_wrdata_en => phy_wrdata_en, phy_ioconfig_en => phy_io_config_strobe, phy_ioconfig => phy_io_config, dm_ce => dm_ce, dq_oe_n => dq_oe_n, dqs_oe_n => dqs_oe_n, dqs_rst => dqs_rst, out_oserdes_wc => out_oserdes_wc, dqs_wc => open, dq_wc => open, wl_sm_start => wl_sm_start, wr_lvl_start => wrlvl_start, wr_data_rise0 => wr_data_rise0, wr_data_fall0 => wr_data_fall0, wr_data_rise1 => wr_data_rise1, wr_data_fall1 => wr_data_fall1, mask_data_rise0 => mask_data_rise0, mask_data_fall0 => mask_data_fall0, mask_data_rise1 => mask_data_rise1, mask_data_fall1 => mask_data_fall1 ); --*************************************************************************** -- Registered version of DQS Output Enable to determine when to switch -- from ODELAY to IDELAY in phy_dly_ctrl module --*************************************************************************** -- SYNTHESIS_NOTE: might need another pipeline stage to meet timing process (clk) begin if (clk'event and clk = '1') then dqs_oe <= not(AND_BR(dqs_oe_n)) after (TCQ)*1 ps; end if; end process; --*************************************************************************** -- Write-leveling calibration logic --*************************************************************************** mb_wrlvl_inst : if (WRLVL_W = "ON") generate u_phy_wrlvl : phy_wrlvl generic map ( tcq => TCQ, dqs_cnt_width => DQS_CNT_WIDTH, dq_width => DQ_WIDTH, dqs_width => DQS_WIDTH, dram_width => DRAM_WIDTH, cs_width => CS_WIDTH, cal_width => CAL_WIDTH, dqs_tap_cnt_index => 5*DQS_WIDTH-1, shift_tby4_tap => SHIFT_TBY4_TAP, SIM_CAL_OPTION => SIM_CAL_OPTION_W ) port map ( clk => clk, rst => rst, calib_width => calib_width, rank_cnt => rank_cnt, wr_level_start => wrlvl_start, wl_sm_start => wl_sm_start, rd_data_rise0 => dfi_rddata_37(DQ_WIDTH-1 downto 0), wr_level_done => wrlvl_done, wrlvl_rank_done => wrlvl_rank_done, dlyval_wr_dqs => dlyval_wrlvl_dqs_w, dlyval_wr_dq => dlyval_wrlvl_dq_w, inv_dqs => inv_dqs, rdlvl_error => rdlvl_pat_err, rdlvl_err_byte => rdlvl_pat_err_cnt, rdlvl_resume => rdlvl_pat_resume, wr_calib_dly => wr_calib_dly, wrcal_err => wrcal_err, wrlvl_err => wrlvl_err, dbg_wl_tap_cnt => dbg_tap_cnt_during_wrlvl_21, dbg_wl_edge_detect_valid => dbg_wl_edge_detect_valid_22, dbg_rd_data_edge_detect => dbg_rd_data_edge_detect_16, dbg_rd_data_inv_edge_detect => open, dbg_dqs_count => open, dbg_wl_state => open ); end generate; --***************************************************************** -- Read clock generation and data/control synchronization --***************************************************************** u_phy_read : phy_read generic map ( tcq => TCQ, nck_per_clk => nCK_PER_CLK, clk_period => CLK_PERIOD, refclk_freq => REFCLK_FREQ, dqs_width => DQS_WIDTH, dq_width => DQ_WIDTH, dram_width => DRAM_WIDTH, iodelay_grp => IODELAY_GRP, ndqs_col0 => nDQS_COL0, ndqs_col1 => nDQS_COL1, ndqs_col2 => nDQS_COL2, ndqs_col3 => nDQS_COL3, dqs_loc_col0 => DQS_LOC_COL0, dqs_loc_col1 => DQS_LOC_COL1, dqs_loc_col2 => DQS_LOC_COL2, dqs_loc_col3 => DQS_LOC_COL3 ) port map ( clk_mem => clk_mem, clk => clk, clk_rd_base => clk_rd_base, rst => rst, dlyrst_cpt => dlyrst_cpt, dlyce_cpt => dlyce_cpt, dlyinc_cpt => dlyinc_cpt, dlyrst_rsync => dlyrst_rsync, dlyce_rsync => dlyce_rsync, dlyinc_rsync => dlyinc_rsync, clk_cpt => clk_cpt, clk_rsync => clk_rsync, rst_rsync => rst_rsync, rdpath_rdy => rdpath_rdy, mc_data_sel => phy_init_data_sel, rd_active_dly => rd_active_dly, rd_data_rise0 => rd_data_rise0, rd_data_fall0 => rd_data_fall0, rd_data_rise1 => rd_data_rise1, rd_data_fall1 => rd_data_fall1, rd_dqs_rise0 => rd_dqs_rise0, rd_dqs_fall0 => rd_dqs_fall0, rd_dqs_rise1 => rd_dqs_rise1, rd_dqs_fall1 => rd_dqs_fall1, dfi_rddata_en => dfi_rddata_en, phy_rddata_en => phy_rddata_en, dfi_rddata_valid => dfi_rddata_valid_38, dfi_rddata_valid_phy => dfi_rddata_valid_phy, dfi_rddata => dfi_rddata_37, dfi_rd_dqs => dfi_rd_dqs, dbg_cpt_tap_cnt => dbg_cpt_tap_cnt_2, dbg_rsync_tap_cnt => dbg_rsync_tap_cnt_20, dbg_phy_read => dbg_phy_read_12 ); --*************************************************************************** -- Read-leveling calibration logic --*************************************************************************** u_phy_rdlvl : phy_rdlvl generic map ( TCQ => TCQ, nCK_PER_CLK => nCK_PER_CLK, CLK_PERIOD => CLK_PERIOD, REFCLK_FREQ => integer(REFCLK_FREQ), DQ_WIDTH => DQ_WIDTH, DQS_CNT_WIDTH => DQS_CNT_WIDTH, DQS_WIDTH => DQS_WIDTH, DRAM_WIDTH => DRAM_WIDTH, DRAM_TYPE => DRAM_TYPE, nCL => nCL, PD_TAP_REQ => PD_TAP_REQ, SIM_CAL_OPTION => SIM_CAL_OPTION_W, REG_CTRL => REG_CTRL, DEBUG_PORT => DEBUG_PORT ) port map ( clk => clk, rst => rst, rdlvl_start => rdlvl_start, rdlvl_clkdiv_start => rdlvl_clkdiv_start, rdlvl_rd_active => dfi_rddata_valid_phy, rdlvl_done => rdlvl_done, rdlvl_clkdiv_done => rdlvl_clkdiv_done, rdlvl_err => rdlvl_err, rdlvl_prech_req => rdlvl_prech_req, prech_done => prech_done, rd_data_rise0 => dfi_rddata_37(DQ_WIDTH - 1 downto 0), rd_data_fall0 => dfi_rddata_37(2 * DQ_WIDTH - 1 downto DQ_WIDTH), rd_data_rise1 => dfi_rddata_37(3 * DQ_WIDTH - 1 downto 2 * DQ_WIDTH), rd_data_fall1 => dfi_rddata_37(4 * DQ_WIDTH - 1 downto 3 * DQ_WIDTH), dlyce_cpt => dlyce_rdlvl_cpt, dlyinc_cpt => dlyinc_rdlvl_cpt, dlyce_rsync => dlyce_rdlvl_rsync, dlyinc_rsync => dlyinc_rdlvl_rsync, dlyval_dq => dlyval_rdlvl_dq, dlyval_dqs => dlyval_rdlvl_dqs, rd_bitslip_cnt => rd_bitslip_cnt, rd_clkdly_cnt => rd_clkdly_cnt, rd_active_dly => rd_active_dly, rdlvl_pat_resume => rdlvl_pat_resume_w, rdlvl_pat_err => rdlvl_pat_err, rdlvl_pat_err_cnt => rdlvl_pat_err_cnt, rd_clkdiv_inv => rd_clkdiv_inv, dbg_cpt_first_edge_cnt => dbg_cpt_first_edge_cnt_0, dbg_cpt_second_edge_cnt => dbg_cpt_second_edge_cnt_1, dbg_rd_bitslip_cnt => dbg_rd_bitslip_cnt_14, dbg_rd_clkdiv_inv => open, -- connect in future release dbg_rd_clkdly_cnt => dbg_rd_clkdly_cnt_15, dbg_rd_active_dly => dbg_rd_active_dly_13, dbg_idel_up_all => dbg_idel_up_all, dbg_idel_down_all => dbg_idel_down_all, dbg_idel_up_cpt => dbg_idel_up_cpt, dbg_idel_down_cpt => dbg_idel_down_cpt, dbg_idel_up_rsync => dbg_idel_up_rsync, dbg_idel_down_rsync => dbg_idel_down_rsync, dbg_sel_idel_cpt => dbg_sel_idel_cpt, dbg_sel_all_idel_cpt => dbg_sel_all_idel_cpt, dbg_sel_idel_rsync => dbg_sel_idel_rsync, dbg_sel_all_idel_rsync => dbg_sel_all_idel_rsync, dbg_phy_rdlvl => dbg_phy_rdlvl_11 ); --*************************************************************************** -- Phase Detector: Periodic read-path delay compensation --*************************************************************************** gen_enable_pd : if (USE_PHASE_DETECT = "ON") generate u_phy_pd_top : phy_pd_top generic map ( TCQ => TCQ, DQS_CNT_WIDTH => DQS_CNT_WIDTH, DQS_WIDTH => DQS_WIDTH, PD_LHC_WIDTH => PD_LHC_WIDTH, PD_CALIB_MODE => PD_CALIB_MODE, PD_MSB_SEL => PD_MSB_SEL, PD_DQS0_ONLY => PD_DQS0_ONLY, SIM_CAL_OPTION => SIM_CAL_OPTION_W, DEBUG_PORT => DEBUG_PORT ) port map ( clk => clk, rst => rst, pd_cal_start => pd_cal_start, pd_cal_done => pd_cal_done, dfi_init_complete => phy_init_data_sel, pd_PSEN => pd_PSEN, pd_PSINCDEC => pd_PSINCDEC, read_valid => dfi_rddata_valid_phy, dlyval_rdlvl_dqs => dlyval_rdlvl_dqs, dlyce_pd_cpt => dlyce_pd_cpt, dlyinc_pd_cpt => dlyinc_pd_cpt, dlyval_pd_dqs => dlyval_pd_dqs, rd_dqs_rise0 => dfi_rd_dqs(DQS_WIDTH - 1 downto 0), rd_dqs_fall0 => dfi_rd_dqs(2*DQS_WIDTH - 1 downto DQS_WIDTH), rd_dqs_rise1 => dfi_rd_dqs(3*DQS_WIDTH - 1 downto 2*DQS_WIDTH), rd_dqs_fall1 => dfi_rd_dqs(4*DQS_WIDTH - 1 downto 3*DQS_WIDTH), pd_prech_req => pd_prech_req, prech_done => prech_done, dbg_pd_off => dbg_pd_off, dbg_pd_maintain_off => dbg_pd_maintain_off, dbg_pd_maintain_0_only => dbg_pd_maintain_0_only, dbg_pd_inc_cpt => dbg_pd_inc_cpt, dbg_pd_dec_cpt => dbg_pd_dec_cpt, dbg_pd_inc_dqs => dbg_pd_inc_dqs, dbg_pd_dec_dqs => dbg_pd_dec_dqs, dbg_pd_disab_hyst => dbg_pd_disab_hyst, dbg_pd_disab_hyst_0 => dbg_pd_disab_hyst_0, dbg_pd_msb_sel => dbg_pd_msb_sel, dbg_pd_byte_sel => dbg_pd_byte_sel, dbg_inc_rd_fps => dbg_inc_rd_fps, dbg_dec_rd_fps => dbg_dec_rd_fps, dbg_phy_pd => dbg_phy_pd_10 ); end generate; gen_disable_pd_tie_off : if (not(USE_PHASE_DETECT = "ON")) generate -- Otherwise if phase detector is not used, tie off all PD-related -- control signals pd_cal_done <= '0'; pd_prech_req <= '0'; dlyce_pd_cpt <= (others => '0'); dlyinc_pd_cpt <= (others => '0'); dlyval_pd_dqs <= (others => '0'); end generate; end architecture arch;
lgpl-3.0
lerwys/bpm-sw-old-backup
hdl/top/ml_605/dbe_bpm_simple/dbe_bpm_simple_top.vhd
1
29322
-- Simple DBE simple design -- Created by Lucas Russo <[email protected]> -- Date: 11/10/2012 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; -- Main Wishbone Definitions use work.wishbone_pkg.all; -- Memory core generator use work.gencores_pkg.all; -- Custom Wishbone Modules use work.dbe_wishbone_pkg.all; -- Wishbone stream modules and interface use work.wb_stream_pkg.all; library UNISIM; use UNISIM.vcomponents.all; entity dbe_bpm_simple_top is port( ----------------------------------------- -- Clocking pins ----------------------------------------- sys_clk_p_i : in std_logic; sys_clk_n_i : in std_logic; ----------------------------------------- -- Reset Button ----------------------------------------- sys_rst_button_i : in std_logic; ----------------------------------------- -- FMC150 pins ----------------------------------------- --Clock/Data connection to ADC on FMC150 (ADS62P49) adc_clk_ab_p_i : in std_logic; adc_clk_ab_n_i : in std_logic; adc_cha_p_i : in std_logic_vector(6 downto 0); adc_cha_n_i : in std_logic_vector(6 downto 0); adc_chb_p_i : in std_logic_vector(6 downto 0); adc_chb_n_i : in std_logic_vector(6 downto 0); --Clock/Data connection to DAC on FMC150 (DAC3283) dac_dclk_p_o : out std_logic; dac_dclk_n_o : out std_logic; dac_data_p_o : out std_logic_vector(7 downto 0); dac_data_n_o : out std_logic_vector(7 downto 0); dac_frame_p_o : out std_logic; dac_frame_n_o : out std_logic; txenable_o : out std_logic; --Clock/Trigger connection to FMC150 --clk_to_fpga_p_i : in std_logic; --clk_to_fpga_n_i : in std_logic; --ext_trigger_p_i : in std_logic; --ext_trigger_n_i : in std_logic; -- Control signals from/to FMC150 --Serial Peripheral Interface (SPI) spi_sclk_o : out std_logic; -- Shared SPI clock line spi_sdata_o : out std_logic; -- Shared SPI data line -- ADC specific signals adc_n_en_o : out std_logic; -- SPI chip select adc_sdo_i : in std_logic; -- SPI data out adc_reset_o : out std_logic; -- SPI reset -- CDCE specific signals cdce_n_en_o : out std_logic; -- SPI chip select cdce_sdo_i : in std_logic; -- SPI data out cdce_n_reset_o : out std_logic; cdce_n_pd_o : out std_logic; cdce_ref_en_o : out std_logic; cdce_pll_status_i : in std_logic; -- DAC specific signals dac_n_en_o : out std_logic; -- SPI chip select dac_sdo_i : in std_logic; -- SPI data out -- Monitoring specific signals mon_n_en_o : out std_logic; -- SPI chip select mon_sdo_i : in std_logic; -- SPI data out mon_n_reset_o : out std_logic; mon_n_int_i : in std_logic; --FMC Present status prsnt_m2c_l_i : in std_logic; ----------------------------------------- -- UART pins ----------------------------------------- uart_txd_o : out std_logic; uart_rxd_i : in std_logic; ----------------------------------------- -- Button pins ----------------------------------------- buttons_i : in std_logic_vector(7 downto 0); ----------------------------------------- -- User LEDs ----------------------------------------- leds_o : out std_logic_vector(7 downto 0) ); end dbe_bpm_simple_top; architecture rtl of dbe_bpm_simple_top is -- Top crossbar layout -- Number of slaves constant c_slaves : natural := 7; -- LED, Button, Dual-port memory, UART, DMA control port, FMC150 -- Number of masters constant c_masters : natural := 4; -- LM32 master. Data + Instruction, DMA read+write master --constant c_dpram_size : natural := 16384; -- in 32-bit words (64KB) constant c_dpram_size : natural := 22528; -- in 32-bit words (64KB) -- Number of source/sink Wishbone stream components constant c_sinks : natural := 1; constant c_sources : natural := c_sinks; -- GPIO num pins constant c_leds_num_pins : natural := 8; constant c_buttons_num_pins : natural := 8; -- Counter width. It willl count up to 2^32 clock cycles constant c_counter_width : natural := 32; -- Number of reset clock cycles (FF) constant c_button_rst_width : natural := 255; -- WB SDB (Self describing bus) layout constant c_layout : t_sdb_record_array(c_slaves-1 downto 0) := ( 0 => f_sdb_embed_device(f_xwb_dpram(c_dpram_size), x"00000000"), -- 64KB RAM 1 => f_sdb_embed_device(f_xwb_dpram(c_dpram_size), x"10000000"), -- Second port to the same memory 2 => f_sdb_embed_device(c_xwb_dma_sdb, x"20000400"), -- DMA control port 3 => f_sdb_embed_device(c_xwb_fmc150_sdb, x"20000500"), -- FMC control port 4 => f_sdb_embed_device(c_xwb_uart_sdb, x"20000600"), -- UART control port 5 => f_sdb_embed_device(c_xwb_gpio32_sdb, x"20000700"), -- GPIO LED 6 => f_sdb_embed_device(c_xwb_gpio32_sdb, x"20000800") -- GPIO Button --7 => f_sdb_embed_device(c_xwb_irqmngr_sdb, x"20000900") -- IRQ_MNGR ); -- Self Describing Bus ROM Address. It will be an addressed slave as well. constant c_sdb_address : t_wishbone_address := x"20000000"; -- Crossbar master/slave arrays signal cbar_slave_i : t_wishbone_slave_in_array (c_masters-1 downto 0); signal cbar_slave_o : t_wishbone_slave_out_array(c_masters-1 downto 0); signal cbar_master_i : t_wishbone_master_in_array(c_slaves-1 downto 0); signal cbar_master_o : t_wishbone_master_out_array(c_slaves-1 downto 0); -- Wishbone Stream source/sinks arrays signal wbs_src_i : t_wbs_source_in_array(c_sources-1 downto 0); signal wbs_src_o : t_wbs_source_out_array(c_sources-1 downto 0); -- Check the use of this kind of alias alias wbs_sink_i is wbs_src_o; alias wbs_sink_o is wbs_src_i; -- LM32 signals signal clk_sys : std_logic; signal lm32_interrupt : std_logic_vector(31 downto 0); signal lm32_rstn : std_logic; -- Clocks and resets signals signal locked : std_logic; signal clk_sys_rstn : std_logic; signal clk_adc_rstn : std_logic; signal rst_button_sys_pp : std_logic; signal rst_button_adc_pp : std_logic; signal rst_button_sys : std_logic; signal rst_button_adc : std_logic; signal rst_button_sys_n : std_logic; signal rst_button_adc_n : std_logic; -- Only one clock domain signal reset_clks : std_logic_vector(1 downto 0); signal reset_rstn : std_logic_vector(1 downto 0); -- 200 Mhz clocck for iodelatctrl signal clk_200mhz : std_logic; -- Global Clock Single ended signal sys_clk_gen : std_logic; -- GPIO LED signals signal gpio_slave_led_o : t_wishbone_slave_out; signal gpio_slave_led_i : t_wishbone_slave_in; signal s_leds : std_logic_vector(c_leds_num_pins-1 downto 0); -- signal leds_gpio_dummy_in : std_logic_vector(c_leds_num_pins-1 downto 0); -- GPIO Button signals signal gpio_slave_button_o : t_wishbone_slave_out; signal gpio_slave_button_i : t_wishbone_slave_in; -- IRQ manager signals --signal gpio_slave_irqmngr_o : t_wishbone_slave_out; --signal gpio_slave_irqmngr_i : t_wishbone_slave_in; -- LEDS, button and irq manager signals --signal r_leds : std_logic_vector(7 downto 0); --signal r_reset : std_logic; -- Counter signal signal s_counter : unsigned(c_counter_width-1 downto 0); -- 100MHz period or 1 second constant s_counter_full : integer := 100000000; -- FMC150 signals signal clk_adc : std_logic; -- Chipscope control signals signal CONTROL0 : std_logic_vector(35 downto 0); signal CONTROL1 : std_logic_vector(35 downto 0); -- Chipscope ILA 0 signals signal TRIG_ILA0_0 : std_logic_vector(31 downto 0); signal TRIG_ILA0_1 : std_logic_vector(31 downto 0); signal TRIG_ILA0_2 : std_logic_vector(31 downto 0); signal TRIG_ILA0_3 : std_logic_vector(31 downto 0); -- Chipscope ILA 1 signals signal TRIG_ILA1_0 : std_logic_vector(31 downto 0); signal TRIG_ILA1_1 : std_logic_vector(31 downto 0); signal TRIG_ILA1_2 : std_logic_vector(31 downto 0); signal TRIG_ILA1_3 : std_logic_vector(31 downto 0); --------------------------- -- Components -- --------------------------- -- Clock generation component clk_gen is port( sys_clk_p_i : in std_logic; sys_clk_n_i : in std_logic; sys_clk_o : out std_logic ); end component; -- Xilinx Megafunction component sys_pll is port( rst_i : in std_logic := '0'; clk_i : in std_logic := '0'; clk0_o : out std_logic; clk1_o : out std_logic; locked_o : out std_logic ); end component; -- Xilinx Chipscope Controller component chipscope_icon_1_port port ( CONTROL0 : inout std_logic_vector(35 downto 0) ); end component; -- Xilinx Chipscope Controller 2 port component chipscope_icon_2_port port ( CONTROL0 : inout std_logic_vector(35 downto 0); CONTROL1 : inout std_logic_vector(35 downto 0) ); end component; -- Xilinx Chipscope Logic Analyser component chipscope_ila port ( CONTROL : inout std_logic_vector(35 downto 0); CLK : in std_logic; TRIG0 : in std_logic_vector(31 downto 0); TRIG1 : in std_logic_vector(31 downto 0); TRIG2 : in std_logic_vector(31 downto 0); TRIG3 : in std_logic_vector(31 downto 0) ); end component; -- Functions -- Generate dummy (0) values function f_zeros(size : integer) return std_logic_vector is begin return std_logic_vector(to_unsigned(0, size)); end f_zeros; begin -- Clock generation cmp_clk_gen : clk_gen port map ( sys_clk_p_i => sys_clk_p_i, sys_clk_n_i => sys_clk_n_i, sys_clk_o => sys_clk_gen ); -- Obtain core locking and generate necessary clocks cmp_sys_pll_inst : sys_pll port map ( rst_i => '0', clk_i => sys_clk_gen, clk0_o => clk_sys, -- 100MHz locked clock clk1_o => clk_200mhz, -- 200MHz locked clock locked_o => locked -- '1' when the PLL has locked ); -- Reset synchronization. Hold reset line until few locked cycles have passed. -- Is this a safe approach to ADC reset domain? cmp_reset : gc_reset generic map( g_clocks => 2 -- CLK_SYS + CLK_ADC ) port map( free_clk_i => sys_clk_gen, locked_i => locked, clks_i => reset_clks, rstn_o => reset_rstn ); -- Generate button reset synchronous to each clock domain -- Detect button positive edge of clk_sys cmp_button_sys_ffs : gc_sync_ffs port map ( clk_i => clk_sys, rst_n_i => '1', data_i => sys_rst_button_i, ppulse_o => rst_button_sys_pp ); -- Detect button positive edge of clk_adc cmp_button_adc_ffs : gc_sync_ffs port map ( clk_i => clk_adc, rst_n_i => '1', data_i => sys_rst_button_i, ppulse_o => rst_button_adc_pp ); -- Generate the reset signal based on positive edge -- of synched sys_rst_button_i cmp_button_sys_rst : gc_extend_pulse generic map ( g_width => c_button_rst_width ) port map( clk_i => clk_sys, rst_n_i => '1', pulse_i => rst_button_sys_pp, extended_o => rst_button_sys ); -- Generate the reset signal based on positive edge -- of synched sys_rst_button_i cmp_button_adc_rst : gc_extend_pulse generic map ( g_width => c_button_rst_width ) port map( clk_i => clk_adc, rst_n_i => '1', pulse_i => rst_button_adc_pp, extended_o => rst_button_adc ); rst_button_sys_n <= not rst_button_sys; rst_button_adc_n <= not rst_button_adc; reset_clks(0) <= clk_sys; reset_clks(1) <= clk_adc; clk_sys_rstn <= reset_rstn(0) and rst_button_sys_n; clk_adc_rstn <= reset_rstn(1) and rst_button_adc_n; -- The top-most Wishbone B.4 crossbar cmp_interconnect : xwb_sdb_crossbar generic map( g_num_masters => c_masters, g_num_slaves => c_slaves, g_registered => true, g_wraparound => false, -- Should be true for nested buses g_layout => c_layout, g_sdb_addr => c_sdb_address ) port map( clk_sys_i => clk_sys, rst_n_i => clk_sys_rstn, -- Master connections (INTERCON is a slave) slave_i => cbar_slave_i, slave_o => cbar_slave_o, -- Slave connections (INTERCON is a master) master_i => cbar_master_i, master_o => cbar_master_o ); -- The LM32 is master 0+1 lm32_rstn <= clk_sys_rstn; cmp_lm32 : xwb_lm32 generic map( g_profile => "medium_icache_debug" ) -- Including JTAG and I-cache (no divide) port map( clk_sys_i => clk_sys, rst_n_i => lm32_rstn, irq_i => lm32_interrupt, dwb_o => cbar_slave_i(0), -- Data bus dwb_i => cbar_slave_o(0), iwb_o => cbar_slave_i(1), -- Instruction bus iwb_i => cbar_slave_o(1) ); -- Interrupts 31 downto 1 disabled for now. -- Interrupt '0' is DMA completion. lm32_interrupt(31 downto 1) <= (others => '0'); -- A DMA controller is master 2+3, slave 2, and interrupt 0 cmp_dma : xwb_dma port map( clk_i => clk_sys, rst_n_i => clk_sys_rstn, slave_i => cbar_master_o(2), slave_o => cbar_master_i(2), r_master_i => cbar_slave_o(2), r_master_o => cbar_slave_i(2), w_master_i => cbar_slave_o(3), w_master_o => cbar_slave_i(3), interrupt_o => lm32_interrupt(0) ); -- Slave 0+1 is the RAM. Load a input file containing a simple led blink program! cmp_ram : xwb_dpram generic map( g_size => c_dpram_size, -- must agree with sw/target/lm32/ram.ld:LENGTH / 4 g_init_file => "../../../embedded-sw/dbe.ram",--"../../top/ml_605/dbe_bpm_simple/sw/main.ram", g_must_have_init_file => true, g_slave1_interface_mode => PIPELINED, g_slave2_interface_mode => PIPELINED, g_slave1_granularity => BYTE, g_slave2_granularity => BYTE ) port map( clk_sys_i => clk_sys, rst_n_i => clk_sys_rstn, -- First port connected to the crossbar slave1_i => cbar_master_o(0), slave1_o => cbar_master_i(0), -- Second port connected to the crossbar slave2_i => cbar_master_o(1), slave2_o => cbar_master_i(1) --slave2_i => cc_dummy_slave_in, -- CYC always low --slave2_o => open ); -- Slave 3 is the FMC150 interface cmp_xwb_fmc150 : xwb_fmc150 generic map( g_interface_mode => CLASSIC, g_address_granularity => BYTE --g_packet_size => 32, --g_sim => 0 ) port map( rst_n_i => clk_sys_rstn, clk_sys_i => clk_sys, --clk_100Mhz_i : in std_logic; clk_200Mhz_i => clk_200mhz, ----------------------------- -- Wishbone signals ----------------------------- wb_slv_i => cbar_master_o(3), wb_slv_o => cbar_master_i(3), ----------------------------- -- Simulation Only ports! ----------------------------- sim_adc_clk_i => '0', sim_adc_clk2x_i => '0', sim_adc_cha_data_i => f_zeros(14), sim_adc_chb_data_i => f_zeros(14), sim_adc_data_valid => '0', ----------------------------- -- External ports ----------------------------- --Clock/Data connection to ADC on FMC150 (ADS62P49) adc_clk_ab_p_i => adc_clk_ab_p_i, adc_clk_ab_n_i => adc_clk_ab_n_i, adc_cha_p_i => adc_cha_p_i, adc_cha_n_i => adc_cha_n_i, adc_chb_p_i => adc_chb_p_i, adc_chb_n_i => adc_chb_n_i, --Clock/Data connection to DAC on FMC150 (DAC3283) dac_dclk_p_o => dac_dclk_p_o, dac_dclk_n_o => dac_dclk_n_o, dac_data_p_o => dac_data_p_o, dac_data_n_o => dac_data_n_o, dac_frame_p_o => dac_frame_p_o, dac_frame_n_o => dac_frame_n_o, txenable_o => txenable_o, --Clock/Trigger connection to FMC150 --clk_to_fpga_p_i : in std_logic; --clk_to_fpga_n_i : in std_logic; --ext_trigger_p_i : in std_logic; --ext_trigger_n_i : in std_logic; -- Control signals from/to FMC150 --Serial Peripheral Interface (SPI) spi_sclk_o => spi_sclk_o, -- Shared SPI clock line spi_sdata_o => spi_sdata_o,-- Shared SPI data line -- ADC specific signals adc_n_en_o => adc_n_en_o, -- SPI chip select adc_sdo_i => adc_sdo_i, -- SPI data out adc_reset_o => adc_reset_o,-- SPI reset -- CDCE specific signals cdce_n_en_o => cdce_n_en_o, -- SPI chip select cdce_sdo_i => cdce_sdo_i, -- SPI data out cdce_n_reset_o => cdce_n_reset_o, cdce_n_pd_o => cdce_n_pd_o, cdce_ref_en_o => cdce_ref_en_o, cdce_pll_status_i => cdce_pll_status_i, -- DAC specific signals dac_n_en_o => dac_n_en_o, -- SPI chip select dac_sdo_i => dac_sdo_i, -- SPI data out -- Monitoring specific signals mon_n_en_o => mon_n_en_o, -- SPI chip select mon_sdo_i => mon_sdo_i, -- SPI data out mon_n_reset_o => mon_n_reset_o, mon_n_int_i => mon_n_int_i, --FMC Present status prsnt_m2c_l_i => prsnt_m2c_l_i, -- ADC output signals -- ADC data is interfaced through the wishbone stream interface (wbs_src_o) adc_dout_o => open, clk_adc_o => clk_adc, -- Wishbone Streaming Interface Source wbs_source_i => wbs_src_i(0), wbs_source_o => wbs_src_o(0) ); -- Slave 4 is the UART cmp_uart : xwb_simple_uart generic map ( g_interface_mode => PIPELINED, g_address_granularity => BYTE ) port map ( clk_sys_i => clk_sys, rst_n_i => clk_sys_rstn, slave_i => cbar_master_o(4), slave_o => cbar_master_i(4), uart_rxd_i => uart_rxd_i, uart_txd_o => uart_txd_o ); -- Slave 5 is the example LED driver cmp_leds : xwb_gpio_port generic map( --g_interface_mode => CLASSIC; g_address_granularity => BYTE, g_num_pins => c_leds_num_pins, g_with_builtin_tristates => false ) port map( clk_sys_i => clk_sys, rst_n_i => clk_sys_rstn, -- Wishbone slave_i => cbar_master_o(5), slave_o => cbar_master_i(5), desc_o => open, -- Not implemented --gpio_b : inout std_logic_vector(g_num_pins-1 downto 0); gpio_out_o => s_leds, --gpio_out_o => open, gpio_in_i => s_leds, gpio_oen_o => open ); leds_o <= s_leds; --p_test_leds : process (clk_adc) --begin -- if rising_edge(clk_adc) then -- if clk_adc_rstn = '0' then -- s_counter <= (others => '0'); -- s_leds <= x"55"; -- else -- if (s_counter = s_counter_full-1) then -- s_counter <= (others => '0'); -- s_leds <= s_leds(c_leds_num_pins-2 downto 0) & s_leds(c_leds_num_pins-1); -- else -- s_counter <= s_counter + 1; -- end if; -- end if; -- end if; --end process; -- Slave 1 is the example LED driver --gpio_slave_led_i <= cbar_master_o(1); --cbar_master_i(1) <= gpio_slave_led_o; --leds_o <= not r_leds; -- There is a tool called 'wbgen2' which can autogenerate a Wishbone -- interface and C header file, but this is a simple example. --gpio : process(clk_sys) --begin -- if rising_edge(clk_sys) then -- It is vitally important that for each occurance of -- (cyc and stb and not stall) there is (ack or rty or err) -- sometime later on the bus. -- -- This is an easy solution for a device that never stalls: -- gpio_slave_led_o.ack <= gpio_slave_led_i.cyc and gpio_slave_led_i.stb; -- Detect a write to the register byte -- if gpio_slave_led_i.cyc = '1' and gpio_slave_led_i.stb = '1' and -- gpio_slave_led_i.we = '1' and gpio_slave_led_i.sel(0) = '1' then -- Register 0x0 = LEDs, 0x4 = CPU reset -- if gpio_slave_led_i.adr(2) = '0' then -- r_leds <= gpio_slave_led_i.dat(7 downto 0); -- else -- r_reset <= gpio_slave_led_i.dat(0); -- end if; -- end if; -- Read to the register byte -- if gpio_slave_led_i.adr(2) = '0' then -- gpio_slave_led_o.dat(31 downto 8) <= (others => '0'); -- gpio_slave_led_o.dat(7 downto 0) <= r_leds; -- else -- gpio_slave_led_o.dat(31 downto 2) <= (others => '0'); -- gpio_slave_led_o.dat(0) <= r_reset; -- end if; --end if; --end process; --gpio_slave_led_o.int <= '0'; --gpio_slave_led_o.err <= '0'; --gpio_slave_led_o.rty <= '0'; --gpio_slave_led_o.stall <= '0'; -- This simple example is always ready -- Slave 6 is the example Button driver cmp_buttons : xwb_gpio_port generic map( --g_interface_mode => CLASSIC; g_address_granularity => BYTE, g_num_pins => c_buttons_num_pins, g_with_builtin_tristates => false ) port map( clk_sys_i => clk_sys, rst_n_i => clk_sys_rstn, -- Wishbone slave_i => cbar_master_o(6), slave_o => cbar_master_i(6), desc_o => open, -- Not implemented --gpio_b : inout std_logic_vector(g_num_pins-1 downto 0); gpio_out_o => open, gpio_in_i => buttons_i, gpio_oen_o => open ); -- Xilinx Chipscope cmp_chipscope_icon_0 : chipscope_icon_2_port port map ( CONTROL0 => CONTROL0, CONTROL1 => CONTROL1 ); cmp_chipscope_ila_0 : chipscope_ila port map ( CONTROL => CONTROL0, CLK => clk_sys, TRIG0 => TRIG_ILA0_0, TRIG1 => TRIG_ILA0_1, TRIG2 => TRIG_ILA0_2, TRIG3 => TRIG_ILA0_3 ); -- FMC150 master output (slave input) control data TRIG_ILA0_0 <= cbar_master_o(3).dat; -- FMC150 master input (slave output) control data TRIG_ILA0_1 <= cbar_master_i(3).dat; -- FMC150 master control output (slave input) control signals -- Partial decoding. Thus, only the LSB part of address matters to -- a specific slave core TRIG_ILA0_2(16 downto 0) <= cbar_master_o(3).cyc & cbar_master_o(3).stb & cbar_master_o(3).adr(9 downto 0) & cbar_master_o(3).sel & cbar_master_o(3).we; --TRIG_ILA0_2(31 downto 11) <= (others => '0'); TRIG_ILA0_2(31 downto 17) <= (others => '0'); -- FMC150 master control input (slave output) control signals TRIG_ILA0_3(4 downto 0) <= cbar_master_i(3).ack & cbar_master_i(3).err & cbar_master_i(3).rty & cbar_master_i(3).stall & cbar_master_i(3).int; TRIG_ILA0_3(31 downto 5) <= (others => '0'); cmp_chipscope_ila_1 : chipscope_ila port map ( CONTROL => CONTROL1, CLK => clk_adc, TRIG0 => TRIG_ILA1_0, TRIG1 => TRIG_ILA1_1, TRIG2 => TRIG_ILA1_2, TRIG3 => TRIG_ILA1_3 ); -- FMC150 source output (sink input) stream data TRIG_ILA1_0 <= wbs_src_o(0).dat; -- FMC150 source input (sink output) stream data --TRIG_ILA1_1 <= wbs_src_i(0).dat; -- FMC150 source control output (sink input) stream signals -- Partial decoding. Thus, only the LSB part of address matters to -- a specific slave core TRIG_ILA1_1(10 downto 0) <= wbs_src_o(0).cyc & wbs_src_o(0).stb & wbs_src_o(0).adr(3 downto 0) & wbs_src_o(0).sel & wbs_src_o(0).we; TRIG_ILA1_1(31 downto 11) <= (others => '0'); -- FMC150 master control input (slave output) stream signals TRIG_ILA1_2(3 downto 0) <= wbs_src_i(0).ack & wbs_src_i(0).err & wbs_src_i(0).rty & wbs_src_i(0).stall; TRIG_ILA1_2(31 downto 4) <= (others => '0'); TRIG_ILA1_3(31 downto 0) <= (others => '0'); end rtl;
lgpl-3.0
lerwys/bpm-sw-old-backup
hdl/top/ml_605/dbe_bpm_ebone/sys_pll.vhd
11
6148
-- MMCM_BASE : In order to incorporate this function into the design, -- VHDL : the following instance declaration needs to be placed -- instance : in the body of the design code. The instance name -- declaration : (MMCM_BASE_inst) and/or the port declarations after the -- code : "=>" declaration maybe changed to properly reference and -- : connect this function to the design. All inputs and outputs -- : must be connected. -- Library : In addition to adding the instance declaration, a use -- declaration : statement for the UNISIM.vcomponents library needs to be -- for : added before the entity declaration. This library -- Xilinx : contains the component declarations for all Xilinx -- primitives : primitives and points to the models that will be used -- : for simulation. -- Copy the following two statements and paste them before the -- Entity declaration, unless they already exist. library UNISIM; use UNISIM.vcomponents.all; library ieee; use ieee.std_logic_1164.all; entity sys_pll is generic( -- 200 MHz input clock g_clkin_period : real := 5.000; g_clkbout_mult_f : real := 5.000; -- 100 MHz output clock g_clk0_divide_f : real := 10.000; -- 200 MHz output clock g_clk1_divide : integer := 5 ); port( rst_i : in std_logic := '0'; clk_i : in std_logic := '0'; clk0_o : out std_logic; clk1_o : out std_logic; locked_o : out std_logic ); end sys_pll; architecture syn of sys_pll is signal s_mmcm_fbin : std_logic; signal s_mmcm_fbout : std_logic; signal s_clk0 : std_logic; signal s_clk1 : std_logic; begin -- MMCM_BASE: Base Mixed Mode Clock Manager -- Virtex-6 -- Xilinx HDL Language Template, version 13.4 -- Clock PLL cmp_mmcm : MMCM_ADV generic map( BANDWIDTH => "OPTIMIZED", CLKOUT4_CASCADE => FALSE, CLOCK_HOLD => FALSE, COMPENSATION => "ZHOLD", STARTUP_WAIT => FALSE, DIVCLK_DIVIDE => 1, CLKFBOUT_MULT_F => g_clkbout_mult_f, CLKFBOUT_PHASE => 0.000, CLKFBOUT_USE_FINE_PS => FALSE, CLKOUT0_DIVIDE_F => g_clk0_divide_f, CLKOUT0_PHASE => 0.000, CLKOUT0_DUTY_CYCLE => 0.500, CLKOUT0_USE_FINE_PS => FALSE, CLKOUT1_DIVIDE => g_clk1_divide, CLKOUT1_PHASE => 0.000, CLKOUT1_DUTY_CYCLE => 0.500, CLKOUT1_USE_FINE_PS => FALSE, CLKIN1_PERIOD => g_clkin_period, REF_JITTER1 => 0.010, -- Not used. Just to bypass Xilinx errors -- Just input g_clkin_period input clock period CLKIN2_PERIOD => g_clkin_period, REF_JITTER2 => 0.010 ) port map( -- Output clocks CLKFBOUT => s_mmcm_fbout, CLKFBOUTB => open, CLKOUT0 => s_clk0, CLKOUT0B => open, CLKOUT1 => s_clk1, CLKOUT1B => open, CLKOUT2 => open, CLKOUT2B => open, CLKOUT3 => open, CLKOUT3B => open, CLKOUT4 => open, CLKOUT5 => open, CLKOUT6 => open, -- Input clock control CLKFBIN => s_mmcm_fbin, CLKIN1 => clk_i, CLKIN2 => '0', -- Tied to always select the primary input clock CLKINSEL => '1', -- Ports for dynamic reconfiguration DADDR => (others => '0'), DCLK => '0', DEN => '0', DI => (others => '0'), DO => open, DRDY => open, DWE => '0', -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => open, -- Other control and status signals LOCKED => locked_o, CLKINSTOPPED => open, CLKFBSTOPPED => open, PWRDWN => '0', RST => rst_i ); -- Global clock buffers for "cmp_mmcm" instance cmp_clkf_bufg : BUFG port map( O => s_mmcm_fbin, I => s_mmcm_fbout ); cmp_clkout0_buf : BUFG port map( O => clk0_o, I => s_clk0 ); cmp_clkout1_buf : BUFG port map( O => clk1_o, I => s_clk1 ); end syn;
lgpl-3.0
lerwys/bpm-sw-old-backup
embedded-sw/dbe_lwip.vhd
1
743044
-- AUTOGENERATED FILE (from genramvhd.c run on -s) -- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library work; use work.memory_loader_pkg.all; package dbe_lwip_bin_pkg is constant dbe_lwip_bin_init : t_meminit_array(32767 downto 0, 31 downto 0) := ( 0 => x"98000000", 1 => x"d0000000", 2 => x"d0200000", 3 => x"78010000", 4 => x"38210000", 5 => x"d0e10000", 6 => x"f800003a", 7 => x"34000000", 8 => x"00000000", 9 => x"00000000", 10 => x"00000000", 11 => x"00000000", 12 => x"00000000", 13 => x"00000000", 14 => x"00000000", 15 => x"00000000", 16 => x"00000000", 17 => x"00000000", 18 => x"00000000", 19 => x"00000000", 20 => x"00000000", 21 => x"00000000", 22 => x"00000000", 23 => x"00000000", 24 => x"00000000", 25 => x"00000000", 26 => x"00000000", 27 => x"00000000", 28 => x"00000000", 29 => x"00000000", 30 => x"00000000", 31 => x"00000000", 32 => x"00000000", 33 => x"00000000", 34 => x"00000000", 35 => x"00000000", 36 => x"00000000", 37 => x"00000000", 38 => x"00000000", 39 => x"00000000", 40 => x"00000000", 41 => x"00000000", 42 => x"00000000", 43 => x"00000000", 44 => x"00000000", 45 => x"00000000", 46 => x"00000000", 47 => x"00000000", 48 => x"5b9d0000", 49 => x"f8000020", 50 => x"34010002", 51 => x"f8000675", 52 => x"e0000030", 53 => x"34000000", 54 => x"34000000", 55 => x"34000000", 56 => x"00000000", 57 => x"00000000", 58 => x"00000000", 59 => x"00000000", 60 => x"00000000", 61 => x"00000000", 62 => x"00000000", 63 => x"00000000", 64 => x"98000000", 65 => x"781c0001", 66 => x"3b9cfffc", 67 => x"781a0000", 68 => x"3b5aba00", 69 => x"78010000", 70 => x"38213a08", 71 => x"34020000", 72 => x"78030000", 73 => x"386349f4", 74 => x"c8611800", 75 => x"f8000b85", 76 => x"34010000", 77 => x"34020000", 78 => x"34030000", 79 => x"f8000206", 80 => x"e0000000", 81 => x"379cffc4", 82 => x"5b810004", 83 => x"5b820008", 84 => x"5b83000c", 85 => x"5b840010", 86 => x"5b850014", 87 => x"5b860018", 88 => x"5b87001c", 89 => x"5b880020", 90 => x"5b890024", 91 => x"5b8a0028", 92 => x"5b9e0034", 93 => x"5b9f0038", 94 => x"2b81003c", 95 => x"5b810030", 96 => x"bb800800", 97 => x"3421003c", 98 => x"5b81002c", 99 => x"c3a00000", 100 => x"2b810004", 101 => x"2b820008", 102 => x"2b83000c", 103 => x"2b840010", 104 => x"2b850014", 105 => x"2b860018", 106 => x"2b87001c", 107 => x"2b880020", 108 => x"2b890024", 109 => x"2b8a0028", 110 => x"2b9d0030", 111 => x"2b9e0034", 112 => x"2b9f0038", 113 => x"2b9c002c", 114 => x"34000000", 115 => x"c3c00000", 116 => x"78010000", 117 => x"38213a08", 118 => x"34020001", 119 => x"58220000", 120 => x"c3a00000", 121 => x"379cfffc", 122 => x"5b9d0004", 123 => x"78010000", 124 => x"38213110", 125 => x"f8000680", 126 => x"2b9d0004", 127 => x"379c0004", 128 => x"c3a00000", 129 => x"379cffe0", 130 => x"5b8b0020", 131 => x"5b8c001c", 132 => x"5b8d0018", 133 => x"5b8e0014", 134 => x"5b8f0010", 135 => x"5b90000c", 136 => x"5b910008", 137 => x"5b9d0004", 138 => x"78020000", 139 => x"38423a00", 140 => x"34030006", 141 => x"b8205800", 142 => x"f800060d", 143 => x"44200007", 144 => x"78020000", 145 => x"b9600800", 146 => x"384239f8", 147 => x"34030006", 148 => x"f8000607", 149 => x"5c2000aa", 150 => x"4162000c", 151 => x"34010008", 152 => x"5c41002a", 153 => x"4162000d", 154 => x"34010006", 155 => x"5c410027", 156 => x"41610014", 157 => x"5c200025", 158 => x"416c0015", 159 => x"34010001", 160 => x"5d810022", 161 => x"78020000", 162 => x"35610026", 163 => x"384239f4", 164 => x"34030004", 165 => x"f80005f6", 166 => x"5c20001c", 167 => x"78010000", 168 => x"3821422c", 169 => x"582c0000", 170 => x"41650018", 171 => x"41640019", 172 => x"4163001a", 173 => x"4162001b", 174 => x"41670016", 175 => x"41660017", 176 => x"78010000", 177 => x"382149dc", 178 => x"30270000", 179 => x"30260001", 180 => x"30250002", 181 => x"30240003", 182 => x"30230004", 183 => x"30220005", 184 => x"4165001c", 185 => x"4164001d", 186 => x"4163001e", 187 => x"4162001f", 188 => x"78010000", 189 => x"382149d8", 190 => x"30250000", 191 => x"30240001", 192 => x"30230002", 193 => x"30220003", 194 => x"416d000c", 195 => x"34010008", 196 => x"5da1007b", 197 => x"4161000d", 198 => x"5c200079", 199 => x"4162000e", 200 => x"34010045", 201 => x"356e000e", 202 => x"5c410075", 203 => x"78030000", 204 => x"b8601000", 205 => x"3561001e", 206 => x"384239f4", 207 => x"34030004", 208 => x"f80005cb", 209 => x"5c20006e", 210 => x"416c0010", 211 => x"41610011", 212 => x"41630017", 213 => x"3d8c0008", 214 => x"b9816000", 215 => x"34010001", 216 => x"5c61002a", 217 => x"41610022", 218 => x"5c2d0028", 219 => x"78040000", 220 => x"3581ffe8", 221 => x"388443e8", 222 => x"58810000", 223 => x"28820000", 224 => x"340105ec", 225 => x"54410021", 226 => x"78010000", 227 => x"38214230", 228 => x"58230000", 229 => x"41660008", 230 => x"41650009", 231 => x"4163000a", 232 => x"4162000b", 233 => x"41680006", 234 => x"41670007", 235 => x"78010000", 236 => x"382149dc", 237 => x"30280000", 238 => x"30270001", 239 => x"30260002", 240 => x"30250003", 241 => x"30230004", 242 => x"30220005", 243 => x"4163001c", 244 => x"4162001d", 245 => x"4166001a", 246 => x"4165001b", 247 => x"78010000", 248 => x"382149d8", 249 => x"30230002", 250 => x"28830000", 251 => x"30220003", 252 => x"30260000", 253 => x"30250001", 254 => x"78010000", 255 => x"382143ec", 256 => x"35620026", 257 => x"f8000591", 258 => x"41620017", 259 => x"34010011", 260 => x"5c41003b", 261 => x"416a0004", 262 => x"41690005", 263 => x"41680006", 264 => x"41670007", 265 => x"41660008", 266 => x"41650009", 267 => x"4164000a", 268 => x"4163000b", 269 => x"4162000c", 270 => x"41710000", 271 => x"41700001", 272 => x"416f0002", 273 => x"416d0003", 274 => x"416b000d", 275 => x"78010000", 276 => x"382143ec", 277 => x"302a0004", 278 => x"30290005", 279 => x"30280006", 280 => x"30270007", 281 => x"30260008", 282 => x"30250009", 283 => x"3024000a", 284 => x"3023000b", 285 => x"3022000c", 286 => x"302b000d", 287 => x"30310000", 288 => x"30300001", 289 => x"302f0002", 290 => x"302d0003", 291 => x"78010000", 292 => x"b9c01000", 293 => x"b9801800", 294 => x"382143fc", 295 => x"780b0000", 296 => x"f800056a", 297 => x"396b3a08", 298 => x"59600000", 299 => x"34010000", 300 => x"35820010", 301 => x"f8000a4b", 302 => x"34010000", 303 => x"f8000a52", 304 => x"29610000", 305 => x"4420ffff", 306 => x"78020000", 307 => x"78010000", 308 => x"384243ec", 309 => x"382143f6", 310 => x"3442ffff", 311 => x"40230000", 312 => x"30230002", 313 => x"3421ffff", 314 => x"5c22fffd", 315 => x"78010000", 316 => x"382143ee", 317 => x"3582000e", 318 => x"f80003ea", 319 => x"2b9d0004", 320 => x"2b8b0020", 321 => x"2b8c001c", 322 => x"2b8d0018", 323 => x"2b8e0014", 324 => x"2b8f0010", 325 => x"2b90000c", 326 => x"2b910008", 327 => x"379c0020", 328 => x"c3a00000", 329 => x"379cfff8", 330 => x"5b8b0008", 331 => x"5b9d0004", 332 => x"f8000163", 333 => x"f80009a9", 334 => x"f800089e", 335 => x"340bffff", 336 => x"442b001f", 337 => x"f8000994", 338 => x"f8000822", 339 => x"5c2b0004", 340 => x"78010000", 341 => x"38213124", 342 => x"e0000005", 343 => x"f800083c", 344 => x"5c2b0005", 345 => x"78010000", 346 => x"3821314c", 347 => x"f80005a2", 348 => x"e0000013", 349 => x"f8000252", 350 => x"5c2b0004", 351 => x"78010000", 352 => x"38213174", 353 => x"e3fffffa", 354 => x"f80009ed", 355 => x"5c2b0004", 356 => x"78010000", 357 => x"382131a4", 358 => x"e3fffff5", 359 => x"f8000568", 360 => x"3402ffff", 361 => x"340b0000", 362 => x"5c220005", 363 => x"78010000", 364 => x"382131dc", 365 => x"f8000590", 366 => x"340bffff", 367 => x"b9600800", 368 => x"2b9d0004", 369 => x"2b8b0008", 370 => x"379c0008", 371 => x"c3a00000", 372 => x"379cfffc", 373 => x"5b9d0004", 374 => x"78010000", 375 => x"3821320c", 376 => x"f8000585", 377 => x"78010000", 378 => x"3821323c", 379 => x"f8000582", 380 => x"78010000", 381 => x"3821326c", 382 => x"f800057f", 383 => x"78010000", 384 => x"3821329c", 385 => x"f800057c", 386 => x"78010000", 387 => x"382132cc", 388 => x"f8000579", 389 => x"78010000", 390 => x"382132fc", 391 => x"f8000576", 392 => x"2b9d0004", 393 => x"379c0004", 394 => x"c3a00000", 395 => x"379cfffc", 396 => x"5b9d0004", 397 => x"78010000", 398 => x"3821320c", 399 => x"f800056e", 400 => x"78010000", 401 => x"3821332c", 402 => x"f800056b", 403 => x"78010000", 404 => x"382132fc", 405 => x"f8000568", 406 => x"2b9d0004", 407 => x"379c0004", 408 => x"c3a00000", 409 => x"379cfff8", 410 => x"5b8b0008", 411 => x"5b9d0004", 412 => x"34010064", 413 => x"f8000189", 414 => x"b8205800", 415 => x"78010000", 416 => x"3821320c", 417 => x"f800055c", 418 => x"78010000", 419 => x"3821335c", 420 => x"f8000559", 421 => x"78010000", 422 => x"382132fc", 423 => x"f8000556", 424 => x"78010000", 425 => x"3821338c", 426 => x"f8000553", 427 => x"78010000", 428 => x"382133dc", 429 => x"45600009", 430 => x"78020000", 431 => x"3842339c", 432 => x"b9600800", 433 => x"f8000a60", 434 => x"b9600800", 435 => x"f800054a", 436 => x"78010000", 437 => x"382133cc", 438 => x"f8000547", 439 => x"f8000103", 440 => x"b9600800", 441 => x"f8000145", 442 => x"2b9d0004", 443 => x"2b8b0008", 444 => x"379c0008", 445 => x"c3a00000", 446 => x"379cfff0", 447 => x"5b8b0010", 448 => x"5b8c000c", 449 => x"5b8d0008", 450 => x"5b9d0004", 451 => x"78010000", 452 => x"3821320c", 453 => x"f8000538", 454 => x"78010000", 455 => x"38213408", 456 => x"f8000535", 457 => x"78010000", 458 => x"382132fc", 459 => x"f8000532", 460 => x"78010000", 461 => x"38213438", 462 => x"f800052f", 463 => x"78010000", 464 => x"38213444", 465 => x"f800052c", 466 => x"340c0004", 467 => x"340d0008", 468 => x"e0000011", 469 => x"b9601000", 470 => x"34030001", 471 => x"34010000", 472 => x"f80007f6", 473 => x"78020000", 474 => x"384235c0", 475 => x"28410000", 476 => x"f80009b1", 477 => x"b9601000", 478 => x"34010000", 479 => x"34030000", 480 => x"356b0001", 481 => x"f80007ed", 482 => x"5d6dfff3", 483 => x"358cffff", 484 => x"45800003", 485 => x"340b0000", 486 => x"e3ffffef", 487 => x"34010000", 488 => x"34020000", 489 => x"34030001", 490 => x"f80007e4", 491 => x"34010000", 492 => x"34020002", 493 => x"34030001", 494 => x"f80007e0", 495 => x"34010000", 496 => x"34020004", 497 => x"34030001", 498 => x"f80007dc", 499 => x"34020006", 500 => x"34030001", 501 => x"34010000", 502 => x"f80007d8", 503 => x"78010000", 504 => x"382133cc", 505 => x"f8000504", 506 => x"2b9d0004", 507 => x"2b8b0010", 508 => x"2b8c000c", 509 => x"2b8d0008", 510 => x"379c0010", 511 => x"c3a00000", 512 => x"379cffe8", 513 => x"5b8b0018", 514 => x"5b8c0014", 515 => x"5b8d0010", 516 => x"5b8e000c", 517 => x"5b8f0008", 518 => x"5b9d0004", 519 => x"78010000", 520 => x"3821320c", 521 => x"f80004f4", 522 => x"78010000", 523 => x"38213458", 524 => x"f80004f1", 525 => x"78010000", 526 => x"382132fc", 527 => x"f80004ee", 528 => x"78010000", 529 => x"38213488", 530 => x"f80004eb", 531 => x"78010000", 532 => x"38213498", 533 => x"f80004e8", 534 => x"78010000", 535 => x"34020000", 536 => x"382134b4", 537 => x"f80004e4", 538 => x"78010000", 539 => x"3821370c", 540 => x"34020002", 541 => x"780c0000", 542 => x"780e0000", 543 => x"f80004de", 544 => x"340d000a", 545 => x"340b0000", 546 => x"398c34e4", 547 => x"340f0003", 548 => x"39ce34bc", 549 => x"e000000d", 550 => x"78010000", 551 => x"382133cc", 552 => x"e0000024", 553 => x"b9a01000", 554 => x"b9c00800", 555 => x"f80004d2", 556 => x"78020000", 557 => x"384235c0", 558 => x"28410000", 559 => x"35adffff", 560 => x"f800095d", 561 => x"45a00019", 562 => x"34020000", 563 => x"34010001", 564 => x"f80007ac", 565 => x"21620001", 566 => x"64420000", 567 => x"a0410800", 568 => x"44200005", 569 => x"b9800800", 570 => x"34020000", 571 => x"396b0001", 572 => x"f80004c1", 573 => x"34020001", 574 => x"34010001", 575 => x"f80007a1", 576 => x"21620002", 577 => x"64420000", 578 => x"a0410800", 579 => x"44200005", 580 => x"b9800800", 581 => x"34020001", 582 => x"396b0002", 583 => x"f80004b6", 584 => x"5d6fffe1", 585 => x"e3ffffdd", 586 => x"78010000", 587 => x"382134f8", 588 => x"f80004b1", 589 => x"2b9d0004", 590 => x"2b8b0018", 591 => x"2b8c0014", 592 => x"2b8d0010", 593 => x"2b8e000c", 594 => x"2b8f0008", 595 => x"379c0018", 596 => x"c3a00000", 597 => x"379cffe8", 598 => x"5b8b0018", 599 => x"5b8c0014", 600 => x"5b8d0010", 601 => x"5b8e000c", 602 => x"5b8f0008", 603 => x"5b9d0004", 604 => x"340bffff", 605 => x"f800092e", 606 => x"442b0048", 607 => x"fbfffeea", 608 => x"5c2b0005", 609 => x"78010000", 610 => x"38213508", 611 => x"f800049a", 612 => x"e0000042", 613 => x"780b0000", 614 => x"fbffff0e", 615 => x"396b15b8", 616 => x"fbffff31", 617 => x"fbffff55", 618 => x"fbffff96", 619 => x"fbffff20", 620 => x"b9601000", 621 => x"34030000", 622 => x"34010000", 623 => x"f800046d", 624 => x"78020000", 625 => x"384201e4", 626 => x"34030000", 627 => x"34010002", 628 => x"f8000468", 629 => x"34010007", 630 => x"f80001d7", 631 => x"b9601000", 632 => x"34030000", 633 => x"34010000", 634 => x"f8000462", 635 => x"78020000", 636 => x"384243ec", 637 => x"b8401800", 638 => x"34010000", 639 => x"f80008ef", 640 => x"78020000", 641 => x"384201d0", 642 => x"34030000", 643 => x"34010004", 644 => x"f8000458", 645 => x"780b0000", 646 => x"396b35c4", 647 => x"78010000", 648 => x"b9601000", 649 => x"34030167", 650 => x"38213534", 651 => x"f8000472", 652 => x"78010000", 653 => x"38213560", 654 => x"780f0000", 655 => x"780e0000", 656 => x"780d0000", 657 => x"780c0000", 658 => x"f800046b", 659 => x"39ef422c", 660 => x"39ce3584", 661 => x"39ad4230", 662 => x"398c35a0", 663 => x"29e10000", 664 => x"44200006", 665 => x"b9601000", 666 => x"3403016b", 667 => x"b9c00800", 668 => x"f8000461", 669 => x"f80002fc", 670 => x"29a10000", 671 => x"4420fff8", 672 => x"b9601000", 673 => x"34030170", 674 => x"b9800800", 675 => x"f800045a", 676 => x"f800035d", 677 => x"e3fffff2", 678 => x"3401ffff", 679 => x"2b9d0004", 680 => x"2b8b0018", 681 => x"2b8c0014", 682 => x"2b8d0010", 683 => x"2b8e000c", 684 => x"2b8f0008", 685 => x"379c0018", 686 => x"c3a00000", 687 => x"78010000", 688 => x"38213a10", 689 => x"58200000", 690 => x"58200004", 691 => x"78010000", 692 => x"38213a0c", 693 => x"58200000", 694 => x"78010000", 695 => x"38213a18", 696 => x"58200000", 697 => x"c3a00000", 698 => x"379cffec", 699 => x"5b8b0014", 700 => x"5b8c0010", 701 => x"5b8d000c", 702 => x"5b8e0008", 703 => x"5b9d0004", 704 => x"78010000", 705 => x"3821320c", 706 => x"f800043b", 707 => x"78010000", 708 => x"382135cc", 709 => x"f8000438", 710 => x"78010000", 711 => x"382132fc", 712 => x"780c0000", 713 => x"f8000434", 714 => x"398c3a18", 715 => x"29820000", 716 => x"78010000", 717 => x"34030800", 718 => x"780d0000", 719 => x"382135fc", 720 => x"c8621800", 721 => x"39ad3a1c", 722 => x"780e0000", 723 => x"f800042a", 724 => x"b9a05800", 725 => x"39ce3624", 726 => x"e0000008", 727 => x"29630004", 728 => x"b9601000", 729 => x"b9c00800", 730 => x"f8000423", 731 => x"29610004", 732 => x"3c210003", 733 => x"b5615800", 734 => x"29810000", 735 => x"b5a10800", 736 => x"542bfff7", 737 => x"78010000", 738 => x"38213644", 739 => x"f800041a", 740 => x"78020000", 741 => x"38423a0c", 742 => x"284b0000", 743 => x"4560000d", 744 => x"780c0000", 745 => x"398c3654", 746 => x"b8406800", 747 => x"29630004", 748 => x"29640000", 749 => x"b9601000", 750 => x"b9800800", 751 => x"f800040e", 752 => x"29a10000", 753 => x"296b0000", 754 => x"5d61fff9", 755 => x"e0000004", 756 => x"78010000", 757 => x"38213680", 758 => x"f8000407", 759 => x"2b9d0004", 760 => x"2b8b0014", 761 => x"2b8c0010", 762 => x"2b8d000c", 763 => x"2b8e0008", 764 => x"379c0014", 765 => x"c3a00000", 766 => x"78020000", 767 => x"38423a0c", 768 => x"3423fff8", 769 => x"28420000", 770 => x"e0000006", 771 => x"28440000", 772 => x"54820003", 773 => x"54620006", 774 => x"54830005", 775 => x"b8801000", 776 => x"5043fffb", 777 => x"28440000", 778 => x"5064fff9", 779 => x"28660004", 780 => x"28450000", 781 => x"3cc40003", 782 => x"b4642000", 783 => x"5c850007", 784 => x"28850004", 785 => x"28840000", 786 => x"b4a63000", 787 => x"58660004", 788 => x"5824fff8", 789 => x"e0000002", 790 => x"5825fff8", 791 => x"28440004", 792 => x"3c850003", 793 => x"b4452800", 794 => x"5ca30007", 795 => x"28630004", 796 => x"2821fff8", 797 => x"b4642000", 798 => x"58440004", 799 => x"58410000", 800 => x"e0000002", 801 => x"58430000", 802 => x"78010000", 803 => x"38213a0c", 804 => x"58220000", 805 => x"c3a00000", 806 => x"379cffe0", 807 => x"5b8b0020", 808 => x"5b8c001c", 809 => x"5b8d0018", 810 => x"5b8e0014", 811 => x"5b8f0010", 812 => x"5b90000c", 813 => x"5b910008", 814 => x"5b9d0004", 815 => x"78030000", 816 => x"38633a0c", 817 => x"34210007", 818 => x"28620000", 819 => x"002b0003", 820 => x"356b0001", 821 => x"5c400007", 822 => x"78010000", 823 => x"38213a10", 824 => x"58610000", 825 => x"58210000", 826 => x"58200004", 827 => x"b8201000", 828 => x"28410000", 829 => x"780c0000", 830 => x"780d0000", 831 => x"780e0000", 832 => x"398c3a0c", 833 => x"34110001", 834 => x"39ad3a18", 835 => x"34100800", 836 => x"39ce3a1c", 837 => x"28230004", 838 => x"5563000f", 839 => x"5c6b0004", 840 => x"28230000", 841 => x"58430000", 842 => x"e0000006", 843 => x"c86b1800", 844 => x"58230004", 845 => x"3c630003", 846 => x"b4230800", 847 => x"582b0004", 848 => x"78030000", 849 => x"38633a0c", 850 => x"58620000", 851 => x"34210008", 852 => x"e0000019", 853 => x"29820000", 854 => x"5c220014", 855 => x"34020002", 856 => x"522b0002", 857 => x"b9601000", 858 => x"29a10000", 859 => x"3c4f0003", 860 => x"b5e17800", 861 => x"55f00008", 862 => x"b42e0800", 863 => x"58220004", 864 => x"34210008", 865 => x"fbffff9d", 866 => x"29810000", 867 => x"59af0000", 868 => x"5c200006", 869 => x"78010000", 870 => x"38213688", 871 => x"f8000396", 872 => x"34010000", 873 => x"e0000004", 874 => x"b8201000", 875 => x"28210000", 876 => x"e3ffffd9", 877 => x"2b9d0004", 878 => x"2b8b0020", 879 => x"2b8c001c", 880 => x"2b8d0018", 881 => x"2b8e0014", 882 => x"2b8f0010", 883 => x"2b90000c", 884 => x"2b910008", 885 => x"379c0020", 886 => x"c3a00000", 887 => x"379cfff0", 888 => x"5b8b0010", 889 => x"5b8c000c", 890 => x"5b8d0008", 891 => x"5b9d0004", 892 => x"78010000", 893 => x"38214220", 894 => x"28210000", 895 => x"340cff00", 896 => x"28210000", 897 => x"342b0040", 898 => x"342d0080", 899 => x"29620000", 900 => x"20428000", 901 => x"5c400022", 902 => x"29630000", 903 => x"29640000", 904 => x"34010001", 905 => x"20840010", 906 => x"5c820003", 907 => x"2061000c", 908 => x"7c210000", 909 => x"29620000", 910 => x"20420002", 911 => x"44400002", 912 => x"34010001", 913 => x"29620000", 914 => x"20420040", 915 => x"44400002", 916 => x"34010001", 917 => x"29620000", 918 => x"20420080", 919 => x"44400002", 920 => x"34010001", 921 => x"29620000", 922 => x"20420001", 923 => x"5c400006", 924 => x"5c220005", 925 => x"29610004", 926 => x"29620000", 927 => x"00420010", 928 => x"fbfffce1", 929 => x"29610000", 930 => x"a02c0800", 931 => x"59610000", 932 => x"29610000", 933 => x"38218000", 934 => x"59610000", 935 => x"356b0008", 936 => x"5d6dffdb", 937 => x"2b9d0004", 938 => x"2b8b0010", 939 => x"2b8c000c", 940 => x"2b8d0008", 941 => x"379c0010", 942 => x"c3a00000", 943 => x"379cffd8", 944 => x"5b8b0028", 945 => x"5b8c0024", 946 => x"5b8d0020", 947 => x"5b8e001c", 948 => x"5b8f0018", 949 => x"5b900014", 950 => x"5b910010", 951 => x"5b92000c", 952 => x"5b930008", 953 => x"5b9d0004", 954 => x"780b0000", 955 => x"396b43b8", 956 => x"29630000", 957 => x"3401ffff", 958 => x"28620008", 959 => x"4440005b", 960 => x"78020000", 961 => x"384243dc", 962 => x"28420000", 963 => x"28420008", 964 => x"44400056", 965 => x"28610004", 966 => x"780f0000", 967 => x"39ef421c", 968 => x"3c210002", 969 => x"780e0000", 970 => x"fbffff5c", 971 => x"59e10000", 972 => x"29610000", 973 => x"39ce4220", 974 => x"780d0000", 975 => x"28210004", 976 => x"78120000", 977 => x"78110000", 978 => x"3c210002", 979 => x"340c0000", 980 => x"fbffff52", 981 => x"59c10000", 982 => x"29610000", 983 => x"39ad3884", 984 => x"3a5236a8", 985 => x"28210004", 986 => x"3a3136cc", 987 => x"3c210002", 988 => x"fbffff4a", 989 => x"78020000", 990 => x"38424228", 991 => x"58410000", 992 => x"29610000", 993 => x"28300008", 994 => x"e0000019", 995 => x"29e10000", 996 => x"2a050000", 997 => x"3d930002", 998 => x"b9802000", 999 => x"b4330800", 1000 => x"58250000", 1001 => x"29c10000", 1002 => x"34a20400", 1003 => x"34030049", 1004 => x"b4330800", 1005 => x"58220000", 1006 => x"b9a01000", 1007 => x"ba400800", 1008 => x"f800030d", 1009 => x"29c10000", 1010 => x"b9802000", 1011 => x"b9a01000", 1012 => x"b4339800", 1013 => x"2a650000", 1014 => x"ba200800", 1015 => x"3403004a", 1016 => x"f8000305", 1017 => x"2a100004", 1018 => x"358c0001", 1019 => x"29610000", 1020 => x"28240004", 1021 => x"548cffe6", 1022 => x"78010000", 1023 => x"b9a01000", 1024 => x"3403004d", 1025 => x"382136f4", 1026 => x"f80002fb", 1027 => x"78010000", 1028 => x"382143dc", 1029 => x"28220000", 1030 => x"28410008", 1031 => x"28430004", 1032 => x"34020000", 1033 => x"e0000002", 1034 => x"28210004", 1035 => x"34420001", 1036 => x"5c43fffe", 1037 => x"28250000", 1038 => x"78010000", 1039 => x"38214228", 1040 => x"28210000", 1041 => x"78020000", 1042 => x"38423884", 1043 => x"58250000", 1044 => x"78010000", 1045 => x"38213710", 1046 => x"34030054", 1047 => x"34040000", 1048 => x"f80002e5", 1049 => x"34010000", 1050 => x"2b9d0004", 1051 => x"2b8b0028", 1052 => x"2b8c0024", 1053 => x"2b8d0020", 1054 => x"2b8e001c", 1055 => x"2b8f0018", 1056 => x"2b900014", 1057 => x"2b910010", 1058 => x"2b92000c", 1059 => x"2b930008", 1060 => x"379c0028", 1061 => x"c3a00000", 1062 => x"78040000", 1063 => x"3c420010", 1064 => x"3884421c", 1065 => x"28840000", 1066 => x"14420010", 1067 => x"3c630010", 1068 => x"28840000", 1069 => x"3c420008", 1070 => x"202100ff", 1071 => x"b8410800", 1072 => x"14630010", 1073 => x"58810030", 1074 => x"58830034", 1075 => x"34010004", 1076 => x"5881002c", 1077 => x"5880002c", 1078 => x"2881003c", 1079 => x"20210002", 1080 => x"5c20fffe", 1081 => x"c3a00000", 1082 => x"78030000", 1083 => x"3c420010", 1084 => x"3863421c", 1085 => x"28630000", 1086 => x"14420010", 1087 => x"202100ff", 1088 => x"28630000", 1089 => x"3c420008", 1090 => x"b8410800", 1091 => x"58610030", 1092 => x"34010002", 1093 => x"5861002c", 1094 => x"5860002c", 1095 => x"2861003c", 1096 => x"20210002", 1097 => x"5c20fffe", 1098 => x"28610038", 1099 => x"dc200800", 1100 => x"c3a00000", 1101 => x"379cffcc", 1102 => x"5b8b0034", 1103 => x"5b8c0030", 1104 => x"5b8d002c", 1105 => x"5b8e0028", 1106 => x"5b8f0024", 1107 => x"5b900020", 1108 => x"5b91001c", 1109 => x"5b920018", 1110 => x"5b930014", 1111 => x"5b940010", 1112 => x"5b95000c", 1113 => x"5b960008", 1114 => x"5b9d0004", 1115 => x"78020000", 1116 => x"780c0000", 1117 => x"398c3874", 1118 => x"b820a000", 1119 => x"b8400800", 1120 => x"34030078", 1121 => x"b9801000", 1122 => x"38213734", 1123 => x"f800029a", 1124 => x"78020000", 1125 => x"3842421c", 1126 => x"28410000", 1127 => x"78020000", 1128 => x"3403007b", 1129 => x"282b0000", 1130 => x"b8400800", 1131 => x"38213754", 1132 => x"b9801000", 1133 => x"b9602000", 1134 => x"f800028f", 1135 => x"34010200", 1136 => x"59610028", 1137 => x"29620028", 1138 => x"3401fdff", 1139 => x"3403008a", 1140 => x"a0410800", 1141 => x"59610028", 1142 => x"34010064", 1143 => x"59610028", 1144 => x"34010800", 1145 => x"59610000", 1146 => x"29620000", 1147 => x"3401f7ff", 1148 => x"780d0000", 1149 => x"a0410800", 1150 => x"59610000", 1151 => x"34010008", 1152 => x"59610020", 1153 => x"29640020", 1154 => x"78020000", 1155 => x"b8400800", 1156 => x"38213774", 1157 => x"b9801000", 1158 => x"f8000277", 1159 => x"78020000", 1160 => x"3842385c", 1161 => x"28410000", 1162 => x"34020012", 1163 => x"39ad4220", 1164 => x"59610018", 1165 => x"5962000c", 1166 => x"3401000c", 1167 => x"59610010", 1168 => x"59620014", 1169 => x"78020000", 1170 => x"38423860", 1171 => x"28410000", 1172 => x"78020000", 1173 => x"38423864", 1174 => x"5961001c", 1175 => x"59600024", 1176 => x"59600034", 1177 => x"59600030", 1178 => x"5960002c", 1179 => x"3401000a", 1180 => x"59610044", 1181 => x"28410000", 1182 => x"78020000", 1183 => x"340300ca", 1184 => x"59610040", 1185 => x"3401ffff", 1186 => x"59610004", 1187 => x"29610000", 1188 => x"78120000", 1189 => x"34100000", 1190 => x"3821a440", 1191 => x"59610000", 1192 => x"3401007f", 1193 => x"59610008", 1194 => x"29a10000", 1195 => x"34161800", 1196 => x"3a5237f4", 1197 => x"282f0000", 1198 => x"b8400800", 1199 => x"38213794", 1200 => x"b9801000", 1201 => x"b9e02000", 1202 => x"f800024b", 1203 => x"29a10000", 1204 => x"78020000", 1205 => x"340300d0", 1206 => x"282e0000", 1207 => x"b8400800", 1208 => x"382137b0", 1209 => x"35ce0040", 1210 => x"b9801000", 1211 => x"b9c02000", 1212 => x"f8000241", 1213 => x"78020000", 1214 => x"38424228", 1215 => x"28410000", 1216 => x"78020000", 1217 => x"340300d5", 1218 => x"28310000", 1219 => x"b8400800", 1220 => x"382137cc", 1221 => x"b9801000", 1222 => x"ba202000", 1223 => x"f8000236", 1224 => x"b9e06800", 1225 => x"ba209800", 1226 => x"34150008", 1227 => x"59b60000", 1228 => x"59b30004", 1229 => x"29a50004", 1230 => x"ba002000", 1231 => x"ba400800", 1232 => x"b9801000", 1233 => x"340300de", 1234 => x"36100001", 1235 => x"36730600", 1236 => x"f8000229", 1237 => x"35ad0008", 1238 => x"5e15fff5", 1239 => x"29e10038", 1240 => x"78100000", 1241 => x"36313000", 1242 => x"38212000", 1243 => x"59e10038", 1244 => x"b9c06800", 1245 => x"340f0000", 1246 => x"3813c000", 1247 => x"3a103818", 1248 => x"34120008", 1249 => x"59b30000", 1250 => x"59b10004", 1251 => x"29a50004", 1252 => x"b9e02000", 1253 => x"ba000800", 1254 => x"b9801000", 1255 => x"340300e8", 1256 => x"35ef0001", 1257 => x"36310600", 1258 => x"f8000213", 1259 => x"35ad0008", 1260 => x"5df2fff5", 1261 => x"29c10038", 1262 => x"228c00ff", 1263 => x"34020004", 1264 => x"38212000", 1265 => x"59c10038", 1266 => x"b9800800", 1267 => x"fbffff47", 1268 => x"2021ffff", 1269 => x"382301e0", 1270 => x"dc601800", 1271 => x"b9800800", 1272 => x"34020004", 1273 => x"fbffff2d", 1274 => x"b9800800", 1275 => x"34020009", 1276 => x"fbffff3e", 1277 => x"2023fcff", 1278 => x"dc601800", 1279 => x"b9800800", 1280 => x"34020009", 1281 => x"fbffff25", 1282 => x"b9800800", 1283 => x"34020006", 1284 => x"fbffff36", 1285 => x"2023cfff", 1286 => x"dc601800", 1287 => x"b9800800", 1288 => x"34020006", 1289 => x"fbffff1d", 1290 => x"34020000", 1291 => x"34010000", 1292 => x"fbffff2e", 1293 => x"2021ffff", 1294 => x"38231200", 1295 => x"34020000", 1296 => x"b9800800", 1297 => x"dc601800", 1298 => x"fbffff14", 1299 => x"29610000", 1300 => x"38210003", 1301 => x"59610000", 1302 => x"78010000", 1303 => x"38214224", 1304 => x"58200000", 1305 => x"2b9d0004", 1306 => x"2b8b0034", 1307 => x"2b8c0030", 1308 => x"2b8d002c", 1309 => x"2b8e0028", 1310 => x"2b8f0024", 1311 => x"2b900020", 1312 => x"2b91001c", 1313 => x"2b920018", 1314 => x"2b930014", 1315 => x"2b940010", 1316 => x"2b95000c", 1317 => x"2b960008", 1318 => x"379c0034", 1319 => x"c3a00000", 1320 => x"379cfff0", 1321 => x"5b8b000c", 1322 => x"5b8c0008", 1323 => x"5b9d0004", 1324 => x"b8405800", 1325 => x"78020000", 1326 => x"b8206000", 1327 => x"b8400800", 1328 => x"78020000", 1329 => x"38423868", 1330 => x"3403011d", 1331 => x"3821383c", 1332 => x"f80001c9", 1333 => x"78020000", 1334 => x"38424220", 1335 => x"28410000", 1336 => x"78020000", 1337 => x"38424224", 1338 => x"28420000", 1339 => x"28230000", 1340 => x"3c410003", 1341 => x"b4611800", 1342 => x"28610000", 1343 => x"20214000", 1344 => x"5c20fffe", 1345 => x"28640000", 1346 => x"3401fe00", 1347 => x"a0810800", 1348 => x"58610000", 1349 => x"3401003c", 1350 => x"49610004", 1351 => x"28610000", 1352 => x"38211000", 1353 => x"e0000004", 1354 => x"28640000", 1355 => x"3401efff", 1356 => x"a0810800", 1357 => x"58610000", 1358 => x"28650004", 1359 => x"5b800010", 1360 => x"e000000a", 1361 => x"2b810010", 1362 => x"2b840010", 1363 => x"b4a10800", 1364 => x"b5842000", 1365 => x"40840000", 1366 => x"30240000", 1367 => x"2b810010", 1368 => x"34210001", 1369 => x"5b810010", 1370 => x"2b810010", 1371 => x"4961fff6", 1372 => x"28610000", 1373 => x"3d6b0010", 1374 => x"34420001", 1375 => x"2021ffff", 1376 => x"b9615800", 1377 => x"586b0000", 1378 => x"28610000", 1379 => x"20420007", 1380 => x"3821c800", 1381 => x"58610000", 1382 => x"78010000", 1383 => x"38214224", 1384 => x"58220000", 1385 => x"2b9d0004", 1386 => x"2b8b000c", 1387 => x"2b8c0008", 1388 => x"379c0010", 1389 => x"c3a00000", 1390 => x"379cfff4", 1391 => x"5b8b000c", 1392 => x"5b8c0008", 1393 => x"5b9d0004", 1394 => x"78010000", 1395 => x"3821421c", 1396 => x"28210000", 1397 => x"28210000", 1398 => x"282b0004", 1399 => x"582b0004", 1400 => x"216c000c", 1401 => x"45800002", 1402 => x"fbfffdfd", 1403 => x"21610003", 1404 => x"44200014", 1405 => x"78010000", 1406 => x"38214220", 1407 => x"28210000", 1408 => x"3405bfff", 1409 => x"34040040", 1410 => x"28260000", 1411 => x"34010000", 1412 => x"b4c11000", 1413 => x"28430000", 1414 => x"20638000", 1415 => x"5c600007", 1416 => x"28470000", 1417 => x"20e74000", 1418 => x"44e30004", 1419 => x"28430000", 1420 => x"a0651800", 1421 => x"58430000", 1422 => x"34210008", 1423 => x"5c24fff5", 1424 => x"216b0010", 1425 => x"45600003", 1426 => x"5d800002", 1427 => x"fbfffde4", 1428 => x"2b9d0004", 1429 => x"2b8b000c", 1430 => x"2b8c0008", 1431 => x"379c000c", 1432 => x"c3a00000", 1433 => x"379cffb8", 1434 => x"5b8b001c", 1435 => x"5b8c0018", 1436 => x"5b8d0014", 1437 => x"5b8e0010", 1438 => x"5b8f000c", 1439 => x"5b900008", 1440 => x"5b9d0004", 1441 => x"78010000", 1442 => x"38213890", 1443 => x"f800015a", 1444 => x"78020000", 1445 => x"384249dc", 1446 => x"404e0000", 1447 => x"404a0004", 1448 => x"40490005", 1449 => x"404d0001", 1450 => x"404c0002", 1451 => x"404b0003", 1452 => x"37810020", 1453 => x"338e0020", 1454 => x"78020000", 1455 => x"302d0001", 1456 => x"302c0002", 1457 => x"302b0003", 1458 => x"302a0004", 1459 => x"30290005", 1460 => x"384239f8", 1461 => x"40480001", 1462 => x"40470002", 1463 => x"40460003", 1464 => x"40450004", 1465 => x"40440005", 1466 => x"404f0000", 1467 => x"37830026", 1468 => x"34020006", 1469 => x"30680001", 1470 => x"30670002", 1471 => x"30660003", 1472 => x"30650004", 1473 => x"30640005", 1474 => x"3382002d", 1475 => x"34030008", 1476 => x"33820032", 1477 => x"34100001", 1478 => x"34020004", 1479 => x"3383002c", 1480 => x"33830030", 1481 => x"33820033", 1482 => x"338f0026", 1483 => x"3380002e", 1484 => x"3390002f", 1485 => x"33800031", 1486 => x"33800034", 1487 => x"34020002", 1488 => x"33820035", 1489 => x"37820036", 1490 => x"30480001", 1491 => x"30470002", 1492 => x"30460003", 1493 => x"30450004", 1494 => x"30440005", 1495 => x"78020000", 1496 => x"384239f4", 1497 => x"40460000", 1498 => x"40450001", 1499 => x"40440002", 1500 => x"40420003", 1501 => x"3783003c", 1502 => x"30650001", 1503 => x"30640002", 1504 => x"30620003", 1505 => x"338f0036", 1506 => x"3386003c", 1507 => x"78020000", 1508 => x"302e0020", 1509 => x"302d0021", 1510 => x"302c0022", 1511 => x"302b0023", 1512 => x"302a0024", 1513 => x"30290025", 1514 => x"384249d8", 1515 => x"40450000", 1516 => x"40440001", 1517 => x"40430002", 1518 => x"40420003", 1519 => x"30250026", 1520 => x"30240027", 1521 => x"30230028", 1522 => x"30220029", 1523 => x"3402002a", 1524 => x"fbffff34", 1525 => x"78010000", 1526 => x"3821422c", 1527 => x"58200000", 1528 => x"2b9d0004", 1529 => x"2b8b001c", 1530 => x"2b8c0018", 1531 => x"2b8d0014", 1532 => x"2b8e0010", 1533 => x"2b8f000c", 1534 => x"2b900008", 1535 => x"379c0048", 1536 => x"c3a00000", 1537 => x"379cfe00", 1538 => x"5b8b000c", 1539 => x"5b8c0008", 1540 => x"5b9d0004", 1541 => x"78010000", 1542 => x"382138a8", 1543 => x"f80000f6", 1544 => x"78010000", 1545 => x"382149dc", 1546 => x"40270000", 1547 => x"40260001", 1548 => x"40250002", 1549 => x"40240003", 1550 => x"40230004", 1551 => x"40210005", 1552 => x"37820010", 1553 => x"33870010", 1554 => x"30410005", 1555 => x"78010000", 1556 => x"30430004", 1557 => x"30460001", 1558 => x"30450002", 1559 => x"30440003", 1560 => x"382139f8", 1561 => x"40280000", 1562 => x"40270001", 1563 => x"40260002", 1564 => x"40250003", 1565 => x"40240004", 1566 => x"40210005", 1567 => x"37830016", 1568 => x"780b0000", 1569 => x"30610005", 1570 => x"34010008", 1571 => x"396b43e8", 1572 => x"3381001c", 1573 => x"34010045", 1574 => x"3381001e", 1575 => x"29610000", 1576 => x"30670001", 1577 => x"30660002", 1578 => x"34210018", 1579 => x"14210008", 1580 => x"30650003", 1581 => x"33810020", 1582 => x"29610000", 1583 => x"30640004", 1584 => x"33880016", 1585 => x"34210018", 1586 => x"33810021", 1587 => x"3380001d", 1588 => x"3401003f", 1589 => x"3380001f", 1590 => x"33800022", 1591 => x"33800023", 1592 => x"33810026", 1593 => x"34010001", 1594 => x"33810027", 1595 => x"78010000", 1596 => x"382139f4", 1597 => x"40250001", 1598 => x"40240002", 1599 => x"40260000", 1600 => x"40210003", 1601 => x"3783002a", 1602 => x"30650001", 1603 => x"30610003", 1604 => x"78010000", 1605 => x"382149d8", 1606 => x"30640002", 1607 => x"40250000", 1608 => x"40230002", 1609 => x"40240001", 1610 => x"40210003", 1611 => x"33800024", 1612 => x"33800025", 1613 => x"33800028", 1614 => x"33800029", 1615 => x"3386002a", 1616 => x"30410021", 1617 => x"3045001e", 1618 => x"3044001f", 1619 => x"30430020", 1620 => x"29630000", 1621 => x"378c0036", 1622 => x"78020000", 1623 => x"b9800800", 1624 => x"384243ec", 1625 => x"33800032", 1626 => x"33800033", 1627 => x"33800034", 1628 => x"33800035", 1629 => x"f8000035", 1630 => x"29610000", 1631 => x"20210001", 1632 => x"44200004", 1633 => x"29610000", 1634 => x"b5816000", 1635 => x"31800000", 1636 => x"780b0000", 1637 => x"396b43e8", 1638 => x"29610000", 1639 => x"34210005", 1640 => x"0022001f", 1641 => x"b4411000", 1642 => x"14420001", 1643 => x"37810032", 1644 => x"f8000016", 1645 => x"00220008", 1646 => x"33810035", 1647 => x"33820034", 1648 => x"3781001e", 1649 => x"3402000a", 1650 => x"f8000010", 1651 => x"00220008", 1652 => x"33810029", 1653 => x"33820028", 1654 => x"29620000", 1655 => x"37810010", 1656 => x"34420026", 1657 => x"fbfffeaf", 1658 => x"78010000", 1659 => x"38214230", 1660 => x"58200000", 1661 => x"2b9d0004", 1662 => x"2b8b000c", 1663 => x"2b8c0008", 1664 => x"379c0200", 1665 => x"c3a00000", 1666 => x"34030000", 1667 => x"34040000", 1668 => x"e0000005", 1669 => x"2c250000", 1670 => x"34840001", 1671 => x"34210002", 1672 => x"b4651800", 1673 => x"4844fffc", 1674 => x"00610010", 1675 => x"2063ffff", 1676 => x"b4611800", 1677 => x"00610010", 1678 => x"b4231800", 1679 => x"a4600800", 1680 => x"2021ffff", 1681 => x"c3a00000", 1682 => x"34040000", 1683 => x"e0000006", 1684 => x"b4442800", 1685 => x"40a50000", 1686 => x"b4243000", 1687 => x"34840001", 1688 => x"30c50000", 1689 => x"5464fffb", 1690 => x"c3a00000", 1691 => x"b8202800", 1692 => x"34040000", 1693 => x"e0000008", 1694 => x"b4a40800", 1695 => x"40260000", 1696 => x"b4440800", 1697 => x"40210000", 1698 => x"c8c10800", 1699 => x"5c200004", 1700 => x"34840001", 1701 => x"5464fff9", 1702 => x"34010000", 1703 => x"c3a00000", 1704 => x"379cffe8", 1705 => x"5b8b0018", 1706 => x"5b8c0014", 1707 => x"5b8d0010", 1708 => x"5b8e000c", 1709 => x"5b8f0008", 1710 => x"5b9d0004", 1711 => x"90407800", 1712 => x"3401ffff", 1713 => x"d0410000", 1714 => x"34010001", 1715 => x"d0810000", 1716 => x"34000000", 1717 => x"34000000", 1718 => x"34000000", 1719 => x"34000000", 1720 => x"780b0000", 1721 => x"396b4234", 1722 => x"340c0000", 1723 => x"340e0001", 1724 => x"340d0020", 1725 => x"bdcc0800", 1726 => x"a02f0800", 1727 => x"44200005", 1728 => x"29620000", 1729 => x"44400003", 1730 => x"29610004", 1731 => x"d8400000", 1732 => x"358c0001", 1733 => x"356b0008", 1734 => x"5d8dfff7", 1735 => x"2b9d0004", 1736 => x"2b8b0018", 1737 => x"2b8c0014", 1738 => x"2b8d0010", 1739 => x"2b8e000c", 1740 => x"2b8f0008", 1741 => x"379c0018", 1742 => x"c3a00000", 1743 => x"78010000", 1744 => x"38214234", 1745 => x"34220100", 1746 => x"58200000", 1747 => x"58200004", 1748 => x"34210008", 1749 => x"5c22fffd", 1750 => x"34010000", 1751 => x"d0210000", 1752 => x"34010001", 1753 => x"d0010000", 1754 => x"34010000", 1755 => x"c3a00000", 1756 => x"3c250003", 1757 => x"78040000", 1758 => x"38844234", 1759 => x"b4852000", 1760 => x"58820000", 1761 => x"58830004", 1762 => x"90201000", 1763 => x"34030001", 1764 => x"bc610800", 1765 => x"b8410800", 1766 => x"d0210000", 1767 => x"34010000", 1768 => x"c3a00000", 1769 => x"379cfff4", 1770 => x"5b8b000c", 1771 => x"5b8c0008", 1772 => x"5b9d0004", 1773 => x"780b0000", 1774 => x"b8202000", 1775 => x"396b4334", 1776 => x"b8401800", 1777 => x"b9600800", 1778 => x"b8801000", 1779 => x"f8000163", 1780 => x"b8206000", 1781 => x"b9600800", 1782 => x"f800034e", 1783 => x"b9800800", 1784 => x"2b9d0004", 1785 => x"2b8b000c", 1786 => x"2b8c0008", 1787 => x"379c000c", 1788 => x"c3a00000", 1789 => x"379cffdc", 1790 => x"5b9d0004", 1791 => x"5b82000c", 1792 => x"3782000c", 1793 => x"5b810008", 1794 => x"5b830010", 1795 => x"5b840014", 1796 => x"5b850018", 1797 => x"5b86001c", 1798 => x"5b870020", 1799 => x"5b880024", 1800 => x"fbffffe1", 1801 => x"2b9d0004", 1802 => x"379c0024", 1803 => x"c3a00000", 1804 => x"379cffec", 1805 => x"5b8b0014", 1806 => x"5b8c0010", 1807 => x"5b8d000c", 1808 => x"5b8e0008", 1809 => x"5b9d0004", 1810 => x"b8205800", 1811 => x"78010000", 1812 => x"382138c0", 1813 => x"282e0000", 1814 => x"b8406000", 1815 => x"558e003f", 1816 => x"01860004", 1817 => x"01840008", 1818 => x"0182000c", 1819 => x"20c6000f", 1820 => x"2084000f", 1821 => x"b4c40800", 1822 => x"b4220800", 1823 => x"08210006", 1824 => x"218c000f", 1825 => x"08450009", 1826 => x"b42c6000", 1827 => x"098300cd", 1828 => x"08870005", 1829 => x"b4a62800", 1830 => x"0063000b", 1831 => x"b4a72800", 1832 => x"0861000a", 1833 => x"b4a32800", 1834 => x"c9816000", 1835 => x"358c0030", 1836 => x"316c0000", 1837 => x"35610001", 1838 => x"44a00021", 1839 => x"08a300cd", 1840 => x"3c840001", 1841 => x"0063000b", 1842 => x"0861000a", 1843 => x"b4641800", 1844 => x"b8622000", 1845 => x"c8a12800", 1846 => x"34a50030", 1847 => x"31650001", 1848 => x"35610002", 1849 => x"44800016", 1850 => x"0864000d", 1851 => x"3c420002", 1852 => x"00840007", 1853 => x"0881000a", 1854 => x"b4821000", 1855 => x"c8611800", 1856 => x"34630030", 1857 => x"31630002", 1858 => x"35610003", 1859 => x"4440000c", 1860 => x"084300cd", 1861 => x"0063000b", 1862 => x"0861000a", 1863 => x"c8411000", 1864 => x"34420030", 1865 => x"31620003", 1866 => x"35610004", 1867 => x"44600004", 1868 => x"34630030", 1869 => x"31630004", 1870 => x"35610005", 1871 => x"2b9d0004", 1872 => x"2b8b0014", 1873 => x"2b8c0010", 1874 => x"2b8d000c", 1875 => x"2b8e0008", 1876 => x"379c0014", 1877 => x"c3a00000", 1878 => x"78030000", 1879 => x"386338c4", 1880 => x"28620000", 1881 => x"b9800800", 1882 => x"f800044a", 1883 => x"78030000", 1884 => x"386338c4", 1885 => x"28620000", 1886 => x"b8206800", 1887 => x"b9800800", 1888 => x"f8000434", 1889 => x"01a50004", 1890 => x"01a20008", 1891 => x"20a5000f", 1892 => x"2042000f", 1893 => x"b8206000", 1894 => x"01a1000c", 1895 => x"b4a21800", 1896 => x"b4611800", 1897 => x"08630006", 1898 => x"21ad000f", 1899 => x"08460005", 1900 => x"b46d6800", 1901 => x"09a300cd", 1902 => x"3c420001", 1903 => x"0063000b", 1904 => x"0864000a", 1905 => x"c9a46800", 1906 => x"08240009", 1907 => x"3c210002", 1908 => x"35ad0030", 1909 => x"b4852000", 1910 => x"b4862000", 1911 => x"b4832000", 1912 => x"088300cd", 1913 => x"316d0000", 1914 => x"0063000b", 1915 => x"b4621000", 1916 => x"0865000a", 1917 => x"0843000d", 1918 => x"c8852000", 1919 => x"00630007", 1920 => x"34840030", 1921 => x"31640001", 1922 => x"0864000a", 1923 => x"b4610800", 1924 => x"c8441000", 1925 => x"34420030", 1926 => x"31620002", 1927 => x"082200cd", 1928 => x"0042000b", 1929 => x"0843000a", 1930 => x"34420030", 1931 => x"31620004", 1932 => x"c8230800", 1933 => x"34210030", 1934 => x"31610003", 1935 => x"356b0005", 1936 => x"e3ffff87", 1937 => x"379cff94", 1938 => x"5b8b0028", 1939 => x"5b8c0024", 1940 => x"5b8d0020", 1941 => x"5b8e001c", 1942 => x"5b8f0018", 1943 => x"5b900014", 1944 => x"5b910010", 1945 => x"5b92000c", 1946 => x"5b930008", 1947 => x"5b9d0004", 1948 => x"b8205800", 1949 => x"00d10006", 1950 => x"7c61000a", 1951 => x"20d00020", 1952 => x"a2218800", 1953 => x"20c10010", 1954 => x"b8607000", 1955 => x"b8806800", 1956 => x"b8a09000", 1957 => x"b8c06000", 1958 => x"221000ff", 1959 => x"44200003", 1960 => x"3401fffe", 1961 => x"a0c16000", 1962 => x"21810002", 1963 => x"340f0000", 1964 => x"4420000f", 1965 => x"4c400005", 1966 => x"c8021000", 1967 => x"35adffff", 1968 => x"340f002d", 1969 => x"e000000a", 1970 => x"21810004", 1971 => x"44200004", 1972 => x"35adffff", 1973 => x"340f002b", 1974 => x"e0000005", 1975 => x"21810008", 1976 => x"442f0003", 1977 => x"35adffff", 1978 => x"340f0020", 1979 => x"46200004", 1980 => x"65c10010", 1981 => x"35adffff", 1982 => x"c9a16800", 1983 => x"5c400005", 1984 => x"34010030", 1985 => x"3381002c", 1986 => x"34010001", 1987 => x"e0000019", 1988 => x"3401000a", 1989 => x"45c10013", 1990 => x"65c40010", 1991 => x"78030000", 1992 => x"35c6ffff", 1993 => x"34840003", 1994 => x"34010000", 1995 => x"3785002c", 1996 => x"386338d8", 1997 => x"204800ff", 1998 => x"a1064000", 1999 => x"b4684000", 2000 => x"41080000", 2001 => x"b4a13800", 2002 => x"80441000", 2003 => x"ba084000", 2004 => x"30e80000", 2005 => x"34210001", 2006 => x"5c40fff7", 2007 => x"e0000005", 2008 => x"3793002c", 2009 => x"ba600800", 2010 => x"fbffff32", 2011 => x"c8330800", 2012 => x"b8201000", 2013 => x"4c320002", 2014 => x"ba401000", 2015 => x"21830011", 2016 => x"c9a26800", 2017 => x"5c600008", 2018 => x"e0000004", 2019 => x"31630000", 2020 => x"356b0001", 2021 => x"e0000002", 2022 => x"34030020", 2023 => x"35adffff", 2024 => x"4da0fffb", 2025 => x"45e00003", 2026 => x"316f0000", 2027 => x"356b0001", 2028 => x"46200009", 2029 => x"34030030", 2030 => x"31630000", 2031 => x"34030010", 2032 => x"356b0001", 2033 => x"5dc30004", 2034 => x"3a100058", 2035 => x"31700000", 2036 => x"356b0001", 2037 => x"21830010", 2038 => x"5c600010", 2039 => x"218c0001", 2040 => x"e5836000", 2041 => x"3404fff0", 2042 => x"c80c6000", 2043 => x"a1846000", 2044 => x"358c0030", 2045 => x"e0000003", 2046 => x"316c0000", 2047 => x"356b0001", 2048 => x"35adffff", 2049 => x"4da0fffd", 2050 => x"e0000004", 2051 => x"31630000", 2052 => x"356b0001", 2053 => x"e0000002", 2054 => x"34030030", 2055 => x"3442ffff", 2056 => x"4c41fffb", 2057 => x"e0000006", 2058 => x"b4611000", 2059 => x"40420000", 2060 => x"31620000", 2061 => x"356b0001", 2062 => x"e0000002", 2063 => x"3783002c", 2064 => x"3421ffff", 2065 => x"4c20fff9", 2066 => x"e0000004", 2067 => x"31610000", 2068 => x"356b0001", 2069 => x"e0000002", 2070 => x"34010020", 2071 => x"35adffff", 2072 => x"4da0fffb", 2073 => x"b9600800", 2074 => x"2b9d0004", 2075 => x"2b8b0028", 2076 => x"2b8c0024", 2077 => x"2b8d0020", 2078 => x"2b8e001c", 2079 => x"2b8f0018", 2080 => x"2b900014", 2081 => x"2b910010", 2082 => x"2b92000c", 2083 => x"2b930008", 2084 => x"379c006c", 2085 => x"c3a00000", 2086 => x"379cffec", 2087 => x"5b8b0014", 2088 => x"5b8c0010", 2089 => x"5b8d000c", 2090 => x"5b8e0008", 2091 => x"5b9d0004", 2092 => x"b8406000", 2093 => x"b8205800", 2094 => x"b8606800", 2095 => x"b8801000", 2096 => x"b8a07000", 2097 => x"5d800003", 2098 => x"780c0000", 2099 => x"398c38c8", 2100 => x"b9800800", 2101 => x"f8000400", 2102 => x"21c50010", 2103 => x"5ca00009", 2104 => x"e0000004", 2105 => x"31620000", 2106 => x"356b0001", 2107 => x"e0000002", 2108 => x"34020020", 2109 => x"35adffff", 2110 => x"35a30001", 2111 => x"4861fffa", 2112 => x"34020000", 2113 => x"e0000006", 2114 => x"b5821800", 2115 => x"40630000", 2116 => x"34420001", 2117 => x"31630000", 2118 => x"356b0001", 2119 => x"4822fffb", 2120 => x"34020020", 2121 => x"e0000004", 2122 => x"31620000", 2123 => x"35adffff", 2124 => x"356b0001", 2125 => x"49a1fffd", 2126 => x"b9600800", 2127 => x"2b9d0004", 2128 => x"2b8b0014", 2129 => x"2b8c0010", 2130 => x"2b8d000c", 2131 => x"2b8e0008", 2132 => x"379c0014", 2133 => x"c3a00000", 2134 => x"379cffbc", 2135 => x"5b8b0044", 2136 => x"5b8c0040", 2137 => x"5b8d003c", 2138 => x"5b8e0038", 2139 => x"5b8f0034", 2140 => x"5b900030", 2141 => x"5b91002c", 2142 => x"5b920028", 2143 => x"5b930024", 2144 => x"5b940020", 2145 => x"5b95001c", 2146 => x"5b960018", 2147 => x"5b970014", 2148 => x"5b980010", 2149 => x"5b99000c", 2150 => x"5b9b0008", 2151 => x"5b9d0004", 2152 => x"78100000", 2153 => x"b8207800", 2154 => x"b8406000", 2155 => x"b8605000", 2156 => x"34110025", 2157 => x"3415002b", 2158 => x"340d0009", 2159 => x"341bffff", 2160 => x"3414006e", 2161 => x"340e006c", 2162 => x"34130074", 2163 => x"34190068", 2164 => x"34180025", 2165 => x"34120073", 2166 => x"34170075", 2167 => x"34160078", 2168 => x"3a1038d0", 2169 => x"e00000e1", 2170 => x"5c7100c4", 2171 => x"34060000", 2172 => x"358c0001", 2173 => x"41870000", 2174 => x"44f5000e", 2175 => x"54f50006", 2176 => x"34020020", 2177 => x"44e2000d", 2178 => x"34020023", 2179 => x"5ce20011", 2180 => x"e000000c", 2181 => x"3402002d", 2182 => x"44e20004", 2183 => x"34020030", 2184 => x"5ce2000c", 2185 => x"e0000009", 2186 => x"38c60010", 2187 => x"e3fffff1", 2188 => x"38c60004", 2189 => x"e3ffffef", 2190 => x"38c60008", 2191 => x"e3ffffed", 2192 => x"38c60040", 2193 => x"e3ffffeb", 2194 => x"38c60001", 2195 => x"e3ffffe9", 2196 => x"34e3ffd0", 2197 => x"206300ff", 2198 => x"546d000b", 2199 => x"34080000", 2200 => x"e0000004", 2201 => x"0908000a", 2202 => x"358c0001", 2203 => x"b4484000", 2204 => x"41820000", 2205 => x"3442ffd0", 2206 => x"204300ff", 2207 => x"51a3fffa", 2208 => x"e000000a", 2209 => x"3403002a", 2210 => x"3408ffff", 2211 => x"5ce30007", 2212 => x"29480000", 2213 => x"358c0001", 2214 => x"354a0004", 2215 => x"4d000003", 2216 => x"c8084000", 2217 => x"38c60010", 2218 => x"41830000", 2219 => x"3402002e", 2220 => x"3405ffff", 2221 => x"5c62001b", 2222 => x"358c0001", 2223 => x"41870000", 2224 => x"34e3ffd0", 2225 => x"206300ff", 2226 => x"546d000b", 2227 => x"34050000", 2228 => x"e0000004", 2229 => x"08a5000a", 2230 => x"358c0001", 2231 => x"b4452800", 2232 => x"41820000", 2233 => x"3442ffd0", 2234 => x"204300ff", 2235 => x"51a3fffa", 2236 => x"e000000c", 2237 => x"3403002a", 2238 => x"34050000", 2239 => x"5ce30009", 2240 => x"29450000", 2241 => x"358c0001", 2242 => x"35470004", 2243 => x"48050003", 2244 => x"b8e05000", 2245 => x"e0000003", 2246 => x"b8e05000", 2247 => x"34050000", 2248 => x"41870000", 2249 => x"64e4006c", 2250 => x"64e30068", 2251 => x"b8831800", 2252 => x"5c600009", 2253 => x"3403004c", 2254 => x"44e30007", 2255 => x"3403005a", 2256 => x"44e30005", 2257 => x"3403007a", 2258 => x"44e30003", 2259 => x"3409ffff", 2260 => x"5cf30008", 2261 => x"b8e04800", 2262 => x"358c0001", 2263 => x"5cee0005", 2264 => x"41830000", 2265 => x"5c6e0003", 2266 => x"358c0001", 2267 => x"3409004c", 2268 => x"41870000", 2269 => x"44f4004f", 2270 => x"54f4000d", 2271 => x"34030063", 2272 => x"44e30015", 2273 => x"54e30005", 2274 => x"44f10050", 2275 => x"34030058", 2276 => x"5ce30056", 2277 => x"e0000060", 2278 => x"34030064", 2279 => x"44e30051", 2280 => x"34030069", 2281 => x"5ce30051", 2282 => x"e000004e", 2283 => x"44f2001e", 2284 => x"54f20006", 2285 => x"3403006f", 2286 => x"44e30046", 2287 => x"34030070", 2288 => x"5ce3004a", 2289 => x"e000001f", 2290 => x"44f70051", 2291 => x"5cf60047", 2292 => x"e0000042", 2293 => x"20c60010", 2294 => x"5cc00008", 2295 => x"e0000004", 2296 => x"30230000", 2297 => x"34210001", 2298 => x"e0000002", 2299 => x"34030020", 2300 => x"3508ffff", 2301 => x"4900fffb", 2302 => x"29440000", 2303 => x"35430004", 2304 => x"30240000", 2305 => x"34210001", 2306 => x"34040020", 2307 => x"e0000003", 2308 => x"30240000", 2309 => x"34210001", 2310 => x"3508ffff", 2311 => x"4900fffd", 2312 => x"e0000028", 2313 => x"29420000", 2314 => x"b8a02000", 2315 => x"b9001800", 2316 => x"b8c02800", 2317 => x"354b0004", 2318 => x"fbffff18", 2319 => x"e0000049", 2320 => x"29420000", 2321 => x"354b0004", 2322 => x"5c400007", 2323 => x"b8a02000", 2324 => x"ba001000", 2325 => x"b9001800", 2326 => x"b8c02800", 2327 => x"fbffff0f", 2328 => x"e000000c", 2329 => x"38c70020", 2330 => x"5d1b0003", 2331 => x"38c70021", 2332 => x"34080008", 2333 => x"34030010", 2334 => x"b9002000", 2335 => x"b8e03000", 2336 => x"fbfffe71", 2337 => x"e0000003", 2338 => x"b8606000", 2339 => x"e0000002", 2340 => x"34040019", 2341 => x"41820001", 2342 => x"35830001", 2343 => x"3445ff9f", 2344 => x"5085fffa", 2345 => x"3445ffbf", 2346 => x"5085fff8", 2347 => x"e0000046", 2348 => x"29450000", 2349 => x"c82f2000", 2350 => x"35430004", 2351 => x"58a40000", 2352 => x"b8605000", 2353 => x"e0000028", 2354 => x"30310000", 2355 => x"e000000c", 2356 => x"34030008", 2357 => x"e0000011", 2358 => x"38c60020", 2359 => x"e000000e", 2360 => x"38c60002", 2361 => x"e000000a", 2362 => x"30380000", 2363 => x"41830000", 2364 => x"34210001", 2365 => x"44600004", 2366 => x"30230000", 2367 => x"34210001", 2368 => x"e0000019", 2369 => x"358cffff", 2370 => x"e0000017", 2371 => x"3403000a", 2372 => x"e0000002", 2373 => x"34030010", 2374 => x"452e0006", 2375 => x"6527007a", 2376 => x"6524005a", 2377 => x"b8e42000", 2378 => x"5c800002", 2379 => x"5d330004", 2380 => x"354b0004", 2381 => x"29420000", 2382 => x"e0000008", 2383 => x"354b0004", 2384 => x"29420000", 2385 => x"5d390005", 2386 => x"20c40002", 2387 => x"2042ffff", 2388 => x"44800002", 2389 => x"dc401000", 2390 => x"b9002000", 2391 => x"fbfffe3a", 2392 => x"b9605000", 2393 => x"358c0001", 2394 => x"41830000", 2395 => x"5c60ff1f", 2396 => x"30200000", 2397 => x"c82f0800", 2398 => x"2b9d0004", 2399 => x"2b8b0044", 2400 => x"2b8c0040", 2401 => x"2b8d003c", 2402 => x"2b8e0038", 2403 => x"2b8f0034", 2404 => x"2b900030", 2405 => x"2b91002c", 2406 => x"2b920028", 2407 => x"2b930024", 2408 => x"2b940020", 2409 => x"2b95001c", 2410 => x"2b960018", 2411 => x"2b970014", 2412 => x"2b980010", 2413 => x"2b99000c", 2414 => x"2b9b0008", 2415 => x"379c0044", 2416 => x"c3a00000", 2417 => x"3442ffd0", 2418 => x"51a2ffb0", 2419 => x"e3ffffe5", 2420 => x"379cfff8", 2421 => x"5b8b0008", 2422 => x"5b9d0004", 2423 => x"780b0000", 2424 => x"396b43d0", 2425 => x"29620000", 2426 => x"3401ffff", 2427 => x"28430008", 2428 => x"44600013", 2429 => x"28410004", 2430 => x"3c210002", 2431 => x"fbfff9a7", 2432 => x"29630000", 2433 => x"78020000", 2434 => x"384249e4", 2435 => x"58410000", 2436 => x"28640004", 2437 => x"28620008", 2438 => x"34030000", 2439 => x"e0000006", 2440 => x"28450000", 2441 => x"28420004", 2442 => x"34630001", 2443 => x"58250000", 2444 => x"34210004", 2445 => x"5c64fffb", 2446 => x"34010000", 2447 => x"2b9d0004", 2448 => x"2b8b0008", 2449 => x"379c0008", 2450 => x"c3a00000", 2451 => x"379cffe4", 2452 => x"5b8b001c", 2453 => x"5b8c0018", 2454 => x"5b8d0014", 2455 => x"5b8e0010", 2456 => x"5b8f000c", 2457 => x"5b900008", 2458 => x"5b9d0004", 2459 => x"780b0000", 2460 => x"396b43cc", 2461 => x"29620000", 2462 => x"3401ffff", 2463 => x"28430008", 2464 => x"44600025", 2465 => x"28410004", 2466 => x"780d0000", 2467 => x"780f0000", 2468 => x"3c210002", 2469 => x"340c0000", 2470 => x"fbfff980", 2471 => x"78020000", 2472 => x"384249e8", 2473 => x"58410000", 2474 => x"29610000", 2475 => x"39ad3924", 2476 => x"b8408000", 2477 => x"282e0008", 2478 => x"39ef38e8", 2479 => x"e000000d", 2480 => x"2a020000", 2481 => x"29c50000", 2482 => x"3d810002", 2483 => x"b9802000", 2484 => x"b4410800", 2485 => x"58250000", 2486 => x"b9a01000", 2487 => x"b9e00800", 2488 => x"34030017", 2489 => x"fbfffd44", 2490 => x"29ce0004", 2491 => x"358c0001", 2492 => x"29610000", 2493 => x"28240004", 2494 => x"548cfff2", 2495 => x"78010000", 2496 => x"38213908", 2497 => x"b9a01000", 2498 => x"3403001a", 2499 => x"fbfffd3a", 2500 => x"34010000", 2501 => x"2b9d0004", 2502 => x"2b8b001c", 2503 => x"2b8c0018", 2504 => x"2b8d0014", 2505 => x"2b8e0010", 2506 => x"2b8f000c", 2507 => x"2b900008", 2508 => x"379c001c", 2509 => x"c3a00000", 2510 => x"78040000", 2511 => x"388449e8", 2512 => x"3c210002", 2513 => x"44600008", 2514 => x"28830000", 2515 => x"b4610800", 2516 => x"28210000", 2517 => x"34030001", 2518 => x"bc621000", 2519 => x"58220004", 2520 => x"c3a00000", 2521 => x"28830000", 2522 => x"b4610800", 2523 => x"28210000", 2524 => x"34030001", 2525 => x"bc621000", 2526 => x"58220000", 2527 => x"c3a00000", 2528 => x"78030000", 2529 => x"386349e8", 2530 => x"28630000", 2531 => x"3c210002", 2532 => x"b4610800", 2533 => x"28210000", 2534 => x"34030001", 2535 => x"bc621000", 2536 => x"2821000c", 2537 => x"a0410800", 2538 => x"7c210000", 2539 => x"c3a00000", 2540 => x"379cffe0", 2541 => x"5b8b0020", 2542 => x"5b8c001c", 2543 => x"5b8d0018", 2544 => x"5b8e0014", 2545 => x"5b8f0010", 2546 => x"5b90000c", 2547 => x"5b910008", 2548 => x"5b9d0004", 2549 => x"780b0000", 2550 => x"396b43bc", 2551 => x"29620000", 2552 => x"3401ffff", 2553 => x"28430008", 2554 => x"44600027", 2555 => x"28410004", 2556 => x"780d0000", 2557 => x"780f0000", 2558 => x"3c210002", 2559 => x"340c0000", 2560 => x"fbfff926", 2561 => x"78020000", 2562 => x"384249ec", 2563 => x"58410000", 2564 => x"29610000", 2565 => x"39ad396c", 2566 => x"b8408000", 2567 => x"282e0008", 2568 => x"3411025c", 2569 => x"39ef3930", 2570 => x"e000000e", 2571 => x"2a020000", 2572 => x"29c50000", 2573 => x"3d810002", 2574 => x"b9802000", 2575 => x"b4410800", 2576 => x"58250000", 2577 => x"58b10004", 2578 => x"b9e00800", 2579 => x"b9a01000", 2580 => x"3403001e", 2581 => x"fbfffce8", 2582 => x"29ce0004", 2583 => x"358c0001", 2584 => x"29610000", 2585 => x"28240004", 2586 => x"548cfff1", 2587 => x"78010000", 2588 => x"38213950", 2589 => x"b9a01000", 2590 => x"34030021", 2591 => x"fbfffcde", 2592 => x"34010000", 2593 => x"2b9d0004", 2594 => x"2b8b0020", 2595 => x"2b8c001c", 2596 => x"2b8d0018", 2597 => x"2b8e0014", 2598 => x"2b8f0010", 2599 => x"2b90000c", 2600 => x"2b910008", 2601 => x"379c0020", 2602 => x"c3a00000", 2603 => x"379cfff4", 2604 => x"5b8b000c", 2605 => x"5b8c0008", 2606 => x"5b9d0004", 2607 => x"b8405800", 2608 => x"3402000a", 2609 => x"b8206000", 2610 => x"5d620003", 2611 => x"3402000d", 2612 => x"fbfffff7", 2613 => x"78030000", 2614 => x"386349ec", 2615 => x"28620000", 2616 => x"3d810002", 2617 => x"b4410800", 2618 => x"28210000", 2619 => x"28220000", 2620 => x"20420001", 2621 => x"5c40fffe", 2622 => x"582b0008", 2623 => x"2b9d0004", 2624 => x"2b8b000c", 2625 => x"2b8c0008", 2626 => x"379c000c", 2627 => x"c3a00000", 2628 => x"379cfff8", 2629 => x"5b8b0008", 2630 => x"5b9d0004", 2631 => x"b8205800", 2632 => x"e0000004", 2633 => x"34010000", 2634 => x"356b0001", 2635 => x"fbffffe0", 2636 => x"41620000", 2637 => x"5c40fffc", 2638 => x"2b9d0004", 2639 => x"2b8b0008", 2640 => x"379c0008", 2641 => x"c3a00000", 2642 => x"379cffd8", 2643 => x"5b8b0028", 2644 => x"5b8c0024", 2645 => x"5b8d0020", 2646 => x"5b8e001c", 2647 => x"5b8f0018", 2648 => x"5b900014", 2649 => x"5b910010", 2650 => x"5b92000c", 2651 => x"5b930008", 2652 => x"5b9d0004", 2653 => x"340e0000", 2654 => x"b8206800", 2655 => x"b8406000", 2656 => x"b8608000", 2657 => x"b8a07800", 2658 => x"b8805800", 2659 => x"2c930004", 2660 => x"34120002", 2661 => x"34110001", 2662 => x"e000001c", 2663 => x"4161003f", 2664 => x"5c320008", 2665 => x"2963000c", 2666 => x"29640004", 2667 => x"b9a00800", 2668 => x"b9801000", 2669 => x"b6031800", 2670 => x"b9e02800", 2671 => x"fbffffe3", 2672 => x"4161003f", 2673 => x"5c31000f", 2674 => x"29610020", 2675 => x"5c2f000d", 2676 => x"34010008", 2677 => x"fbfff8b1", 2678 => x"59a10000", 2679 => x"2962000c", 2680 => x"58200004", 2681 => x"29ad0000", 2682 => x"b6021000", 2683 => x"58220000", 2684 => x"29810000", 2685 => x"35ad0004", 2686 => x"34210001", 2687 => x"59810000", 2688 => x"35ce0001", 2689 => x"356b0040", 2690 => x"4a6effe5", 2691 => x"2b9d0004", 2692 => x"2b8b0028", 2693 => x"2b8c0024", 2694 => x"2b8d0020", 2695 => x"2b8e001c", 2696 => x"2b8f0018", 2697 => x"2b900014", 2698 => x"2b910010", 2699 => x"2b92000c", 2700 => x"2b930008", 2701 => x"379c0028", 2702 => x"c3a00000", 2703 => x"379cfff4", 2704 => x"5b8b000c", 2705 => x"5b8c0008", 2706 => x"5b9d0004", 2707 => x"b8206000", 2708 => x"3401000c", 2709 => x"fbfff891", 2710 => x"b8205800", 2711 => x"582c0000", 2712 => x"58200004", 2713 => x"58200008", 2714 => x"35620004", 2715 => x"34210008", 2716 => x"34030000", 2717 => x"78043000", 2718 => x"b9802800", 2719 => x"fbffffb3", 2720 => x"b9600800", 2721 => x"2b9d0004", 2722 => x"2b8b000c", 2723 => x"2b8c0008", 2724 => x"379c000c", 2725 => x"c3a00000", 2726 => x"379cffc8", 2727 => x"5b8b0024", 2728 => x"5b8c0020", 2729 => x"5b8d001c", 2730 => x"5b8e0018", 2731 => x"5b8f0014", 2732 => x"5b900010", 2733 => x"5b91000c", 2734 => x"5b920008", 2735 => x"5b9d0004", 2736 => x"780d0000", 2737 => x"b8207800", 2738 => x"b8405800", 2739 => x"2c520004", 2740 => x"340e0000", 2741 => x"34110002", 2742 => x"34100001", 2743 => x"378c0028", 2744 => x"39ad3978", 2745 => x"e0000020", 2746 => x"4161003f", 2747 => x"5c310005", 2748 => x"2961000c", 2749 => x"29620004", 2750 => x"b5e10800", 2751 => x"fbffffe7", 2752 => x"4161003f", 2753 => x"5c300016", 2754 => x"3562002c", 2755 => x"2841000c", 2756 => x"28440004", 2757 => x"28430008", 2758 => x"5981000c", 2759 => x"2c410010", 2760 => x"2965002c", 2761 => x"59840004", 2762 => x"0d810010", 2763 => x"2964000c", 2764 => x"40410012", 2765 => x"59830008", 2766 => x"2962001c", 2767 => x"29630020", 2768 => x"59850000", 2769 => x"31810012", 2770 => x"b5e42000", 2771 => x"b9a00800", 2772 => x"b9802800", 2773 => x"3380003b", 2774 => x"fbfffc27", 2775 => x"35ce0001", 2776 => x"356b0040", 2777 => x"4a4effe1", 2778 => x"2b9d0004", 2779 => x"2b8b0024", 2780 => x"2b8c0020", 2781 => x"2b8d001c", 2782 => x"2b8e0018", 2783 => x"2b8f0014", 2784 => x"2b900010", 2785 => x"2b91000c", 2786 => x"2b920008", 2787 => x"379c0038", 2788 => x"c3a00000", 2789 => x"379cfffc", 2790 => x"5b9d0004", 2791 => x"78010000", 2792 => x"3821320c", 2793 => x"fbfffc14", 2794 => x"78010000", 2795 => x"3821398c", 2796 => x"fbfffc11", 2797 => x"78010000", 2798 => x"382132fc", 2799 => x"fbfffc0e", 2800 => x"34010000", 2801 => x"78023000", 2802 => x"fbffffb4", 2803 => x"2b9d0004", 2804 => x"379c0004", 2805 => x"c3a00000", 2806 => x"379cfffc", 2807 => x"5b9d0004", 2808 => x"78020000", 2809 => x"384239bc", 2810 => x"28410000", 2811 => x"fbffff94", 2812 => x"78020000", 2813 => x"384243dc", 2814 => x"58410000", 2815 => x"78020000", 2816 => x"384239c0", 2817 => x"28410000", 2818 => x"fbffff8d", 2819 => x"78020000", 2820 => x"384243d0", 2821 => x"58410000", 2822 => x"78020000", 2823 => x"384239c4", 2824 => x"28410000", 2825 => x"fbffff86", 2826 => x"78020000", 2827 => x"384243b8", 2828 => x"58410000", 2829 => x"78020000", 2830 => x"384239c8", 2831 => x"28410000", 2832 => x"fbffff7f", 2833 => x"78020000", 2834 => x"384243d4", 2835 => x"58410000", 2836 => x"78020000", 2837 => x"384239cc", 2838 => x"28410000", 2839 => x"fbffff78", 2840 => x"78020000", 2841 => x"384243e4", 2842 => x"58410000", 2843 => x"78020000", 2844 => x"384239d0", 2845 => x"28410000", 2846 => x"fbffff71", 2847 => x"78020000", 2848 => x"384243c0", 2849 => x"58410000", 2850 => x"78020000", 2851 => x"384239d4", 2852 => x"28410000", 2853 => x"fbffff6a", 2854 => x"78020000", 2855 => x"384243d8", 2856 => x"58410000", 2857 => x"78020000", 2858 => x"384239d8", 2859 => x"28410000", 2860 => x"fbffff63", 2861 => x"78020000", 2862 => x"384243c8", 2863 => x"58410000", 2864 => x"78020000", 2865 => x"384239dc", 2866 => x"28410000", 2867 => x"fbffff5c", 2868 => x"78020000", 2869 => x"384243e0", 2870 => x"58410000", 2871 => x"78020000", 2872 => x"384239e0", 2873 => x"28410000", 2874 => x"fbffff55", 2875 => x"78020000", 2876 => x"384243bc", 2877 => x"58410000", 2878 => x"78020000", 2879 => x"384239e4", 2880 => x"28410000", 2881 => x"fbffff4e", 2882 => x"78020000", 2883 => x"384243cc", 2884 => x"58410000", 2885 => x"78020000", 2886 => x"384239e8", 2887 => x"28410000", 2888 => x"fbffff47", 2889 => x"78020000", 2890 => x"384243b4", 2891 => x"58410000", 2892 => x"2b9d0004", 2893 => x"379c0004", 2894 => x"c3a00000", 2895 => x"379cfff8", 2896 => x"5b8b0008", 2897 => x"5b9d0004", 2898 => x"780b0000", 2899 => x"396b43d4", 2900 => x"29620000", 2901 => x"3401ffff", 2902 => x"28430008", 2903 => x"44600013", 2904 => x"28410004", 2905 => x"3c210002", 2906 => x"fbfff7cc", 2907 => x"29630000", 2908 => x"78020000", 2909 => x"384249f0", 2910 => x"58410000", 2911 => x"28640004", 2912 => x"28620008", 2913 => x"34030000", 2914 => x"e0000006", 2915 => x"28450000", 2916 => x"28420004", 2917 => x"34630001", 2918 => x"58250000", 2919 => x"34210004", 2920 => x"5c64fffb", 2921 => x"34010000", 2922 => x"2b9d0004", 2923 => x"2b8b0008", 2924 => x"379c0008", 2925 => x"c3a00000", 2926 => x"78040000", 2927 => x"388449f0", 2928 => x"28840000", 2929 => x"3c210002", 2930 => x"b4810800", 2931 => x"28210000", 2932 => x"58220008", 2933 => x"58230004", 2934 => x"34010000", 2935 => x"c3a00000", 2936 => x"78030000", 2937 => x"386349f0", 2938 => x"28630000", 2939 => x"3c210002", 2940 => x"b4610800", 2941 => x"28210000", 2942 => x"5822000c", 2943 => x"34010000", 2944 => x"c3a00000", 2945 => x"78020000", 2946 => x"384249f0", 2947 => x"28420000", 2948 => x"3c210002", 2949 => x"b4410800", 2950 => x"28210000", 2951 => x"34020001", 2952 => x"58220000", 2953 => x"34010000", 2954 => x"c3a00000", 2955 => x"34010000", 2956 => x"c3a00000", 2957 => x"3402ffff", 2958 => x"e0000002", 2959 => x"34000000", 2960 => x"3421ffff", 2961 => x"5c22fffe", 2962 => x"34010000", 2963 => x"c3a00000", 2964 => x"379cfffc", 2965 => x"5b9d0004", 2966 => x"44400006", 2967 => x"34030000", 2968 => x"f800001c", 2969 => x"2b9d0004", 2970 => x"379c0004", 2971 => x"c3a00000", 2972 => x"90000800", 2973 => x"20210001", 2974 => x"3c210001", 2975 => x"d0010000", 2976 => x"90e00800", 2977 => x"bba0f000", 2978 => x"342100a0", 2979 => x"c0200000", 2980 => x"379cfffc", 2981 => x"5b9d0004", 2982 => x"44400006", 2983 => x"34030001", 2984 => x"f800000c", 2985 => x"2b9d0004", 2986 => x"379c0004", 2987 => x"c3a00000", 2988 => x"90000800", 2989 => x"20210001", 2990 => x"3c210001", 2991 => x"d0010000", 2992 => x"90e00800", 2993 => x"bba0f000", 2994 => x"342100a0", 2995 => x"c0200000", 2996 => x"f4222000", 2997 => x"44800018", 2998 => x"34040001", 2999 => x"4c40000b", 3000 => x"34050000", 3001 => x"54410003", 3002 => x"c8220800", 3003 => x"b8a42800", 3004 => x"00840001", 3005 => x"00420001", 3006 => x"5c80fffb", 3007 => x"5c600002", 3008 => x"b8a00800", 3009 => x"c3a00000", 3010 => x"3c420001", 3011 => x"3c840001", 3012 => x"f4222800", 3013 => x"7c860000", 3014 => x"a0c52800", 3015 => x"44a00002", 3016 => x"4c40fffa", 3017 => x"34050000", 3018 => x"4480fff5", 3019 => x"34050000", 3020 => x"e3ffffed", 3021 => x"34040001", 3022 => x"34050000", 3023 => x"e3ffffea", 3024 => x"20250003", 3025 => x"b8202000", 3026 => x"44a0000b", 3027 => x"4460002c", 3028 => x"3463ffff", 3029 => x"204600ff", 3030 => x"e0000003", 3031 => x"44600028", 3032 => x"3463ffff", 3033 => x"30860000", 3034 => x"34840001", 3035 => x"20850003", 3036 => x"5ca0fffb", 3037 => x"34050003", 3038 => x"50a3001a", 3039 => x"204500ff", 3040 => x"3ca60008", 3041 => x"340a000f", 3042 => x"b8c52800", 3043 => x"3ca60010", 3044 => x"b8804000", 3045 => x"b8c53000", 3046 => x"b8603800", 3047 => x"b8802800", 3048 => x"3409000f", 3049 => x"546a0017", 3050 => x"34040000", 3051 => x"34070003", 3052 => x"b5042800", 3053 => x"34840004", 3054 => x"58a60000", 3055 => x"c8642800", 3056 => x"54a7fffc", 3057 => x"3463fffc", 3058 => x"00640002", 3059 => x"20630003", 3060 => x"34840001", 3061 => x"3c840002", 3062 => x"b5044000", 3063 => x"b9002000", 3064 => x"44600007", 3065 => x"204200ff", 3066 => x"34050000", 3067 => x"b4853000", 3068 => x"30c20000", 3069 => x"34a50001", 3070 => x"5c65fffd", 3071 => x"c3a00000", 3072 => x"58a60000", 3073 => x"58a60004", 3074 => x"58a60008", 3075 => x"58a6000c", 3076 => x"34e7fff0", 3077 => x"34a50010", 3078 => x"54e9fffa", 3079 => x"3463fff0", 3080 => x"00680004", 3081 => x"2063000f", 3082 => x"35080001", 3083 => x"3d080004", 3084 => x"b4884000", 3085 => x"34040003", 3086 => x"5464ffdc", 3087 => x"b9002000", 3088 => x"e3ffffe8", 3089 => x"b8412800", 3090 => x"20a50003", 3091 => x"b8403800", 3092 => x"b8202000", 3093 => x"5ca00018", 3094 => x"78040000", 3095 => x"388439ec", 3096 => x"28430000", 3097 => x"28880000", 3098 => x"78040000", 3099 => x"388439f0", 3100 => x"28870000", 3101 => x"a4603000", 3102 => x"b4682000", 3103 => x"a0c43000", 3104 => x"a0c73000", 3105 => x"b8202000", 3106 => x"5cc5000a", 3107 => x"58830000", 3108 => x"34420004", 3109 => x"28430000", 3110 => x"34840004", 3111 => x"a4603000", 3112 => x"b4682800", 3113 => x"a0c52800", 3114 => x"a0a72800", 3115 => x"44a0fff8", 3116 => x"b8403800", 3117 => x"34030000", 3118 => x"b4e32800", 3119 => x"40a50000", 3120 => x"b4833000", 3121 => x"34630001", 3122 => x"30c50000", 3123 => x"5ca0fffb", 3124 => x"c3a00000", 3125 => x"34030000", 3126 => x"4440000c", 3127 => x"40240000", 3128 => x"4480000a", 3129 => x"3442ffff", 3130 => x"b8201800", 3131 => x"e0000004", 3132 => x"40640000", 3133 => x"3442ffff", 3134 => x"44800003", 3135 => x"34630001", 3136 => x"5c40fffc", 3137 => x"c8611800", 3138 => x"b8600800", 3139 => x"c3a00000", 3140 => x"3e206275", 3141 => x"74746f6e", 3142 => x"20707573", 3143 => x"68656421", 3144 => x"0a000000", 3145 => x"3e206572", 3146 => x"726f7220", 3147 => x"696e6974", 3148 => x"69616c69", 3149 => x"7a696e67", 3150 => x"20444d41", 3151 => x"21204578", 3152 => x"6974696e", 3153 => x"672e2e2e", 3154 => x"0a000000", 3155 => x"3e206572", 3156 => x"726f7220", 3157 => x"696e6974", 3158 => x"69616c69", 3159 => x"7a696e67", 3160 => x"20475049", 3161 => x"4f212045", 3162 => x"78697469", 3163 => x"6e672e2e", 3164 => x"2e0a0000", 3165 => x"3e206572", 3166 => x"726f7220", 3167 => x"696e6974", 3168 => x"69616c69", 3169 => x"7a696e67", 3170 => x"20457468", 3171 => x"65726e65", 3172 => x"74204d41", 3173 => x"43212045", 3174 => x"78697469", 3175 => x"6e672e2e", 3176 => x"2e0a0000", 3177 => x"3e206572", 3178 => x"726f7220", 3179 => x"696e6974", 3180 => x"69616c69", 3181 => x"7a696e67", 3182 => x"20457468", 3183 => x"65726e65", 3184 => x"74204d41", 3185 => x"43206164", 3186 => x"61707465", 3187 => x"72212045", 3188 => x"78697469", 3189 => x"6e672e2e", 3190 => x"2e0a0000", 3191 => x"3e206572", 3192 => x"726f7220", 3193 => x"696e6974", 3194 => x"69616c69", 3195 => x"7a696e67", 3196 => x"20496e74", 3197 => x"65727275", 3198 => x"70747321", 3199 => x"20457869", 3200 => x"74696e67", 3201 => x"2e2e2e0a", 3202 => x"00000000", 3203 => x"2d2d2d2d", 3204 => x"2d2d2d2d", 3205 => x"2d2d2d2d", 3206 => x"2d2d2d2d", 3207 => x"2d2d2d2d", 3208 => x"2d2d2d2d", 3209 => x"2d2d2d2d", 3210 => x"2d2d2d2d", 3211 => x"2d2d2d2d", 3212 => x"2d2d2d2d", 3213 => x"2d2d2d0a", 3214 => x"00000000", 3215 => x"7c202020", 3216 => x"20202020", 3217 => x"44424520", 3218 => x"4558414d", 3219 => x"504c4520", 3220 => x"4150504c", 3221 => x"49434154", 3222 => x"494f4e20", 3223 => x"20202020", 3224 => x"20202020", 3225 => x"20207c0a", 3226 => x"00000000", 3227 => x"7c202020", 3228 => x"20202020", 3229 => x"20202020", 3230 => x"20202020", 3231 => x"20202020", 3232 => x"20202020", 3233 => x"20202020", 3234 => x"20202020", 3235 => x"20202020", 3236 => x"20202020", 3237 => x"20207c0a", 3238 => x"00000000", 3239 => x"7c202054", 3240 => x"68697320", 3241 => x"6170706c", 3242 => x"69636174", 3243 => x"696f6e20", 3244 => x"61696d73", 3245 => x"20746f20", 3246 => x"64656d6f", 3247 => x"73747261", 3248 => x"74652020", 3249 => x"20207c0a", 3250 => x"00000000", 3251 => x"7c202074", 3252 => x"68652063", 3253 => x"61706162", 3254 => x"696c6974", 3255 => x"69657320", 3256 => x"6f662074", 3257 => x"68652044", 3258 => x"42452070", 3259 => x"726f6a65", 3260 => x"63742020", 3261 => x"20207c0a", 3262 => x"00000000", 3263 => x"2d2d2d2d", 3264 => x"2d2d2d2d", 3265 => x"2d2d2d2d", 3266 => x"2d2d2d2d", 3267 => x"2d2d2d2d", 3268 => x"2d2d2d2d", 3269 => x"2d2d2d2d", 3270 => x"2d2d2d2d", 3271 => x"2d2d2d2d", 3272 => x"2d2d2d2d", 3273 => x"2d2d2d0a", 3274 => x"0a000000", 3275 => x"7c202020", 3276 => x"20202020", 3277 => x"454e4420", 3278 => x"4f462054", 3279 => x"45535420", 3280 => x"4150504c", 3281 => x"49434154", 3282 => x"494f4e20", 3283 => x"20202020", 3284 => x"20202020", 3285 => x"20207c0a", 3286 => x"00000000", 3287 => x"7c202020", 3288 => x"20202020", 3289 => x"20202020", 3290 => x"204d656d", 3291 => x"6f727920", 3292 => x"706f6f6c", 3293 => x"20746573", 3294 => x"74202020", 3295 => x"20202020", 3296 => x"20202020", 3297 => x"20207c0a", 3298 => x"00000000", 3299 => x"6d656d6d", 3300 => x"67725f74", 3301 => x"6573743a", 3302 => x"0a000000", 3303 => x"3e206479", 3304 => x"6e616d69", 3305 => x"6320616c", 3306 => x"6c6f6361", 3307 => x"74656420", 3308 => x"73747269", 3309 => x"6e672077", 3310 => x"69746820", 3311 => x"6d656d6f", 3312 => x"72792070", 3313 => x"6f6f6c0a", 3314 => x"0a000000", 3315 => x"3e207465", 3316 => x"73742070", 3317 => x"61737365", 3318 => x"64210a00", 3319 => x"3e207465", 3320 => x"73742066", 3321 => x"61696c65", 3322 => x"642e2043", 3323 => x"6f756c64", 3324 => x"206e6f74", 3325 => x"20616c6c", 3326 => x"6f636174", 3327 => x"65206d65", 3328 => x"6d6f7279", 3329 => x"210a0000", 3330 => x"7c202020", 3331 => x"20202020", 3332 => x"20202020", 3333 => x"20202020", 3334 => x"204c6564", 3335 => x"73207465", 3336 => x"73742020", 3337 => x"20202020", 3338 => x"20202020", 3339 => x"20202020", 3340 => x"20207c0a", 3341 => x"00000000", 3342 => x"6c656473", 3343 => x"5f746573", 3344 => x"743a0a00", 3345 => x"3e20626c", 3346 => x"696e6b69", 3347 => x"6e67206c", 3348 => x"6564730a", 3349 => x"00000000", 3350 => x"7c202020", 3351 => x"20202020", 3352 => x"20202020", 3353 => x"20202020", 3354 => x"42757474", 3355 => x"6f6e2074", 3356 => x"65737420", 3357 => x"20202020", 3358 => x"20202020", 3359 => x"20202020", 3360 => x"20207c0a", 3361 => x"00000000", 3362 => x"62757474", 3363 => x"6f6e735f", 3364 => x"74657374", 3365 => x"3a0a0000", 3366 => x"3e207072", 3367 => x"65737320", 3368 => x"28737769", 3369 => x"74636829", 3370 => x"20627574", 3371 => x"746f6e73", 3372 => x"20000000", 3373 => x"25642c20", 3374 => x"00000000", 3375 => x"3e207265", 3376 => x"6d61696e", 3377 => x"696e6720", 3378 => x"74696d65", 3379 => x"20746f20", 3380 => x"70726573", 3381 => x"73206275", 3382 => x"74746f6e", 3383 => x"733a2025", 3384 => x"64730a00", 3385 => x"62757474", 3386 => x"6f6e2564", 3387 => x"20707265", 3388 => x"73736564", 3389 => x"210a0000", 3390 => x"3e207465", 3391 => x"73742066", 3392 => x"61696c65", 3393 => x"64210a00", 3394 => x"3e206572", 3395 => x"726f7220", 3396 => x"696e6974", 3397 => x"69616c69", 3398 => x"7a696e67", 3399 => x"20444245", 3400 => x"20426f61", 3401 => x"72642120", 3402 => x"45786974", 3403 => x"696e672e", 3404 => x"2e2e0a00", 3405 => x"25732028", 3406 => x"2564293a", 3407 => x"203e2077", 3408 => x"61697469", 3409 => x"6e672066", 3410 => x"6f722041", 3411 => x"5250206f", 3412 => x"72204943", 3413 => x"4d502072", 3414 => x"65717565", 3415 => x"73740a00", 3416 => x"3e207761", 3417 => x"6974696e", 3418 => x"6720666f", 3419 => x"72204152", 3420 => x"50206f72", 3421 => x"2049434d", 3422 => x"50207265", 3423 => x"71756573", 3424 => x"740a0000", 3425 => x"25732028", 3426 => x"2564293a", 3427 => x"203e2073", 3428 => x"61772041", 3429 => x"52502072", 3430 => x"65717565", 3431 => x"73740a00", 3432 => x"25732028", 3433 => x"2564293a", 3434 => x"203e2073", 3435 => x"61772050", 3436 => x"494e4720", 3437 => x"72657175", 3438 => x"6573740a", 3439 => x"00000000", 3440 => x"004c4b40", 3441 => x"6d61696e", 3442 => x"00000000", 3443 => x"7c202020", 3444 => x"20202020", 3445 => x"20202020", 3446 => x"204d656d", 3447 => x"6f727920", 3448 => x"6d616e61", 3449 => x"67657220", 3450 => x"73746174", 3451 => x"73202020", 3452 => x"20202020", 3453 => x"20207c0a", 3454 => x"00000000", 3455 => x"706f6f6c", 3456 => x"3a206672", 3457 => x"65655f70", 3458 => x"6f73203d", 3459 => x"20257520", 3460 => x"28257520", 3461 => x"62797465", 3462 => x"73206c65", 3463 => x"6674290a", 3464 => x"0a000000", 3465 => x"2020202a", 3466 => x"20616464", 3467 => x"723a2030", 3468 => x"78253875", 3469 => x"3b207369", 3470 => x"7a653a20", 3471 => x"2538750a", 3472 => x"00000000", 3473 => x"0a667265", 3474 => x"65206c69", 3475 => x"73743a0a", 3476 => x"0a000000", 3477 => x"2020202a", 3478 => x"20616464", 3479 => x"723a2030", 3480 => x"78253875", 3481 => x"3b207369", 3482 => x"7a653a20", 3483 => x"2538753b", 3484 => x"206e6578", 3485 => x"743a2030", 3486 => x"78253875", 3487 => x"0a000000", 3488 => x"656d7074", 3489 => x"790a0000", 3490 => x"2121204d", 3491 => x"656d6f72", 3492 => x"7920616c", 3493 => x"6c6f6361", 3494 => x"74696f6e", 3495 => x"20666169", 3496 => x"6c656420", 3497 => x"21210a00", 3498 => x"25732028", 3499 => x"2564293a", 3500 => x"203e2065", 3501 => x"74686d61", 3502 => x"63206164", 3503 => x"64725b25", 3504 => x"645d3a20", 3505 => x"25303858", 3506 => x"0a000000", 3507 => x"25732028", 3508 => x"2564293a", 3509 => x"203e2065", 3510 => x"74686d61", 3511 => x"635f6264", 3512 => x"20616464", 3513 => x"725b2564", 3514 => x"5d3a2025", 3515 => x"3038580a", 3516 => x"00000000", 3517 => x"25732028", 3518 => x"2564293a", 3519 => x"203e2065", 3520 => x"74686d61", 3521 => x"63207369", 3522 => x"7a653a20", 3523 => x"25640a00", 3524 => x"25732028", 3525 => x"2564293a", 3526 => x"203e2065", 3527 => x"74686d61", 3528 => x"635f6275", 3529 => x"665b2564", 3530 => x"5d3a2025", 3531 => x"3038580a", 3532 => x"00000000", 3533 => x"25732028", 3534 => x"2564293a", 3535 => x"20736574", 3536 => x"74696e67", 3537 => x"20757020", 3538 => x"6574686d", 3539 => x"61632e2e", 3540 => x"2e0a0000", 3541 => x"25732028", 3542 => x"2564293a", 3543 => x"20726567", 3544 => x"73206164", 3545 => x"6472203d", 3546 => x"20305825", 3547 => x"3038580a", 3548 => x"00000000", 3549 => x"25732028", 3550 => x"2564293a", 3551 => x"20545820", 3552 => x"4244206e", 3553 => x"756d203d", 3554 => x"20305825", 3555 => x"3038580a", 3556 => x"00000000", 3557 => x"25732028", 3558 => x"2564293a", 3559 => x"203e2074", 3560 => x"785f6264", 3561 => x"203d2030", 3562 => x"58253038", 3563 => x"580a0000", 3564 => x"25732028", 3565 => x"2564293a", 3566 => x"203e2072", 3567 => x"785f6264", 3568 => x"203d2030", 3569 => x"58253038", 3570 => x"580a0000", 3571 => x"25732028", 3572 => x"2564293a", 3573 => x"203e2065", 3574 => x"74686d61", 3575 => x"63206275", 3576 => x"66666572", 3577 => x"20616464", 3578 => x"72203d20", 3579 => x"30582530", 3580 => x"38580a00", 3581 => x"25732028", 3582 => x"2564293a", 3583 => x"203e2074", 3584 => x"785f6264", 3585 => x"5b25645d", 3586 => x"2e616464", 3587 => x"723a2030", 3588 => x"58253858", 3589 => x"0a000000", 3590 => x"25732028", 3591 => x"2564293a", 3592 => x"203e2072", 3593 => x"785f6264", 3594 => x"5b25645d", 3595 => x"2e616464", 3596 => x"723a2030", 3597 => x"58253858", 3598 => x"0a000000", 3599 => x"25732028", 3600 => x"2564293a", 3601 => x"203e2073", 3602 => x"656e6469", 3603 => x"6e672074", 3604 => x"785f7061", 3605 => x"636b6574", 3606 => x"0a000000", 3607 => x"00400600", 3608 => x"000f003f", 3609 => x"3502532d", 3610 => x"74785f70", 3611 => x"61636b65", 3612 => x"74000000", 3613 => x"6574686d", 3614 => x"61635f73", 3615 => x"65747570", 3616 => x"00000000", 3617 => x"6574686d", 3618 => x"61635f69", 3619 => x"6e697400", 3620 => x"3e207365", 3621 => x"6e64696e", 3622 => x"67204152", 3623 => x"50207061", 3624 => x"636b6574", 3625 => x"0a000000", 3626 => x"3e207365", 3627 => x"6e64696e", 3628 => x"67204543", 3629 => x"484f2070", 3630 => x"61636b65", 3631 => x"740a0000", 3632 => x"0001869f", 3633 => x"000186a0", 3634 => x"3c4e554c", 3635 => x"4c3e0000", 3636 => x"286e756c", 3637 => x"6c290000", 3638 => x"30313233", 3639 => x"34353637", 3640 => x"38394142", 3641 => x"43444546", 3642 => x"25732028", 3643 => x"2564293a", 3644 => x"203e2067", 3645 => x"70696f20", 3646 => x"61646472", 3647 => x"5b25645d", 3648 => x"3a202530", 3649 => x"38580a00", 3650 => x"25732028", 3651 => x"2564293a", 3652 => x"203e2067", 3653 => x"70696f20", 3654 => x"73697a65", 3655 => x"3a202564", 3656 => x"0a000000", 3657 => x"6770696f", 3658 => x"5f696e69", 3659 => x"74000000", 3660 => x"25732028", 3661 => x"2564293a", 3662 => x"203e2075", 3663 => x"61727420", 3664 => x"61646472", 3665 => x"5b25645d", 3666 => x"3a202530", 3667 => x"38580a00", 3668 => x"25732028", 3669 => x"2564293a", 3670 => x"203e2075", 3671 => x"61727420", 3672 => x"73697a65", 3673 => x"3a202564", 3674 => x"0a000000", 3675 => x"75617274", 3676 => x"5f696e69", 3677 => x"74000000", 3678 => x"2538783a", 3679 => x"25387820", 3680 => x"30782538", 3681 => x"78202573", 3682 => x"0a000000", 3683 => x"7c202020", 3684 => x"20202020", 3685 => x"20202020", 3686 => x"20534442", 3687 => x"206d656d", 3688 => x"6f727920", 3689 => x"6d617020", 3690 => x"20202020", 3691 => x"20202020", 3692 => x"20202020", 3693 => x"20207c0a", 3694 => x"00000000", 3695 => x"66cfeb52", 3696 => x"cababa56", 3697 => x"f8cfeb16", 3698 => x"2ff9a28e", 3699 => x"68202b22", 3700 => x"27b95341", 3701 => x"40286417", 3702 => x"97b6323d", 3703 => x"525fbb09", 3704 => x"8a5719ae", 3705 => x"35aa6b95", 3706 => x"fdafb9dd", 3707 => x"fefefeff", 3708 => x"80808080", 3709 => x"0a001294", 3710 => x"000a3502", 3711 => x"532d0000", 3712 => x"ffffffff", 3713 => x"ffff0000", 3714 => x"00000000", 3715 => x"00000000", 3716 => x"00000000", 3717 => x"00000000", 3718 => x"00000000", 3719 => x"00000000", 3720 => x"00000000", 3721 => x"00000000", 3722 => x"00000000", 3723 => x"00000000", 3724 => x"00000000", 3725 => x"00000000", 3726 => x"00000000", 3727 => x"00000000", 3728 => x"00000000", 3729 => x"00000000", 3730 => x"00000000", 3731 => x"00000000", 3732 => x"00000000", 3733 => x"00000000", 3734 => x"00000000", 3735 => x"00000000", 3736 => x"00000000", 3737 => x"00000000", 3738 => x"00000000", 3739 => x"00000000", 3740 => x"00000000", 3741 => x"00000000", 3742 => x"00000000", 3743 => x"00000000", 3744 => x"00000000", 3745 => x"00000000", 3746 => x"00000000", 3747 => x"00000000", 3748 => x"00000000", 3749 => x"00000000", 3750 => x"00000000", 3751 => x"00000000", 3752 => x"00000000", 3753 => x"00000000", 3754 => x"00000000", 3755 => x"00000000", 3756 => x"00000000", 3757 => x"00000000", 3758 => x"00000000", 3759 => x"00000000", 3760 => x"00000000", 3761 => x"00000000", 3762 => x"00000000", 3763 => x"00000000", 3764 => x"00000000", 3765 => x"00000000", 3766 => x"00000000", 3767 => x"00000000", 3768 => x"00000000", 3769 => x"00000000", 3770 => x"00000000", 3771 => x"00000000", 3772 => x"00000000", 3773 => x"00000000", 3774 => x"00000000", 3775 => x"00000000", 3776 => x"00000000", 3777 => x"00000000", 3778 => x"00000000", 3779 => x"00000000", 3780 => x"00000000", 3781 => x"00000000", 3782 => x"00000000", 3783 => x"00000000", 3784 => x"00000000", 3785 => x"00000000", 3786 => x"00000000", 3787 => x"00000000", 3788 => x"00000000", 3789 => x"00000000", 3790 => x"00000000", 3791 => x"00000000", 3792 => x"00000000", 3793 => x"00000000", 3794 => x"00000000", 3795 => x"00000000", 3796 => x"00000000", 3797 => x"00000000", 3798 => x"00000000", 3799 => x"00000000", 3800 => x"00000000", 3801 => x"00000000", 3802 => x"00000000", 3803 => x"00000000", 3804 => x"00000000", 3805 => x"00000000", 3806 => x"00000000", 3807 => x"00000000", 3808 => x"00000000", 3809 => x"00000000", 3810 => x"00000000", 3811 => x"00000000", 3812 => x"00000000", 3813 => x"00000000", 3814 => x"00000000", 3815 => x"00000000", 3816 => x"00000000", 3817 => x"00000000", 3818 => x"00000000", 3819 => x"00000000", 3820 => x"00000000", 3821 => x"00000000", 3822 => x"00000000", 3823 => x"00000000", 3824 => x"00000000", 3825 => x"00000000", 3826 => x"00000000", 3827 => x"00000000", 3828 => x"00000000", 3829 => x"00000000", 3830 => x"00000000", 3831 => x"00000000", 3832 => x"00000000", 3833 => x"00000000", 3834 => x"00000000", 3835 => x"00000000", 3836 => x"00000000", 3837 => x"00000000", 3838 => x"00000000", 3839 => x"00000000", 3840 => x"00000000", 3841 => x"00000000", 3842 => x"00000000", 3843 => x"00000000", 3844 => x"00000000", 3845 => x"00000000", 3846 => x"00000000", 3847 => x"00000000", 3848 => x"00000000", 3849 => x"00000000", 3850 => x"00000000", 3851 => x"00000000", 3852 => x"00000000", 3853 => x"00000000", 3854 => x"00000000", 3855 => x"00000000", 3856 => x"00000000", 3857 => x"00000000", 3858 => x"00000000", 3859 => x"00000000", 3860 => x"00000000", 3861 => x"00000000", 3862 => x"00000000", 3863 => x"00000000", 3864 => x"00000000", 3865 => x"00000000", 3866 => x"00000000", 3867 => x"00000000", 3868 => x"00000000", 3869 => x"00000000", 3870 => x"00000000", 3871 => x"00000000", 3872 => x"00000000", 3873 => x"00000000", 3874 => x"00000000", 3875 => x"00000000", 3876 => x"00000000", 3877 => x"00000000", 3878 => x"00000000", 3879 => x"00000000", 3880 => x"00000000", 3881 => x"00000000", 3882 => x"00000000", 3883 => x"00000000", 3884 => x"00000000", 3885 => x"00000000", 3886 => x"00000000", 3887 => x"00000000", 3888 => x"00000000", 3889 => x"00000000", 3890 => x"00000000", 3891 => x"00000000", 3892 => x"00000000", 3893 => x"00000000", 3894 => x"00000000", 3895 => x"00000000", 3896 => x"00000000", 3897 => x"00000000", 3898 => x"00000000", 3899 => x"00000000", 3900 => x"00000000", 3901 => x"00000000", 3902 => x"00000000", 3903 => x"00000000", 3904 => x"00000000", 3905 => x"00000000", 3906 => x"00000000", 3907 => x"00000000", 3908 => x"00000000", 3909 => x"00000000", 3910 => x"00000000", 3911 => x"00000000", 3912 => x"00000000", 3913 => x"00000000", 3914 => x"00000000", 3915 => x"00000000", 3916 => x"00000000", 3917 => x"00000000", 3918 => x"00000000", 3919 => x"00000000", 3920 => x"00000000", 3921 => x"00000000", 3922 => x"00000000", 3923 => x"00000000", 3924 => x"00000000", 3925 => x"00000000", 3926 => x"00000000", 3927 => x"00000000", 3928 => x"00000000", 3929 => x"00000000", 3930 => x"00000000", 3931 => x"00000000", 3932 => x"00000000", 3933 => x"00000000", 3934 => x"00000000", 3935 => x"00000000", 3936 => x"00000000", 3937 => x"00000000", 3938 => x"00000000", 3939 => x"00000000", 3940 => x"00000000", 3941 => x"00000000", 3942 => x"00000000", 3943 => x"00000000", 3944 => x"00000000", 3945 => x"00000000", 3946 => x"00000000", 3947 => x"00000000", 3948 => x"00000000", 3949 => x"00000000", 3950 => x"00000000", 3951 => x"00000000", 3952 => x"00000000", 3953 => x"00000000", 3954 => x"00000000", 3955 => x"00000000", 3956 => x"00000000", 3957 => x"00000000", 3958 => x"00000000", 3959 => x"00000000", 3960 => x"00000000", 3961 => x"00000000", 3962 => x"00000000", 3963 => x"00000000", 3964 => x"00000000", 3965 => x"00000000", 3966 => x"00000000", 3967 => x"00000000", 3968 => x"00000000", 3969 => x"00000000", 3970 => x"00000000", 3971 => x"00000000", 3972 => x"00000000", 3973 => x"00000000", 3974 => x"00000000", 3975 => x"00000000", 3976 => x"00000000", 3977 => x"00000000", 3978 => x"00000000", 3979 => x"00000000", 3980 => x"00000000", 3981 => x"00000000", 3982 => x"00000000", 3983 => x"00000000", 3984 => x"00000000", 3985 => x"00000000", 3986 => x"00000000", 3987 => x"00000000", 3988 => x"00000000", 3989 => x"00000000", 3990 => x"00000000", 3991 => x"00000000", 3992 => x"00000000", 3993 => x"00000000", 3994 => x"00000000", 3995 => x"00000000", 3996 => x"00000000", 3997 => x"00000000", 3998 => x"00000000", 3999 => x"00000000", 4000 => x"00000000", 4001 => x"00000000", 4002 => x"00000000", 4003 => x"00000000", 4004 => x"00000000", 4005 => x"00000000", 4006 => x"00000000", 4007 => x"00000000", 4008 => x"00000000", 4009 => x"00000000", 4010 => x"00000000", 4011 => x"00000000", 4012 => x"00000000", 4013 => x"00000000", 4014 => x"00000000", 4015 => x"00000000", 4016 => x"00000000", 4017 => x"00000000", 4018 => x"00000000", 4019 => x"00000000", 4020 => x"00000000", 4021 => x"00000000", 4022 => x"00000000", 4023 => x"00000000", 4024 => x"00000000", 4025 => x"00000000", 4026 => x"00000000", 4027 => x"00000000", 4028 => x"00000000", 4029 => x"00000000", 4030 => x"00000000", 4031 => x"00000000", 4032 => x"00000000", 4033 => x"00000000", 4034 => x"00000000", 4035 => x"00000000", 4036 => x"00000000", 4037 => x"00000000", 4038 => x"00000000", 4039 => x"00000000", 4040 => x"00000000", 4041 => x"00000000", 4042 => x"00000000", 4043 => x"00000000", 4044 => x"00000000", 4045 => x"00000000", 4046 => x"00000000", 4047 => x"00000000", 4048 => x"00000000", 4049 => x"00000000", 4050 => x"00000000", 4051 => x"00000000", 4052 => x"00000000", 4053 => x"00000000", 4054 => x"00000000", 4055 => x"00000000", 4056 => x"00000000", 4057 => x"00000000", 4058 => x"00000000", 4059 => x"00000000", 4060 => x"00000000", 4061 => x"00000000", 4062 => x"00000000", 4063 => x"00000000", 4064 => x"00000000", 4065 => x"00000000", 4066 => x"00000000", 4067 => x"00000000", 4068 => x"00000000", 4069 => x"00000000", 4070 => x"00000000", 4071 => x"00000000", 4072 => x"00000000", 4073 => x"00000000", 4074 => x"00000000", 4075 => x"00000000", 4076 => x"00000000", 4077 => x"00000000", 4078 => x"00000000", 4079 => x"00000000", 4080 => x"00000000", 4081 => x"00000000", 4082 => x"00000000", 4083 => x"00000000", 4084 => x"00000000", 4085 => x"00000000", 4086 => x"00000000", 4087 => x"00000000", 4088 => x"00000000", 4089 => x"00000000", 4090 => x"00000000", 4091 => x"00000000", 4092 => x"00000000", 4093 => x"00000000", 4094 => x"00000000", 4095 => x"00000000", 4096 => x"00000000", 4097 => x"00000000", 4098 => x"00000000", 4099 => x"00000000", 4100 => x"00000000", 4101 => x"00000000", 4102 => x"00000000", 4103 => x"00000000", 4104 => x"00000000", 4105 => x"00000000", 4106 => x"00000000", 4107 => x"00000000", 4108 => x"00000000", 4109 => x"00000000", 4110 => x"00000000", 4111 => x"00000000", 4112 => x"00000000", 4113 => x"00000000", 4114 => x"00000000", 4115 => x"00000000", 4116 => x"00000000", 4117 => x"00000000", 4118 => x"00000000", 4119 => x"00000000", 4120 => x"00000000", 4121 => x"00000000", 4122 => x"00000000", 4123 => x"00000000", 4124 => x"00000000", 4125 => x"00000000", 4126 => x"00000000", 4127 => x"00000000", 4128 => x"00000000", 4129 => x"00000000", 4130 => x"00000000", 4131 => x"00000000", 4132 => x"00000000", 4133 => x"00000000", 4134 => x"00000000", 4135 => x"00000000", 4136 => x"00000000", 4137 => x"00000000", 4138 => x"00000000", 4139 => x"00000000", 4140 => x"00000000", 4141 => x"00000000", 4142 => x"00000000", 4143 => x"00000000", 4144 => x"00000000", 4145 => x"00000000", 4146 => x"00000000", 4147 => x"00000000", 4148 => x"00000000", 4149 => x"00000000", 4150 => x"00000000", 4151 => x"00000000", 4152 => x"00000000", 4153 => x"00000000", 4154 => x"00000000", 4155 => x"00000000", 4156 => x"00000000", 4157 => x"00000000", 4158 => x"00000000", 4159 => x"00000000", 4160 => x"00000000", 4161 => x"00000000", 4162 => x"00000000", 4163 => x"00000000", 4164 => x"00000000", 4165 => x"00000000", 4166 => x"00000000", 4167 => x"00000000", 4168 => x"00000000", 4169 => x"00000000", 4170 => x"00000000", 4171 => x"00000000", 4172 => x"00000000", 4173 => x"00000000", 4174 => x"00000000", 4175 => x"00000000", 4176 => x"00000000", 4177 => x"00000000", 4178 => x"00000000", 4179 => x"00000000", 4180 => x"00000000", 4181 => x"00000000", 4182 => x"00000000", 4183 => x"00000000", 4184 => x"00000000", 4185 => x"00000000", 4186 => x"00000000", 4187 => x"00000000", 4188 => x"00000000", 4189 => x"00000000", 4190 => x"00000000", 4191 => x"00000000", 4192 => x"00000000", 4193 => x"00000000", 4194 => x"00000000", 4195 => x"00000000", 4196 => x"00000000", 4197 => x"00000000", 4198 => x"00000000", 4199 => x"00000000", 4200 => x"00000000", 4201 => x"00000000", 4202 => x"00000000", 4203 => x"00000000", 4204 => x"00000000", 4205 => x"00000000", 4206 => x"00000000", 4207 => x"00000000", 4208 => x"00000000", 4209 => x"00000000", 4210 => x"00000000", 4211 => x"00000000", 4212 => x"00000000", 4213 => x"00000000", 4214 => x"00000000", 4215 => x"00000000", 4216 => x"00000000", 4217 => x"00000000", 4218 => x"00000000", 4219 => x"00000000", 4220 => x"00000000", 4221 => x"00000000", 4222 => x"00000000", 4223 => x"00000000", 4224 => x"00000000", 4225 => x"00000000", 4226 => x"00000000", 4227 => x"00000000", 4228 => x"00000000", 4229 => x"00000000", 4230 => x"00000000", 4231 => x"00000000", 4232 => x"00000000", 4233 => x"00000000", 4234 => x"00000000", 4235 => x"00000000", 4236 => x"00000000", 4237 => x"00000000", 4238 => x"00000000", 4239 => x"00000000", 4240 => x"00000000", 4241 => x"00000000", 4242 => x"00000000", 4243 => x"00000000", 4244 => x"00000000", 4245 => x"00000000", 4246 => x"00000000", 4247 => x"00000000", 4248 => x"00000000", 4249 => x"00000000", 4250 => x"00000000", 4251 => x"00000000", 4252 => x"00000000", 4253 => x"00000000", 4254 => x"00000000", 4255 => x"00000000", 4256 => x"00000000", 4257 => x"00000000", 4258 => x"00000000", 4259 => x"00000000", 4260 => x"00000000", 4261 => x"00000000", 4262 => x"00000000", 4263 => x"00000000", 4264 => x"00000000", 4265 => x"00000000", 4266 => x"00000000", 4267 => x"00000000", 4268 => x"00000000", 4269 => x"00000000", 4270 => x"00000000", 4271 => x"00000000", 4272 => x"00000000", 4273 => x"00000000", 4274 => x"00000000", 4275 => x"00000000", 4276 => x"00000000", 4277 => x"00000000", 4278 => x"00000000", 4279 => x"00000000", 4280 => x"00000000", 4281 => x"00000000", 4282 => x"00000000", 4283 => x"00000000", 4284 => x"00000000", 4285 => x"00000000", 4286 => x"00000000", 4287 => x"00000000", 4288 => x"00000000", 4289 => x"00000000", 4290 => x"00000000", 4291 => x"00000000", 4292 => x"00000000", 4293 => x"00000000", 4294 => x"00000000", 4295 => x"00000000", 4296 => x"00000000", 4297 => x"00000000", 4298 => x"00000000", 4299 => x"00000000", 4300 => x"00000000", 4301 => x"00000000", 4302 => x"00000000", 4303 => x"00000000", 4304 => x"00000000", 4305 => x"00000000", 4306 => x"00000000", 4307 => x"00000000", 4308 => x"00000000", 4309 => x"00000000", 4310 => x"00000000", 4311 => x"00000000", 4312 => x"00000000", 4313 => x"00000000", 4314 => x"00000000", 4315 => x"00000000", 4316 => x"00000000", 4317 => x"00000000", 4318 => x"00000000", 4319 => x"00000000", 4320 => x"00000000", 4321 => x"00000000", 4322 => x"00000000", 4323 => x"00000000", 4324 => x"00000000", 4325 => x"00000000", 4326 => x"00000000", 4327 => x"00000000", 4328 => x"00000000", 4329 => x"00000000", 4330 => x"00000000", 4331 => x"00000000", 4332 => x"00000000", 4333 => x"00000000", 4334 => x"00000000", 4335 => x"00000000", 4336 => x"00000000", 4337 => x"00000000", 4338 => x"00000000", 4339 => x"00000000", 4340 => x"00000000", 4341 => x"00000000", 4342 => x"00000000", 4343 => x"00000000", 4344 => x"00000000", 4345 => x"00000000", 4346 => x"00000000", 4347 => x"00000000", 4348 => x"00000000", 4349 => x"00000000", 4350 => x"00000000", 4351 => x"00000000", 4352 => x"00000000", 4353 => x"00000000", 4354 => x"00000000", 4355 => x"00000000", 4356 => x"00000000", 4357 => x"00000000", 4358 => x"00000000", 4359 => x"00000000", 4360 => x"00000000", 4361 => x"00000000", 4362 => x"00000000", 4363 => x"00000000", 4364 => x"00000000", 4365 => x"00000000", 4366 => x"00000000", 4367 => x"00000000", 4368 => x"00000000", 4369 => x"00000000", 4370 => x"00000000", 4371 => x"00000000", 4372 => x"00000000", 4373 => x"00000000", 4374 => x"00000000", 4375 => x"00000000", 4376 => x"00000000", 4377 => x"00000000", 4378 => x"00000000", 4379 => x"00000000", 4380 => x"00000000", 4381 => x"00000000", 4382 => x"00000000", 4383 => x"00000000", 4384 => x"00000000", 4385 => x"00000000", 4386 => x"00000000", 4387 => x"00000000", 4388 => x"00000000", 4389 => x"00000000", 4390 => x"00000000", 4391 => x"00000000", 4392 => x"00000000", 4393 => x"00000000", 4394 => x"00000000", 4395 => x"00000000", 4396 => x"00000000", 4397 => x"00000000", 4398 => x"00000000", 4399 => x"00000000", 4400 => x"00000000", 4401 => x"00000000", 4402 => x"00000000", 4403 => x"00000000", 4404 => x"00000000", 4405 => x"00000000", 4406 => x"00000000", 4407 => x"00000000", 4408 => x"00000000", 4409 => x"00000000", 4410 => x"00000000", 4411 => x"00000000", 4412 => x"00000000", 4413 => x"00000000", 4414 => x"00000000", 4415 => x"00000000", 4416 => x"00000000", 4417 => x"00000000", 4418 => x"00000000", 4419 => x"00000000", 4420 => x"00000000", 4421 => x"00000000", 4422 => x"00000000", 4423 => x"00000000", 4424 => x"00000000", 4425 => x"00000000", 4426 => x"00000000", 4427 => x"00000000", 4428 => x"00000000", 4429 => x"00000000", 4430 => x"00000000", 4431 => x"00000000", 4432 => x"00000000", 4433 => x"00000000", 4434 => x"00000000", 4435 => x"00000000", 4436 => x"00000000", 4437 => x"00000000", 4438 => x"00000000", 4439 => x"00000000", 4440 => x"00000000", 4441 => x"00000000", 4442 => x"00000000", 4443 => x"00000000", 4444 => x"00000000", 4445 => x"00000000", 4446 => x"00000000", 4447 => x"00000000", 4448 => x"00000000", 4449 => x"00000000", 4450 => x"00000000", 4451 => x"00000000", 4452 => x"00000000", 4453 => x"00000000", 4454 => x"00000000", 4455 => x"00000000", 4456 => x"00000000", 4457 => x"00000000", 4458 => x"00000000", 4459 => x"00000000", 4460 => x"00000000", 4461 => x"00000000", 4462 => x"00000000", 4463 => x"00000000", 4464 => x"00000000", 4465 => x"00000000", 4466 => x"00000000", 4467 => x"00000000", 4468 => x"00000000", 4469 => x"00000000", 4470 => x"00000000", 4471 => x"00000000", 4472 => x"00000000", 4473 => x"00000000", 4474 => x"00000000", 4475 => x"00000000", 4476 => x"00000000", 4477 => x"00000000", 4478 => x"00000000", 4479 => x"00000000", 4480 => x"00000000", 4481 => x"00000000", 4482 => x"00000000", 4483 => x"00000000", 4484 => x"00000000", 4485 => x"00000000", 4486 => x"00000000", 4487 => x"00000000", 4488 => x"00000000", 4489 => x"00000000", 4490 => x"00000000", 4491 => x"00000000", 4492 => x"00000000", 4493 => x"00000000", 4494 => x"00000000", 4495 => x"00000000", 4496 => x"00000000", 4497 => x"00000000", 4498 => x"00000000", 4499 => x"00000000", 4500 => x"00000000", 4501 => x"00000000", 4502 => x"00000000", 4503 => x"00000000", 4504 => x"00000000", 4505 => x"00000000", 4506 => x"00000000", 4507 => x"00000000", 4508 => x"00000000", 4509 => x"00000000", 4510 => x"00000000", 4511 => x"00000000", 4512 => x"00000000", 4513 => x"00000000", 4514 => x"00000000", 4515 => x"00000000", 4516 => x"00000000", 4517 => x"00000000", 4518 => x"00000000", 4519 => x"00000000", 4520 => x"00000000", 4521 => x"00000000", 4522 => x"00000000", 4523 => x"00000000", 4524 => x"00000000", 4525 => x"00000000", 4526 => x"00000000", 4527 => x"00000000", 4528 => x"00000000", 4529 => x"00000000", 4530 => x"00000000", 4531 => x"00000000", 4532 => x"00000000", 4533 => x"00000000", 4534 => x"00000000", 4535 => x"00000000", 4536 => x"00000000", 4537 => x"00000000", 4538 => x"00000000", 4539 => x"00000000", 4540 => x"00000000", 4541 => x"00000000", 4542 => x"00000000", 4543 => x"00000000", 4544 => x"00000000", 4545 => x"00000000", 4546 => x"00000000", 4547 => x"00000000", 4548 => x"00000000", 4549 => x"00000000", 4550 => x"00000000", 4551 => x"00000000", 4552 => x"00000000", 4553 => x"00000000", 4554 => x"00000000", 4555 => x"00000000", 4556 => x"00000000", 4557 => x"00000000", 4558 => x"00000000", 4559 => x"00000000", 4560 => x"00000000", 4561 => x"00000000", 4562 => x"00000000", 4563 => x"00000000", 4564 => x"00000000", 4565 => x"00000000", 4566 => x"00000000", 4567 => x"00000000", 4568 => x"00000000", 4569 => x"00000000", 4570 => x"00000000", 4571 => x"00000000", 4572 => x"00000000", 4573 => x"00000000", 4574 => x"00000000", 4575 => x"00000000", 4576 => x"00000000", 4577 => x"00000000", 4578 => x"00000000", 4579 => x"00000000", 4580 => x"00000000", 4581 => x"00000000", 4582 => x"00000000", 4583 => x"00000000", 4584 => x"00000000", 4585 => x"00000000", 4586 => x"00000000", 4587 => x"00000000", 4588 => x"00000000", 4589 => x"00000000", 4590 => x"00000000", 4591 => x"00000000", 4592 => x"00000000", 4593 => x"00000000", 4594 => x"00000000", 4595 => x"00000000", 4596 => x"00000000", 4597 => x"00000000", 4598 => x"00000000", 4599 => x"00000000", 4600 => x"00000000", 4601 => x"00000000", 4602 => x"00000000", 4603 => x"00000000", 4604 => x"00000000", 4605 => x"00000000", 4606 => x"00000000", 4607 => x"00000000", 4608 => x"00000000", 4609 => x"00000000", 4610 => x"00000000", 4611 => x"00000000", 4612 => x"00000000", 4613 => x"00000000", 4614 => x"00000000", 4615 => x"00000000", 4616 => x"00000000", 4617 => x"00000000", 4618 => x"00000000", 4619 => x"00000000", 4620 => x"00000000", 4621 => x"00000000", 4622 => x"00000000", 4623 => x"00000000", 4624 => x"00000000", 4625 => x"00000000", 4626 => x"00000000", 4627 => x"00000000", 4628 => x"00000000", 4629 => x"00000000", 4630 => x"00000000", 4631 => x"00000000", 4632 => x"00000000", 4633 => x"00000000", 4634 => x"00000000", 4635 => x"00000000", 4636 => x"00000000", 4637 => x"00000000", 4638 => x"00000000", 4639 => x"00000000", 4640 => x"00000000", 4641 => x"00000000", 4642 => x"00000000", 4643 => x"00000000", 4644 => x"00000000", 4645 => x"00000000", 4646 => x"00000000", 4647 => x"00000000", 4648 => x"00000000", 4649 => x"00000000", 4650 => x"00000000", 4651 => x"00000000", 4652 => x"00000000", 4653 => x"00000000", 4654 => x"00000000", 4655 => x"00000000", 4656 => x"00000000", 4657 => x"00000000", 4658 => x"00000000", 4659 => x"00000000", 4660 => x"00000000", 4661 => x"00000000", 4662 => x"00000000", 4663 => x"00000000", 4664 => x"00000000", 4665 => x"00000000", 4666 => x"00000000", 4667 => x"00000000", 4668 => x"00000000", 4669 => x"00000000", 4670 => x"00000000", 4671 => x"00000000", 4672 => x"00000000", 4673 => x"00000000", 4674 => x"00000000", 4675 => x"00000000", 4676 => x"00000000", 4677 => x"00000000", 4678 => x"00000000", 4679 => x"00000000", 4680 => x"00000000", 4681 => x"00000000", 4682 => x"00000000", 4683 => x"00000000", 4684 => x"00000000", 4685 => x"00000000", 4686 => x"00000000", 4687 => x"00000000", 4688 => x"00000000", 4689 => x"00000000", 4690 => x"00000000", 4691 => x"00000000", 4692 => x"00000000", 4693 => x"00000000", 4694 => x"00000000", 4695 => x"00000000", 4696 => x"00000000", 4697 => x"00000000", 4698 => x"00000000", 4699 => x"00000000", 4700 => x"00000000", 4701 => x"00000000", 4702 => x"00000000", 4703 => x"00000000", 4704 => x"00000000", 4705 => x"00000000", 4706 => x"00000000", 4707 => x"00000000", 4708 => x"00000000", 4709 => x"00000000", 4710 => x"00000000", 4711 => x"00000000", 4712 => x"00000000", 4713 => x"00000000", 4714 => x"00000000", 4715 => x"00000000", 4716 => x"00000000", 4717 => x"00000000", 4718 => x"00000000", 4719 => x"00000000", 4720 => x"00000000", 4721 => x"00000000", 4722 => x"00000000", 4723 => x"00000000", 4724 => x"00000000", 4725 => x"00000000", 4726 => x"00000000", 4727 => x"00000000", 4728 => x"00000000", 4729 => x"00000000", 4730 => x"00000000", 4731 => x"00000000", 4732 => x"00000000", 4733 => x"00000000", 4734 => x"00000000", 4735 => x"00000000", 4736 => x"00000000", 4737 => x"00000000", 4738 => x"00000000", 4739 => x"00000000", 4740 => x"00000000", 4741 => x"00000000", 4742 => x"00000000", 4743 => x"00000000", 4744 => x"00000000", 4745 => x"00000000", 4746 => x"00000000", 4747 => x"00000000", 4748 => x"00000000", 4749 => x"00000000", 4750 => x"00000000", 4751 => x"00000000", 4752 => x"00000000", 4753 => x"00000000", 4754 => x"00000000", 4755 => x"00000000", 4756 => x"00000000", 4757 => x"00000000", 4758 => x"00000000", 4759 => x"00000000", 4760 => x"00000000", 4761 => x"00000000", 4762 => x"00000000", 4763 => x"00000000", 4764 => x"00000000", 4765 => x"00000000", 4766 => x"00000000", 4767 => x"00000000", 4768 => x"00000000", 4769 => x"00000000", 4770 => x"00000000", 4771 => x"00000000", 4772 => x"00000000", 4773 => x"00000000", 4774 => x"00000000", 4775 => x"00000000", 4776 => x"00000000", 4777 => x"00000000", 4778 => x"00000000", 4779 => x"00000000", 4780 => x"00000000", 4781 => x"00000000", 4782 => x"00000000", 4783 => x"00000000", 4784 => x"00000000", 4785 => x"00000000", 4786 => x"00000000", 4787 => x"00000000", 4788 => x"00000000", 4789 => x"00000000", 4790 => x"00000000", 4791 => x"00000000", 4792 => x"00000000", 4793 => x"00000000", 4794 => x"00000000", 4795 => x"00000000", 4796 => x"00000000", 4797 => x"00000000", 4798 => x"00000000", 4799 => x"00000000", 4800 => x"00000000", 4801 => x"00000000", 4802 => x"00000000", 4803 => x"00000000", 4804 => x"00000000", 4805 => x"00000000", 4806 => x"00000000", 4807 => x"00000000", 4808 => x"00000000", 4809 => x"00000000", 4810 => x"00000000", 4811 => x"00000000", 4812 => x"00000000", 4813 => x"00000000", 4814 => x"00000000", 4815 => x"00000000", 4816 => x"00000000", 4817 => x"00000000", 4818 => x"00000000", 4819 => x"00000000", 4820 => x"00000000", 4821 => x"00000000", 4822 => x"00000000", 4823 => x"00000000", 4824 => x"00000000", 4825 => x"00000000", 4826 => x"00000000", 4827 => x"00000000", 4828 => x"00000000", 4829 => x"00000000", 4830 => x"00000000", 4831 => x"00000000", 4832 => x"00000000", 4833 => x"00000000", 4834 => x"00000000", 4835 => x"00000000", 4836 => x"00000000", 4837 => x"00000000", 4838 => x"00000000", 4839 => x"00000000", 4840 => x"00000000", 4841 => x"00000000", 4842 => x"00000000", 4843 => x"00000000", 4844 => x"00000000", 4845 => x"00000000", 4846 => x"00000000", 4847 => x"00000000", 4848 => x"00000000", 4849 => x"00000000", 4850 => x"00000000", 4851 => x"00000000", 4852 => x"00000000", 4853 => x"00000000", 4854 => x"00000000", 4855 => x"00000000", 4856 => x"00000000", 4857 => x"00000000", 4858 => x"00000000", 4859 => x"00000000", 4860 => x"00000000", 4861 => x"00000000", 4862 => x"00000000", 4863 => x"00000000", 4864 => x"00000000", 4865 => x"00000000", 4866 => x"00000000", 4867 => x"00000000", 4868 => x"00000000", 4869 => x"00000000", 4870 => x"00000000", 4871 => x"00000000", 4872 => x"00000000", 4873 => x"00000000", 4874 => x"00000000", 4875 => x"00000000", 4876 => x"00000000", 4877 => x"00000000", 4878 => x"00000000", 4879 => x"00000000", 4880 => x"00000000", 4881 => x"00000000", 4882 => x"00000000", 4883 => x"00000000", 4884 => x"00000000", 4885 => x"00000000", 4886 => x"00000000", 4887 => x"00000000", 4888 => x"00000000", 4889 => x"00000000", 4890 => x"00000000", 4891 => x"00000000", 4892 => x"00000000", 4893 => x"00000000", 4894 => x"00000000", 4895 => x"00000000", 4896 => x"00000000", 4897 => x"00000000", 4898 => x"00000000", 4899 => x"00000000", 4900 => x"00000000", 4901 => x"00000000", 4902 => x"00000000", 4903 => x"00000000", 4904 => x"00000000", 4905 => x"00000000", 4906 => x"00000000", 4907 => x"00000000", 4908 => x"00000000", 4909 => x"00000000", 4910 => x"00000000", 4911 => x"00000000", 4912 => x"00000000", 4913 => x"00000000", 4914 => x"00000000", 4915 => x"00000000", 4916 => x"00000000", 4917 => x"00000000", 4918 => x"00000000", 4919 => x"00000000", 4920 => x"00000000", 4921 => x"00000000", 4922 => x"00000000", 4923 => x"00000000", 4924 => x"00000000", 4925 => x"00000000", 4926 => x"00000000", 4927 => x"00000000", 4928 => x"00000000", 4929 => x"00000000", 4930 => x"00000000", 4931 => x"00000000", 4932 => x"00000000", 4933 => x"00000000", 4934 => x"00000000", 4935 => x"00000000", 4936 => x"00000000", 4937 => x"00000000", 4938 => x"00000000", 4939 => x"00000000", 4940 => x"00000000", 4941 => x"00000000", 4942 => x"00000000", 4943 => x"00000000", 4944 => x"00000000", 4945 => x"00000000", 4946 => x"00000000", 4947 => x"00000000", 4948 => x"00000000", 4949 => x"00000000", 4950 => x"00000000", 4951 => x"00000000", 4952 => x"00000000", 4953 => x"00000000", 4954 => x"00000000", 4955 => x"00000000", 4956 => x"00000000", 4957 => x"00000000", 4958 => x"00000000", 4959 => x"00000000", 4960 => x"00000000", 4961 => x"00000000", 4962 => x"00000000", 4963 => x"00000000", 4964 => x"00000000", 4965 => x"00000000", 4966 => x"00000000", 4967 => x"00000000", 4968 => x"00000000", 4969 => x"00000000", 4970 => x"00000000", 4971 => x"00000000", 4972 => x"00000000", 4973 => x"00000000", 4974 => x"00000000", 4975 => x"00000000", 4976 => x"00000000", 4977 => x"00000000", 4978 => x"00000000", 4979 => x"00000000", 4980 => x"00000000", 4981 => x"00000000", 4982 => x"00000000", 4983 => x"00000000", 4984 => x"00000000", 4985 => x"00000000", 4986 => x"00000000", 4987 => x"00000000", 4988 => x"00000000", 4989 => x"00000000", 4990 => x"00000000", 4991 => x"00000000", 4992 => x"00000000", 4993 => x"00000000", 4994 => x"00000000", 4995 => x"00000000", 4996 => x"00000000", 4997 => x"00000000", 4998 => x"00000000", 4999 => x"00000000", 5000 => x"00000000", 5001 => x"00000000", 5002 => x"00000000", 5003 => x"00000000", 5004 => x"00000000", 5005 => x"00000000", 5006 => x"00000000", 5007 => x"00000000", 5008 => x"00000000", 5009 => x"00000000", 5010 => x"00000000", 5011 => x"00000000", 5012 => x"00000000", 5013 => x"00000000", 5014 => x"00000000", 5015 => x"00000000", 5016 => x"00000000", 5017 => x"00000000", 5018 => x"00000000", 5019 => x"00000000", 5020 => x"00000000", 5021 => x"00000000", 5022 => x"00000000", 5023 => x"00000000", 5024 => x"00000000", 5025 => x"00000000", 5026 => x"00000000", 5027 => x"00000000", 5028 => x"00000000", 5029 => x"00000000", 5030 => x"00000000", 5031 => x"00000000", 5032 => x"00000000", 5033 => x"00000000", 5034 => x"00000000", 5035 => x"00000000", 5036 => x"00000000", 5037 => x"00000000", 5038 => x"00000000", 5039 => x"00000000", 5040 => x"00000000", 5041 => x"00000000", 5042 => x"00000000", 5043 => x"00000000", 5044 => x"00000000", 5045 => x"00000000", 5046 => x"00000000", 5047 => x"00000000", 5048 => x"00000000", 5049 => x"00000000", 5050 => x"00000000", 5051 => x"00000000", 5052 => x"00000000", 5053 => x"00000000", 5054 => x"00000000", 5055 => x"00000000", 5056 => x"00000000", 5057 => x"00000000", 5058 => x"00000000", 5059 => x"00000000", 5060 => x"00000000", 5061 => x"00000000", 5062 => x"00000000", 5063 => x"00000000", 5064 => x"00000000", 5065 => x"00000000", 5066 => x"00000000", 5067 => x"00000000", 5068 => x"00000000", 5069 => x"00000000", 5070 => x"00000000", 5071 => x"00000000", 5072 => x"00000000", 5073 => x"00000000", 5074 => x"00000000", 5075 => x"00000000", 5076 => x"00000000", 5077 => x"00000000", 5078 => x"00000000", 5079 => x"00000000", 5080 => x"00000000", 5081 => x"00000000", 5082 => x"00000000", 5083 => x"00000000", 5084 => x"00000000", 5085 => x"00000000", 5086 => x"00000000", 5087 => x"00000000", 5088 => x"00000000", 5089 => x"00000000", 5090 => x"00000000", 5091 => x"00000000", 5092 => x"00000000", 5093 => x"00000000", 5094 => x"00000000", 5095 => x"00000000", 5096 => x"00000000", 5097 => x"00000000", 5098 => x"00000000", 5099 => x"00000000", 5100 => x"00000000", 5101 => x"00000000", 5102 => x"00000000", 5103 => x"00000000", 5104 => x"00000000", 5105 => x"00000000", 5106 => x"00000000", 5107 => x"00000000", 5108 => x"00000000", 5109 => x"00000000", 5110 => x"00000000", 5111 => x"00000000", 5112 => x"00000000", 5113 => x"00000000", 5114 => x"00000000", 5115 => x"00000000", 5116 => x"00000000", 5117 => x"00000000", 5118 => x"00000000", 5119 => x"00000000", 5120 => x"00000000", 5121 => x"00000000", 5122 => x"00000000", 5123 => x"00000000", 5124 => x"00000000", 5125 => x"00000000", 5126 => x"00000000", 5127 => x"00000000", 5128 => x"00000000", 5129 => x"00000000", 5130 => x"00000000", 5131 => x"00000000", 5132 => x"00000000", 5133 => x"00000000", 5134 => x"00000000", 5135 => x"00000000", 5136 => x"00000000", 5137 => x"00000000", 5138 => x"00000000", 5139 => x"00000000", 5140 => x"00000000", 5141 => x"00000000", 5142 => x"00000000", 5143 => x"00000000", 5144 => x"00000000", 5145 => x"00000000", 5146 => x"00000000", 5147 => x"00000000", 5148 => x"00000000", 5149 => x"00000000", 5150 => x"00000000", 5151 => x"00000000", 5152 => x"00000000", 5153 => x"00000000", 5154 => x"00000000", 5155 => x"00000000", 5156 => x"00000000", 5157 => x"00000000", 5158 => x"00000000", 5159 => x"00000000", 5160 => x"00000000", 5161 => x"00000000", 5162 => x"00000000", 5163 => x"00000000", 5164 => x"00000000", 5165 => x"00000000", 5166 => x"00000000", 5167 => x"00000000", 5168 => x"00000000", 5169 => x"00000000", 5170 => x"00000000", 5171 => x"00000000", 5172 => x"00000000", 5173 => x"00000000", 5174 => x"00000000", 5175 => x"00000000", 5176 => x"00000000", 5177 => x"00000000", 5178 => x"00000000", 5179 => x"00000000", 5180 => x"00000000", 5181 => x"00000000", 5182 => x"00000000", 5183 => x"00000000", 5184 => x"00000000", 5185 => x"00000000", 5186 => x"00000000", 5187 => x"00000000", 5188 => x"00000000", 5189 => x"00000000", 5190 => x"00000000", 5191 => x"00000000", 5192 => x"00000000", 5193 => x"00000000", 5194 => x"00000000", 5195 => x"00000000", 5196 => x"00000000", 5197 => x"00000000", 5198 => x"00000000", 5199 => x"00000000", 5200 => x"00000000", 5201 => x"00000000", 5202 => x"00000000", 5203 => x"00000000", 5204 => x"00000000", 5205 => x"00000000", 5206 => x"00000000", 5207 => x"00000000", 5208 => x"00000000", 5209 => x"00000000", 5210 => x"00000000", 5211 => x"00000000", 5212 => x"00000000", 5213 => x"00000000", 5214 => x"00000000", 5215 => x"00000000", 5216 => x"00000000", 5217 => x"00000000", 5218 => x"00000000", 5219 => x"00000000", 5220 => x"00000000", 5221 => x"00000000", 5222 => x"00000000", 5223 => x"00000000", 5224 => x"00000000", 5225 => x"00000000", 5226 => x"00000000", 5227 => x"00000000", 5228 => x"00000000", 5229 => x"00000000", 5230 => x"00000000", 5231 => x"00000000", 5232 => x"00000000", 5233 => x"00000000", 5234 => x"00000000", 5235 => x"00000000", 5236 => x"00000000", 5237 => x"00000000", 5238 => x"00000000", 5239 => x"00000000", 5240 => x"00000000", 5241 => x"00000000", 5242 => x"00000000", 5243 => x"00000000", 5244 => x"00000000", 5245 => x"00000000", 5246 => x"00000000", 5247 => x"00000000", 5248 => x"00000000", 5249 => x"00000000", 5250 => x"00000000", 5251 => x"00000000", 5252 => x"00000000", 5253 => x"00000000", 5254 => x"00000000", 5255 => x"00000000", 5256 => x"00000000", 5257 => x"00000000", 5258 => x"00000000", 5259 => x"00000000", 5260 => x"00000000", 5261 => x"00000000", 5262 => x"00000000", 5263 => x"00000000", 5264 => x"00000000", 5265 => x"00000000", 5266 => x"00000000", 5267 => x"00000000", 5268 => x"00000000", 5269 => x"00000000", 5270 => x"00000000", 5271 => x"00000000", 5272 => x"00000000", 5273 => x"00000000", 5274 => x"00000000", 5275 => x"00000000", 5276 => x"00000000", 5277 => x"00000000", 5278 => x"00000000", 5279 => x"00000000", 5280 => x"00000000", 5281 => x"00000000", 5282 => x"00000000", 5283 => x"00000000", 5284 => x"00000000", 5285 => x"00000000", 5286 => x"00000000", 5287 => x"00000000", 5288 => x"00000000", 5289 => x"00000000", 5290 => x"00000000", 5291 => x"00000000", 5292 => x"00000000", 5293 => x"00000000", 5294 => x"00000000", 5295 => x"00000000", 5296 => x"00000000", 5297 => x"00000000", 5298 => x"00000000", 5299 => x"00000000", 5300 => x"00000000", 5301 => x"00000000", 5302 => x"00000000", 5303 => x"00000000", 5304 => x"00000000", 5305 => x"00000000", 5306 => x"00000000", 5307 => x"00000000", 5308 => x"00000000", 5309 => x"00000000", 5310 => x"00000000", 5311 => x"00000000", 5312 => x"00000000", 5313 => x"00000000", 5314 => x"00000000", 5315 => x"00000000", 5316 => x"00000000", 5317 => x"00000000", 5318 => x"00000000", 5319 => x"00000000", 5320 => x"00000000", 5321 => x"00000000", 5322 => x"00000000", 5323 => x"00000000", 5324 => x"00000000", 5325 => x"00000000", 5326 => x"00000000", 5327 => x"00000000", 5328 => x"00000000", 5329 => x"00000000", 5330 => x"00000000", 5331 => x"00000000", 5332 => x"00000000", 5333 => x"00000000", 5334 => x"00000000", 5335 => x"00000000", 5336 => x"00000000", 5337 => x"00000000", 5338 => x"00000000", 5339 => x"00000000", 5340 => x"00000000", 5341 => x"00000000", 5342 => x"00000000", 5343 => x"00000000", 5344 => x"00000000", 5345 => x"00000000", 5346 => x"00000000", 5347 => x"00000000", 5348 => x"00000000", 5349 => x"00000000", 5350 => x"00000000", 5351 => x"00000000", 5352 => x"00000000", 5353 => x"00000000", 5354 => x"00000000", 5355 => x"00000000", 5356 => x"00000000", 5357 => x"00000000", 5358 => x"00000000", 5359 => x"00000000", 5360 => x"00000000", 5361 => x"00000000", 5362 => x"00000000", 5363 => x"00000000", 5364 => x"00000000", 5365 => x"00000000", 5366 => x"00000000", 5367 => x"00000000", 5368 => x"00000000", 5369 => x"00000000", 5370 => x"00000000", 5371 => x"00000000", 5372 => x"00000000", 5373 => x"00000000", 5374 => x"00000000", 5375 => x"00000000", 5376 => x"00000000", 5377 => x"00000000", 5378 => x"00000000", 5379 => x"00000000", 5380 => x"00000000", 5381 => x"00000000", 5382 => x"00000000", 5383 => x"00000000", 5384 => x"00000000", 5385 => x"00000000", 5386 => x"00000000", 5387 => x"00000000", 5388 => x"00000000", 5389 => x"00000000", 5390 => x"00000000", 5391 => x"00000000", 5392 => x"00000000", 5393 => x"00000000", 5394 => x"00000000", 5395 => x"00000000", 5396 => x"00000000", 5397 => x"00000000", 5398 => x"00000000", 5399 => x"00000000", 5400 => x"00000000", 5401 => x"00000000", 5402 => x"00000000", 5403 => x"00000000", 5404 => x"00000000", 5405 => x"00000000", 5406 => x"00000000", 5407 => x"00000000", 5408 => x"00000000", 5409 => x"00000000", 5410 => x"00000000", 5411 => x"00000000", 5412 => x"00000000", 5413 => x"00000000", 5414 => x"00000000", 5415 => x"00000000", 5416 => x"00000000", 5417 => x"00000000", 5418 => x"00000000", 5419 => x"00000000", 5420 => x"00000000", 5421 => x"00000000", 5422 => x"00000000", 5423 => x"00000000", 5424 => x"00000000", 5425 => x"00000000", 5426 => x"00000000", 5427 => x"00000000", 5428 => x"00000000", 5429 => x"00000000", 5430 => x"00000000", 5431 => x"00000000", 5432 => x"00000000", 5433 => x"00000000", 5434 => x"00000000", 5435 => x"00000000", 5436 => x"00000000", 5437 => x"00000000", 5438 => x"00000000", 5439 => x"00000000", 5440 => x"00000000", 5441 => x"00000000", 5442 => x"00000000", 5443 => x"00000000", 5444 => x"00000000", 5445 => x"00000000", 5446 => x"00000000", 5447 => x"00000000", 5448 => x"00000000", 5449 => x"00000000", 5450 => x"00000000", 5451 => x"00000000", 5452 => x"00000000", 5453 => x"00000000", 5454 => x"00000000", 5455 => x"00000000", 5456 => x"00000000", 5457 => x"00000000", 5458 => x"00000000", 5459 => x"00000000", 5460 => x"00000000", 5461 => x"00000000", 5462 => x"00000000", 5463 => x"00000000", 5464 => x"00000000", 5465 => x"00000000", 5466 => x"00000000", 5467 => x"00000000", 5468 => x"00000000", 5469 => x"00000000", 5470 => x"00000000", 5471 => x"00000000", 5472 => x"00000000", 5473 => x"00000000", 5474 => x"00000000", 5475 => x"00000000", 5476 => x"00000000", 5477 => x"00000000", 5478 => x"00000000", 5479 => x"00000000", 5480 => x"00000000", 5481 => x"00000000", 5482 => x"00000000", 5483 => x"00000000", 5484 => x"00000000", 5485 => x"00000000", 5486 => x"00000000", 5487 => x"00000000", 5488 => x"00000000", 5489 => x"00000000", 5490 => x"00000000", 5491 => x"00000000", 5492 => x"00000000", 5493 => x"00000000", 5494 => x"00000000", 5495 => x"00000000", 5496 => x"00000000", 5497 => x"00000000", 5498 => x"00000000", 5499 => x"00000000", 5500 => x"00000000", 5501 => x"00000000", 5502 => x"00000000", 5503 => x"00000000", 5504 => x"00000000", 5505 => x"00000000", 5506 => x"00000000", 5507 => x"00000000", 5508 => x"00000000", 5509 => x"00000000", 5510 => x"00000000", 5511 => x"00000000", 5512 => x"00000000", 5513 => x"00000000", 5514 => x"00000000", 5515 => x"00000000", 5516 => x"00000000", 5517 => x"00000000", 5518 => x"00000000", 5519 => x"00000000", 5520 => x"00000000", 5521 => x"00000000", 5522 => x"00000000", 5523 => x"00000000", 5524 => x"00000000", 5525 => x"00000000", 5526 => x"00000000", 5527 => x"00000000", 5528 => x"00000000", 5529 => x"00000000", 5530 => x"00000000", 5531 => x"00000000", 5532 => x"00000000", 5533 => x"00000000", 5534 => x"00000000", 5535 => x"00000000", 5536 => x"00000000", 5537 => x"00000000", 5538 => x"00000000", 5539 => x"00000000", 5540 => x"00000000", 5541 => x"00000000", 5542 => x"00000000", 5543 => x"00000000", 5544 => x"00000000", 5545 => x"00000000", 5546 => x"00000000", 5547 => x"00000000", 5548 => x"00000000", 5549 => x"00000000", 5550 => x"00000000", 5551 => x"00000000", 5552 => x"00000000", 5553 => x"00000000", 5554 => x"00000000", 5555 => x"00000000", 5556 => x"00000000", 5557 => x"00000000", 5558 => x"00000000", 5559 => x"00000000", 5560 => x"00000000", 5561 => x"00000000", 5562 => x"00000000", 5563 => x"00000000", 5564 => x"00000000", 5565 => x"00000000", 5566 => x"00000000", 5567 => x"00000000", 5568 => x"00000000", 5569 => x"00000000", 5570 => x"00000000", 5571 => x"00000000", 5572 => x"00000000", 5573 => x"00000000", 5574 => x"00000000", 5575 => x"00000000", 5576 => x"00000000", 5577 => x"00000000", 5578 => x"00000000", 5579 => x"00000000", 5580 => x"00000000", 5581 => x"00000000", 5582 => x"00000000", 5583 => x"00000000", 5584 => x"00000000", 5585 => x"00000000", 5586 => x"00000000", 5587 => x"00000000", 5588 => x"00000000", 5589 => x"00000000", 5590 => x"00000000", 5591 => x"00000000", 5592 => x"00000000", 5593 => x"00000000", 5594 => x"00000000", 5595 => x"00000000", 5596 => x"00000000", 5597 => x"00000000", 5598 => x"00000000", 5599 => x"00000000", 5600 => x"00000000", 5601 => x"00000000", 5602 => x"00000000", 5603 => x"00000000", 5604 => x"00000000", 5605 => x"00000000", 5606 => x"00000000", 5607 => x"00000000", 5608 => x"00000000", 5609 => x"00000000", 5610 => x"00000000", 5611 => x"00000000", 5612 => x"00000000", 5613 => x"00000000", 5614 => x"00000000", 5615 => x"00000000", 5616 => x"00000000", 5617 => x"00000000", 5618 => x"00000000", 5619 => x"00000000", 5620 => x"00000000", 5621 => x"00000000", 5622 => x"00000000", 5623 => x"00000000", 5624 => x"00000000", 5625 => x"00000000", 5626 => x"00000000", 5627 => x"00000000", 5628 => x"00000000", 5629 => x"00000000", 5630 => x"00000000", 5631 => x"00000000", 5632 => x"00000000", 5633 => x"00000000", 5634 => x"00000000", 5635 => x"00000000", 5636 => x"00000000", 5637 => x"00000000", 5638 => x"00000000", 5639 => x"00000000", 5640 => x"00000000", 5641 => x"00000000", 5642 => x"00000000", 5643 => x"00000000", 5644 => x"00000000", 5645 => x"00000000", 5646 => x"00000000", 5647 => x"00000000", 5648 => x"00000000", 5649 => x"00000000", 5650 => x"00000000", 5651 => x"00000000", 5652 => x"00000000", 5653 => x"00000000", 5654 => x"00000000", 5655 => x"00000000", 5656 => x"00000000", 5657 => x"00000000", 5658 => x"00000000", 5659 => x"00000000", 5660 => x"00000000", 5661 => x"00000000", 5662 => x"00000000", 5663 => x"00000000", 5664 => x"00000000", 5665 => x"00000000", 5666 => x"00000000", 5667 => x"00000000", 5668 => x"00000000", 5669 => x"00000000", 5670 => x"00000000", 5671 => x"00000000", 5672 => x"00000000", 5673 => x"00000000", 5674 => x"00000000", 5675 => x"00000000", 5676 => x"00000000", 5677 => x"00000000", 5678 => x"00000000", 5679 => x"00000000", 5680 => x"00000000", 5681 => x"00000000", 5682 => x"00000000", 5683 => x"00000000", 5684 => x"00000000", 5685 => x"00000000", 5686 => x"00000000", 5687 => x"00000000", 5688 => x"00000000", 5689 => x"00000000", 5690 => x"00000000", 5691 => x"00000000", 5692 => x"00000000", 5693 => x"00000000", 5694 => x"00000000", 5695 => x"00000000", 5696 => x"00000000", 5697 => x"00000000", 5698 => x"00000000", 5699 => x"00000000", 5700 => x"00000000", 5701 => x"00000000", 5702 => x"00000000", 5703 => x"00000000", 5704 => x"00000000", 5705 => x"00000000", 5706 => x"00000000", 5707 => x"00000000", 5708 => x"00000000", 5709 => x"00000000", 5710 => x"00000000", 5711 => x"00000000", 5712 => x"00000000", 5713 => x"00000000", 5714 => x"00000000", 5715 => x"00000000", 5716 => x"00000000", 5717 => x"00000000", 5718 => x"00000000", 5719 => x"00000000", 5720 => x"00000000", 5721 => x"00000000", 5722 => x"00000000", 5723 => x"00000000", 5724 => x"00000000", 5725 => x"00000000", 5726 => x"00000000", 5727 => x"00000000", 5728 => x"00000000", 5729 => x"00000000", 5730 => x"00000000", 5731 => x"00000000", 5732 => x"00000000", 5733 => x"00000000", 5734 => x"00000000", 5735 => x"00000000", 5736 => x"00000000", 5737 => x"00000000", 5738 => x"00000000", 5739 => x"00000000", 5740 => x"00000000", 5741 => x"00000000", 5742 => x"00000000", 5743 => x"00000000", 5744 => x"00000000", 5745 => x"00000000", 5746 => x"00000000", 5747 => x"00000000", 5748 => x"00000000", 5749 => x"00000000", 5750 => x"00000000", 5751 => x"00000000", 5752 => x"00000000", 5753 => x"00000000", 5754 => x"00000000", 5755 => x"00000000", 5756 => x"00000000", 5757 => x"00000000", 5758 => x"00000000", 5759 => x"00000000", 5760 => x"00000000", 5761 => x"00000000", 5762 => x"00000000", 5763 => x"00000000", 5764 => x"00000000", 5765 => x"00000000", 5766 => x"00000000", 5767 => x"00000000", 5768 => x"00000000", 5769 => x"00000000", 5770 => x"00000000", 5771 => x"00000000", 5772 => x"00000000", 5773 => x"00000000", 5774 => x"00000000", 5775 => x"00000000", 5776 => x"00000000", 5777 => x"00000000", 5778 => x"00000000", 5779 => x"00000000", 5780 => x"00000000", 5781 => x"00000000", 5782 => x"00000000", 5783 => x"00000000", 5784 => x"00000000", 5785 => x"00000000", 5786 => x"00000000", 5787 => x"00000000", 5788 => x"00000000", 5789 => x"00000000", 5790 => x"00000000", 5791 => x"00000000", 5792 => x"00000000", 5793 => x"00000000", 5794 => x"00000000", 5795 => x"00000000", 5796 => x"00000000", 5797 => x"00000000", 5798 => x"00000000", 5799 => x"00000000", 5800 => x"00000000", 5801 => x"00000000", 5802 => x"00000000", 5803 => x"00000000", 5804 => x"00000000", 5805 => x"00000000", 5806 => x"00000000", 5807 => x"00000000", 5808 => x"00000000", 5809 => x"00000000", 5810 => x"00000000", 5811 => x"00000000", 5812 => x"00000000", 5813 => x"00000000", 5814 => x"00000000", 5815 => x"00000000", 5816 => x"00000000", 5817 => x"00000000", 5818 => x"00000000", 5819 => x"00000000", 5820 => x"00000000", 5821 => x"00000000", 5822 => x"00000000", 5823 => x"00000000", 5824 => x"00000000", 5825 => x"00000000", 5826 => x"00000000", 5827 => x"00000000", 5828 => x"00000000", 5829 => x"00000000", 5830 => x"00000000", 5831 => x"00000000", 5832 => x"00000000", 5833 => x"00000000", 5834 => x"00000000", 5835 => x"00000000", 5836 => x"00000000", 5837 => x"00000000", 5838 => x"00000000", 5839 => x"00000000", 5840 => x"00000000", 5841 => x"00000000", 5842 => x"00000000", 5843 => x"00000000", 5844 => x"00000000", 5845 => x"00000000", 5846 => x"00000000", 5847 => x"00000000", 5848 => x"00000000", 5849 => x"00000000", 5850 => x"00000000", 5851 => x"00000000", 5852 => x"00000000", 5853 => x"00000000", 5854 => x"00000000", 5855 => x"00000000", 5856 => x"00000000", 5857 => x"00000000", 5858 => x"00000000", 5859 => x"00000000", 5860 => x"00000000", 5861 => x"00000000", 5862 => x"00000000", 5863 => x"00000000", 5864 => x"00000000", 5865 => x"00000000", 5866 => x"00000000", 5867 => x"00000000", 5868 => x"00000000", 5869 => x"00000000", 5870 => x"00000000", 5871 => x"00000000", 5872 => x"00000000", 5873 => x"00000000", 5874 => x"00000000", 5875 => x"00000000", 5876 => x"00000000", 5877 => x"00000000", 5878 => x"00000000", 5879 => x"00000000", 5880 => x"00000000", 5881 => x"00000000", 5882 => x"00000000", 5883 => x"00000000", 5884 => x"00000000", 5885 => x"00000000", 5886 => x"00000000", 5887 => x"00000000", 5888 => x"00000000", 5889 => x"00000000", 5890 => x"00000000", 5891 => x"00000000", 5892 => x"00000000", 5893 => x"00000000", 5894 => x"00000000", 5895 => x"00000000", 5896 => x"00000000", 5897 => x"00000000", 5898 => x"00000000", 5899 => x"00000000", 5900 => x"00000000", 5901 => x"00000000", 5902 => x"00000000", 5903 => x"00000000", 5904 => x"00000000", 5905 => x"00000000", 5906 => x"00000000", 5907 => x"00000000", 5908 => x"00000000", 5909 => x"00000000", 5910 => x"00000000", 5911 => x"00000000", 5912 => x"00000000", 5913 => x"00000000", 5914 => x"00000000", 5915 => x"00000000", 5916 => x"00000000", 5917 => x"00000000", 5918 => x"00000000", 5919 => x"00000000", 5920 => x"00000000", 5921 => x"00000000", 5922 => x"00000000", 5923 => x"00000000", 5924 => x"00000000", 5925 => x"00000000", 5926 => x"00000000", 5927 => x"00000000", 5928 => x"00000000", 5929 => x"00000000", 5930 => x"00000000", 5931 => x"00000000", 5932 => x"00000000", 5933 => x"00000000", 5934 => x"00000000", 5935 => x"00000000", 5936 => x"00000000", 5937 => x"00000000", 5938 => x"00000000", 5939 => x"00000000", 5940 => x"00000000", 5941 => x"00000000", 5942 => x"00000000", 5943 => x"00000000", 5944 => x"00000000", 5945 => x"00000000", 5946 => x"00000000", 5947 => x"00000000", 5948 => x"00000000", 5949 => x"00000000", 5950 => x"00000000", 5951 => x"00000000", 5952 => x"00000000", 5953 => x"00000000", 5954 => x"00000000", 5955 => x"00000000", 5956 => x"00000000", 5957 => x"00000000", 5958 => x"00000000", 5959 => x"00000000", 5960 => x"00000000", 5961 => x"00000000", 5962 => x"00000000", 5963 => x"00000000", 5964 => x"00000000", 5965 => x"00000000", 5966 => x"00000000", 5967 => x"00000000", 5968 => x"00000000", 5969 => x"00000000", 5970 => x"00000000", 5971 => x"00000000", 5972 => x"00000000", 5973 => x"00000000", 5974 => x"00000000", 5975 => x"00000000", 5976 => x"00000000", 5977 => x"00000000", 5978 => x"00000000", 5979 => x"00000000", 5980 => x"00000000", 5981 => x"00000000", 5982 => x"00000000", 5983 => x"00000000", 5984 => x"00000000", 5985 => x"00000000", 5986 => x"00000000", 5987 => x"00000000", 5988 => x"00000000", 5989 => x"00000000", 5990 => x"00000000", 5991 => x"00000000", 5992 => x"00000000", 5993 => x"00000000", 5994 => x"00000000", 5995 => x"00000000", 5996 => x"00000000", 5997 => x"00000000", 5998 => x"00000000", 5999 => x"00000000", 6000 => x"00000000", 6001 => x"00000000", 6002 => x"00000000", 6003 => x"00000000", 6004 => x"00000000", 6005 => x"00000000", 6006 => x"00000000", 6007 => x"00000000", 6008 => x"00000000", 6009 => x"00000000", 6010 => x"00000000", 6011 => x"00000000", 6012 => x"00000000", 6013 => x"00000000", 6014 => x"00000000", 6015 => x"00000000", 6016 => x"00000000", 6017 => x"00000000", 6018 => x"00000000", 6019 => x"00000000", 6020 => x"00000000", 6021 => x"00000000", 6022 => x"00000000", 6023 => x"00000000", 6024 => x"00000000", 6025 => x"00000000", 6026 => x"00000000", 6027 => x"00000000", 6028 => x"00000000", 6029 => x"00000000", 6030 => x"00000000", 6031 => x"00000000", 6032 => x"00000000", 6033 => x"00000000", 6034 => x"00000000", 6035 => x"00000000", 6036 => x"00000000", 6037 => x"00000000", 6038 => x"00000000", 6039 => x"00000000", 6040 => x"00000000", 6041 => x"00000000", 6042 => x"00000000", 6043 => x"00000000", 6044 => x"00000000", 6045 => x"00000000", 6046 => x"00000000", 6047 => x"00000000", 6048 => x"00000000", 6049 => x"00000000", 6050 => x"00000000", 6051 => x"00000000", 6052 => x"00000000", 6053 => x"00000000", 6054 => x"00000000", 6055 => x"00000000", 6056 => x"00000000", 6057 => x"00000000", 6058 => x"00000000", 6059 => x"00000000", 6060 => x"00000000", 6061 => x"00000000", 6062 => x"00000000", 6063 => x"00000000", 6064 => x"00000000", 6065 => x"00000000", 6066 => x"00000000", 6067 => x"00000000", 6068 => x"00000000", 6069 => x"00000000", 6070 => x"00000000", 6071 => x"00000000", 6072 => x"00000000", 6073 => x"00000000", 6074 => x"00000000", 6075 => x"00000000", 6076 => x"00000000", 6077 => x"00000000", 6078 => x"00000000", 6079 => x"00000000", 6080 => x"00000000", 6081 => x"00000000", 6082 => x"00000000", 6083 => x"00000000", 6084 => x"00000000", 6085 => x"00000000", 6086 => x"00000000", 6087 => x"00000000", 6088 => x"00000000", 6089 => x"00000000", 6090 => x"00000000", 6091 => x"00000000", 6092 => x"00000000", 6093 => x"00000000", 6094 => x"00000000", 6095 => x"00000000", 6096 => x"00000000", 6097 => x"00000000", 6098 => x"00000000", 6099 => x"00000000", 6100 => x"00000000", 6101 => x"00000000", 6102 => x"00000000", 6103 => x"00000000", 6104 => x"00000000", 6105 => x"00000000", 6106 => x"00000000", 6107 => x"00000000", 6108 => x"00000000", 6109 => x"00000000", 6110 => x"00000000", 6111 => x"00000000", 6112 => x"00000000", 6113 => x"00000000", 6114 => x"00000000", 6115 => x"00000000", 6116 => x"00000000", 6117 => x"00000000", 6118 => x"00000000", 6119 => x"00000000", 6120 => x"00000000", 6121 => x"00000000", 6122 => x"00000000", 6123 => x"00000000", 6124 => x"00000000", 6125 => x"00000000", 6126 => x"00000000", 6127 => x"00000000", 6128 => x"00000000", 6129 => x"00000000", 6130 => x"00000000", 6131 => x"00000000", 6132 => x"00000000", 6133 => x"00000000", 6134 => x"00000000", 6135 => x"00000000", 6136 => x"00000000", 6137 => x"00000000", 6138 => x"00000000", 6139 => x"00000000", 6140 => x"00000000", 6141 => x"00000000", 6142 => x"00000000", 6143 => x"00000000", 6144 => x"00000000", 6145 => x"00000000", 6146 => x"00000000", 6147 => x"00000000", 6148 => x"00000000", 6149 => x"00000000", 6150 => x"00000000", 6151 => x"00000000", 6152 => x"00000000", 6153 => x"00000000", 6154 => x"00000000", 6155 => x"00000000", 6156 => x"00000000", 6157 => x"00000000", 6158 => x"00000000", 6159 => x"00000000", 6160 => x"00000000", 6161 => x"00000000", 6162 => x"00000000", 6163 => x"00000000", 6164 => x"00000000", 6165 => x"00000000", 6166 => x"00000000", 6167 => x"00000000", 6168 => x"00000000", 6169 => x"00000000", 6170 => x"00000000", 6171 => x"00000000", 6172 => x"00000000", 6173 => x"00000000", 6174 => x"00000000", 6175 => x"00000000", 6176 => x"00000000", 6177 => x"00000000", 6178 => x"00000000", 6179 => x"00000000", 6180 => x"00000000", 6181 => x"00000000", 6182 => x"00000000", 6183 => x"00000000", 6184 => x"00000000", 6185 => x"00000000", 6186 => x"00000000", 6187 => x"00000000", 6188 => x"00000000", 6189 => x"00000000", 6190 => x"00000000", 6191 => x"00000000", 6192 => x"00000000", 6193 => x"00000000", 6194 => x"00000000", 6195 => x"00000000", 6196 => x"00000000", 6197 => x"00000000", 6198 => x"00000000", 6199 => x"00000000", 6200 => x"00000000", 6201 => x"00000000", 6202 => x"00000000", 6203 => x"00000000", 6204 => x"00000000", 6205 => x"00000000", 6206 => x"00000000", 6207 => x"00000000", 6208 => x"00000000", 6209 => x"00000000", 6210 => x"00000000", 6211 => x"00000000", 6212 => x"00000000", 6213 => x"00000000", 6214 => x"00000000", 6215 => x"00000000", 6216 => x"00000000", 6217 => x"00000000", 6218 => x"00000000", 6219 => x"00000000", 6220 => x"00000000", 6221 => x"00000000", 6222 => x"00000000", 6223 => x"00000000", 6224 => x"00000000", 6225 => x"00000000", 6226 => x"00000000", 6227 => x"00000000", 6228 => x"00000000", 6229 => x"00000000", 6230 => x"00000000", 6231 => x"00000000", 6232 => x"00000000", 6233 => x"00000000", 6234 => x"00000000", 6235 => x"00000000", 6236 => x"00000000", 6237 => x"00000000", 6238 => x"00000000", 6239 => x"00000000", 6240 => x"00000000", 6241 => x"00000000", 6242 => x"00000000", 6243 => x"00000000", 6244 => x"00000000", 6245 => x"00000000", 6246 => x"00000000", 6247 => x"00000000", 6248 => x"00000000", 6249 => x"00000000", 6250 => x"00000000", 6251 => x"00000000", 6252 => x"00000000", 6253 => x"00000000", 6254 => x"00000000", 6255 => x"00000000", 6256 => x"00000000", 6257 => x"00000000", 6258 => x"00000000", 6259 => x"00000000", 6260 => x"00000000", 6261 => x"00000000", 6262 => x"00000000", 6263 => x"00000000", 6264 => x"00000000", 6265 => x"00000000", 6266 => x"00000000", 6267 => x"00000000", 6268 => x"00000000", 6269 => x"00000000", 6270 => x"00000000", 6271 => x"00000000", 6272 => x"00000000", 6273 => x"00000000", 6274 => x"00000000", 6275 => x"00000000", 6276 => x"00000000", 6277 => x"00000000", 6278 => x"00000000", 6279 => x"00000000", 6280 => x"00000000", 6281 => x"00000000", 6282 => x"00000000", 6283 => x"00000000", 6284 => x"00000000", 6285 => x"00000000", 6286 => x"00000000", 6287 => x"00000000", 6288 => x"00000000", 6289 => x"00000000", 6290 => x"00000000", 6291 => x"00000000", 6292 => x"00000000", 6293 => x"00000000", 6294 => x"00000000", 6295 => x"00000000", 6296 => x"00000000", 6297 => x"00000000", 6298 => x"00000000", 6299 => x"00000000", 6300 => x"00000000", 6301 => x"00000000", 6302 => x"00000000", 6303 => x"00000000", 6304 => x"00000000", 6305 => x"00000000", 6306 => x"00000000", 6307 => x"00000000", 6308 => x"00000000", 6309 => x"00000000", 6310 => x"00000000", 6311 => x"00000000", 6312 => x"00000000", 6313 => x"00000000", 6314 => x"00000000", 6315 => x"00000000", 6316 => x"00000000", 6317 => x"00000000", 6318 => x"00000000", 6319 => x"00000000", 6320 => x"00000000", 6321 => x"00000000", 6322 => x"00000000", 6323 => x"00000000", 6324 => x"00000000", 6325 => x"00000000", 6326 => x"00000000", 6327 => x"00000000", 6328 => x"00000000", 6329 => x"00000000", 6330 => x"00000000", 6331 => x"00000000", 6332 => x"00000000", 6333 => x"00000000", 6334 => x"00000000", 6335 => x"00000000", 6336 => x"00000000", 6337 => x"00000000", 6338 => x"00000000", 6339 => x"00000000", 6340 => x"00000000", 6341 => x"00000000", 6342 => x"00000000", 6343 => x"00000000", 6344 => x"00000000", 6345 => x"00000000", 6346 => x"00000000", 6347 => x"00000000", 6348 => x"00000000", 6349 => x"00000000", 6350 => x"00000000", 6351 => x"00000000", 6352 => x"00000000", 6353 => x"00000000", 6354 => x"00000000", 6355 => x"00000000", 6356 => x"00000000", 6357 => x"00000000", 6358 => x"00000000", 6359 => x"00000000", 6360 => x"00000000", 6361 => x"00000000", 6362 => x"00000000", 6363 => x"00000000", 6364 => x"00000000", 6365 => x"00000000", 6366 => x"00000000", 6367 => x"00000000", 6368 => x"00000000", 6369 => x"00000000", 6370 => x"00000000", 6371 => x"00000000", 6372 => x"00000000", 6373 => x"00000000", 6374 => x"00000000", 6375 => x"00000000", 6376 => x"00000000", 6377 => x"00000000", 6378 => x"00000000", 6379 => x"00000000", 6380 => x"00000000", 6381 => x"00000000", 6382 => x"00000000", 6383 => x"00000000", 6384 => x"00000000", 6385 => x"00000000", 6386 => x"00000000", 6387 => x"00000000", 6388 => x"00000000", 6389 => x"00000000", 6390 => x"00000000", 6391 => x"00000000", 6392 => x"00000000", 6393 => x"00000000", 6394 => x"00000000", 6395 => x"00000000", 6396 => x"00000000", 6397 => x"00000000", 6398 => x"00000000", 6399 => x"00000000", 6400 => x"00000000", 6401 => x"00000000", 6402 => x"00000000", 6403 => x"00000000", 6404 => x"00000000", 6405 => x"00000000", 6406 => x"00000000", 6407 => x"00000000", 6408 => x"00000000", 6409 => x"00000000", 6410 => x"00000000", 6411 => x"00000000", 6412 => x"00000000", 6413 => x"00000000", 6414 => x"00000000", 6415 => x"00000000", 6416 => x"00000000", 6417 => x"00000000", 6418 => x"00000000", 6419 => x"00000000", 6420 => x"00000000", 6421 => x"00000000", 6422 => x"00000000", 6423 => x"00000000", 6424 => x"00000000", 6425 => x"00000000", 6426 => x"00000000", 6427 => x"00000000", 6428 => x"00000000", 6429 => x"00000000", 6430 => x"00000000", 6431 => x"00000000", 6432 => x"00000000", 6433 => x"00000000", 6434 => x"00000000", 6435 => x"00000000", 6436 => x"00000000", 6437 => x"00000000", 6438 => x"00000000", 6439 => x"00000000", 6440 => x"00000000", 6441 => x"00000000", 6442 => x"00000000", 6443 => x"00000000", 6444 => x"00000000", 6445 => x"00000000", 6446 => x"00000000", 6447 => x"00000000", 6448 => x"00000000", 6449 => x"00000000", 6450 => x"00000000", 6451 => x"00000000", 6452 => x"00000000", 6453 => x"00000000", 6454 => x"00000000", 6455 => x"00000000", 6456 => x"00000000", 6457 => x"00000000", 6458 => x"00000000", 6459 => x"00000000", 6460 => x"00000000", 6461 => x"00000000", 6462 => x"00000000", 6463 => x"00000000", 6464 => x"00000000", 6465 => x"00000000", 6466 => x"00000000", 6467 => x"00000000", 6468 => x"00000000", 6469 => x"00000000", 6470 => x"00000000", 6471 => x"00000000", 6472 => x"00000000", 6473 => x"00000000", 6474 => x"00000000", 6475 => x"00000000", 6476 => x"00000000", 6477 => x"00000000", 6478 => x"00000000", 6479 => x"00000000", 6480 => x"00000000", 6481 => x"00000000", 6482 => x"00000000", 6483 => x"00000000", 6484 => x"00000000", 6485 => x"00000000", 6486 => x"00000000", 6487 => x"00000000", 6488 => x"00000000", 6489 => x"00000000", 6490 => x"00000000", 6491 => x"00000000", 6492 => x"00000000", 6493 => x"00000000", 6494 => x"00000000", 6495 => x"00000000", 6496 => x"00000000", 6497 => x"00000000", 6498 => x"00000000", 6499 => x"00000000", 6500 => x"00000000", 6501 => x"00000000", 6502 => x"00000000", 6503 => x"00000000", 6504 => x"00000000", 6505 => x"00000000", 6506 => x"00000000", 6507 => x"00000000", 6508 => x"00000000", 6509 => x"00000000", 6510 => x"00000000", 6511 => x"00000000", 6512 => x"00000000", 6513 => x"00000000", 6514 => x"00000000", 6515 => x"00000000", 6516 => x"00000000", 6517 => x"00000000", 6518 => x"00000000", 6519 => x"00000000", 6520 => x"00000000", 6521 => x"00000000", 6522 => x"00000000", 6523 => x"00000000", 6524 => x"00000000", 6525 => x"00000000", 6526 => x"00000000", 6527 => x"00000000", 6528 => x"00000000", 6529 => x"00000000", 6530 => x"00000000", 6531 => x"00000000", 6532 => x"00000000", 6533 => x"00000000", 6534 => x"00000000", 6535 => x"00000000", 6536 => x"00000000", 6537 => x"00000000", 6538 => x"00000000", 6539 => x"00000000", 6540 => x"00000000", 6541 => x"00000000", 6542 => x"00000000", 6543 => x"00000000", 6544 => x"00000000", 6545 => x"00000000", 6546 => x"00000000", 6547 => x"00000000", 6548 => x"00000000", 6549 => x"00000000", 6550 => x"00000000", 6551 => x"00000000", 6552 => x"00000000", 6553 => x"00000000", 6554 => x"00000000", 6555 => x"00000000", 6556 => x"00000000", 6557 => x"00000000", 6558 => x"00000000", 6559 => x"00000000", 6560 => x"00000000", 6561 => x"00000000", 6562 => x"00000000", 6563 => x"00000000", 6564 => x"00000000", 6565 => x"00000000", 6566 => x"00000000", 6567 => x"00000000", 6568 => x"00000000", 6569 => x"00000000", 6570 => x"00000000", 6571 => x"00000000", 6572 => x"00000000", 6573 => x"00000000", 6574 => x"00000000", 6575 => x"00000000", 6576 => x"00000000", 6577 => x"00000000", 6578 => x"00000000", 6579 => x"00000000", 6580 => x"00000000", 6581 => x"00000000", 6582 => x"00000000", 6583 => x"00000000", 6584 => x"00000000", 6585 => x"00000000", 6586 => x"00000000", 6587 => x"00000000", 6588 => x"00000000", 6589 => x"00000000", 6590 => x"00000000", 6591 => x"00000000", 6592 => x"00000000", 6593 => x"00000000", 6594 => x"00000000", 6595 => x"00000000", 6596 => x"00000000", 6597 => x"00000000", 6598 => x"00000000", 6599 => x"00000000", 6600 => x"00000000", 6601 => x"00000000", 6602 => x"00000000", 6603 => x"00000000", 6604 => x"00000000", 6605 => x"00000000", 6606 => x"00000000", 6607 => x"00000000", 6608 => x"00000000", 6609 => x"00000000", 6610 => x"00000000", 6611 => x"00000000", 6612 => x"00000000", 6613 => x"00000000", 6614 => x"00000000", 6615 => x"00000000", 6616 => x"00000000", 6617 => x"00000000", 6618 => x"00000000", 6619 => x"00000000", 6620 => x"00000000", 6621 => x"00000000", 6622 => x"00000000", 6623 => x"00000000", 6624 => x"00000000", 6625 => x"00000000", 6626 => x"00000000", 6627 => x"00000000", 6628 => x"00000000", 6629 => x"00000000", 6630 => x"00000000", 6631 => x"00000000", 6632 => x"00000000", 6633 => x"00000000", 6634 => x"00000000", 6635 => x"00000000", 6636 => x"00000000", 6637 => x"00000000", 6638 => x"00000000", 6639 => x"00000000", 6640 => x"00000000", 6641 => x"00000000", 6642 => x"00000000", 6643 => x"00000000", 6644 => x"00000000", 6645 => x"00000000", 6646 => x"00000000", 6647 => x"00000000", 6648 => x"00000000", 6649 => x"00000000", 6650 => x"00000000", 6651 => x"00000000", 6652 => x"00000000", 6653 => x"00000000", 6654 => x"00000000", 6655 => x"00000000", 6656 => x"00000000", 6657 => x"00000000", 6658 => x"00000000", 6659 => x"00000000", 6660 => x"00000000", 6661 => x"00000000", 6662 => x"00000000", 6663 => x"00000000", 6664 => x"00000000", 6665 => x"00000000", 6666 => x"00000000", 6667 => x"00000000", 6668 => x"00000000", 6669 => x"00000000", 6670 => x"00000000", 6671 => x"00000000", 6672 => x"00000000", 6673 => x"00000000", 6674 => x"00000000", 6675 => x"00000000", 6676 => x"00000000", 6677 => x"00000000", 6678 => x"00000000", 6679 => x"00000000", 6680 => x"00000000", 6681 => x"00000000", 6682 => x"00000000", 6683 => x"00000000", 6684 => x"00000000", 6685 => x"00000000", 6686 => x"00000000", 6687 => x"00000000", 6688 => x"00000000", 6689 => x"00000000", 6690 => x"00000000", 6691 => x"00000000", 6692 => x"00000000", 6693 => x"00000000", 6694 => x"00000000", 6695 => x"00000000", 6696 => x"00000000", 6697 => x"00000000", 6698 => x"00000000", 6699 => x"00000000", 6700 => x"00000000", 6701 => x"00000000", 6702 => x"00000000", 6703 => x"00000000", 6704 => x"00000000", 6705 => x"00000000", 6706 => x"00000000", 6707 => x"00000000", 6708 => x"00000000", 6709 => x"00000000", 6710 => x"00000000", 6711 => x"00000000", 6712 => x"00000000", 6713 => x"00000000", 6714 => x"00000000", 6715 => x"00000000", 6716 => x"00000000", 6717 => x"00000000", 6718 => x"00000000", 6719 => x"00000000", 6720 => x"00000000", 6721 => x"00000000", 6722 => x"00000000", 6723 => x"00000000", 6724 => x"00000000", 6725 => x"00000000", 6726 => x"00000000", 6727 => x"00000000", 6728 => x"00000000", 6729 => x"00000000", 6730 => x"00000000", 6731 => x"00000000", 6732 => x"00000000", 6733 => x"00000000", 6734 => x"00000000", 6735 => x"00000000", 6736 => x"00000000", 6737 => x"00000000", 6738 => x"00000000", 6739 => x"00000000", 6740 => x"00000000", 6741 => x"00000000", 6742 => x"00000000", 6743 => x"00000000", 6744 => x"00000000", 6745 => x"00000000", 6746 => x"00000000", 6747 => x"00000000", 6748 => x"00000000", 6749 => x"00000000", 6750 => x"00000000", 6751 => x"00000000", 6752 => x"00000000", 6753 => x"00000000", 6754 => x"00000000", 6755 => x"00000000", 6756 => x"00000000", 6757 => x"00000000", 6758 => x"00000000", 6759 => x"00000000", 6760 => x"00000000", 6761 => x"00000000", 6762 => x"00000000", 6763 => x"00000000", 6764 => x"00000000", 6765 => x"00000000", 6766 => x"00000000", 6767 => x"00000000", 6768 => x"00000000", 6769 => x"00000000", 6770 => x"00000000", 6771 => x"00000000", 6772 => x"00000000", 6773 => x"00000000", 6774 => x"00000000", 6775 => x"00000000", 6776 => x"00000000", 6777 => x"00000000", 6778 => x"00000000", 6779 => x"00000000", 6780 => x"00000000", 6781 => x"00000000", 6782 => x"00000000", 6783 => x"00000000", 6784 => x"00000000", 6785 => x"00000000", 6786 => x"00000000", 6787 => x"00000000", 6788 => x"00000000", 6789 => x"00000000", 6790 => x"00000000", 6791 => x"00000000", 6792 => x"00000000", 6793 => x"00000000", 6794 => x"00000000", 6795 => x"00000000", 6796 => x"00000000", 6797 => x"00000000", 6798 => x"00000000", 6799 => x"00000000", 6800 => x"00000000", 6801 => x"00000000", 6802 => x"00000000", 6803 => x"00000000", 6804 => x"00000000", 6805 => x"00000000", 6806 => x"00000000", 6807 => x"00000000", 6808 => x"00000000", 6809 => x"00000000", 6810 => x"00000000", 6811 => x"00000000", 6812 => x"00000000", 6813 => x"00000000", 6814 => x"00000000", 6815 => x"00000000", 6816 => x"00000000", 6817 => x"00000000", 6818 => x"00000000", 6819 => x"00000000", 6820 => x"00000000", 6821 => x"00000000", 6822 => x"00000000", 6823 => x"00000000", 6824 => x"00000000", 6825 => x"00000000", 6826 => x"00000000", 6827 => x"00000000", 6828 => x"00000000", 6829 => x"00000000", 6830 => x"00000000", 6831 => x"00000000", 6832 => x"00000000", 6833 => x"00000000", 6834 => x"00000000", 6835 => x"00000000", 6836 => x"00000000", 6837 => x"00000000", 6838 => x"00000000", 6839 => x"00000000", 6840 => x"00000000", 6841 => x"00000000", 6842 => x"00000000", 6843 => x"00000000", 6844 => x"00000000", 6845 => x"00000000", 6846 => x"00000000", 6847 => x"00000000", 6848 => x"00000000", 6849 => x"00000000", 6850 => x"00000000", 6851 => x"00000000", 6852 => x"00000000", 6853 => x"00000000", 6854 => x"00000000", 6855 => x"00000000", 6856 => x"00000000", 6857 => x"00000000", 6858 => x"00000000", 6859 => x"00000000", 6860 => x"00000000", 6861 => x"00000000", 6862 => x"00000000", 6863 => x"00000000", 6864 => x"00000000", 6865 => x"00000000", 6866 => x"00000000", 6867 => x"00000000", 6868 => x"00000000", 6869 => x"00000000", 6870 => x"00000000", 6871 => x"00000000", 6872 => x"00000000", 6873 => x"00000000", 6874 => x"00000000", 6875 => x"00000000", 6876 => x"00000000", 6877 => x"00000000", 6878 => x"00000000", 6879 => x"00000000", 6880 => x"00000000", 6881 => x"00000000", 6882 => x"00000000", 6883 => x"00000000", 6884 => x"00000000", 6885 => x"00000000", 6886 => x"00000000", 6887 => x"00000000", 6888 => x"00000000", 6889 => x"00000000", 6890 => x"00000000", 6891 => x"00000000", 6892 => x"00000000", 6893 => x"00000000", 6894 => x"00000000", 6895 => x"00000000", 6896 => x"00000000", 6897 => x"00000000", 6898 => x"00000000", 6899 => x"00000000", 6900 => x"00000000", 6901 => x"00000000", 6902 => x"00000000", 6903 => x"00000000", 6904 => x"00000000", 6905 => x"00000000", 6906 => x"00000000", 6907 => x"00000000", 6908 => x"00000000", 6909 => x"00000000", 6910 => x"00000000", 6911 => x"00000000", 6912 => x"00000000", 6913 => x"00000000", 6914 => x"00000000", 6915 => x"00000000", 6916 => x"00000000", 6917 => x"00000000", 6918 => x"00000000", 6919 => x"00000000", 6920 => x"00000000", 6921 => x"00000000", 6922 => x"00000000", 6923 => x"00000000", 6924 => x"00000000", 6925 => x"00000000", 6926 => x"00000000", 6927 => x"00000000", 6928 => x"00000000", 6929 => x"00000000", 6930 => x"00000000", 6931 => x"00000000", 6932 => x"00000000", 6933 => x"00000000", 6934 => x"00000000", 6935 => x"00000000", 6936 => x"00000000", 6937 => x"00000000", 6938 => x"00000000", 6939 => x"00000000", 6940 => x"00000000", 6941 => x"00000000", 6942 => x"00000000", 6943 => x"00000000", 6944 => x"00000000", 6945 => x"00000000", 6946 => x"00000000", 6947 => x"00000000", 6948 => x"00000000", 6949 => x"00000000", 6950 => x"00000000", 6951 => x"00000000", 6952 => x"00000000", 6953 => x"00000000", 6954 => x"00000000", 6955 => x"00000000", 6956 => x"00000000", 6957 => x"00000000", 6958 => x"00000000", 6959 => x"00000000", 6960 => x"00000000", 6961 => x"00000000", 6962 => x"00000000", 6963 => x"00000000", 6964 => x"00000000", 6965 => x"00000000", 6966 => x"00000000", 6967 => x"00000000", 6968 => x"00000000", 6969 => x"00000000", 6970 => x"00000000", 6971 => x"00000000", 6972 => x"00000000", 6973 => x"00000000", 6974 => x"00000000", 6975 => x"00000000", 6976 => x"00000000", 6977 => x"00000000", 6978 => x"00000000", 6979 => x"00000000", 6980 => x"00000000", 6981 => x"00000000", 6982 => x"00000000", 6983 => x"00000000", 6984 => x"00000000", 6985 => x"00000000", 6986 => x"00000000", 6987 => x"00000000", 6988 => x"00000000", 6989 => x"00000000", 6990 => x"00000000", 6991 => x"00000000", 6992 => x"00000000", 6993 => x"00000000", 6994 => x"00000000", 6995 => x"00000000", 6996 => x"00000000", 6997 => x"00000000", 6998 => x"00000000", 6999 => x"00000000", 7000 => x"00000000", 7001 => x"00000000", 7002 => x"00000000", 7003 => x"00000000", 7004 => x"00000000", 7005 => x"00000000", 7006 => x"00000000", 7007 => x"00000000", 7008 => x"00000000", 7009 => x"00000000", 7010 => x"00000000", 7011 => x"00000000", 7012 => x"00000000", 7013 => x"00000000", 7014 => x"00000000", 7015 => x"00000000", 7016 => x"00000000", 7017 => x"00000000", 7018 => x"00000000", 7019 => x"00000000", 7020 => x"00000000", 7021 => x"00000000", 7022 => x"00000000", 7023 => x"00000000", 7024 => x"00000000", 7025 => x"00000000", 7026 => x"00000000", 7027 => x"00000000", 7028 => x"00000000", 7029 => x"00000000", 7030 => x"00000000", 7031 => x"00000000", 7032 => x"00000000", 7033 => x"00000000", 7034 => x"00000000", 7035 => x"00000000", 7036 => x"00000000", 7037 => x"00000000", 7038 => x"00000000", 7039 => x"00000000", 7040 => x"00000000", 7041 => x"00000000", 7042 => x"00000000", 7043 => x"00000000", 7044 => x"00000000", 7045 => x"00000000", 7046 => x"00000000", 7047 => x"00000000", 7048 => x"00000000", 7049 => x"00000000", 7050 => x"00000000", 7051 => x"00000000", 7052 => x"00000000", 7053 => x"00000000", 7054 => x"00000000", 7055 => x"00000000", 7056 => x"00000000", 7057 => x"00000000", 7058 => x"00000000", 7059 => x"00000000", 7060 => x"00000000", 7061 => x"00000000", 7062 => x"00000000", 7063 => x"00000000", 7064 => x"00000000", 7065 => x"00000000", 7066 => x"00000000", 7067 => x"00000000", 7068 => x"00000000", 7069 => x"00000000", 7070 => x"00000000", 7071 => x"00000000", 7072 => x"00000000", 7073 => x"00000000", 7074 => x"00000000", 7075 => x"00000000", 7076 => x"00000000", 7077 => x"00000000", 7078 => x"00000000", 7079 => x"00000000", 7080 => x"00000000", 7081 => x"00000000", 7082 => x"00000000", 7083 => x"00000000", 7084 => x"00000000", 7085 => x"00000000", 7086 => x"00000000", 7087 => x"00000000", 7088 => x"00000000", 7089 => x"00000000", 7090 => x"00000000", 7091 => x"00000000", 7092 => x"00000000", 7093 => x"00000000", 7094 => x"00000000", 7095 => x"00000000", 7096 => x"00000000", 7097 => x"00000000", 7098 => x"00000000", 7099 => x"00000000", 7100 => x"00000000", 7101 => x"00000000", 7102 => x"00000000", 7103 => x"00000000", 7104 => x"00000000", 7105 => x"00000000", 7106 => x"00000000", 7107 => x"00000000", 7108 => x"00000000", 7109 => x"00000000", 7110 => x"00000000", 7111 => x"00000000", 7112 => x"00000000", 7113 => x"00000000", 7114 => x"00000000", 7115 => x"00000000", 7116 => x"00000000", 7117 => x"00000000", 7118 => x"00000000", 7119 => x"00000000", 7120 => x"00000000", 7121 => x"00000000", 7122 => x"00000000", 7123 => x"00000000", 7124 => x"00000000", 7125 => x"00000000", 7126 => x"00000000", 7127 => x"00000000", 7128 => x"00000000", 7129 => x"00000000", 7130 => x"00000000", 7131 => x"00000000", 7132 => x"00000000", 7133 => x"00000000", 7134 => x"00000000", 7135 => x"00000000", 7136 => x"00000000", 7137 => x"00000000", 7138 => x"00000000", 7139 => x"00000000", 7140 => x"00000000", 7141 => x"00000000", 7142 => x"00000000", 7143 => x"00000000", 7144 => x"00000000", 7145 => x"00000000", 7146 => x"00000000", 7147 => x"00000000", 7148 => x"00000000", 7149 => x"00000000", 7150 => x"00000000", 7151 => x"00000000", 7152 => x"00000000", 7153 => x"00000000", 7154 => x"00000000", 7155 => x"00000000", 7156 => x"00000000", 7157 => x"00000000", 7158 => x"00000000", 7159 => x"00000000", 7160 => x"00000000", 7161 => x"00000000", 7162 => x"00000000", 7163 => x"00000000", 7164 => x"00000000", 7165 => x"00000000", 7166 => x"00000000", 7167 => x"00000000", 7168 => x"00000000", 7169 => x"00000000", 7170 => x"00000000", 7171 => x"00000000", 7172 => x"00000000", 7173 => x"00000000", 7174 => x"00000000", 7175 => x"00000000", 7176 => x"00000000", 7177 => x"00000000", 7178 => x"00000000", 7179 => x"00000000", 7180 => x"00000000", 7181 => x"00000000", 7182 => x"00000000", 7183 => x"00000000", 7184 => x"00000000", 7185 => x"00000000", 7186 => x"00000000", 7187 => x"00000000", 7188 => x"00000000", 7189 => x"00000000", 7190 => x"00000000", 7191 => x"00000000", 7192 => x"00000000", 7193 => x"00000000", 7194 => x"00000000", 7195 => x"00000000", 7196 => x"00000000", 7197 => x"00000000", 7198 => x"00000000", 7199 => x"00000000", 7200 => x"00000000", 7201 => x"00000000", 7202 => x"00000000", 7203 => x"00000000", 7204 => x"00000000", 7205 => x"00000000", 7206 => x"00000000", 7207 => x"00000000", 7208 => x"00000000", 7209 => x"00000000", 7210 => x"00000000", 7211 => x"00000000", 7212 => x"00000000", 7213 => x"00000000", 7214 => x"00000000", 7215 => x"00000000", 7216 => x"00000000", 7217 => x"00000000", 7218 => x"00000000", 7219 => x"00000000", 7220 => x"00000000", 7221 => x"00000000", 7222 => x"00000000", 7223 => x"00000000", 7224 => x"00000000", 7225 => x"00000000", 7226 => x"00000000", 7227 => x"00000000", 7228 => x"00000000", 7229 => x"00000000", 7230 => x"00000000", 7231 => x"00000000", 7232 => x"00000000", 7233 => x"00000000", 7234 => x"00000000", 7235 => x"00000000", 7236 => x"00000000", 7237 => x"00000000", 7238 => x"00000000", 7239 => x"00000000", 7240 => x"00000000", 7241 => x"00000000", 7242 => x"00000000", 7243 => x"00000000", 7244 => x"00000000", 7245 => x"00000000", 7246 => x"00000000", 7247 => x"00000000", 7248 => x"00000000", 7249 => x"00000000", 7250 => x"00000000", 7251 => x"00000000", 7252 => x"00000000", 7253 => x"00000000", 7254 => x"00000000", 7255 => x"00000000", 7256 => x"00000000", 7257 => x"00000000", 7258 => x"00000000", 7259 => x"00000000", 7260 => x"00000000", 7261 => x"00000000", 7262 => x"00000000", 7263 => x"00000000", 7264 => x"00000000", 7265 => x"00000000", 7266 => x"00000000", 7267 => x"00000000", 7268 => x"00000000", 7269 => x"00000000", 7270 => x"00000000", 7271 => x"00000000", 7272 => x"00000000", 7273 => x"00000000", 7274 => x"00000000", 7275 => x"00000000", 7276 => x"00000000", 7277 => x"00000000", 7278 => x"00000000", 7279 => x"00000000", 7280 => x"00000000", 7281 => x"00000000", 7282 => x"00000000", 7283 => x"00000000", 7284 => x"00000000", 7285 => x"00000000", 7286 => x"00000000", 7287 => x"00000000", 7288 => x"00000000", 7289 => x"00000000", 7290 => x"00000000", 7291 => x"00000000", 7292 => x"00000000", 7293 => x"00000000", 7294 => x"00000000", 7295 => x"00000000", 7296 => x"00000000", 7297 => x"00000000", 7298 => x"00000000", 7299 => x"00000000", 7300 => x"00000000", 7301 => x"00000000", 7302 => x"00000000", 7303 => x"00000000", 7304 => x"00000000", 7305 => x"00000000", 7306 => x"00000000", 7307 => x"00000000", 7308 => x"00000000", 7309 => x"00000000", 7310 => x"00000000", 7311 => x"00000000", 7312 => x"00000000", 7313 => x"00000000", 7314 => x"00000000", 7315 => x"00000000", 7316 => x"00000000", 7317 => x"00000000", 7318 => x"00000000", 7319 => x"00000000", 7320 => x"00000000", 7321 => x"00000000", 7322 => x"00000000", 7323 => x"00000000", 7324 => x"00000000", 7325 => x"00000000", 7326 => x"00000000", 7327 => x"00000000", 7328 => x"00000000", 7329 => x"00000000", 7330 => x"00000000", 7331 => x"00000000", 7332 => x"00000000", 7333 => x"00000000", 7334 => x"00000000", 7335 => x"00000000", 7336 => x"00000000", 7337 => x"00000000", 7338 => x"00000000", 7339 => x"00000000", 7340 => x"00000000", 7341 => x"00000000", 7342 => x"00000000", 7343 => x"00000000", 7344 => x"00000000", 7345 => x"00000000", 7346 => x"00000000", 7347 => x"00000000", 7348 => x"00000000", 7349 => x"00000000", 7350 => x"00000000", 7351 => x"00000000", 7352 => x"00000000", 7353 => x"00000000", 7354 => x"00000000", 7355 => x"00000000", 7356 => x"00000000", 7357 => x"00000000", 7358 => x"00000000", 7359 => x"00000000", 7360 => x"00000000", 7361 => x"00000000", 7362 => x"00000000", 7363 => x"00000000", 7364 => x"00000000", 7365 => x"00000000", 7366 => x"00000000", 7367 => x"00000000", 7368 => x"00000000", 7369 => x"00000000", 7370 => x"00000000", 7371 => x"00000000", 7372 => x"00000000", 7373 => x"00000000", 7374 => x"00000000", 7375 => x"00000000", 7376 => x"00000000", 7377 => x"00000000", 7378 => x"00000000", 7379 => x"00000000", 7380 => x"00000000", 7381 => x"00000000", 7382 => x"00000000", 7383 => x"00000000", 7384 => x"00000000", 7385 => x"00000000", 7386 => x"00000000", 7387 => x"00000000", 7388 => x"00000000", 7389 => x"00000000", 7390 => x"00000000", 7391 => x"00000000", 7392 => x"00000000", 7393 => x"00000000", 7394 => x"00000000", 7395 => x"00000000", 7396 => x"00000000", 7397 => x"00000000", 7398 => x"00000000", 7399 => x"00000000", 7400 => x"00000000", 7401 => x"00000000", 7402 => x"00000000", 7403 => x"00000000", 7404 => x"00000000", 7405 => x"00000000", 7406 => x"00000000", 7407 => x"00000000", 7408 => x"00000000", 7409 => x"00000000", 7410 => x"00000000", 7411 => x"00000000", 7412 => x"00000000", 7413 => x"00000000", 7414 => x"00000000", 7415 => x"00000000", 7416 => x"00000000", 7417 => x"00000000", 7418 => x"00000000", 7419 => x"00000000", 7420 => x"00000000", 7421 => x"00000000", 7422 => x"00000000", 7423 => x"00000000", 7424 => x"00000000", 7425 => x"00000000", 7426 => x"00000000", 7427 => x"00000000", 7428 => x"00000000", 7429 => x"00000000", 7430 => x"00000000", 7431 => x"00000000", 7432 => x"00000000", 7433 => x"00000000", 7434 => x"00000000", 7435 => x"00000000", 7436 => x"00000000", 7437 => x"00000000", 7438 => x"00000000", 7439 => x"00000000", 7440 => x"00000000", 7441 => x"00000000", 7442 => x"00000000", 7443 => x"00000000", 7444 => x"00000000", 7445 => x"00000000", 7446 => x"00000000", 7447 => x"00000000", 7448 => x"00000000", 7449 => x"00000000", 7450 => x"00000000", 7451 => x"00000000", 7452 => x"00000000", 7453 => x"00000000", 7454 => x"00000000", 7455 => x"00000000", 7456 => x"00000000", 7457 => x"00000000", 7458 => x"00000000", 7459 => x"00000000", 7460 => x"00000000", 7461 => x"00000000", 7462 => x"00000000", 7463 => x"00000000", 7464 => x"00000000", 7465 => x"00000000", 7466 => x"00000000", 7467 => x"00000000", 7468 => x"00000000", 7469 => x"00000000", 7470 => x"00000000", 7471 => x"00000000", 7472 => x"00000000", 7473 => x"00000000", 7474 => x"00000000", 7475 => x"00000000", 7476 => x"00000000", 7477 => x"00000000", 7478 => x"00000000", 7479 => x"00000000", 7480 => x"00000000", 7481 => x"00000000", 7482 => x"00000000", 7483 => x"00000000", 7484 => x"00000000", 7485 => x"00000000", 7486 => x"00000000", 7487 => x"00000000", 7488 => x"00000000", 7489 => x"00000000", 7490 => x"00000000", 7491 => x"00000000", 7492 => x"00000000", 7493 => x"00000000", 7494 => x"00000000", 7495 => x"00000000", 7496 => x"00000000", 7497 => x"00000000", 7498 => x"00000000", 7499 => x"00000000", 7500 => x"00000000", 7501 => x"00000000", 7502 => x"00000000", 7503 => x"00000000", 7504 => x"00000000", 7505 => x"00000000", 7506 => x"00000000", 7507 => x"00000000", 7508 => x"00000000", 7509 => x"00000000", 7510 => x"00000000", 7511 => x"00000000", 7512 => x"00000000", 7513 => x"00000000", 7514 => x"00000000", 7515 => x"00000000", 7516 => x"00000000", 7517 => x"00000000", 7518 => x"00000000", 7519 => x"00000000", 7520 => x"00000000", 7521 => x"00000000", 7522 => x"00000000", 7523 => x"00000000", 7524 => x"00000000", 7525 => x"00000000", 7526 => x"00000000", 7527 => x"00000000", 7528 => x"00000000", 7529 => x"00000000", 7530 => x"00000000", 7531 => x"00000000", 7532 => x"00000000", 7533 => x"00000000", 7534 => x"00000000", 7535 => x"00000000", 7536 => x"00000000", 7537 => x"00000000", 7538 => x"00000000", 7539 => x"00000000", 7540 => x"00000000", 7541 => x"00000000", 7542 => x"00000000", 7543 => x"00000000", 7544 => x"00000000", 7545 => x"00000000", 7546 => x"00000000", 7547 => x"00000000", 7548 => x"00000000", 7549 => x"00000000", 7550 => x"00000000", 7551 => x"00000000", 7552 => x"00000000", 7553 => x"00000000", 7554 => x"00000000", 7555 => x"00000000", 7556 => x"00000000", 7557 => x"00000000", 7558 => x"00000000", 7559 => x"00000000", 7560 => x"00000000", 7561 => x"00000000", 7562 => x"00000000", 7563 => x"00000000", 7564 => x"00000000", 7565 => x"00000000", 7566 => x"00000000", 7567 => x"00000000", 7568 => x"00000000", 7569 => x"00000000", 7570 => x"00000000", 7571 => x"00000000", 7572 => x"00000000", 7573 => x"00000000", 7574 => x"00000000", 7575 => x"00000000", 7576 => x"00000000", 7577 => x"00000000", 7578 => x"00000000", 7579 => x"00000000", 7580 => x"00000000", 7581 => x"00000000", 7582 => x"00000000", 7583 => x"00000000", 7584 => x"00000000", 7585 => x"00000000", 7586 => x"00000000", 7587 => x"00000000", 7588 => x"00000000", 7589 => x"00000000", 7590 => x"00000000", 7591 => x"00000000", 7592 => x"00000000", 7593 => x"00000000", 7594 => x"00000000", 7595 => x"00000000", 7596 => x"00000000", 7597 => x"00000000", 7598 => x"00000000", 7599 => x"00000000", 7600 => x"00000000", 7601 => x"00000000", 7602 => x"00000000", 7603 => x"00000000", 7604 => x"00000000", 7605 => x"00000000", 7606 => x"00000000", 7607 => x"00000000", 7608 => x"00000000", 7609 => x"00000000", 7610 => x"00000000", 7611 => x"00000000", 7612 => x"00000000", 7613 => x"00000000", 7614 => x"00000000", 7615 => x"00000000", 7616 => x"00000000", 7617 => x"00000000", 7618 => x"00000000", 7619 => x"00000000", 7620 => x"00000000", 7621 => x"00000000", 7622 => x"00000000", 7623 => x"00000000", 7624 => x"00000000", 7625 => x"00000000", 7626 => x"00000000", 7627 => x"00000000", 7628 => x"00000000", 7629 => x"00000000", 7630 => x"00000000", 7631 => x"00000000", 7632 => x"00000000", 7633 => x"00000000", 7634 => x"00000000", 7635 => x"00000000", 7636 => x"00000000", 7637 => x"00000000", 7638 => x"00000000", 7639 => x"00000000", 7640 => x"00000000", 7641 => x"00000000", 7642 => x"00000000", 7643 => x"00000000", 7644 => x"00000000", 7645 => x"00000000", 7646 => x"00000000", 7647 => x"00000000", 7648 => x"00000000", 7649 => x"00000000", 7650 => x"00000000", 7651 => x"00000000", 7652 => x"00000000", 7653 => x"00000000", 7654 => x"00000000", 7655 => x"00000000", 7656 => x"00000000", 7657 => x"00000000", 7658 => x"00000000", 7659 => x"00000000", 7660 => x"00000000", 7661 => x"00000000", 7662 => x"00000000", 7663 => x"00000000", 7664 => x"00000000", 7665 => x"00000000", 7666 => x"00000000", 7667 => x"00000000", 7668 => x"00000000", 7669 => x"00000000", 7670 => x"00000000", 7671 => x"00000000", 7672 => x"00000000", 7673 => x"00000000", 7674 => x"00000000", 7675 => x"00000000", 7676 => x"00000000", 7677 => x"00000000", 7678 => x"00000000", 7679 => x"00000000", 7680 => x"00000000", 7681 => x"00000000", 7682 => x"00000000", 7683 => x"00000000", 7684 => x"00000000", 7685 => x"00000000", 7686 => x"00000000", 7687 => x"00000000", 7688 => x"00000000", 7689 => x"00000000", 7690 => x"00000000", 7691 => x"00000000", 7692 => x"00000000", 7693 => x"00000000", 7694 => x"00000000", 7695 => x"00000000", 7696 => x"00000000", 7697 => x"00000000", 7698 => x"00000000", 7699 => x"00000000", 7700 => x"00000000", 7701 => x"00000000", 7702 => x"00000000", 7703 => x"00000000", 7704 => x"00000000", 7705 => x"00000000", 7706 => x"00000000", 7707 => x"00000000", 7708 => x"00000000", 7709 => x"00000000", 7710 => x"00000000", 7711 => x"00000000", 7712 => x"00000000", 7713 => x"00000000", 7714 => x"00000000", 7715 => x"00000000", 7716 => x"00000000", 7717 => x"00000000", 7718 => x"00000000", 7719 => x"00000000", 7720 => x"00000000", 7721 => x"00000000", 7722 => x"00000000", 7723 => x"00000000", 7724 => x"00000000", 7725 => x"00000000", 7726 => x"00000000", 7727 => x"00000000", 7728 => x"00000000", 7729 => x"00000000", 7730 => x"00000000", 7731 => x"00000000", 7732 => x"00000000", 7733 => x"00000000", 7734 => x"00000000", 7735 => x"00000000", 7736 => x"00000000", 7737 => x"00000000", 7738 => x"00000000", 7739 => x"00000000", 7740 => x"00000000", 7741 => x"00000000", 7742 => x"00000000", 7743 => x"00000000", 7744 => x"00000000", 7745 => x"00000000", 7746 => x"00000000", 7747 => x"00000000", 7748 => x"00000000", 7749 => x"00000000", 7750 => x"00000000", 7751 => x"00000000", 7752 => x"00000000", 7753 => x"00000000", 7754 => x"00000000", 7755 => x"00000000", 7756 => x"00000000", 7757 => x"00000000", 7758 => x"00000000", 7759 => x"00000000", 7760 => x"00000000", 7761 => x"00000000", 7762 => x"00000000", 7763 => x"00000000", 7764 => x"00000000", 7765 => x"00000000", 7766 => x"00000000", 7767 => x"00000000", 7768 => x"00000000", 7769 => x"00000000", 7770 => x"00000000", 7771 => x"00000000", 7772 => x"00000000", 7773 => x"00000000", 7774 => x"00000000", 7775 => x"00000000", 7776 => x"00000000", 7777 => x"00000000", 7778 => x"00000000", 7779 => x"00000000", 7780 => x"00000000", 7781 => x"00000000", 7782 => x"00000000", 7783 => x"00000000", 7784 => x"00000000", 7785 => x"00000000", 7786 => x"00000000", 7787 => x"00000000", 7788 => x"00000000", 7789 => x"00000000", 7790 => x"00000000", 7791 => x"00000000", 7792 => x"00000000", 7793 => x"00000000", 7794 => x"00000000", 7795 => x"00000000", 7796 => x"00000000", 7797 => x"00000000", 7798 => x"00000000", 7799 => x"00000000", 7800 => x"00000000", 7801 => x"00000000", 7802 => x"00000000", 7803 => x"00000000", 7804 => x"00000000", 7805 => x"00000000", 7806 => x"00000000", 7807 => x"00000000", 7808 => x"00000000", 7809 => x"00000000", 7810 => x"00000000", 7811 => x"00000000", 7812 => x"00000000", 7813 => x"00000000", 7814 => x"00000000", 7815 => x"00000000", 7816 => x"00000000", 7817 => x"00000000", 7818 => x"00000000", 7819 => x"00000000", 7820 => x"00000000", 7821 => x"00000000", 7822 => x"00000000", 7823 => x"00000000", 7824 => x"00000000", 7825 => x"00000000", 7826 => x"00000000", 7827 => x"00000000", 7828 => x"00000000", 7829 => x"00000000", 7830 => x"00000000", 7831 => x"00000000", 7832 => x"00000000", 7833 => x"00000000", 7834 => x"00000000", 7835 => x"00000000", 7836 => x"00000000", 7837 => x"00000000", 7838 => x"00000000", 7839 => x"00000000", 7840 => x"00000000", 7841 => x"00000000", 7842 => x"00000000", 7843 => x"00000000", 7844 => x"00000000", 7845 => x"00000000", 7846 => x"00000000", 7847 => x"00000000", 7848 => x"00000000", 7849 => x"00000000", 7850 => x"00000000", 7851 => x"00000000", 7852 => x"00000000", 7853 => x"00000000", 7854 => x"00000000", 7855 => x"00000000", 7856 => x"00000000", 7857 => x"00000000", 7858 => x"00000000", 7859 => x"00000000", 7860 => x"00000000", 7861 => x"00000000", 7862 => x"00000000", 7863 => x"00000000", 7864 => x"00000000", 7865 => x"00000000", 7866 => x"00000000", 7867 => x"00000000", 7868 => x"00000000", 7869 => x"00000000", 7870 => x"00000000", 7871 => x"00000000", 7872 => x"00000000", 7873 => x"00000000", 7874 => x"00000000", 7875 => x"00000000", 7876 => x"00000000", 7877 => x"00000000", 7878 => x"00000000", 7879 => x"00000000", 7880 => x"00000000", 7881 => x"00000000", 7882 => x"00000000", 7883 => x"00000000", 7884 => x"00000000", 7885 => x"00000000", 7886 => x"00000000", 7887 => x"00000000", 7888 => x"00000000", 7889 => x"00000000", 7890 => x"00000000", 7891 => x"00000000", 7892 => x"00000000", 7893 => x"00000000", 7894 => x"00000000", 7895 => x"00000000", 7896 => x"00000000", 7897 => x"00000000", 7898 => x"00000000", 7899 => x"00000000", 7900 => x"00000000", 7901 => x"00000000", 7902 => x"00000000", 7903 => x"00000000", 7904 => x"00000000", 7905 => x"00000000", 7906 => x"00000000", 7907 => x"00000000", 7908 => x"00000000", 7909 => x"00000000", 7910 => x"00000000", 7911 => x"00000000", 7912 => x"00000000", 7913 => x"00000000", 7914 => x"00000000", 7915 => x"00000000", 7916 => x"00000000", 7917 => x"00000000", 7918 => x"00000000", 7919 => x"00000000", 7920 => x"00000000", 7921 => x"00000000", 7922 => x"00000000", 7923 => x"00000000", 7924 => x"00000000", 7925 => x"00000000", 7926 => x"00000000", 7927 => x"00000000", 7928 => x"00000000", 7929 => x"00000000", 7930 => x"00000000", 7931 => x"00000000", 7932 => x"00000000", 7933 => x"00000000", 7934 => x"00000000", 7935 => x"00000000", 7936 => x"00000000", 7937 => x"00000000", 7938 => x"00000000", 7939 => x"00000000", 7940 => x"00000000", 7941 => x"00000000", 7942 => x"00000000", 7943 => x"00000000", 7944 => x"00000000", 7945 => x"00000000", 7946 => x"00000000", 7947 => x"00000000", 7948 => x"00000000", 7949 => x"00000000", 7950 => x"00000000", 7951 => x"00000000", 7952 => x"00000000", 7953 => x"00000000", 7954 => x"00000000", 7955 => x"00000000", 7956 => x"00000000", 7957 => x"00000000", 7958 => x"00000000", 7959 => x"00000000", 7960 => x"00000000", 7961 => x"00000000", 7962 => x"00000000", 7963 => x"00000000", 7964 => x"00000000", 7965 => x"00000000", 7966 => x"00000000", 7967 => x"00000000", 7968 => x"00000000", 7969 => x"00000000", 7970 => x"00000000", 7971 => x"00000000", 7972 => x"00000000", 7973 => x"00000000", 7974 => x"00000000", 7975 => x"00000000", 7976 => x"00000000", 7977 => x"00000000", 7978 => x"00000000", 7979 => x"00000000", 7980 => x"00000000", 7981 => x"00000000", 7982 => x"00000000", 7983 => x"00000000", 7984 => x"00000000", 7985 => x"00000000", 7986 => x"00000000", 7987 => x"00000000", 7988 => x"00000000", 7989 => x"00000000", 7990 => x"00000000", 7991 => x"00000000", 7992 => x"00000000", 7993 => x"00000000", 7994 => x"00000000", 7995 => x"00000000", 7996 => x"00000000", 7997 => x"00000000", 7998 => x"00000000", 7999 => x"00000000", 8000 => x"00000000", 8001 => x"00000000", 8002 => x"00000000", 8003 => x"00000000", 8004 => x"00000000", 8005 => x"00000000", 8006 => x"00000000", 8007 => x"00000000", 8008 => x"00000000", 8009 => x"00000000", 8010 => x"00000000", 8011 => x"00000000", 8012 => x"00000000", 8013 => x"00000000", 8014 => x"00000000", 8015 => x"00000000", 8016 => x"00000000", 8017 => x"00000000", 8018 => x"00000000", 8019 => x"00000000", 8020 => x"00000000", 8021 => x"00000000", 8022 => x"00000000", 8023 => x"00000000", 8024 => x"00000000", 8025 => x"00000000", 8026 => x"00000000", 8027 => x"00000000", 8028 => x"00000000", 8029 => x"00000000", 8030 => x"00000000", 8031 => x"00000000", 8032 => x"00000000", 8033 => x"00000000", 8034 => x"00000000", 8035 => x"00000000", 8036 => x"00000000", 8037 => x"00000000", 8038 => x"00000000", 8039 => x"00000000", 8040 => x"00000000", 8041 => x"00000000", 8042 => x"00000000", 8043 => x"00000000", 8044 => x"00000000", 8045 => x"00000000", 8046 => x"00000000", 8047 => x"00000000", 8048 => x"00000000", 8049 => x"00000000", 8050 => x"00000000", 8051 => x"00000000", 8052 => x"00000000", 8053 => x"00000000", 8054 => x"00000000", 8055 => x"00000000", 8056 => x"00000000", 8057 => x"00000000", 8058 => x"00000000", 8059 => x"00000000", 8060 => x"00000000", 8061 => x"00000000", 8062 => x"00000000", 8063 => x"00000000", 8064 => x"00000000", 8065 => x"00000000", 8066 => x"00000000", 8067 => x"00000000", 8068 => x"00000000", 8069 => x"00000000", 8070 => x"00000000", 8071 => x"00000000", 8072 => x"00000000", 8073 => x"00000000", 8074 => x"00000000", 8075 => x"00000000", 8076 => x"00000000", 8077 => x"00000000", 8078 => x"00000000", 8079 => x"00000000", 8080 => x"00000000", 8081 => x"00000000", 8082 => x"00000000", 8083 => x"00000000", 8084 => x"00000000", 8085 => x"00000000", 8086 => x"00000000", 8087 => x"00000000", 8088 => x"00000000", 8089 => x"00000000", 8090 => x"00000000", 8091 => x"00000000", 8092 => x"00000000", 8093 => x"00000000", 8094 => x"00000000", 8095 => x"00000000", 8096 => x"00000000", 8097 => x"00000000", 8098 => x"00000000", 8099 => x"00000000", 8100 => x"00000000", 8101 => x"00000000", 8102 => x"00000000", 8103 => x"00000000", 8104 => x"00000000", 8105 => x"00000000", 8106 => x"00000000", 8107 => x"00000000", 8108 => x"00000000", 8109 => x"00000000", 8110 => x"00000000", 8111 => x"00000000", 8112 => x"00000000", 8113 => x"00000000", 8114 => x"00000000", 8115 => x"00000000", 8116 => x"00000000", 8117 => x"00000000", 8118 => x"00000000", 8119 => x"00000000", 8120 => x"00000000", 8121 => x"00000000", 8122 => x"00000000", 8123 => x"00000000", 8124 => x"00000000", 8125 => x"00000000", 8126 => x"00000000", 8127 => x"00000000", 8128 => x"00000000", 8129 => x"00000000", 8130 => x"00000000", 8131 => x"00000000", 8132 => x"00000000", 8133 => x"00000000", 8134 => x"00000000", 8135 => x"00000000", 8136 => x"00000000", 8137 => x"00000000", 8138 => x"00000000", 8139 => x"00000000", 8140 => x"00000000", 8141 => x"00000000", 8142 => x"00000000", 8143 => x"00000000", 8144 => x"00000000", 8145 => x"00000000", 8146 => x"00000000", 8147 => x"00000000", 8148 => x"00000000", 8149 => x"00000000", 8150 => x"00000000", 8151 => x"00000000", 8152 => x"00000000", 8153 => x"00000000", 8154 => x"00000000", 8155 => x"00000000", 8156 => x"00000000", 8157 => x"00000000", 8158 => x"00000000", 8159 => x"00000000", 8160 => x"00000000", 8161 => x"00000000", 8162 => x"00000000", 8163 => x"00000000", 8164 => x"00000000", 8165 => x"00000000", 8166 => x"00000000", 8167 => x"00000000", 8168 => x"00000000", 8169 => x"00000000", 8170 => x"00000000", 8171 => x"00000000", 8172 => x"00000000", 8173 => x"00000000", 8174 => x"00000000", 8175 => x"00000000", 8176 => x"00000000", 8177 => x"00000000", 8178 => x"00000000", 8179 => x"00000000", 8180 => x"00000000", 8181 => x"00000000", 8182 => x"00000000", 8183 => x"00000000", 8184 => x"00000000", 8185 => x"00000000", 8186 => x"00000000", 8187 => x"00000000", 8188 => x"00000000", 8189 => x"00000000", 8190 => x"00000000", 8191 => x"00000000", 8192 => x"00000000", 8193 => x"00000000", 8194 => x"00000000", 8195 => x"00000000", 8196 => x"00000000", 8197 => x"00000000", 8198 => x"00000000", 8199 => x"00000000", 8200 => x"00000000", 8201 => x"00000000", 8202 => x"00000000", 8203 => x"00000000", 8204 => x"00000000", 8205 => x"00000000", 8206 => x"00000000", 8207 => x"00000000", 8208 => x"00000000", 8209 => x"00000000", 8210 => x"00000000", 8211 => x"00000000", 8212 => x"00000000", 8213 => x"00000000", 8214 => x"00000000", 8215 => x"00000000", 8216 => x"00000000", 8217 => x"00000000", 8218 => x"00000000", 8219 => x"00000000", 8220 => x"00000000", 8221 => x"00000000", 8222 => x"00000000", 8223 => x"00000000", 8224 => x"00000000", 8225 => x"00000000", 8226 => x"00000000", 8227 => x"00000000", 8228 => x"00000000", 8229 => x"00000000", 8230 => x"00000000", 8231 => x"00000000", 8232 => x"00000000", 8233 => x"00000000", 8234 => x"00000000", 8235 => x"00000000", 8236 => x"00000000", 8237 => x"00000000", 8238 => x"00000000", 8239 => x"00000000", 8240 => x"00000000", 8241 => x"00000000", 8242 => x"00000000", 8243 => x"00000000", 8244 => x"00000000", 8245 => x"00000000", 8246 => x"00000000", 8247 => x"00000000", 8248 => x"00000000", 8249 => x"00000000", 8250 => x"00000000", 8251 => x"00000000", 8252 => x"00000000", 8253 => x"00000000", 8254 => x"00000000", 8255 => x"00000000", 8256 => x"00000000", 8257 => x"00000000", 8258 => x"00000000", 8259 => x"00000000", 8260 => x"00000000", 8261 => x"00000000", 8262 => x"00000000", 8263 => x"00000000", 8264 => x"00000000", 8265 => x"00000000", 8266 => x"00000000", 8267 => x"00000000", 8268 => x"00000000", 8269 => x"00000000", 8270 => x"00000000", 8271 => x"00000000", 8272 => x"00000000", 8273 => x"00000000", 8274 => x"00000000", 8275 => x"00000000", 8276 => x"00000000", 8277 => x"00000000", 8278 => x"00000000", 8279 => x"00000000", 8280 => x"00000000", 8281 => x"00000000", 8282 => x"00000000", 8283 => x"00000000", 8284 => x"00000000", 8285 => x"00000000", 8286 => x"00000000", 8287 => x"00000000", 8288 => x"00000000", 8289 => x"00000000", 8290 => x"00000000", 8291 => x"00000000", 8292 => x"00000000", 8293 => x"00000000", 8294 => x"00000000", 8295 => x"00000000", 8296 => x"00000000", 8297 => x"00000000", 8298 => x"00000000", 8299 => x"00000000", 8300 => x"00000000", 8301 => x"00000000", 8302 => x"00000000", 8303 => x"00000000", 8304 => x"00000000", 8305 => x"00000000", 8306 => x"00000000", 8307 => x"00000000", 8308 => x"00000000", 8309 => x"00000000", 8310 => x"00000000", 8311 => x"00000000", 8312 => x"00000000", 8313 => x"00000000", 8314 => x"00000000", 8315 => x"00000000", 8316 => x"00000000", 8317 => x"00000000", 8318 => x"00000000", 8319 => x"00000000", 8320 => x"00000000", 8321 => x"00000000", 8322 => x"00000000", 8323 => x"00000000", 8324 => x"00000000", 8325 => x"00000000", 8326 => x"00000000", 8327 => x"00000000", 8328 => x"00000000", 8329 => x"00000000", 8330 => x"00000000", 8331 => x"00000000", 8332 => x"00000000", 8333 => x"00000000", 8334 => x"00000000", 8335 => x"00000000", 8336 => x"00000000", 8337 => x"00000000", 8338 => x"00000000", 8339 => x"00000000", 8340 => x"00000000", 8341 => x"00000000", 8342 => x"00000000", 8343 => x"00000000", 8344 => x"00000000", 8345 => x"00000000", 8346 => x"00000000", 8347 => x"00000000", 8348 => x"00000000", 8349 => x"00000000", 8350 => x"00000000", 8351 => x"00000000", 8352 => x"00000000", 8353 => x"00000000", 8354 => x"00000000", 8355 => x"00000000", 8356 => x"00000000", 8357 => x"00000000", 8358 => x"00000000", 8359 => x"00000000", 8360 => x"00000000", 8361 => x"00000000", 8362 => x"00000000", 8363 => x"00000000", 8364 => x"00000000", 8365 => x"00000000", 8366 => x"00000000", 8367 => x"00000000", 8368 => x"00000000", 8369 => x"00000000", 8370 => x"00000000", 8371 => x"00000000", 8372 => x"00000000", 8373 => x"00000000", 8374 => x"00000000", 8375 => x"00000000", 8376 => x"00000000", 8377 => x"00000000", 8378 => x"00000000", 8379 => x"00000000", 8380 => x"00000000", 8381 => x"00000000", 8382 => x"00000000", 8383 => x"00000000", 8384 => x"00000000", 8385 => x"00000000", 8386 => x"00000000", 8387 => x"00000000", 8388 => x"00000000", 8389 => x"00000000", 8390 => x"00000000", 8391 => x"00000000", 8392 => x"00000000", 8393 => x"00000000", 8394 => x"00000000", 8395 => x"00000000", 8396 => x"00000000", 8397 => x"00000000", 8398 => x"00000000", 8399 => x"00000000", 8400 => x"00000000", 8401 => x"00000000", 8402 => x"00000000", 8403 => x"00000000", 8404 => x"00000000", 8405 => x"00000000", 8406 => x"00000000", 8407 => x"00000000", 8408 => x"00000000", 8409 => x"00000000", 8410 => x"00000000", 8411 => x"00000000", 8412 => x"00000000", 8413 => x"00000000", 8414 => x"00000000", 8415 => x"00000000", 8416 => x"00000000", 8417 => x"00000000", 8418 => x"00000000", 8419 => x"00000000", 8420 => x"00000000", 8421 => x"00000000", 8422 => x"00000000", 8423 => x"00000000", 8424 => x"00000000", 8425 => x"00000000", 8426 => x"00000000", 8427 => x"00000000", 8428 => x"00000000", 8429 => x"00000000", 8430 => x"00000000", 8431 => x"00000000", 8432 => x"00000000", 8433 => x"00000000", 8434 => x"00000000", 8435 => x"00000000", 8436 => x"00000000", 8437 => x"00000000", 8438 => x"00000000", 8439 => x"00000000", 8440 => x"00000000", 8441 => x"00000000", 8442 => x"00000000", 8443 => x"00000000", 8444 => x"00000000", 8445 => x"00000000", 8446 => x"00000000", 8447 => x"00000000", 8448 => x"00000000", 8449 => x"00000000", 8450 => x"00000000", 8451 => x"00000000", 8452 => x"00000000", 8453 => x"00000000", 8454 => x"00000000", 8455 => x"00000000", 8456 => x"00000000", 8457 => x"00000000", 8458 => x"00000000", 8459 => x"00000000", 8460 => x"00000000", 8461 => x"00000000", 8462 => x"00000000", 8463 => x"00000000", 8464 => x"00000000", 8465 => x"00000000", 8466 => x"00000000", 8467 => x"00000000", 8468 => x"00000000", 8469 => x"00000000", 8470 => x"00000000", 8471 => x"00000000", 8472 => x"00000000", 8473 => x"00000000", 8474 => x"00000000", 8475 => x"00000000", 8476 => x"00000000", 8477 => x"00000000", 8478 => x"00000000", 8479 => x"00000000", 8480 => x"00000000", 8481 => x"00000000", 8482 => x"00000000", 8483 => x"00000000", 8484 => x"00000000", 8485 => x"00000000", 8486 => x"00000000", 8487 => x"00000000", 8488 => x"00000000", 8489 => x"00000000", 8490 => x"00000000", 8491 => x"00000000", 8492 => x"00000000", 8493 => x"00000000", 8494 => x"00000000", 8495 => x"00000000", 8496 => x"00000000", 8497 => x"00000000", 8498 => x"00000000", 8499 => x"00000000", 8500 => x"00000000", 8501 => x"00000000", 8502 => x"00000000", 8503 => x"00000000", 8504 => x"00000000", 8505 => x"00000000", 8506 => x"00000000", 8507 => x"00000000", 8508 => x"00000000", 8509 => x"00000000", 8510 => x"00000000", 8511 => x"00000000", 8512 => x"00000000", 8513 => x"00000000", 8514 => x"00000000", 8515 => x"00000000", 8516 => x"00000000", 8517 => x"00000000", 8518 => x"00000000", 8519 => x"00000000", 8520 => x"00000000", 8521 => x"00000000", 8522 => x"00000000", 8523 => x"00000000", 8524 => x"00000000", 8525 => x"00000000", 8526 => x"00000000", 8527 => x"00000000", 8528 => x"00000000", 8529 => x"00000000", 8530 => x"00000000", 8531 => x"00000000", 8532 => x"00000000", 8533 => x"00000000", 8534 => x"00000000", 8535 => x"00000000", 8536 => x"00000000", 8537 => x"00000000", 8538 => x"00000000", 8539 => x"00000000", 8540 => x"00000000", 8541 => x"00000000", 8542 => x"00000000", 8543 => x"00000000", 8544 => x"00000000", 8545 => x"00000000", 8546 => x"00000000", 8547 => x"00000000", 8548 => x"00000000", 8549 => x"00000000", 8550 => x"00000000", 8551 => x"00000000", 8552 => x"00000000", 8553 => x"00000000", 8554 => x"00000000", 8555 => x"00000000", 8556 => x"00000000", 8557 => x"00000000", 8558 => x"00000000", 8559 => x"00000000", 8560 => x"00000000", 8561 => x"00000000", 8562 => x"00000000", 8563 => x"00000000", 8564 => x"00000000", 8565 => x"00000000", 8566 => x"00000000", 8567 => x"00000000", 8568 => x"00000000", 8569 => x"00000000", 8570 => x"00000000", 8571 => x"00000000", 8572 => x"00000000", 8573 => x"00000000", 8574 => x"00000000", 8575 => x"00000000", 8576 => x"00000000", 8577 => x"00000000", 8578 => x"00000000", 8579 => x"00000000", 8580 => x"00000000", 8581 => x"00000000", 8582 => x"00000000", 8583 => x"00000000", 8584 => x"00000000", 8585 => x"00000000", 8586 => x"00000000", 8587 => x"00000000", 8588 => x"00000000", 8589 => x"00000000", 8590 => x"00000000", 8591 => x"00000000", 8592 => x"00000000", 8593 => x"00000000", 8594 => x"00000000", 8595 => x"00000000", 8596 => x"00000000", 8597 => x"00000000", 8598 => x"00000000", 8599 => x"00000000", 8600 => x"00000000", 8601 => x"00000000", 8602 => x"00000000", 8603 => x"00000000", 8604 => x"00000000", 8605 => x"00000000", 8606 => x"00000000", 8607 => x"00000000", 8608 => x"00000000", 8609 => x"00000000", 8610 => x"00000000", 8611 => x"00000000", 8612 => x"00000000", 8613 => x"00000000", 8614 => x"00000000", 8615 => x"00000000", 8616 => x"00000000", 8617 => x"00000000", 8618 => x"00000000", 8619 => x"00000000", 8620 => x"00000000", 8621 => x"00000000", 8622 => x"00000000", 8623 => x"00000000", 8624 => x"00000000", 8625 => x"00000000", 8626 => x"00000000", 8627 => x"00000000", 8628 => x"00000000", 8629 => x"00000000", 8630 => x"00000000", 8631 => x"00000000", 8632 => x"00000000", 8633 => x"00000000", 8634 => x"00000000", 8635 => x"00000000", 8636 => x"00000000", 8637 => x"00000000", 8638 => x"00000000", 8639 => x"00000000", 8640 => x"00000000", 8641 => x"00000000", 8642 => x"00000000", 8643 => x"00000000", 8644 => x"00000000", 8645 => x"00000000", 8646 => x"00000000", 8647 => x"00000000", 8648 => x"00000000", 8649 => x"00000000", 8650 => x"00000000", 8651 => x"00000000", 8652 => x"00000000", 8653 => x"00000000", 8654 => x"00000000", 8655 => x"00000000", 8656 => x"00000000", 8657 => x"00000000", 8658 => x"00000000", 8659 => x"00000000", 8660 => x"00000000", 8661 => x"00000000", 8662 => x"00000000", 8663 => x"00000000", 8664 => x"00000000", 8665 => x"00000000", 8666 => x"00000000", 8667 => x"00000000", 8668 => x"00000000", 8669 => x"00000000", 8670 => x"00000000", 8671 => x"00000000", 8672 => x"00000000", 8673 => x"00000000", 8674 => x"00000000", 8675 => x"00000000", 8676 => x"00000000", 8677 => x"00000000", 8678 => x"00000000", 8679 => x"00000000", 8680 => x"00000000", 8681 => x"00000000", 8682 => x"00000000", 8683 => x"00000000", 8684 => x"00000000", 8685 => x"00000000", 8686 => x"00000000", 8687 => x"00000000", 8688 => x"00000000", 8689 => x"00000000", 8690 => x"00000000", 8691 => x"00000000", 8692 => x"00000000", 8693 => x"00000000", 8694 => x"00000000", 8695 => x"00000000", 8696 => x"00000000", 8697 => x"00000000", 8698 => x"00000000", 8699 => x"00000000", 8700 => x"00000000", 8701 => x"00000000", 8702 => x"00000000", 8703 => x"00000000", 8704 => x"00000000", 8705 => x"00000000", 8706 => x"00000000", 8707 => x"00000000", 8708 => x"00000000", 8709 => x"00000000", 8710 => x"00000000", 8711 => x"00000000", 8712 => x"00000000", 8713 => x"00000000", 8714 => x"00000000", 8715 => x"00000000", 8716 => x"00000000", 8717 => x"00000000", 8718 => x"00000000", 8719 => x"00000000", 8720 => x"00000000", 8721 => x"00000000", 8722 => x"00000000", 8723 => x"00000000", 8724 => x"00000000", 8725 => x"00000000", 8726 => x"00000000", 8727 => x"00000000", 8728 => x"00000000", 8729 => x"00000000", 8730 => x"00000000", 8731 => x"00000000", 8732 => x"00000000", 8733 => x"00000000", 8734 => x"00000000", 8735 => x"00000000", 8736 => x"00000000", 8737 => x"00000000", 8738 => x"00000000", 8739 => x"00000000", 8740 => x"00000000", 8741 => x"00000000", 8742 => x"00000000", 8743 => x"00000000", 8744 => x"00000000", 8745 => x"00000000", 8746 => x"00000000", 8747 => x"00000000", 8748 => x"00000000", 8749 => x"00000000", 8750 => x"00000000", 8751 => x"00000000", 8752 => x"00000000", 8753 => x"00000000", 8754 => x"00000000", 8755 => x"00000000", 8756 => x"00000000", 8757 => x"00000000", 8758 => x"00000000", 8759 => x"00000000", 8760 => x"00000000", 8761 => x"00000000", 8762 => x"00000000", 8763 => x"00000000", 8764 => x"00000000", 8765 => x"00000000", 8766 => x"00000000", 8767 => x"00000000", 8768 => x"00000000", 8769 => x"00000000", 8770 => x"00000000", 8771 => x"00000000", 8772 => x"00000000", 8773 => x"00000000", 8774 => x"00000000", 8775 => x"00000000", 8776 => x"00000000", 8777 => x"00000000", 8778 => x"00000000", 8779 => x"00000000", 8780 => x"00000000", 8781 => x"00000000", 8782 => x"00000000", 8783 => x"00000000", 8784 => x"00000000", 8785 => x"00000000", 8786 => x"00000000", 8787 => x"00000000", 8788 => x"00000000", 8789 => x"00000000", 8790 => x"00000000", 8791 => x"00000000", 8792 => x"00000000", 8793 => x"00000000", 8794 => x"00000000", 8795 => x"00000000", 8796 => x"00000000", 8797 => x"00000000", 8798 => x"00000000", 8799 => x"00000000", 8800 => x"00000000", 8801 => x"00000000", 8802 => x"00000000", 8803 => x"00000000", 8804 => x"00000000", 8805 => x"00000000", 8806 => x"00000000", 8807 => x"00000000", 8808 => x"00000000", 8809 => x"00000000", 8810 => x"00000000", 8811 => x"00000000", 8812 => x"00000000", 8813 => x"00000000", 8814 => x"00000000", 8815 => x"00000000", 8816 => x"00000000", 8817 => x"00000000", 8818 => x"00000000", 8819 => x"00000000", 8820 => x"00000000", 8821 => x"00000000", 8822 => x"00000000", 8823 => x"00000000", 8824 => x"00000000", 8825 => x"00000000", 8826 => x"00000000", 8827 => x"00000000", 8828 => x"00000000", 8829 => x"00000000", 8830 => x"00000000", 8831 => x"00000000", 8832 => x"00000000", 8833 => x"00000000", 8834 => x"00000000", 8835 => x"00000000", 8836 => x"00000000", 8837 => x"00000000", 8838 => x"00000000", 8839 => x"00000000", 8840 => x"00000000", 8841 => x"00000000", 8842 => x"00000000", 8843 => x"00000000", 8844 => x"00000000", 8845 => x"00000000", 8846 => x"00000000", 8847 => x"00000000", 8848 => x"00000000", 8849 => x"00000000", 8850 => x"00000000", 8851 => x"00000000", 8852 => x"00000000", 8853 => x"00000000", 8854 => x"00000000", 8855 => x"00000000", 8856 => x"00000000", 8857 => x"00000000", 8858 => x"00000000", 8859 => x"00000000", 8860 => x"00000000", 8861 => x"00000000", 8862 => x"00000000", 8863 => x"00000000", 8864 => x"00000000", 8865 => x"00000000", 8866 => x"00000000", 8867 => x"00000000", 8868 => x"00000000", 8869 => x"00000000", 8870 => x"00000000", 8871 => x"00000000", 8872 => x"00000000", 8873 => x"00000000", 8874 => x"00000000", 8875 => x"00000000", 8876 => x"00000000", 8877 => x"00000000", 8878 => x"00000000", 8879 => x"00000000", 8880 => x"00000000", 8881 => x"00000000", 8882 => x"00000000", 8883 => x"00000000", 8884 => x"00000000", 8885 => x"00000000", 8886 => x"00000000", 8887 => x"00000000", 8888 => x"00000000", 8889 => x"00000000", 8890 => x"00000000", 8891 => x"00000000", 8892 => x"00000000", 8893 => x"00000000", 8894 => x"00000000", 8895 => x"00000000", 8896 => x"00000000", 8897 => x"00000000", 8898 => x"00000000", 8899 => x"00000000", 8900 => x"00000000", 8901 => x"00000000", 8902 => x"00000000", 8903 => x"00000000", 8904 => x"00000000", 8905 => x"00000000", 8906 => x"00000000", 8907 => x"00000000", 8908 => x"00000000", 8909 => x"00000000", 8910 => x"00000000", 8911 => x"00000000", 8912 => x"00000000", 8913 => x"00000000", 8914 => x"00000000", 8915 => x"00000000", 8916 => x"00000000", 8917 => x"00000000", 8918 => x"00000000", 8919 => x"00000000", 8920 => x"00000000", 8921 => x"00000000", 8922 => x"00000000", 8923 => x"00000000", 8924 => x"00000000", 8925 => x"00000000", 8926 => x"00000000", 8927 => x"00000000", 8928 => x"00000000", 8929 => x"00000000", 8930 => x"00000000", 8931 => x"00000000", 8932 => x"00000000", 8933 => x"00000000", 8934 => x"00000000", 8935 => x"00000000", 8936 => x"00000000", 8937 => x"00000000", 8938 => x"00000000", 8939 => x"00000000", 8940 => x"00000000", 8941 => x"00000000", 8942 => x"00000000", 8943 => x"00000000", 8944 => x"00000000", 8945 => x"00000000", 8946 => x"00000000", 8947 => x"00000000", 8948 => x"00000000", 8949 => x"00000000", 8950 => x"00000000", 8951 => x"00000000", 8952 => x"00000000", 8953 => x"00000000", 8954 => x"00000000", 8955 => x"00000000", 8956 => x"00000000", 8957 => x"00000000", 8958 => x"00000000", 8959 => x"00000000", 8960 => x"00000000", 8961 => x"00000000", 8962 => x"00000000", 8963 => x"00000000", 8964 => x"00000000", 8965 => x"00000000", 8966 => x"00000000", 8967 => x"00000000", 8968 => x"00000000", 8969 => x"00000000", 8970 => x"00000000", 8971 => x"00000000", 8972 => x"00000000", 8973 => x"00000000", 8974 => x"00000000", 8975 => x"00000000", 8976 => x"00000000", 8977 => x"00000000", 8978 => x"00000000", 8979 => x"00000000", 8980 => x"00000000", 8981 => x"00000000", 8982 => x"00000000", 8983 => x"00000000", 8984 => x"00000000", 8985 => x"00000000", 8986 => x"00000000", 8987 => x"00000000", 8988 => x"00000000", 8989 => x"00000000", 8990 => x"00000000", 8991 => x"00000000", 8992 => x"00000000", 8993 => x"00000000", 8994 => x"00000000", 8995 => x"00000000", 8996 => x"00000000", 8997 => x"00000000", 8998 => x"00000000", 8999 => x"00000000", 9000 => x"00000000", 9001 => x"00000000", 9002 => x"00000000", 9003 => x"00000000", 9004 => x"00000000", 9005 => x"00000000", 9006 => x"00000000", 9007 => x"00000000", 9008 => x"00000000", 9009 => x"00000000", 9010 => x"00000000", 9011 => x"00000000", 9012 => x"00000000", 9013 => x"00000000", 9014 => x"00000000", 9015 => x"00000000", 9016 => x"00000000", 9017 => x"00000000", 9018 => x"00000000", 9019 => x"00000000", 9020 => x"00000000", 9021 => x"00000000", 9022 => x"00000000", 9023 => x"00000000", 9024 => x"00000000", 9025 => x"00000000", 9026 => x"00000000", 9027 => x"00000000", 9028 => x"00000000", 9029 => x"00000000", 9030 => x"00000000", 9031 => x"00000000", 9032 => x"00000000", 9033 => x"00000000", 9034 => x"00000000", 9035 => x"00000000", 9036 => x"00000000", 9037 => x"00000000", 9038 => x"00000000", 9039 => x"00000000", 9040 => x"00000000", 9041 => x"00000000", 9042 => x"00000000", 9043 => x"00000000", 9044 => x"00000000", 9045 => x"00000000", 9046 => x"00000000", 9047 => x"00000000", 9048 => x"00000000", 9049 => x"00000000", 9050 => x"00000000", 9051 => x"00000000", 9052 => x"00000000", 9053 => x"00000000", 9054 => x"00000000", 9055 => x"00000000", 9056 => x"00000000", 9057 => x"00000000", 9058 => x"00000000", 9059 => x"00000000", 9060 => x"00000000", 9061 => x"00000000", 9062 => x"00000000", 9063 => x"00000000", 9064 => x"00000000", 9065 => x"00000000", 9066 => x"00000000", 9067 => x"00000000", 9068 => x"00000000", 9069 => x"00000000", 9070 => x"00000000", 9071 => x"00000000", 9072 => x"00000000", 9073 => x"00000000", 9074 => x"00000000", 9075 => x"00000000", 9076 => x"00000000", 9077 => x"00000000", 9078 => x"00000000", 9079 => x"00000000", 9080 => x"00000000", 9081 => x"00000000", 9082 => x"00000000", 9083 => x"00000000", 9084 => x"00000000", 9085 => x"00000000", 9086 => x"00000000", 9087 => x"00000000", 9088 => x"00000000", 9089 => x"00000000", 9090 => x"00000000", 9091 => x"00000000", 9092 => x"00000000", 9093 => x"00000000", 9094 => x"00000000", 9095 => x"00000000", 9096 => x"00000000", 9097 => x"00000000", 9098 => x"00000000", 9099 => x"00000000", 9100 => x"00000000", 9101 => x"00000000", 9102 => x"00000000", 9103 => x"00000000", 9104 => x"00000000", 9105 => x"00000000", 9106 => x"00000000", 9107 => x"00000000", 9108 => x"00000000", 9109 => x"00000000", 9110 => x"00000000", 9111 => x"00000000", 9112 => x"00000000", 9113 => x"00000000", 9114 => x"00000000", 9115 => x"00000000", 9116 => x"00000000", 9117 => x"00000000", 9118 => x"00000000", 9119 => x"00000000", 9120 => x"00000000", 9121 => x"00000000", 9122 => x"00000000", 9123 => x"00000000", 9124 => x"00000000", 9125 => x"00000000", 9126 => x"00000000", 9127 => x"00000000", 9128 => x"00000000", 9129 => x"00000000", 9130 => x"00000000", 9131 => x"00000000", 9132 => x"00000000", 9133 => x"00000000", 9134 => x"00000000", 9135 => x"00000000", 9136 => x"00000000", 9137 => x"00000000", 9138 => x"00000000", 9139 => x"00000000", 9140 => x"00000000", 9141 => x"00000000", 9142 => x"00000000", 9143 => x"00000000", 9144 => x"00000000", 9145 => x"00000000", 9146 => x"00000000", 9147 => x"00000000", 9148 => x"00000000", 9149 => x"00000000", 9150 => x"00000000", 9151 => x"00000000", 9152 => x"00000000", 9153 => x"00000000", 9154 => x"00000000", 9155 => x"00000000", 9156 => x"00000000", 9157 => x"00000000", 9158 => x"00000000", 9159 => x"00000000", 9160 => x"00000000", 9161 => x"00000000", 9162 => x"00000000", 9163 => x"00000000", 9164 => x"00000000", 9165 => x"00000000", 9166 => x"00000000", 9167 => x"00000000", 9168 => x"00000000", 9169 => x"00000000", 9170 => x"00000000", 9171 => x"00000000", 9172 => x"00000000", 9173 => x"00000000", 9174 => x"00000000", 9175 => x"00000000", 9176 => x"00000000", 9177 => x"00000000", 9178 => x"00000000", 9179 => x"00000000", 9180 => x"00000000", 9181 => x"00000000", 9182 => x"00000000", 9183 => x"00000000", 9184 => x"00000000", 9185 => x"00000000", 9186 => x"00000000", 9187 => x"00000000", 9188 => x"00000000", 9189 => x"00000000", 9190 => x"00000000", 9191 => x"00000000", 9192 => x"00000000", 9193 => x"00000000", 9194 => x"00000000", 9195 => x"00000000", 9196 => x"00000000", 9197 => x"00000000", 9198 => x"00000000", 9199 => x"00000000", 9200 => x"00000000", 9201 => x"00000000", 9202 => x"00000000", 9203 => x"00000000", 9204 => x"00000000", 9205 => x"00000000", 9206 => x"00000000", 9207 => x"00000000", 9208 => x"00000000", 9209 => x"00000000", 9210 => x"00000000", 9211 => x"00000000", 9212 => x"00000000", 9213 => x"00000000", 9214 => x"00000000", 9215 => x"00000000", 9216 => x"00000000", 9217 => x"00000000", 9218 => x"00000000", 9219 => x"00000000", 9220 => x"00000000", 9221 => x"00000000", 9222 => x"00000000", 9223 => x"00000000", 9224 => x"00000000", 9225 => x"00000000", 9226 => x"00000000", 9227 => x"00000000", 9228 => x"00000000", 9229 => x"00000000", 9230 => x"00000000", 9231 => x"00000000", 9232 => x"00000000", 9233 => x"00000000", 9234 => x"00000000", 9235 => x"00000000", 9236 => x"00000000", 9237 => x"00000000", 9238 => x"00000000", 9239 => x"00000000", 9240 => x"00000000", 9241 => x"00000000", 9242 => x"00000000", 9243 => x"00000000", 9244 => x"00000000", 9245 => x"00000000", 9246 => x"00000000", 9247 => x"00000000", 9248 => x"00000000", 9249 => x"00000000", 9250 => x"00000000", 9251 => x"00000000", 9252 => x"00000000", 9253 => x"00000000", 9254 => x"00000000", 9255 => x"00000000", 9256 => x"00000000", 9257 => x"00000000", 9258 => x"00000000", 9259 => x"00000000", 9260 => x"00000000", 9261 => x"00000000", 9262 => x"00000000", 9263 => x"00000000", 9264 => x"00000000", 9265 => x"00000000", 9266 => x"00000000", 9267 => x"00000000", 9268 => x"00000000", 9269 => x"00000000", 9270 => x"00000000", 9271 => x"00000000", 9272 => x"00000000", 9273 => x"00000000", 9274 => x"00000000", 9275 => x"00000000", 9276 => x"00000000", 9277 => x"00000000", 9278 => x"00000000", 9279 => x"00000000", 9280 => x"00000000", 9281 => x"00000000", 9282 => x"00000000", 9283 => x"00000000", 9284 => x"00000000", 9285 => x"00000000", 9286 => x"00000000", 9287 => x"00000000", 9288 => x"00000000", 9289 => x"00000000", 9290 => x"00000000", 9291 => x"00000000", 9292 => x"00000000", 9293 => x"00000000", 9294 => x"00000000", 9295 => x"00000000", 9296 => x"00000000", 9297 => x"00000000", 9298 => x"00000000", 9299 => x"00000000", 9300 => x"00000000", 9301 => x"00000000", 9302 => x"00000000", 9303 => x"00000000", 9304 => x"00000000", 9305 => x"00000000", 9306 => x"00000000", 9307 => x"00000000", 9308 => x"00000000", 9309 => x"00000000", 9310 => x"00000000", 9311 => x"00000000", 9312 => x"00000000", 9313 => x"00000000", 9314 => x"00000000", 9315 => x"00000000", 9316 => x"00000000", 9317 => x"00000000", 9318 => x"00000000", 9319 => x"00000000", 9320 => x"00000000", 9321 => x"00000000", 9322 => x"00000000", 9323 => x"00000000", 9324 => x"00000000", 9325 => x"00000000", 9326 => x"00000000", 9327 => x"00000000", 9328 => x"00000000", 9329 => x"00000000", 9330 => x"00000000", 9331 => x"00000000", 9332 => x"00000000", 9333 => x"00000000", 9334 => x"00000000", 9335 => x"00000000", 9336 => x"00000000", 9337 => x"00000000", 9338 => x"00000000", 9339 => x"00000000", 9340 => x"00000000", 9341 => x"00000000", 9342 => x"00000000", 9343 => x"00000000", 9344 => x"00000000", 9345 => x"00000000", 9346 => x"00000000", 9347 => x"00000000", 9348 => x"00000000", 9349 => x"00000000", 9350 => x"00000000", 9351 => x"00000000", 9352 => x"00000000", 9353 => x"00000000", 9354 => x"00000000", 9355 => x"00000000", 9356 => x"00000000", 9357 => x"00000000", 9358 => x"00000000", 9359 => x"00000000", 9360 => x"00000000", 9361 => x"00000000", 9362 => x"00000000", 9363 => x"00000000", 9364 => x"00000000", 9365 => x"00000000", 9366 => x"00000000", 9367 => x"00000000", 9368 => x"00000000", 9369 => x"00000000", 9370 => x"00000000", 9371 => x"00000000", 9372 => x"00000000", 9373 => x"00000000", 9374 => x"00000000", 9375 => x"00000000", 9376 => x"00000000", 9377 => x"00000000", 9378 => x"00000000", 9379 => x"00000000", 9380 => x"00000000", 9381 => x"00000000", 9382 => x"00000000", 9383 => x"00000000", 9384 => x"00000000", 9385 => x"00000000", 9386 => x"00000000", 9387 => x"00000000", 9388 => x"00000000", 9389 => x"00000000", 9390 => x"00000000", 9391 => x"00000000", 9392 => x"00000000", 9393 => x"00000000", 9394 => x"00000000", 9395 => x"00000000", 9396 => x"00000000", 9397 => x"00000000", 9398 => x"00000000", 9399 => x"00000000", 9400 => x"00000000", 9401 => x"00000000", 9402 => x"00000000", 9403 => x"00000000", 9404 => x"00000000", 9405 => x"00000000", 9406 => x"00000000", 9407 => x"00000000", 9408 => x"00000000", 9409 => x"00000000", 9410 => x"00000000", 9411 => x"00000000", 9412 => x"00000000", 9413 => x"00000000", 9414 => x"00000000", 9415 => x"00000000", 9416 => x"00000000", 9417 => x"00000000", 9418 => x"00000000", 9419 => x"00000000", 9420 => x"00000000", 9421 => x"00000000", 9422 => x"00000000", 9423 => x"00000000", 9424 => x"00000000", 9425 => x"00000000", 9426 => x"00000000", 9427 => x"00000000", 9428 => x"00000000", 9429 => x"00000000", 9430 => x"00000000", 9431 => x"00000000", 9432 => x"00000000", 9433 => x"00000000", 9434 => x"00000000", 9435 => x"00000000", 9436 => x"00000000", 9437 => x"00000000", 9438 => x"00000000", 9439 => x"00000000", 9440 => x"00000000", 9441 => x"00000000", 9442 => x"00000000", 9443 => x"00000000", 9444 => x"00000000", 9445 => x"00000000", 9446 => x"00000000", 9447 => x"00000000", 9448 => x"00000000", 9449 => x"00000000", 9450 => x"00000000", 9451 => x"00000000", 9452 => x"00000000", 9453 => x"00000000", 9454 => x"00000000", 9455 => x"00000000", 9456 => x"00000000", 9457 => x"00000000", 9458 => x"00000000", 9459 => x"00000000", 9460 => x"00000000", 9461 => x"00000000", 9462 => x"00000000", 9463 => x"00000000", 9464 => x"00000000", 9465 => x"00000000", 9466 => x"00000000", 9467 => x"00000000", 9468 => x"00000000", 9469 => x"00000000", 9470 => x"00000000", 9471 => x"00000000", 9472 => x"00000000", 9473 => x"00000000", 9474 => x"00000000", 9475 => x"00000000", 9476 => x"00000000", 9477 => x"00000000", 9478 => x"00000000", 9479 => x"00000000", 9480 => x"00000000", 9481 => x"00000000", 9482 => x"00000000", 9483 => x"00000000", 9484 => x"00000000", 9485 => x"00000000", 9486 => x"00000000", 9487 => x"00000000", 9488 => x"00000000", 9489 => x"00000000", 9490 => x"00000000", 9491 => x"00000000", 9492 => x"00000000", 9493 => x"00000000", 9494 => x"00000000", 9495 => x"00000000", 9496 => x"00000000", 9497 => x"00000000", 9498 => x"00000000", 9499 => x"00000000", 9500 => x"00000000", 9501 => x"00000000", 9502 => x"00000000", 9503 => x"00000000", 9504 => x"00000000", 9505 => x"00000000", 9506 => x"00000000", 9507 => x"00000000", 9508 => x"00000000", 9509 => x"00000000", 9510 => x"00000000", 9511 => x"00000000", 9512 => x"00000000", 9513 => x"00000000", 9514 => x"00000000", 9515 => x"00000000", 9516 => x"00000000", 9517 => x"00000000", 9518 => x"00000000", 9519 => x"00000000", 9520 => x"00000000", 9521 => x"00000000", 9522 => x"00000000", 9523 => x"00000000", 9524 => x"00000000", 9525 => x"00000000", 9526 => x"00000000", 9527 => x"00000000", 9528 => x"00000000", 9529 => x"00000000", 9530 => x"00000000", 9531 => x"00000000", 9532 => x"00000000", 9533 => x"00000000", 9534 => x"00000000", 9535 => x"00000000", 9536 => x"00000000", 9537 => x"00000000", 9538 => x"00000000", 9539 => x"00000000", 9540 => x"00000000", 9541 => x"00000000", 9542 => x"00000000", 9543 => x"00000000", 9544 => x"00000000", 9545 => x"00000000", 9546 => x"00000000", 9547 => x"00000000", 9548 => x"00000000", 9549 => x"00000000", 9550 => x"00000000", 9551 => x"00000000", 9552 => x"00000000", 9553 => x"00000000", 9554 => x"00000000", 9555 => x"00000000", 9556 => x"00000000", 9557 => x"00000000", 9558 => x"00000000", 9559 => x"00000000", 9560 => x"00000000", 9561 => x"00000000", 9562 => x"00000000", 9563 => x"00000000", 9564 => x"00000000", 9565 => x"00000000", 9566 => x"00000000", 9567 => x"00000000", 9568 => x"00000000", 9569 => x"00000000", 9570 => x"00000000", 9571 => x"00000000", 9572 => x"00000000", 9573 => x"00000000", 9574 => x"00000000", 9575 => x"00000000", 9576 => x"00000000", 9577 => x"00000000", 9578 => x"00000000", 9579 => x"00000000", 9580 => x"00000000", 9581 => x"00000000", 9582 => x"00000000", 9583 => x"00000000", 9584 => x"00000000", 9585 => x"00000000", 9586 => x"00000000", 9587 => x"00000000", 9588 => x"00000000", 9589 => x"00000000", 9590 => x"00000000", 9591 => x"00000000", 9592 => x"00000000", 9593 => x"00000000", 9594 => x"00000000", 9595 => x"00000000", 9596 => x"00000000", 9597 => x"00000000", 9598 => x"00000000", 9599 => x"00000000", 9600 => x"00000000", 9601 => x"00000000", 9602 => x"00000000", 9603 => x"00000000", 9604 => x"00000000", 9605 => x"00000000", 9606 => x"00000000", 9607 => x"00000000", 9608 => x"00000000", 9609 => x"00000000", 9610 => x"00000000", 9611 => x"00000000", 9612 => x"00000000", 9613 => x"00000000", 9614 => x"00000000", 9615 => x"00000000", 9616 => x"00000000", 9617 => x"00000000", 9618 => x"00000000", 9619 => x"00000000", 9620 => x"00000000", 9621 => x"00000000", 9622 => x"00000000", 9623 => x"00000000", 9624 => x"00000000", 9625 => x"00000000", 9626 => x"00000000", 9627 => x"00000000", 9628 => x"00000000", 9629 => x"00000000", 9630 => x"00000000", 9631 => x"00000000", 9632 => x"00000000", 9633 => x"00000000", 9634 => x"00000000", 9635 => x"00000000", 9636 => x"00000000", 9637 => x"00000000", 9638 => x"00000000", 9639 => x"00000000", 9640 => x"00000000", 9641 => x"00000000", 9642 => x"00000000", 9643 => x"00000000", 9644 => x"00000000", 9645 => x"00000000", 9646 => x"00000000", 9647 => x"00000000", 9648 => x"00000000", 9649 => x"00000000", 9650 => x"00000000", 9651 => x"00000000", 9652 => x"00000000", 9653 => x"00000000", 9654 => x"00000000", 9655 => x"00000000", 9656 => x"00000000", 9657 => x"00000000", 9658 => x"00000000", 9659 => x"00000000", 9660 => x"00000000", 9661 => x"00000000", 9662 => x"00000000", 9663 => x"00000000", 9664 => x"00000000", 9665 => x"00000000", 9666 => x"00000000", 9667 => x"00000000", 9668 => x"00000000", 9669 => x"00000000", 9670 => x"00000000", 9671 => x"00000000", 9672 => x"00000000", 9673 => x"00000000", 9674 => x"00000000", 9675 => x"00000000", 9676 => x"00000000", 9677 => x"00000000", 9678 => x"00000000", 9679 => x"00000000", 9680 => x"00000000", 9681 => x"00000000", 9682 => x"00000000", 9683 => x"00000000", 9684 => x"00000000", 9685 => x"00000000", 9686 => x"00000000", 9687 => x"00000000", 9688 => x"00000000", 9689 => x"00000000", 9690 => x"00000000", 9691 => x"00000000", 9692 => x"00000000", 9693 => x"00000000", 9694 => x"00000000", 9695 => x"00000000", 9696 => x"00000000", 9697 => x"00000000", 9698 => x"00000000", 9699 => x"00000000", 9700 => x"00000000", 9701 => x"00000000", 9702 => x"00000000", 9703 => x"00000000", 9704 => x"00000000", 9705 => x"00000000", 9706 => x"00000000", 9707 => x"00000000", 9708 => x"00000000", 9709 => x"00000000", 9710 => x"00000000", 9711 => x"00000000", 9712 => x"00000000", 9713 => x"00000000", 9714 => x"00000000", 9715 => x"00000000", 9716 => x"00000000", 9717 => x"00000000", 9718 => x"00000000", 9719 => x"00000000", 9720 => x"00000000", 9721 => x"00000000", 9722 => x"00000000", 9723 => x"00000000", 9724 => x"00000000", 9725 => x"00000000", 9726 => x"00000000", 9727 => x"00000000", 9728 => x"00000000", 9729 => x"00000000", 9730 => x"00000000", 9731 => x"00000000", 9732 => x"00000000", 9733 => x"00000000", 9734 => x"00000000", 9735 => x"00000000", 9736 => x"00000000", 9737 => x"00000000", 9738 => x"00000000", 9739 => x"00000000", 9740 => x"00000000", 9741 => x"00000000", 9742 => x"00000000", 9743 => x"00000000", 9744 => x"00000000", 9745 => x"00000000", 9746 => x"00000000", 9747 => x"00000000", 9748 => x"00000000", 9749 => x"00000000", 9750 => x"00000000", 9751 => x"00000000", 9752 => x"00000000", 9753 => x"00000000", 9754 => x"00000000", 9755 => x"00000000", 9756 => x"00000000", 9757 => x"00000000", 9758 => x"00000000", 9759 => x"00000000", 9760 => x"00000000", 9761 => x"00000000", 9762 => x"00000000", 9763 => x"00000000", 9764 => x"00000000", 9765 => x"00000000", 9766 => x"00000000", 9767 => x"00000000", 9768 => x"00000000", 9769 => x"00000000", 9770 => x"00000000", 9771 => x"00000000", 9772 => x"00000000", 9773 => x"00000000", 9774 => x"00000000", 9775 => x"00000000", 9776 => x"00000000", 9777 => x"00000000", 9778 => x"00000000", 9779 => x"00000000", 9780 => x"00000000", 9781 => x"00000000", 9782 => x"00000000", 9783 => x"00000000", 9784 => x"00000000", 9785 => x"00000000", 9786 => x"00000000", 9787 => x"00000000", 9788 => x"00000000", 9789 => x"00000000", 9790 => x"00000000", 9791 => x"00000000", 9792 => x"00000000", 9793 => x"00000000", 9794 => x"00000000", 9795 => x"00000000", 9796 => x"00000000", 9797 => x"00000000", 9798 => x"00000000", 9799 => x"00000000", 9800 => x"00000000", 9801 => x"00000000", 9802 => x"00000000", 9803 => x"00000000", 9804 => x"00000000", 9805 => x"00000000", 9806 => x"00000000", 9807 => x"00000000", 9808 => x"00000000", 9809 => x"00000000", 9810 => x"00000000", 9811 => x"00000000", 9812 => x"00000000", 9813 => x"00000000", 9814 => x"00000000", 9815 => x"00000000", 9816 => x"00000000", 9817 => x"00000000", 9818 => x"00000000", 9819 => x"00000000", 9820 => x"00000000", 9821 => x"00000000", 9822 => x"00000000", 9823 => x"00000000", 9824 => x"00000000", 9825 => x"00000000", 9826 => x"00000000", 9827 => x"00000000", 9828 => x"00000000", 9829 => x"00000000", 9830 => x"00000000", 9831 => x"00000000", 9832 => x"00000000", 9833 => x"00000000", 9834 => x"00000000", 9835 => x"00000000", 9836 => x"00000000", 9837 => x"00000000", 9838 => x"00000000", 9839 => x"00000000", 9840 => x"00000000", 9841 => x"00000000", 9842 => x"00000000", 9843 => x"00000000", 9844 => x"00000000", 9845 => x"00000000", 9846 => x"00000000", 9847 => x"00000000", 9848 => x"00000000", 9849 => x"00000000", 9850 => x"00000000", 9851 => x"00000000", 9852 => x"00000000", 9853 => x"00000000", 9854 => x"00000000", 9855 => x"00000000", 9856 => x"00000000", 9857 => x"00000000", 9858 => x"00000000", 9859 => x"00000000", 9860 => x"00000000", 9861 => x"00000000", 9862 => x"00000000", 9863 => x"00000000", 9864 => x"00000000", 9865 => x"00000000", 9866 => x"00000000", 9867 => x"00000000", 9868 => x"00000000", 9869 => x"00000000", 9870 => x"00000000", 9871 => x"00000000", 9872 => x"00000000", 9873 => x"00000000", 9874 => x"00000000", 9875 => x"00000000", 9876 => x"00000000", 9877 => x"00000000", 9878 => x"00000000", 9879 => x"00000000", 9880 => x"00000000", 9881 => x"00000000", 9882 => x"00000000", 9883 => x"00000000", 9884 => x"00000000", 9885 => x"00000000", 9886 => x"00000000", 9887 => x"00000000", 9888 => x"00000000", 9889 => x"00000000", 9890 => x"00000000", 9891 => x"00000000", 9892 => x"00000000", 9893 => x"00000000", 9894 => x"00000000", 9895 => x"00000000", 9896 => x"00000000", 9897 => x"00000000", 9898 => x"00000000", 9899 => x"00000000", 9900 => x"00000000", 9901 => x"00000000", 9902 => x"00000000", 9903 => x"00000000", 9904 => x"00000000", 9905 => x"00000000", 9906 => x"00000000", 9907 => x"00000000", 9908 => x"00000000", 9909 => x"00000000", 9910 => x"00000000", 9911 => x"00000000", 9912 => x"00000000", 9913 => x"00000000", 9914 => x"00000000", 9915 => x"00000000", 9916 => x"00000000", 9917 => x"00000000", 9918 => x"00000000", 9919 => x"00000000", 9920 => x"00000000", 9921 => x"00000000", 9922 => x"00000000", 9923 => x"00000000", 9924 => x"00000000", 9925 => x"00000000", 9926 => x"00000000", 9927 => x"00000000", 9928 => x"00000000", 9929 => x"00000000", 9930 => x"00000000", 9931 => x"00000000", 9932 => x"00000000", 9933 => x"00000000", 9934 => x"00000000", 9935 => x"00000000", 9936 => x"00000000", 9937 => x"00000000", 9938 => x"00000000", 9939 => x"00000000", 9940 => x"00000000", 9941 => x"00000000", 9942 => x"00000000", 9943 => x"00000000", 9944 => x"00000000", 9945 => x"00000000", 9946 => x"00000000", 9947 => x"00000000", 9948 => x"00000000", 9949 => x"00000000", 9950 => x"00000000", 9951 => x"00000000", 9952 => x"00000000", 9953 => x"00000000", 9954 => x"00000000", 9955 => x"00000000", 9956 => x"00000000", 9957 => x"00000000", 9958 => x"00000000", 9959 => x"00000000", 9960 => x"00000000", 9961 => x"00000000", 9962 => x"00000000", 9963 => x"00000000", 9964 => x"00000000", 9965 => x"00000000", 9966 => x"00000000", 9967 => x"00000000", 9968 => x"00000000", 9969 => x"00000000", 9970 => x"00000000", 9971 => x"00000000", 9972 => x"00000000", 9973 => x"00000000", 9974 => x"00000000", 9975 => x"00000000", 9976 => x"00000000", 9977 => x"00000000", 9978 => x"00000000", 9979 => x"00000000", 9980 => x"00000000", 9981 => x"00000000", 9982 => x"00000000", 9983 => x"00000000", 9984 => x"00000000", 9985 => x"00000000", 9986 => x"00000000", 9987 => x"00000000", 9988 => x"00000000", 9989 => x"00000000", 9990 => x"00000000", 9991 => x"00000000", 9992 => x"00000000", 9993 => x"00000000", 9994 => x"00000000", 9995 => x"00000000", 9996 => x"00000000", 9997 => x"00000000", 9998 => x"00000000", 9999 => x"00000000", 10000 => x"00000000", 10001 => x"00000000", 10002 => x"00000000", 10003 => x"00000000", 10004 => x"00000000", 10005 => x"00000000", 10006 => x"00000000", 10007 => x"00000000", 10008 => x"00000000", 10009 => x"00000000", 10010 => x"00000000", 10011 => x"00000000", 10012 => x"00000000", 10013 => x"00000000", 10014 => x"00000000", 10015 => x"00000000", 10016 => x"00000000", 10017 => x"00000000", 10018 => x"00000000", 10019 => x"00000000", 10020 => x"00000000", 10021 => x"00000000", 10022 => x"00000000", 10023 => x"00000000", 10024 => x"00000000", 10025 => x"00000000", 10026 => x"00000000", 10027 => x"00000000", 10028 => x"00000000", 10029 => x"00000000", 10030 => x"00000000", 10031 => x"00000000", 10032 => x"00000000", 10033 => x"00000000", 10034 => x"00000000", 10035 => x"00000000", 10036 => x"00000000", 10037 => x"00000000", 10038 => x"00000000", 10039 => x"00000000", 10040 => x"00000000", 10041 => x"00000000", 10042 => x"00000000", 10043 => x"00000000", 10044 => x"00000000", 10045 => x"00000000", 10046 => x"00000000", 10047 => x"00000000", 10048 => x"00000000", 10049 => x"00000000", 10050 => x"00000000", 10051 => x"00000000", 10052 => x"00000000", 10053 => x"00000000", 10054 => x"00000000", 10055 => x"00000000", 10056 => x"00000000", 10057 => x"00000000", 10058 => x"00000000", 10059 => x"00000000", 10060 => x"00000000", 10061 => x"00000000", 10062 => x"00000000", 10063 => x"00000000", 10064 => x"00000000", 10065 => x"00000000", 10066 => x"00000000", 10067 => x"00000000", 10068 => x"00000000", 10069 => x"00000000", 10070 => x"00000000", 10071 => x"00000000", 10072 => x"00000000", 10073 => x"00000000", 10074 => x"00000000", 10075 => x"00000000", 10076 => x"00000000", 10077 => x"00000000", 10078 => x"00000000", 10079 => x"00000000", 10080 => x"00000000", 10081 => x"00000000", 10082 => x"00000000", 10083 => x"00000000", 10084 => x"00000000", 10085 => x"00000000", 10086 => x"00000000", 10087 => x"00000000", 10088 => x"00000000", 10089 => x"00000000", 10090 => x"00000000", 10091 => x"00000000", 10092 => x"00000000", 10093 => x"00000000", 10094 => x"00000000", 10095 => x"00000000", 10096 => x"00000000", 10097 => x"00000000", 10098 => x"00000000", 10099 => x"00000000", 10100 => x"00000000", 10101 => x"00000000", 10102 => x"00000000", 10103 => x"00000000", 10104 => x"00000000", 10105 => x"00000000", 10106 => x"00000000", 10107 => x"00000000", 10108 => x"00000000", 10109 => x"00000000", 10110 => x"00000000", 10111 => x"00000000", 10112 => x"00000000", 10113 => x"00000000", 10114 => x"00000000", 10115 => x"00000000", 10116 => x"00000000", 10117 => x"00000000", 10118 => x"00000000", 10119 => x"00000000", 10120 => x"00000000", 10121 => x"00000000", 10122 => x"00000000", 10123 => x"00000000", 10124 => x"00000000", 10125 => x"00000000", 10126 => x"00000000", 10127 => x"00000000", 10128 => x"00000000", 10129 => x"00000000", 10130 => x"00000000", 10131 => x"00000000", 10132 => x"00000000", 10133 => x"00000000", 10134 => x"00000000", 10135 => x"00000000", 10136 => x"00000000", 10137 => x"00000000", 10138 => x"00000000", 10139 => x"00000000", 10140 => x"00000000", 10141 => x"00000000", 10142 => x"00000000", 10143 => x"00000000", 10144 => x"00000000", 10145 => x"00000000", 10146 => x"00000000", 10147 => x"00000000", 10148 => x"00000000", 10149 => x"00000000", 10150 => x"00000000", 10151 => x"00000000", 10152 => x"00000000", 10153 => x"00000000", 10154 => x"00000000", 10155 => x"00000000", 10156 => x"00000000", 10157 => x"00000000", 10158 => x"00000000", 10159 => x"00000000", 10160 => x"00000000", 10161 => x"00000000", 10162 => x"00000000", 10163 => x"00000000", 10164 => x"00000000", 10165 => x"00000000", 10166 => x"00000000", 10167 => x"00000000", 10168 => x"00000000", 10169 => x"00000000", 10170 => x"00000000", 10171 => x"00000000", 10172 => x"00000000", 10173 => x"00000000", 10174 => x"00000000", 10175 => x"00000000", 10176 => x"00000000", 10177 => x"00000000", 10178 => x"00000000", 10179 => x"00000000", 10180 => x"00000000", 10181 => x"00000000", 10182 => x"00000000", 10183 => x"00000000", 10184 => x"00000000", 10185 => x"00000000", 10186 => x"00000000", 10187 => x"00000000", 10188 => x"00000000", 10189 => x"00000000", 10190 => x"00000000", 10191 => x"00000000", 10192 => x"00000000", 10193 => x"00000000", 10194 => x"00000000", 10195 => x"00000000", 10196 => x"00000000", 10197 => x"00000000", 10198 => x"00000000", 10199 => x"00000000", 10200 => x"00000000", 10201 => x"00000000", 10202 => x"00000000", 10203 => x"00000000", 10204 => x"00000000", 10205 => x"00000000", 10206 => x"00000000", 10207 => x"00000000", 10208 => x"00000000", 10209 => x"00000000", 10210 => x"00000000", 10211 => x"00000000", 10212 => x"00000000", 10213 => x"00000000", 10214 => x"00000000", 10215 => x"00000000", 10216 => x"00000000", 10217 => x"00000000", 10218 => x"00000000", 10219 => x"00000000", 10220 => x"00000000", 10221 => x"00000000", 10222 => x"00000000", 10223 => x"00000000", 10224 => x"00000000", 10225 => x"00000000", 10226 => x"00000000", 10227 => x"00000000", 10228 => x"00000000", 10229 => x"00000000", 10230 => x"00000000", 10231 => x"00000000", 10232 => x"00000000", 10233 => x"00000000", 10234 => x"00000000", 10235 => x"00000000", 10236 => x"00000000", 10237 => x"00000000", 10238 => x"00000000", 10239 => x"00000000", 10240 => x"00000000", 10241 => x"00000000", 10242 => x"00000000", 10243 => x"00000000", 10244 => x"00000000", 10245 => x"00000000", 10246 => x"00000000", 10247 => x"00000000", 10248 => x"00000000", 10249 => x"00000000", 10250 => x"00000000", 10251 => x"00000000", 10252 => x"00000000", 10253 => x"00000000", 10254 => x"00000000", 10255 => x"00000000", 10256 => x"00000000", 10257 => x"00000000", 10258 => x"00000000", 10259 => x"00000000", 10260 => x"00000000", 10261 => x"00000000", 10262 => x"00000000", 10263 => x"00000000", 10264 => x"00000000", 10265 => x"00000000", 10266 => x"00000000", 10267 => x"00000000", 10268 => x"00000000", 10269 => x"00000000", 10270 => x"00000000", 10271 => x"00000000", 10272 => x"00000000", 10273 => x"00000000", 10274 => x"00000000", 10275 => x"00000000", 10276 => x"00000000", 10277 => x"00000000", 10278 => x"00000000", 10279 => x"00000000", 10280 => x"00000000", 10281 => x"00000000", 10282 => x"00000000", 10283 => x"00000000", 10284 => x"00000000", 10285 => x"00000000", 10286 => x"00000000", 10287 => x"00000000", 10288 => x"00000000", 10289 => x"00000000", 10290 => x"00000000", 10291 => x"00000000", 10292 => x"00000000", 10293 => x"00000000", 10294 => x"00000000", 10295 => x"00000000", 10296 => x"00000000", 10297 => x"00000000", 10298 => x"00000000", 10299 => x"00000000", 10300 => x"00000000", 10301 => x"00000000", 10302 => x"00000000", 10303 => x"00000000", 10304 => x"00000000", 10305 => x"00000000", 10306 => x"00000000", 10307 => x"00000000", 10308 => x"00000000", 10309 => x"00000000", 10310 => x"00000000", 10311 => x"00000000", 10312 => x"00000000", 10313 => x"00000000", 10314 => x"00000000", 10315 => x"00000000", 10316 => x"00000000", 10317 => x"00000000", 10318 => x"00000000", 10319 => x"00000000", 10320 => x"00000000", 10321 => x"00000000", 10322 => x"00000000", 10323 => x"00000000", 10324 => x"00000000", 10325 => x"00000000", 10326 => x"00000000", 10327 => x"00000000", 10328 => x"00000000", 10329 => x"00000000", 10330 => x"00000000", 10331 => x"00000000", 10332 => x"00000000", 10333 => x"00000000", 10334 => x"00000000", 10335 => x"00000000", 10336 => x"00000000", 10337 => x"00000000", 10338 => x"00000000", 10339 => x"00000000", 10340 => x"00000000", 10341 => x"00000000", 10342 => x"00000000", 10343 => x"00000000", 10344 => x"00000000", 10345 => x"00000000", 10346 => x"00000000", 10347 => x"00000000", 10348 => x"00000000", 10349 => x"00000000", 10350 => x"00000000", 10351 => x"00000000", 10352 => x"00000000", 10353 => x"00000000", 10354 => x"00000000", 10355 => x"00000000", 10356 => x"00000000", 10357 => x"00000000", 10358 => x"00000000", 10359 => x"00000000", 10360 => x"00000000", 10361 => x"00000000", 10362 => x"00000000", 10363 => x"00000000", 10364 => x"00000000", 10365 => x"00000000", 10366 => x"00000000", 10367 => x"00000000", 10368 => x"00000000", 10369 => x"00000000", 10370 => x"00000000", 10371 => x"00000000", 10372 => x"00000000", 10373 => x"00000000", 10374 => x"00000000", 10375 => x"00000000", 10376 => x"00000000", 10377 => x"00000000", 10378 => x"00000000", 10379 => x"00000000", 10380 => x"00000000", 10381 => x"00000000", 10382 => x"00000000", 10383 => x"00000000", 10384 => x"00000000", 10385 => x"00000000", 10386 => x"00000000", 10387 => x"00000000", 10388 => x"00000000", 10389 => x"00000000", 10390 => x"00000000", 10391 => x"00000000", 10392 => x"00000000", 10393 => x"00000000", 10394 => x"00000000", 10395 => x"00000000", 10396 => x"00000000", 10397 => x"00000000", 10398 => x"00000000", 10399 => x"00000000", 10400 => x"00000000", 10401 => x"00000000", 10402 => x"00000000", 10403 => x"00000000", 10404 => x"00000000", 10405 => x"00000000", 10406 => x"00000000", 10407 => x"00000000", 10408 => x"00000000", 10409 => x"00000000", 10410 => x"00000000", 10411 => x"00000000", 10412 => x"00000000", 10413 => x"00000000", 10414 => x"00000000", 10415 => x"00000000", 10416 => x"00000000", 10417 => x"00000000", 10418 => x"00000000", 10419 => x"00000000", 10420 => x"00000000", 10421 => x"00000000", 10422 => x"00000000", 10423 => x"00000000", 10424 => x"00000000", 10425 => x"00000000", 10426 => x"00000000", 10427 => x"00000000", 10428 => x"00000000", 10429 => x"00000000", 10430 => x"00000000", 10431 => x"00000000", 10432 => x"00000000", 10433 => x"00000000", 10434 => x"00000000", 10435 => x"00000000", 10436 => x"00000000", 10437 => x"00000000", 10438 => x"00000000", 10439 => x"00000000", 10440 => x"00000000", 10441 => x"00000000", 10442 => x"00000000", 10443 => x"00000000", 10444 => x"00000000", 10445 => x"00000000", 10446 => x"00000000", 10447 => x"00000000", 10448 => x"00000000", 10449 => x"00000000", 10450 => x"00000000", 10451 => x"00000000", 10452 => x"00000000", 10453 => x"00000000", 10454 => x"00000000", 10455 => x"00000000", 10456 => x"00000000", 10457 => x"00000000", 10458 => x"00000000", 10459 => x"00000000", 10460 => x"00000000", 10461 => x"00000000", 10462 => x"00000000", 10463 => x"00000000", 10464 => x"00000000", 10465 => x"00000000", 10466 => x"00000000", 10467 => x"00000000", 10468 => x"00000000", 10469 => x"00000000", 10470 => x"00000000", 10471 => x"00000000", 10472 => x"00000000", 10473 => x"00000000", 10474 => x"00000000", 10475 => x"00000000", 10476 => x"00000000", 10477 => x"00000000", 10478 => x"00000000", 10479 => x"00000000", 10480 => x"00000000", 10481 => x"00000000", 10482 => x"00000000", 10483 => x"00000000", 10484 => x"00000000", 10485 => x"00000000", 10486 => x"00000000", 10487 => x"00000000", 10488 => x"00000000", 10489 => x"00000000", 10490 => x"00000000", 10491 => x"00000000", 10492 => x"00000000", 10493 => x"00000000", 10494 => x"00000000", 10495 => x"00000000", 10496 => x"00000000", 10497 => x"00000000", 10498 => x"00000000", 10499 => x"00000000", 10500 => x"00000000", 10501 => x"00000000", 10502 => x"00000000", 10503 => x"00000000", 10504 => x"00000000", 10505 => x"00000000", 10506 => x"00000000", 10507 => x"00000000", 10508 => x"00000000", 10509 => x"00000000", 10510 => x"00000000", 10511 => x"00000000", 10512 => x"00000000", 10513 => x"00000000", 10514 => x"00000000", 10515 => x"00000000", 10516 => x"00000000", 10517 => x"00000000", 10518 => x"00000000", 10519 => x"00000000", 10520 => x"00000000", 10521 => x"00000000", 10522 => x"00000000", 10523 => x"00000000", 10524 => x"00000000", 10525 => x"00000000", 10526 => x"00000000", 10527 => x"00000000", 10528 => x"00000000", 10529 => x"00000000", 10530 => x"00000000", 10531 => x"00000000", 10532 => x"00000000", 10533 => x"00000000", 10534 => x"00000000", 10535 => x"00000000", 10536 => x"00000000", 10537 => x"00000000", 10538 => x"00000000", 10539 => x"00000000", 10540 => x"00000000", 10541 => x"00000000", 10542 => x"00000000", 10543 => x"00000000", 10544 => x"00000000", 10545 => x"00000000", 10546 => x"00000000", 10547 => x"00000000", 10548 => x"00000000", 10549 => x"00000000", 10550 => x"00000000", 10551 => x"00000000", 10552 => x"00000000", 10553 => x"00000000", 10554 => x"00000000", 10555 => x"00000000", 10556 => x"00000000", 10557 => x"00000000", 10558 => x"00000000", 10559 => x"00000000", 10560 => x"00000000", 10561 => x"00000000", 10562 => x"00000000", 10563 => x"00000000", 10564 => x"00000000", 10565 => x"00000000", 10566 => x"00000000", 10567 => x"00000000", 10568 => x"00000000", 10569 => x"00000000", 10570 => x"00000000", 10571 => x"00000000", 10572 => x"00000000", 10573 => x"00000000", 10574 => x"00000000", 10575 => x"00000000", 10576 => x"00000000", 10577 => x"00000000", 10578 => x"00000000", 10579 => x"00000000", 10580 => x"00000000", 10581 => x"00000000", 10582 => x"00000000", 10583 => x"00000000", 10584 => x"00000000", 10585 => x"00000000", 10586 => x"00000000", 10587 => x"00000000", 10588 => x"00000000", 10589 => x"00000000", 10590 => x"00000000", 10591 => x"00000000", 10592 => x"00000000", 10593 => x"00000000", 10594 => x"00000000", 10595 => x"00000000", 10596 => x"00000000", 10597 => x"00000000", 10598 => x"00000000", 10599 => x"00000000", 10600 => x"00000000", 10601 => x"00000000", 10602 => x"00000000", 10603 => x"00000000", 10604 => x"00000000", 10605 => x"00000000", 10606 => x"00000000", 10607 => x"00000000", 10608 => x"00000000", 10609 => x"00000000", 10610 => x"00000000", 10611 => x"00000000", 10612 => x"00000000", 10613 => x"00000000", 10614 => x"00000000", 10615 => x"00000000", 10616 => x"00000000", 10617 => x"00000000", 10618 => x"00000000", 10619 => x"00000000", 10620 => x"00000000", 10621 => x"00000000", 10622 => x"00000000", 10623 => x"00000000", 10624 => x"00000000", 10625 => x"00000000", 10626 => x"00000000", 10627 => x"00000000", 10628 => x"00000000", 10629 => x"00000000", 10630 => x"00000000", 10631 => x"00000000", 10632 => x"00000000", 10633 => x"00000000", 10634 => x"00000000", 10635 => x"00000000", 10636 => x"00000000", 10637 => x"00000000", 10638 => x"00000000", 10639 => x"00000000", 10640 => x"00000000", 10641 => x"00000000", 10642 => x"00000000", 10643 => x"00000000", 10644 => x"00000000", 10645 => x"00000000", 10646 => x"00000000", 10647 => x"00000000", 10648 => x"00000000", 10649 => x"00000000", 10650 => x"00000000", 10651 => x"00000000", 10652 => x"00000000", 10653 => x"00000000", 10654 => x"00000000", 10655 => x"00000000", 10656 => x"00000000", 10657 => x"00000000", 10658 => x"00000000", 10659 => x"00000000", 10660 => x"00000000", 10661 => x"00000000", 10662 => x"00000000", 10663 => x"00000000", 10664 => x"00000000", 10665 => x"00000000", 10666 => x"00000000", 10667 => x"00000000", 10668 => x"00000000", 10669 => x"00000000", 10670 => x"00000000", 10671 => x"00000000", 10672 => x"00000000", 10673 => x"00000000", 10674 => x"00000000", 10675 => x"00000000", 10676 => x"00000000", 10677 => x"00000000", 10678 => x"00000000", 10679 => x"00000000", 10680 => x"00000000", 10681 => x"00000000", 10682 => x"00000000", 10683 => x"00000000", 10684 => x"00000000", 10685 => x"00000000", 10686 => x"00000000", 10687 => x"00000000", 10688 => x"00000000", 10689 => x"00000000", 10690 => x"00000000", 10691 => x"00000000", 10692 => x"00000000", 10693 => x"00000000", 10694 => x"00000000", 10695 => x"00000000", 10696 => x"00000000", 10697 => x"00000000", 10698 => x"00000000", 10699 => x"00000000", 10700 => x"00000000", 10701 => x"00000000", 10702 => x"00000000", 10703 => x"00000000", 10704 => x"00000000", 10705 => x"00000000", 10706 => x"00000000", 10707 => x"00000000", 10708 => x"00000000", 10709 => x"00000000", 10710 => x"00000000", 10711 => x"00000000", 10712 => x"00000000", 10713 => x"00000000", 10714 => x"00000000", 10715 => x"00000000", 10716 => x"00000000", 10717 => x"00000000", 10718 => x"00000000", 10719 => x"00000000", 10720 => x"00000000", 10721 => x"00000000", 10722 => x"00000000", 10723 => x"00000000", 10724 => x"00000000", 10725 => x"00000000", 10726 => x"00000000", 10727 => x"00000000", 10728 => x"00000000", 10729 => x"00000000", 10730 => x"00000000", 10731 => x"00000000", 10732 => x"00000000", 10733 => x"00000000", 10734 => x"00000000", 10735 => x"00000000", 10736 => x"00000000", 10737 => x"00000000", 10738 => x"00000000", 10739 => x"00000000", 10740 => x"00000000", 10741 => x"00000000", 10742 => x"00000000", 10743 => x"00000000", 10744 => x"00000000", 10745 => x"00000000", 10746 => x"00000000", 10747 => x"00000000", 10748 => x"00000000", 10749 => x"00000000", 10750 => x"00000000", 10751 => x"00000000", 10752 => x"00000000", 10753 => x"00000000", 10754 => x"00000000", 10755 => x"00000000", 10756 => x"00000000", 10757 => x"00000000", 10758 => x"00000000", 10759 => x"00000000", 10760 => x"00000000", 10761 => x"00000000", 10762 => x"00000000", 10763 => x"00000000", 10764 => x"00000000", 10765 => x"00000000", 10766 => x"00000000", 10767 => x"00000000", 10768 => x"00000000", 10769 => x"00000000", 10770 => x"00000000", 10771 => x"00000000", 10772 => x"00000000", 10773 => x"00000000", 10774 => x"00000000", 10775 => x"00000000", 10776 => x"00000000", 10777 => x"00000000", 10778 => x"00000000", 10779 => x"00000000", 10780 => x"00000000", 10781 => x"00000000", 10782 => x"00000000", 10783 => x"00000000", 10784 => x"00000000", 10785 => x"00000000", 10786 => x"00000000", 10787 => x"00000000", 10788 => x"00000000", 10789 => x"00000000", 10790 => x"00000000", 10791 => x"00000000", 10792 => x"00000000", 10793 => x"00000000", 10794 => x"00000000", 10795 => x"00000000", 10796 => x"00000000", 10797 => x"00000000", 10798 => x"00000000", 10799 => x"00000000", 10800 => x"00000000", 10801 => x"00000000", 10802 => x"00000000", 10803 => x"00000000", 10804 => x"00000000", 10805 => x"00000000", 10806 => x"00000000", 10807 => x"00000000", 10808 => x"00000000", 10809 => x"00000000", 10810 => x"00000000", 10811 => x"00000000", 10812 => x"00000000", 10813 => x"00000000", 10814 => x"00000000", 10815 => x"00000000", 10816 => x"00000000", 10817 => x"00000000", 10818 => x"00000000", 10819 => x"00000000", 10820 => x"00000000", 10821 => x"00000000", 10822 => x"00000000", 10823 => x"00000000", 10824 => x"00000000", 10825 => x"00000000", 10826 => x"00000000", 10827 => x"00000000", 10828 => x"00000000", 10829 => x"00000000", 10830 => x"00000000", 10831 => x"00000000", 10832 => x"00000000", 10833 => x"00000000", 10834 => x"00000000", 10835 => x"00000000", 10836 => x"00000000", 10837 => x"00000000", 10838 => x"00000000", 10839 => x"00000000", 10840 => x"00000000", 10841 => x"00000000", 10842 => x"00000000", 10843 => x"00000000", 10844 => x"00000000", 10845 => x"00000000", 10846 => x"00000000", 10847 => x"00000000", 10848 => x"00000000", 10849 => x"00000000", 10850 => x"00000000", 10851 => x"00000000", 10852 => x"00000000", 10853 => x"00000000", 10854 => x"00000000", 10855 => x"00000000", 10856 => x"00000000", 10857 => x"00000000", 10858 => x"00000000", 10859 => x"00000000", 10860 => x"00000000", 10861 => x"00000000", 10862 => x"00000000", 10863 => x"00000000", 10864 => x"00000000", 10865 => x"00000000", 10866 => x"00000000", 10867 => x"00000000", 10868 => x"00000000", 10869 => x"00000000", 10870 => x"00000000", 10871 => x"00000000", 10872 => x"00000000", 10873 => x"00000000", 10874 => x"00000000", 10875 => x"00000000", 10876 => x"00000000", 10877 => x"00000000", 10878 => x"00000000", 10879 => x"00000000", 10880 => x"00000000", 10881 => x"00000000", 10882 => x"00000000", 10883 => x"00000000", 10884 => x"00000000", 10885 => x"00000000", 10886 => x"00000000", 10887 => x"00000000", 10888 => x"00000000", 10889 => x"00000000", 10890 => x"00000000", 10891 => x"00000000", 10892 => x"00000000", 10893 => x"00000000", 10894 => x"00000000", 10895 => x"00000000", 10896 => x"00000000", 10897 => x"00000000", 10898 => x"00000000", 10899 => x"00000000", 10900 => x"00000000", 10901 => x"00000000", 10902 => x"00000000", 10903 => x"00000000", 10904 => x"00000000", 10905 => x"00000000", 10906 => x"00000000", 10907 => x"00000000", 10908 => x"00000000", 10909 => x"00000000", 10910 => x"00000000", 10911 => x"00000000", 10912 => x"00000000", 10913 => x"00000000", 10914 => x"00000000", 10915 => x"00000000", 10916 => x"00000000", 10917 => x"00000000", 10918 => x"00000000", 10919 => x"00000000", 10920 => x"00000000", 10921 => x"00000000", 10922 => x"00000000", 10923 => x"00000000", 10924 => x"00000000", 10925 => x"00000000", 10926 => x"00000000", 10927 => x"00000000", 10928 => x"00000000", 10929 => x"00000000", 10930 => x"00000000", 10931 => x"00000000", 10932 => x"00000000", 10933 => x"00000000", 10934 => x"00000000", 10935 => x"00000000", 10936 => x"00000000", 10937 => x"00000000", 10938 => x"00000000", 10939 => x"00000000", 10940 => x"00000000", 10941 => x"00000000", 10942 => x"00000000", 10943 => x"00000000", 10944 => x"00000000", 10945 => x"00000000", 10946 => x"00000000", 10947 => x"00000000", 10948 => x"00000000", 10949 => x"00000000", 10950 => x"00000000", 10951 => x"00000000", 10952 => x"00000000", 10953 => x"00000000", 10954 => x"00000000", 10955 => x"00000000", 10956 => x"00000000", 10957 => x"00000000", 10958 => x"00000000", 10959 => x"00000000", 10960 => x"00000000", 10961 => x"00000000", 10962 => x"00000000", 10963 => x"00000000", 10964 => x"00000000", 10965 => x"00000000", 10966 => x"00000000", 10967 => x"00000000", 10968 => x"00000000", 10969 => x"00000000", 10970 => x"00000000", 10971 => x"00000000", 10972 => x"00000000", 10973 => x"00000000", 10974 => x"00000000", 10975 => x"00000000", 10976 => x"00000000", 10977 => x"00000000", 10978 => x"00000000", 10979 => x"00000000", 10980 => x"00000000", 10981 => x"00000000", 10982 => x"00000000", 10983 => x"00000000", 10984 => x"00000000", 10985 => x"00000000", 10986 => x"00000000", 10987 => x"00000000", 10988 => x"00000000", 10989 => x"00000000", 10990 => x"00000000", 10991 => x"00000000", 10992 => x"00000000", 10993 => x"00000000", 10994 => x"00000000", 10995 => x"00000000", 10996 => x"00000000", 10997 => x"00000000", 10998 => x"00000000", 10999 => x"00000000", 11000 => x"00000000", 11001 => x"00000000", 11002 => x"00000000", 11003 => x"00000000", 11004 => x"00000000", 11005 => x"00000000", 11006 => x"00000000", 11007 => x"00000000", 11008 => x"00000000", 11009 => x"00000000", 11010 => x"00000000", 11011 => x"00000000", 11012 => x"00000000", 11013 => x"00000000", 11014 => x"00000000", 11015 => x"00000000", 11016 => x"00000000", 11017 => x"00000000", 11018 => x"00000000", 11019 => x"00000000", 11020 => x"00000000", 11021 => x"00000000", 11022 => x"00000000", 11023 => x"00000000", 11024 => x"00000000", 11025 => x"00000000", 11026 => x"00000000", 11027 => x"00000000", 11028 => x"00000000", 11029 => x"00000000", 11030 => x"00000000", 11031 => x"00000000", 11032 => x"00000000", 11033 => x"00000000", 11034 => x"00000000", 11035 => x"00000000", 11036 => x"00000000", 11037 => x"00000000", 11038 => x"00000000", 11039 => x"00000000", 11040 => x"00000000", 11041 => x"00000000", 11042 => x"00000000", 11043 => x"00000000", 11044 => x"00000000", 11045 => x"00000000", 11046 => x"00000000", 11047 => x"00000000", 11048 => x"00000000", 11049 => x"00000000", 11050 => x"00000000", 11051 => x"00000000", 11052 => x"00000000", 11053 => x"00000000", 11054 => x"00000000", 11055 => x"00000000", 11056 => x"00000000", 11057 => x"00000000", 11058 => x"00000000", 11059 => x"00000000", 11060 => x"00000000", 11061 => x"00000000", 11062 => x"00000000", 11063 => x"00000000", 11064 => x"00000000", 11065 => x"00000000", 11066 => x"00000000", 11067 => x"00000000", 11068 => x"00000000", 11069 => x"00000000", 11070 => x"00000000", 11071 => x"00000000", 11072 => x"00000000", 11073 => x"00000000", 11074 => x"00000000", 11075 => x"00000000", 11076 => x"00000000", 11077 => x"00000000", 11078 => x"00000000", 11079 => x"00000000", 11080 => x"00000000", 11081 => x"00000000", 11082 => x"00000000", 11083 => x"00000000", 11084 => x"00000000", 11085 => x"00000000", 11086 => x"00000000", 11087 => x"00000000", 11088 => x"00000000", 11089 => x"00000000", 11090 => x"00000000", 11091 => x"00000000", 11092 => x"00000000", 11093 => x"00000000", 11094 => x"00000000", 11095 => x"00000000", 11096 => x"00000000", 11097 => x"00000000", 11098 => x"00000000", 11099 => x"00000000", 11100 => x"00000000", 11101 => x"00000000", 11102 => x"00000000", 11103 => x"00000000", 11104 => x"00000000", 11105 => x"00000000", 11106 => x"00000000", 11107 => x"00000000", 11108 => x"00000000", 11109 => x"00000000", 11110 => x"00000000", 11111 => x"00000000", 11112 => x"00000000", 11113 => x"00000000", 11114 => x"00000000", 11115 => x"00000000", 11116 => x"00000000", 11117 => x"00000000", 11118 => x"00000000", 11119 => x"00000000", 11120 => x"00000000", 11121 => x"00000000", 11122 => x"00000000", 11123 => x"00000000", 11124 => x"00000000", 11125 => x"00000000", 11126 => x"00000000", 11127 => x"00000000", 11128 => x"00000000", 11129 => x"00000000", 11130 => x"00000000", 11131 => x"00000000", 11132 => x"00000000", 11133 => x"00000000", 11134 => x"00000000", 11135 => x"00000000", 11136 => x"00000000", 11137 => x"00000000", 11138 => x"00000000", 11139 => x"00000000", 11140 => x"00000000", 11141 => x"00000000", 11142 => x"00000000", 11143 => x"00000000", 11144 => x"00000000", 11145 => x"00000000", 11146 => x"00000000", 11147 => x"00000000", 11148 => x"00000000", 11149 => x"00000000", 11150 => x"00000000", 11151 => x"00000000", 11152 => x"00000000", 11153 => x"00000000", 11154 => x"00000000", 11155 => x"00000000", 11156 => x"00000000", 11157 => x"00000000", 11158 => x"00000000", 11159 => x"00000000", 11160 => x"00000000", 11161 => x"00000000", 11162 => x"00000000", 11163 => x"00000000", 11164 => x"00000000", 11165 => x"00000000", 11166 => x"00000000", 11167 => x"00000000", 11168 => x"00000000", 11169 => x"00000000", 11170 => x"00000000", 11171 => x"00000000", 11172 => x"00000000", 11173 => x"00000000", 11174 => x"00000000", 11175 => x"00000000", 11176 => x"00000000", 11177 => x"00000000", 11178 => x"00000000", 11179 => x"00000000", 11180 => x"00000000", 11181 => x"00000000", 11182 => x"00000000", 11183 => x"00000000", 11184 => x"00000000", 11185 => x"00000000", 11186 => x"00000000", 11187 => x"00000000", 11188 => x"00000000", 11189 => x"00000000", 11190 => x"00000000", 11191 => x"00000000", 11192 => x"00000000", 11193 => x"00000000", 11194 => x"00000000", 11195 => x"00000000", 11196 => x"00000000", 11197 => x"00000000", 11198 => x"00000000", 11199 => x"00000000", 11200 => x"00000000", 11201 => x"00000000", 11202 => x"00000000", 11203 => x"00000000", 11204 => x"00000000", 11205 => x"00000000", 11206 => x"00000000", 11207 => x"00000000", 11208 => x"00000000", 11209 => x"00000000", 11210 => x"00000000", 11211 => x"00000000", 11212 => x"00000000", 11213 => x"00000000", 11214 => x"00000000", 11215 => x"00000000", 11216 => x"00000000", 11217 => x"00000000", 11218 => x"00000000", 11219 => x"00000000", 11220 => x"00000000", 11221 => x"00000000", 11222 => x"00000000", 11223 => x"00000000", 11224 => x"00000000", 11225 => x"00000000", 11226 => x"00000000", 11227 => x"00000000", 11228 => x"00000000", 11229 => x"00000000", 11230 => x"00000000", 11231 => x"00000000", 11232 => x"00000000", 11233 => x"00000000", 11234 => x"00000000", 11235 => x"00000000", 11236 => x"00000000", 11237 => x"00000000", 11238 => x"00000000", 11239 => x"00000000", 11240 => x"00000000", 11241 => x"00000000", 11242 => x"00000000", 11243 => x"00000000", 11244 => x"00000000", 11245 => x"00000000", 11246 => x"00000000", 11247 => x"00000000", 11248 => x"00000000", 11249 => x"00000000", 11250 => x"00000000", 11251 => x"00000000", 11252 => x"00000000", 11253 => x"00000000", 11254 => x"00000000", 11255 => x"00000000", 11256 => x"00000000", 11257 => x"00000000", 11258 => x"00000000", 11259 => x"00000000", 11260 => x"00000000", 11261 => x"00000000", 11262 => x"00000000", 11263 => x"00000000", 11264 => x"00000000", 11265 => x"00000000", 11266 => x"00000000", 11267 => x"00000000", 11268 => x"00000000", 11269 => x"00000000", 11270 => x"00000000", 11271 => x"00000000", 11272 => x"00000000", 11273 => x"00000000", 11274 => x"00000000", 11275 => x"00000000", 11276 => x"00000000", 11277 => x"00000000", 11278 => x"00000000", 11279 => x"00000000", 11280 => x"00000000", 11281 => x"00000000", 11282 => x"00000000", 11283 => x"00000000", 11284 => x"00000000", 11285 => x"00000000", 11286 => x"00000000", 11287 => x"00000000", 11288 => x"00000000", 11289 => x"00000000", 11290 => x"00000000", 11291 => x"00000000", 11292 => x"00000000", 11293 => x"00000000", 11294 => x"00000000", 11295 => x"00000000", 11296 => x"00000000", 11297 => x"00000000", 11298 => x"00000000", 11299 => x"00000000", 11300 => x"00000000", 11301 => x"00000000", 11302 => x"00000000", 11303 => x"00000000", 11304 => x"00000000", 11305 => x"00000000", 11306 => x"00000000", 11307 => x"00000000", 11308 => x"00000000", 11309 => x"00000000", 11310 => x"00000000", 11311 => x"00000000", 11312 => x"00000000", 11313 => x"00000000", 11314 => x"00000000", 11315 => x"00000000", 11316 => x"00000000", 11317 => x"00000000", 11318 => x"00000000", 11319 => x"00000000", 11320 => x"00000000", 11321 => x"00000000", 11322 => x"00000000", 11323 => x"00000000", 11324 => x"00000000", 11325 => x"00000000", 11326 => x"00000000", 11327 => x"00000000", 11328 => x"00000000", 11329 => x"00000000", 11330 => x"00000000", 11331 => x"00000000", 11332 => x"00000000", 11333 => x"00000000", 11334 => x"00000000", 11335 => x"00000000", 11336 => x"00000000", 11337 => x"00000000", 11338 => x"00000000", 11339 => x"00000000", 11340 => x"00000000", 11341 => x"00000000", 11342 => x"00000000", 11343 => x"00000000", 11344 => x"00000000", 11345 => x"00000000", 11346 => x"00000000", 11347 => x"00000000", 11348 => x"00000000", 11349 => x"00000000", 11350 => x"00000000", 11351 => x"00000000", 11352 => x"00000000", 11353 => x"00000000", 11354 => x"00000000", 11355 => x"00000000", 11356 => x"00000000", 11357 => x"00000000", 11358 => x"00000000", 11359 => x"00000000", 11360 => x"00000000", 11361 => x"00000000", 11362 => x"00000000", 11363 => x"00000000", 11364 => x"00000000", 11365 => x"00000000", 11366 => x"00000000", 11367 => x"00000000", 11368 => x"00000000", 11369 => x"00000000", 11370 => x"00000000", 11371 => x"00000000", 11372 => x"00000000", 11373 => x"00000000", 11374 => x"00000000", 11375 => x"00000000", 11376 => x"00000000", 11377 => x"00000000", 11378 => x"00000000", 11379 => x"00000000", 11380 => x"00000000", 11381 => x"00000000", 11382 => x"00000000", 11383 => x"00000000", 11384 => x"00000000", 11385 => x"00000000", 11386 => x"00000000", 11387 => x"00000000", 11388 => x"00000000", 11389 => x"00000000", 11390 => x"00000000", 11391 => x"00000000", 11392 => x"00000000", 11393 => x"00000000", 11394 => x"00000000", 11395 => x"00000000", 11396 => x"00000000", 11397 => x"00000000", 11398 => x"00000000", 11399 => x"00000000", 11400 => x"00000000", 11401 => x"00000000", 11402 => x"00000000", 11403 => x"00000000", 11404 => x"00000000", 11405 => x"00000000", 11406 => x"00000000", 11407 => x"00000000", 11408 => x"00000000", 11409 => x"00000000", 11410 => x"00000000", 11411 => x"00000000", 11412 => x"00000000", 11413 => x"00000000", 11414 => x"00000000", 11415 => x"00000000", 11416 => x"00000000", 11417 => x"00000000", 11418 => x"00000000", 11419 => x"00000000", 11420 => x"00000000", 11421 => x"00000000", 11422 => x"00000000", 11423 => x"00000000", 11424 => x"00000000", 11425 => x"00000000", 11426 => x"00000000", 11427 => x"00000000", 11428 => x"00000000", 11429 => x"00000000", 11430 => x"00000000", 11431 => x"00000000", 11432 => x"00000000", 11433 => x"00000000", 11434 => x"00000000", 11435 => x"00000000", 11436 => x"00000000", 11437 => x"00000000", 11438 => x"00000000", 11439 => x"00000000", 11440 => x"00000000", 11441 => x"00000000", 11442 => x"00000000", 11443 => x"00000000", 11444 => x"00000000", 11445 => x"00000000", 11446 => x"00000000", 11447 => x"00000000", 11448 => x"00000000", 11449 => x"00000000", 11450 => x"00000000", 11451 => x"00000000", 11452 => x"00000000", 11453 => x"00000000", 11454 => x"00000000", 11455 => x"00000000", 11456 => x"00000000", 11457 => x"00000000", 11458 => x"00000000", 11459 => x"00000000", 11460 => x"00000000", 11461 => x"00000000", 11462 => x"00000000", 11463 => x"00000000", 11464 => x"00000000", 11465 => x"00000000", 11466 => x"00000000", 11467 => x"00000000", 11468 => x"00000000", 11469 => x"00000000", 11470 => x"00000000", 11471 => x"00000000", 11472 => x"00000000", 11473 => x"00000000", 11474 => x"00000000", 11475 => x"00000000", 11476 => x"00000000", 11477 => x"00000000", 11478 => x"00000000", 11479 => x"00000000", 11480 => x"00000000", 11481 => x"00000000", 11482 => x"00000000", 11483 => x"00000000", 11484 => x"00000000", 11485 => x"00000000", 11486 => x"00000000", 11487 => x"00000000", 11488 => x"00000000", 11489 => x"00000000", 11490 => x"00000000", 11491 => x"00000000", 11492 => x"00000000", 11493 => x"00000000", 11494 => x"00000000", 11495 => x"00000000", 11496 => x"00000000", 11497 => x"00000000", 11498 => x"00000000", 11499 => x"00000000", 11500 => x"00000000", 11501 => x"00000000", 11502 => x"00000000", 11503 => x"00000000", 11504 => x"00000000", 11505 => x"00000000", 11506 => x"00000000", 11507 => x"00000000", 11508 => x"00000000", 11509 => x"00000000", 11510 => x"00000000", 11511 => x"00000000", 11512 => x"00000000", 11513 => x"00000000", 11514 => x"00000000", 11515 => x"00000000", 11516 => x"00000000", 11517 => x"00000000", 11518 => x"00000000", 11519 => x"00000000", 11520 => x"00000000", 11521 => x"00000000", 11522 => x"00000000", 11523 => x"00000000", 11524 => x"00000000", 11525 => x"00000000", 11526 => x"00000000", 11527 => x"00000000", 11528 => x"00000000", 11529 => x"00000000", 11530 => x"00000000", 11531 => x"00000000", 11532 => x"00000000", 11533 => x"00000000", 11534 => x"00000000", 11535 => x"00000000", 11536 => x"00000000", 11537 => x"00000000", 11538 => x"00000000", 11539 => x"00000000", 11540 => x"00000000", 11541 => x"00000000", 11542 => x"00000000", 11543 => x"00000000", 11544 => x"00000000", 11545 => x"00000000", 11546 => x"00000000", 11547 => x"00000000", 11548 => x"00000000", 11549 => x"00000000", 11550 => x"00000000", 11551 => x"00000000", 11552 => x"00000000", 11553 => x"00000000", 11554 => x"00000000", 11555 => x"00000000", 11556 => x"00000000", 11557 => x"00000000", 11558 => x"00000000", 11559 => x"00000000", 11560 => x"00000000", 11561 => x"00000000", 11562 => x"00000000", 11563 => x"00000000", 11564 => x"00000000", 11565 => x"00000000", 11566 => x"00000000", 11567 => x"00000000", 11568 => x"00000000", 11569 => x"00000000", 11570 => x"00000000", 11571 => x"00000000", 11572 => x"00000000", 11573 => x"00000000", 11574 => x"00000000", 11575 => x"00000000", 11576 => x"00000000", 11577 => x"00000000", 11578 => x"00000000", 11579 => x"00000000", 11580 => x"00000000", 11581 => x"00000000", 11582 => x"00000000", 11583 => x"00000000", 11584 => x"00000000", 11585 => x"00000000", 11586 => x"00000000", 11587 => x"00000000", 11588 => x"00000000", 11589 => x"00000000", 11590 => x"00000000", 11591 => x"00000000", 11592 => x"00000000", 11593 => x"00000000", 11594 => x"00000000", 11595 => x"00000000", 11596 => x"00000000", 11597 => x"00000000", 11598 => x"00000000", 11599 => x"00000000", 11600 => x"00000000", 11601 => x"00000000", 11602 => x"00000000", 11603 => x"00000000", 11604 => x"00000000", 11605 => x"00000000", 11606 => x"00000000", 11607 => x"00000000", 11608 => x"00000000", 11609 => x"00000000", 11610 => x"00000000", 11611 => x"00000000", 11612 => x"00000000", 11613 => x"00000000", 11614 => x"00000000", 11615 => x"00000000", 11616 => x"00000000", 11617 => x"00000000", 11618 => x"00000000", 11619 => x"00000000", 11620 => x"00000000", 11621 => x"00000000", 11622 => x"00000000", 11623 => x"00000000", 11624 => x"00000000", 11625 => x"00000000", 11626 => x"00000000", 11627 => x"00000000", 11628 => x"00000000", 11629 => x"00000000", 11630 => x"00000000", 11631 => x"00000000", 11632 => x"00000000", 11633 => x"00000000", 11634 => x"00000000", 11635 => x"00000000", 11636 => x"00000000", 11637 => x"00000000", 11638 => x"00000000", 11639 => x"00000000", 11640 => x"00000000", 11641 => x"00000000", 11642 => x"00000000", 11643 => x"00000000", 11644 => x"00000000", 11645 => x"00000000", 11646 => x"00000000", 11647 => x"00000000", 11648 => x"00000000", 11649 => x"00000000", 11650 => x"00000000", 11651 => x"00000000", 11652 => x"00000000", 11653 => x"00000000", 11654 => x"00000000", 11655 => x"00000000", 11656 => x"00000000", 11657 => x"00000000", 11658 => x"00000000", 11659 => x"00000000", 11660 => x"00000000", 11661 => x"00000000", 11662 => x"00000000", 11663 => x"00000000", 11664 => x"00000000", 11665 => x"00000000", 11666 => x"00000000", 11667 => x"00000000", 11668 => x"00000000", 11669 => x"00000000", 11670 => x"00000000", 11671 => x"00000000", 11672 => x"00000000", 11673 => x"00000000", 11674 => x"00000000", 11675 => x"00000000", 11676 => x"00000000", 11677 => x"00000000", 11678 => x"00000000", 11679 => x"00000000", 11680 => x"00000000", 11681 => x"00000000", 11682 => x"00000000", 11683 => x"00000000", 11684 => x"00000000", 11685 => x"00000000", 11686 => x"00000000", 11687 => x"00000000", 11688 => x"00000000", 11689 => x"00000000", 11690 => x"00000000", 11691 => x"00000000", 11692 => x"00000000", 11693 => x"00000000", 11694 => x"00000000", 11695 => x"00000000", 11696 => x"00000000", 11697 => x"00000000", 11698 => x"00000000", 11699 => x"00000000", 11700 => x"00000000", 11701 => x"00000000", 11702 => x"00000000", 11703 => x"00000000", 11704 => x"00000000", 11705 => x"00000000", 11706 => x"00000000", 11707 => x"00000000", 11708 => x"00000000", 11709 => x"00000000", 11710 => x"00000000", 11711 => x"00000000", 11712 => x"00000000", 11713 => x"00000000", 11714 => x"00000000", 11715 => x"00000000", 11716 => x"00000000", 11717 => x"00000000", 11718 => x"00000000", 11719 => x"00000000", 11720 => x"00000000", 11721 => x"00000000", 11722 => x"00000000", 11723 => x"00000000", 11724 => x"00000000", 11725 => x"00000000", 11726 => x"00000000", 11727 => x"00000000", 11728 => x"00000000", 11729 => x"00000000", 11730 => x"00000000", 11731 => x"00000000", 11732 => x"00000000", 11733 => x"00000000", 11734 => x"00000000", 11735 => x"00000000", 11736 => x"00000000", 11737 => x"00000000", 11738 => x"00000000", 11739 => x"00000000", 11740 => x"00000000", 11741 => x"00000000", 11742 => x"00000000", 11743 => x"00000000", 11744 => x"00000000", 11745 => x"00000000", 11746 => x"00000000", 11747 => x"00000000", 11748 => x"00000000", 11749 => x"00000000", 11750 => x"00000000", 11751 => x"00000000", 11752 => x"00000000", 11753 => x"00000000", 11754 => x"00000000", 11755 => x"00000000", 11756 => x"00000000", 11757 => x"00000000", 11758 => x"00000000", 11759 => x"00000000", 11760 => x"00000000", 11761 => x"00000000", 11762 => x"00000000", 11763 => x"00000000", 11764 => x"00000000", 11765 => x"00000000", 11766 => x"00000000", 11767 => x"00000000", 11768 => x"00000000", 11769 => x"00000000", 11770 => x"00000000", 11771 => x"00000000", 11772 => x"00000000", 11773 => x"00000000", 11774 => x"00000000", 11775 => x"00000000", 11776 => x"00000000", 11777 => x"00000000", 11778 => x"00000000", 11779 => x"00000000", 11780 => x"00000000", 11781 => x"00000000", 11782 => x"00000000", 11783 => x"00000000", 11784 => x"00000000", 11785 => x"00000000", 11786 => x"00000000", 11787 => x"00000000", 11788 => x"00000000", 11789 => x"00000000", 11790 => x"00000000", 11791 => x"00000000", 11792 => x"00000000", 11793 => x"00000000", 11794 => x"00000000", 11795 => x"00000000", 11796 => x"00000000", 11797 => x"00000000", 11798 => x"00000000", 11799 => x"00000000", 11800 => x"00000000", 11801 => x"00000000", 11802 => x"00000000", 11803 => x"00000000", 11804 => x"00000000", 11805 => x"00000000", 11806 => x"00000000", 11807 => x"00000000", 11808 => x"00000000", 11809 => x"00000000", 11810 => x"00000000", 11811 => x"00000000", 11812 => x"00000000", 11813 => x"00000000", 11814 => x"00000000", 11815 => x"00000000", 11816 => x"00000000", 11817 => x"00000000", 11818 => x"00000000", 11819 => x"00000000", 11820 => x"00000000", 11821 => x"00000000", 11822 => x"00000000", 11823 => x"00000000", 11824 => x"00000000", 11825 => x"00000000", 11826 => x"00000000", 11827 => x"00000000", 11828 => x"00000000", 11829 => x"00000000", 11830 => x"00000000", 11831 => x"00000000", 11832 => x"00000000", 11833 => x"00000000", 11834 => x"00000000", 11835 => x"00000000", 11836 => x"00000000", 11837 => x"00000000", 11838 => x"00000000", 11839 => x"00000000", 11840 => x"00000000", 11841 => x"00000000", 11842 => x"00000000", 11843 => x"00000000", 11844 => x"00000000", 11845 => x"00000000", 11846 => x"00000000", 11847 => x"00000000", 11848 => x"00000000", 11849 => x"00000000", 11850 => x"00000000", 11851 => x"00000000", 11852 => x"00000000", 11853 => x"00000000", 11854 => x"00000000", 11855 => x"00000000", 11856 => x"00000000", 11857 => x"00000000", 11858 => x"00000000", 11859 => x"00000000", 11860 => x"00000000", 11861 => x"00000000", 11862 => x"00000000", 11863 => x"00000000", 11864 => x"00000000", 11865 => x"00000000", 11866 => x"00000000", 11867 => x"00000000", 11868 => x"00000000", 11869 => x"00000000", 11870 => x"00000000", 11871 => x"00000000", 11872 => x"00000000", 11873 => x"00000000", 11874 => x"00000000", 11875 => x"00000000", 11876 => x"00000000", 11877 => x"00000000", 11878 => x"00000000", 11879 => x"00000000", 11880 => x"00000000", 11881 => x"00000000", 11882 => x"00000000", 11883 => x"00000000", 11884 => x"00000000", 11885 => x"00000000", 11886 => x"00000000", 11887 => x"00000000", 11888 => x"00000000", 11889 => x"00000000", 11890 => x"00000000", 11891 => x"00000000", 11892 => x"00000000", 11893 => x"00000000", 11894 => x"00000000", 11895 => x"00000000", 11896 => x"00000000", 11897 => x"00000000", 11898 => x"00000000", 11899 => x"00000000", 11900 => x"00000000", 11901 => x"00000000", 11902 => x"00000000", 11903 => x"00000000", 11904 => x"00000000", 11905 => x"00000000", 11906 => x"00000000", 11907 => x"00000000", 11908 => x"00000000", 11909 => x"00000000", 11910 => x"00000000", 11911 => x"00000000", 11912 => x"00000000", 11913 => x"00000000", 11914 => x"00000000", 11915 => x"00000000", 11916 => x"00000000", 11917 => x"00000000", 11918 => x"00000000", 11919 => x"00000000", 11920 => x"00000000", 11921 => x"00000000", 11922 => x"00000000", 11923 => x"00000000", 11924 => x"00000000", 11925 => x"00000000", 11926 => x"00000000", 11927 => x"00000000", 11928 => x"00000000", 11929 => x"00000000", 11930 => x"00000000", 11931 => x"00000000", 11932 => x"00000000", 11933 => x"00000000", 11934 => x"00000000", 11935 => x"00000000", 11936 => x"00000000", 11937 => x"00000000", 11938 => x"00000000", 11939 => x"00000000", 11940 => x"00000000", 11941 => x"00000000", 11942 => x"00000000", 11943 => x"00000000", 11944 => x"00000000", 11945 => x"00000000", 11946 => x"00000000", 11947 => x"00000000", 11948 => x"00000000", 11949 => x"00000000", 11950 => x"00000000", 11951 => x"00000000", 11952 => x"00000000", 11953 => x"00000000", 11954 => x"00000000", 11955 => x"00000000", 11956 => x"00000000", 11957 => x"00000000", 11958 => x"00000000", 11959 => x"00000000", 11960 => x"00000000", 11961 => x"00000000", 11962 => x"00000000", 11963 => x"00000000", 11964 => x"00000000", 11965 => x"00000000", 11966 => x"00000000", 11967 => x"00000000", 11968 => x"00000000", 11969 => x"00000000", 11970 => x"00000000", 11971 => x"00000000", 11972 => x"00000000", 11973 => x"00000000", 11974 => x"00000000", 11975 => x"00000000", 11976 => x"00000000", 11977 => x"00000000", 11978 => x"00000000", 11979 => x"00000000", 11980 => x"00000000", 11981 => x"00000000", 11982 => x"00000000", 11983 => x"00000000", 11984 => x"00000000", 11985 => x"00000000", 11986 => x"00000000", 11987 => x"00000000", 11988 => x"00000000", 11989 => x"00000000", 11990 => x"00000000", 11991 => x"00000000", 11992 => x"00000000", 11993 => x"00000000", 11994 => x"00000000", 11995 => x"00000000", 11996 => x"00000000", 11997 => x"00000000", 11998 => x"00000000", 11999 => x"00000000", 12000 => x"00000000", 12001 => x"00000000", 12002 => x"00000000", 12003 => x"00000000", 12004 => x"00000000", 12005 => x"00000000", 12006 => x"00000000", 12007 => x"00000000", 12008 => x"00000000", 12009 => x"00000000", 12010 => x"00000000", 12011 => x"00000000", 12012 => x"00000000", 12013 => x"00000000", 12014 => x"00000000", 12015 => x"00000000", 12016 => x"00000000", 12017 => x"00000000", 12018 => x"00000000", 12019 => x"00000000", 12020 => x"00000000", 12021 => x"00000000", 12022 => x"00000000", 12023 => x"00000000", 12024 => x"00000000", 12025 => x"00000000", 12026 => x"00000000", 12027 => x"00000000", 12028 => x"00000000", 12029 => x"00000000", 12030 => x"00000000", 12031 => x"00000000", 12032 => x"00000000", 12033 => x"00000000", 12034 => x"00000000", 12035 => x"00000000", 12036 => x"00000000", 12037 => x"00000000", 12038 => x"00000000", 12039 => x"00000000", 12040 => x"00000000", 12041 => x"00000000", 12042 => x"00000000", 12043 => x"00000000", 12044 => x"00000000", 12045 => x"00000000", 12046 => x"00000000", 12047 => x"00000000", 12048 => x"00000000", 12049 => x"00000000", 12050 => x"00000000", 12051 => x"00000000", 12052 => x"00000000", 12053 => x"00000000", 12054 => x"00000000", 12055 => x"00000000", 12056 => x"00000000", 12057 => x"00000000", 12058 => x"00000000", 12059 => x"00000000", 12060 => x"00000000", 12061 => x"00000000", 12062 => x"00000000", 12063 => x"00000000", 12064 => x"00000000", 12065 => x"00000000", 12066 => x"00000000", 12067 => x"00000000", 12068 => x"00000000", 12069 => x"00000000", 12070 => x"00000000", 12071 => x"00000000", 12072 => x"00000000", 12073 => x"00000000", 12074 => x"00000000", 12075 => x"00000000", 12076 => x"00000000", 12077 => x"00000000", 12078 => x"00000000", 12079 => x"00000000", 12080 => x"00000000", 12081 => x"00000000", 12082 => x"00000000", 12083 => x"00000000", 12084 => x"00000000", 12085 => x"00000000", 12086 => x"00000000", 12087 => x"00000000", 12088 => x"00000000", 12089 => x"00000000", 12090 => x"00000000", 12091 => x"00000000", 12092 => x"00000000", 12093 => x"00000000", 12094 => x"00000000", 12095 => x"00000000", 12096 => x"00000000", 12097 => x"00000000", 12098 => x"00000000", 12099 => x"00000000", 12100 => x"00000000", 12101 => x"00000000", 12102 => x"00000000", 12103 => x"00000000", 12104 => x"00000000", 12105 => x"00000000", 12106 => x"00000000", 12107 => x"00000000", 12108 => x"00000000", 12109 => x"00000000", 12110 => x"00000000", 12111 => x"00000000", 12112 => x"00000000", 12113 => x"00000000", 12114 => x"00000000", 12115 => x"00000000", 12116 => x"00000000", 12117 => x"00000000", 12118 => x"00000000", 12119 => x"00000000", 12120 => x"00000000", 12121 => x"00000000", 12122 => x"00000000", 12123 => x"00000000", 12124 => x"00000000", 12125 => x"00000000", 12126 => x"00000000", 12127 => x"00000000", 12128 => x"00000000", 12129 => x"00000000", 12130 => x"00000000", 12131 => x"00000000", 12132 => x"00000000", 12133 => x"00000000", 12134 => x"00000000", 12135 => x"00000000", 12136 => x"00000000", 12137 => x"00000000", 12138 => x"00000000", 12139 => x"00000000", 12140 => x"00000000", 12141 => x"00000000", 12142 => x"00000000", 12143 => x"00000000", 12144 => x"00000000", 12145 => x"00000000", 12146 => x"00000000", 12147 => x"00000000", 12148 => x"00000000", 12149 => x"00000000", 12150 => x"00000000", 12151 => x"00000000", 12152 => x"00000000", 12153 => x"00000000", 12154 => x"00000000", 12155 => x"00000000", 12156 => x"00000000", 12157 => x"00000000", 12158 => x"00000000", 12159 => x"00000000", 12160 => x"00000000", 12161 => x"00000000", 12162 => x"00000000", 12163 => x"00000000", 12164 => x"00000000", 12165 => x"00000000", 12166 => x"00000000", 12167 => x"00000000", 12168 => x"00000000", 12169 => x"00000000", 12170 => x"00000000", 12171 => x"00000000", 12172 => x"00000000", 12173 => x"00000000", 12174 => x"00000000", 12175 => x"00000000", 12176 => x"00000000", 12177 => x"00000000", 12178 => x"00000000", 12179 => x"00000000", 12180 => x"00000000", 12181 => x"00000000", 12182 => x"00000000", 12183 => x"00000000", 12184 => x"00000000", 12185 => x"00000000", 12186 => x"00000000", 12187 => x"00000000", 12188 => x"00000000", 12189 => x"00000000", 12190 => x"00000000", 12191 => x"00000000", 12192 => x"00000000", 12193 => x"00000000", 12194 => x"00000000", 12195 => x"00000000", 12196 => x"00000000", 12197 => x"00000000", 12198 => x"00000000", 12199 => x"00000000", 12200 => x"00000000", 12201 => x"00000000", 12202 => x"00000000", 12203 => x"00000000", 12204 => x"00000000", 12205 => x"00000000", 12206 => x"00000000", 12207 => x"00000000", 12208 => x"00000000", 12209 => x"00000000", 12210 => x"00000000", 12211 => x"00000000", 12212 => x"00000000", 12213 => x"00000000", 12214 => x"00000000", 12215 => x"00000000", 12216 => x"00000000", 12217 => x"00000000", 12218 => x"00000000", 12219 => x"00000000", 12220 => x"00000000", 12221 => x"00000000", 12222 => x"00000000", 12223 => x"00000000", 12224 => x"00000000", 12225 => x"00000000", 12226 => x"00000000", 12227 => x"00000000", 12228 => x"00000000", 12229 => x"00000000", 12230 => x"00000000", 12231 => x"00000000", 12232 => x"00000000", 12233 => x"00000000", 12234 => x"00000000", 12235 => x"00000000", 12236 => x"00000000", 12237 => x"00000000", 12238 => x"00000000", 12239 => x"00000000", 12240 => x"00000000", 12241 => x"00000000", 12242 => x"00000000", 12243 => x"00000000", 12244 => x"00000000", 12245 => x"00000000", 12246 => x"00000000", 12247 => x"00000000", 12248 => x"00000000", 12249 => x"00000000", 12250 => x"00000000", 12251 => x"00000000", 12252 => x"00000000", 12253 => x"00000000", 12254 => x"00000000", 12255 => x"00000000", 12256 => x"00000000", 12257 => x"00000000", 12258 => x"00000000", 12259 => x"00000000", 12260 => x"00000000", 12261 => x"00000000", 12262 => x"00000000", 12263 => x"00000000", 12264 => x"00000000", 12265 => x"00000000", 12266 => x"00000000", 12267 => x"00000000", 12268 => x"00000000", 12269 => x"00000000", 12270 => x"00000000", 12271 => x"00000000", 12272 => x"00000000", 12273 => x"00000000", 12274 => x"00000000", 12275 => x"00000000", 12276 => x"00000000", 12277 => x"00000000", 12278 => x"00000000", 12279 => x"00000000", 12280 => x"00000000", 12281 => x"00000000", 12282 => x"00000000", 12283 => x"00000000", 12284 => x"00000000", 12285 => x"00000000", 12286 => x"00000000", 12287 => x"00000000", 12288 => x"00000000", 12289 => x"00000000", 12290 => x"00000000", 12291 => x"00000000", 12292 => x"00000000", 12293 => x"00000000", 12294 => x"00000000", 12295 => x"00000000", 12296 => x"00000000", 12297 => x"00000000", 12298 => x"00000000", 12299 => x"00000000", 12300 => x"00000000", 12301 => x"00000000", 12302 => x"00000000", 12303 => x"00000000", 12304 => x"00000000", 12305 => x"00000000", 12306 => x"00000000", 12307 => x"00000000", 12308 => x"00000000", 12309 => x"00000000", 12310 => x"00000000", 12311 => x"00000000", 12312 => x"00000000", 12313 => x"00000000", 12314 => x"00000000", 12315 => x"00000000", 12316 => x"00000000", 12317 => x"00000000", 12318 => x"00000000", 12319 => x"00000000", 12320 => x"00000000", 12321 => x"00000000", 12322 => x"00000000", 12323 => x"00000000", 12324 => x"00000000", 12325 => x"00000000", 12326 => x"00000000", 12327 => x"00000000", 12328 => x"00000000", 12329 => x"00000000", 12330 => x"00000000", 12331 => x"00000000", 12332 => x"00000000", 12333 => x"00000000", 12334 => x"00000000", 12335 => x"00000000", 12336 => x"00000000", 12337 => x"00000000", 12338 => x"00000000", 12339 => x"00000000", 12340 => x"00000000", 12341 => x"00000000", 12342 => x"00000000", 12343 => x"00000000", 12344 => x"00000000", 12345 => x"00000000", 12346 => x"00000000", 12347 => x"00000000", 12348 => x"00000000", 12349 => x"00000000", 12350 => x"00000000", 12351 => x"00000000", 12352 => x"00000000", 12353 => x"00000000", 12354 => x"00000000", 12355 => x"00000000", 12356 => x"00000000", 12357 => x"00000000", 12358 => x"00000000", 12359 => x"00000000", 12360 => x"00000000", 12361 => x"00000000", 12362 => x"00000000", 12363 => x"00000000", 12364 => x"00000000", 12365 => x"00000000", 12366 => x"00000000", 12367 => x"00000000", 12368 => x"00000000", 12369 => x"00000000", 12370 => x"00000000", 12371 => x"00000000", 12372 => x"00000000", 12373 => x"00000000", 12374 => x"00000000", 12375 => x"00000000", 12376 => x"00000000", 12377 => x"00000000", 12378 => x"00000000", 12379 => x"00000000", 12380 => x"00000000", 12381 => x"00000000", 12382 => x"00000000", 12383 => x"00000000", 12384 => x"00000000", 12385 => x"00000000", 12386 => x"00000000", 12387 => x"00000000", 12388 => x"00000000", 12389 => x"00000000", 12390 => x"00000000", 12391 => x"00000000", 12392 => x"00000000", 12393 => x"00000000", 12394 => x"00000000", 12395 => x"00000000", 12396 => x"00000000", 12397 => x"00000000", 12398 => x"00000000", 12399 => x"00000000", 12400 => x"00000000", 12401 => x"00000000", 12402 => x"00000000", 12403 => x"00000000", 12404 => x"00000000", 12405 => x"00000000", 12406 => x"00000000", 12407 => x"00000000", 12408 => x"00000000", 12409 => x"00000000", 12410 => x"00000000", 12411 => x"00000000", 12412 => x"00000000", 12413 => x"00000000", 12414 => x"00000000", 12415 => x"00000000", 12416 => x"00000000", 12417 => x"00000000", 12418 => x"00000000", 12419 => x"00000000", 12420 => x"00000000", 12421 => x"00000000", 12422 => x"00000000", 12423 => x"00000000", 12424 => x"00000000", 12425 => x"00000000", 12426 => x"00000000", 12427 => x"00000000", 12428 => x"00000000", 12429 => x"00000000", 12430 => x"00000000", 12431 => x"00000000", 12432 => x"00000000", 12433 => x"00000000", 12434 => x"00000000", 12435 => x"00000000", 12436 => x"00000000", 12437 => x"00000000", 12438 => x"00000000", 12439 => x"00000000", 12440 => x"00000000", 12441 => x"00000000", 12442 => x"00000000", 12443 => x"00000000", 12444 => x"00000000", 12445 => x"00000000", 12446 => x"00000000", 12447 => x"00000000", 12448 => x"00000000", 12449 => x"00000000", 12450 => x"00000000", 12451 => x"00000000", 12452 => x"00000000", 12453 => x"00000000", 12454 => x"00000000", 12455 => x"00000000", 12456 => x"00000000", 12457 => x"00000000", 12458 => x"00000000", 12459 => x"00000000", 12460 => x"00000000", 12461 => x"00000000", 12462 => x"00000000", 12463 => x"00000000", 12464 => x"00000000", 12465 => x"00000000", 12466 => x"00000000", 12467 => x"00000000", 12468 => x"00000000", 12469 => x"00000000", 12470 => x"00000000", 12471 => x"00000000", 12472 => x"00000000", 12473 => x"00000000", 12474 => x"00000000", 12475 => x"00000000", 12476 => x"00000000", 12477 => x"00000000", 12478 => x"00000000", 12479 => x"00000000", 12480 => x"00000000", 12481 => x"00000000", 12482 => x"00000000", 12483 => x"00000000", 12484 => x"00000000", 12485 => x"00000000", 12486 => x"00000000", 12487 => x"00000000", 12488 => x"00000000", 12489 => x"00000000", 12490 => x"00000000", 12491 => x"00000000", 12492 => x"00000000", 12493 => x"00000000", 12494 => x"00000000", 12495 => x"00000000", 12496 => x"00000000", 12497 => x"00000000", 12498 => x"00000000", 12499 => x"00000000", 12500 => x"00000000", 12501 => x"00000000", 12502 => x"00000000", 12503 => x"00000000", 12504 => x"00000000", 12505 => x"00000000", 12506 => x"00000000", 12507 => x"00000000", 12508 => x"00000000", 12509 => x"00000000", 12510 => x"00000000", 12511 => x"00000000", 12512 => x"00000000", 12513 => x"00000000", 12514 => x"00000000", 12515 => x"00000000", 12516 => x"00000000", 12517 => x"00000000", 12518 => x"00000000", 12519 => x"00000000", 12520 => x"00000000", 12521 => x"00000000", 12522 => x"00000000", 12523 => x"00000000", 12524 => x"00000000", 12525 => x"00000000", 12526 => x"00000000", 12527 => x"00000000", 12528 => x"00000000", 12529 => x"00000000", 12530 => x"00000000", 12531 => x"00000000", 12532 => x"00000000", 12533 => x"00000000", 12534 => x"00000000", 12535 => x"00000000", 12536 => x"00000000", 12537 => x"00000000", 12538 => x"00000000", 12539 => x"00000000", 12540 => x"00000000", 12541 => x"00000000", 12542 => x"00000000", 12543 => x"00000000", 12544 => x"00000000", 12545 => x"00000000", 12546 => x"00000000", 12547 => x"00000000", 12548 => x"00000000", 12549 => x"00000000", 12550 => x"00000000", 12551 => x"00000000", 12552 => x"00000000", 12553 => x"00000000", 12554 => x"00000000", 12555 => x"00000000", 12556 => x"00000000", 12557 => x"00000000", 12558 => x"00000000", 12559 => x"00000000", 12560 => x"00000000", 12561 => x"00000000", 12562 => x"00000000", 12563 => x"00000000", 12564 => x"00000000", 12565 => x"00000000", 12566 => x"00000000", 12567 => x"00000000", 12568 => x"00000000", 12569 => x"00000000", 12570 => x"00000000", 12571 => x"00000000", 12572 => x"00000000", 12573 => x"00000000", 12574 => x"00000000", 12575 => x"00000000", 12576 => x"00000000", 12577 => x"00000000", 12578 => x"00000000", 12579 => x"00000000", 12580 => x"00000000", 12581 => x"00000000", 12582 => x"00000000", 12583 => x"00000000", 12584 => x"00000000", 12585 => x"00000000", 12586 => x"00000000", 12587 => x"00000000", 12588 => x"00000000", 12589 => x"00000000", 12590 => x"00000000", 12591 => x"00000000", 12592 => x"00000000", 12593 => x"00000000", 12594 => x"00000000", 12595 => x"00000000", 12596 => x"00000000", 12597 => x"00000000", 12598 => x"00000000", 12599 => x"00000000", 12600 => x"00000000", 12601 => x"00000000", 12602 => x"00000000", 12603 => x"00000000", 12604 => x"00000000", 12605 => x"00000000", 12606 => x"00000000", 12607 => x"00000000", 12608 => x"00000000", 12609 => x"00000000", 12610 => x"00000000", 12611 => x"00000000", 12612 => x"00000000", 12613 => x"00000000", 12614 => x"00000000", 12615 => x"00000000", 12616 => x"00000000", 12617 => x"00000000", 12618 => x"00000000", 12619 => x"00000000", 12620 => x"00000000", 12621 => x"00000000", 12622 => x"00000000", 12623 => x"00000000", 12624 => x"00000000", 12625 => x"00000000", 12626 => x"00000000", 12627 => x"00000000", 12628 => x"00000000", 12629 => x"00000000", 12630 => x"00000000", 12631 => x"00000000", 12632 => x"00000000", 12633 => x"00000000", 12634 => x"00000000", 12635 => x"00000000", 12636 => x"00000000", 12637 => x"00000000", 12638 => x"00000000", 12639 => x"00000000", 12640 => x"00000000", 12641 => x"00000000", 12642 => x"00000000", 12643 => x"00000000", 12644 => x"00000000", 12645 => x"00000000", 12646 => x"00000000", 12647 => x"00000000", 12648 => x"00000000", 12649 => x"00000000", 12650 => x"00000000", 12651 => x"00000000", 12652 => x"00000000", 12653 => x"00000000", 12654 => x"00000000", 12655 => x"00000000", 12656 => x"00000000", 12657 => x"00000000", 12658 => x"00000000", 12659 => x"00000000", 12660 => x"00000000", 12661 => x"00000000", 12662 => x"00000000", 12663 => x"00000000", 12664 => x"00000000", 12665 => x"00000000", 12666 => x"00000000", 12667 => x"00000000", 12668 => x"00000000", 12669 => x"00000000", 12670 => x"00000000", 12671 => x"00000000", 12672 => x"00000000", 12673 => x"00000000", 12674 => x"00000000", 12675 => x"00000000", 12676 => x"00000000", 12677 => x"00000000", 12678 => x"00000000", 12679 => x"00000000", 12680 => x"00000000", 12681 => x"00000000", 12682 => x"00000000", 12683 => x"00000000", 12684 => x"00000000", 12685 => x"00000000", 12686 => x"00000000", 12687 => x"00000000", 12688 => x"00000000", 12689 => x"00000000", 12690 => x"00000000", 12691 => x"00000000", 12692 => x"00000000", 12693 => x"00000000", 12694 => x"00000000", 12695 => x"00000000", 12696 => x"00000000", 12697 => x"00000000", 12698 => x"00000000", 12699 => x"00000000", 12700 => x"00000000", 12701 => x"00000000", 12702 => x"00000000", 12703 => x"00000000", 12704 => x"00000000", 12705 => x"00000000", 12706 => x"00000000", 12707 => x"00000000", 12708 => x"00000000", 12709 => x"00000000", 12710 => x"00000000", 12711 => x"00000000", 12712 => x"00000000", 12713 => x"00000000", 12714 => x"00000000", 12715 => x"00000000", 12716 => x"00000000", 12717 => x"00000000", 12718 => x"00000000", 12719 => x"00000000", 12720 => x"00000000", 12721 => x"00000000", 12722 => x"00000000", 12723 => x"00000000", 12724 => x"00000000", 12725 => x"00000000", 12726 => x"00000000", 12727 => x"00000000", 12728 => x"00000000", 12729 => x"00000000", 12730 => x"00000000", 12731 => x"00000000", 12732 => x"00000000", 12733 => x"00000000", 12734 => x"00000000", 12735 => x"00000000", 12736 => x"00000000", 12737 => x"00000000", 12738 => x"00000000", 12739 => x"00000000", 12740 => x"00000000", 12741 => x"00000000", 12742 => x"00000000", 12743 => x"00000000", 12744 => x"00000000", 12745 => x"00000000", 12746 => x"00000000", 12747 => x"00000000", 12748 => x"00000000", 12749 => x"00000000", 12750 => x"00000000", 12751 => x"00000000", 12752 => x"00000000", 12753 => x"00000000", 12754 => x"00000000", 12755 => x"00000000", 12756 => x"00000000", 12757 => x"00000000", 12758 => x"00000000", 12759 => x"00000000", 12760 => x"00000000", 12761 => x"00000000", 12762 => x"00000000", 12763 => x"00000000", 12764 => x"00000000", 12765 => x"00000000", 12766 => x"00000000", 12767 => x"00000000", 12768 => x"00000000", 12769 => x"00000000", 12770 => x"00000000", 12771 => x"00000000", 12772 => x"00000000", 12773 => x"00000000", 12774 => x"00000000", 12775 => x"00000000", 12776 => x"00000000", 12777 => x"00000000", 12778 => x"00000000", 12779 => x"00000000", 12780 => x"00000000", 12781 => x"00000000", 12782 => x"00000000", 12783 => x"00000000", 12784 => x"00000000", 12785 => x"00000000", 12786 => x"00000000", 12787 => x"00000000", 12788 => x"00000000", 12789 => x"00000000", 12790 => x"00000000", 12791 => x"00000000", 12792 => x"00000000", 12793 => x"00000000", 12794 => x"00000000", 12795 => x"00000000", 12796 => x"00000000", 12797 => x"00000000", 12798 => x"00000000", 12799 => x"00000000", 12800 => x"00000000", 12801 => x"00000000", 12802 => x"00000000", 12803 => x"00000000", 12804 => x"00000000", 12805 => x"00000000", 12806 => x"00000000", 12807 => x"00000000", 12808 => x"00000000", 12809 => x"00000000", 12810 => x"00000000", 12811 => x"00000000", 12812 => x"00000000", 12813 => x"00000000", 12814 => x"00000000", 12815 => x"00000000", 12816 => x"00000000", 12817 => x"00000000", 12818 => x"00000000", 12819 => x"00000000", 12820 => x"00000000", 12821 => x"00000000", 12822 => x"00000000", 12823 => x"00000000", 12824 => x"00000000", 12825 => x"00000000", 12826 => x"00000000", 12827 => x"00000000", 12828 => x"00000000", 12829 => x"00000000", 12830 => x"00000000", 12831 => x"00000000", 12832 => x"00000000", 12833 => x"00000000", 12834 => x"00000000", 12835 => x"00000000", 12836 => x"00000000", 12837 => x"00000000", 12838 => x"00000000", 12839 => x"00000000", 12840 => x"00000000", 12841 => x"00000000", 12842 => x"00000000", 12843 => x"00000000", 12844 => x"00000000", 12845 => x"00000000", 12846 => x"00000000", 12847 => x"00000000", 12848 => x"00000000", 12849 => x"00000000", 12850 => x"00000000", 12851 => x"00000000", 12852 => x"00000000", 12853 => x"00000000", 12854 => x"00000000", 12855 => x"00000000", 12856 => x"00000000", 12857 => x"00000000", 12858 => x"00000000", 12859 => x"00000000", 12860 => x"00000000", 12861 => x"00000000", 12862 => x"00000000", 12863 => x"00000000", 12864 => x"00000000", 12865 => x"00000000", 12866 => x"00000000", 12867 => x"00000000", 12868 => x"00000000", 12869 => x"00000000", 12870 => x"00000000", 12871 => x"00000000", 12872 => x"00000000", 12873 => x"00000000", 12874 => x"00000000", 12875 => x"00000000", 12876 => x"00000000", 12877 => x"00000000", 12878 => x"00000000", 12879 => x"00000000", 12880 => x"00000000", 12881 => x"00000000", 12882 => x"00000000", 12883 => x"00000000", 12884 => x"00000000", 12885 => x"00000000", 12886 => x"00000000", 12887 => x"00000000", 12888 => x"00000000", 12889 => x"00000000", 12890 => x"00000000", 12891 => x"00000000", 12892 => x"00000000", 12893 => x"00000000", 12894 => x"00000000", 12895 => x"00000000", 12896 => x"00000000", 12897 => x"00000000", 12898 => x"00000000", 12899 => x"00000000", 12900 => x"00000000", 12901 => x"00000000", 12902 => x"00000000", 12903 => x"00000000", 12904 => x"00000000", 12905 => x"00000000", 12906 => x"00000000", 12907 => x"00000000", 12908 => x"00000000", 12909 => x"00000000", 12910 => x"00000000", 12911 => x"00000000", 12912 => x"00000000", 12913 => x"00000000", 12914 => x"00000000", 12915 => x"00000000", 12916 => x"00000000", 12917 => x"00000000", 12918 => x"00000000", 12919 => x"00000000", 12920 => x"00000000", 12921 => x"00000000", 12922 => x"00000000", 12923 => x"00000000", 12924 => x"00000000", 12925 => x"00000000", 12926 => x"00000000", 12927 => x"00000000", 12928 => x"00000000", 12929 => x"00000000", 12930 => x"00000000", 12931 => x"00000000", 12932 => x"00000000", 12933 => x"00000000", 12934 => x"00000000", 12935 => x"00000000", 12936 => x"00000000", 12937 => x"00000000", 12938 => x"00000000", 12939 => x"00000000", 12940 => x"00000000", 12941 => x"00000000", 12942 => x"00000000", 12943 => x"00000000", 12944 => x"00000000", 12945 => x"00000000", 12946 => x"00000000", 12947 => x"00000000", 12948 => x"00000000", 12949 => x"00000000", 12950 => x"00000000", 12951 => x"00000000", 12952 => x"00000000", 12953 => x"00000000", 12954 => x"00000000", 12955 => x"00000000", 12956 => x"00000000", 12957 => x"00000000", 12958 => x"00000000", 12959 => x"00000000", 12960 => x"00000000", 12961 => x"00000000", 12962 => x"00000000", 12963 => x"00000000", 12964 => x"00000000", 12965 => x"00000000", 12966 => x"00000000", 12967 => x"00000000", 12968 => x"00000000", 12969 => x"00000000", 12970 => x"00000000", 12971 => x"00000000", 12972 => x"00000000", 12973 => x"00000000", 12974 => x"00000000", 12975 => x"00000000", 12976 => x"00000000", 12977 => x"00000000", 12978 => x"00000000", 12979 => x"00000000", 12980 => x"00000000", 12981 => x"00000000", 12982 => x"00000000", 12983 => x"00000000", 12984 => x"00000000", 12985 => x"00000000", 12986 => x"00000000", 12987 => x"00000000", 12988 => x"00000000", 12989 => x"00000000", 12990 => x"00000000", 12991 => x"00000000", 12992 => x"00000000", 12993 => x"00000000", 12994 => x"00000000", 12995 => x"00000000", 12996 => x"00000000", 12997 => x"00000000", 12998 => x"00000000", 12999 => x"00000000", 13000 => x"00000000", 13001 => x"00000000", 13002 => x"00000000", 13003 => x"00000000", 13004 => x"00000000", 13005 => x"00000000", 13006 => x"00000000", 13007 => x"00000000", 13008 => x"00000000", 13009 => x"00000000", 13010 => x"00000000", 13011 => x"00000000", 13012 => x"00000000", 13013 => x"00000000", 13014 => x"00000000", 13015 => x"00000000", 13016 => x"00000000", 13017 => x"00000000", 13018 => x"00000000", 13019 => x"00000000", 13020 => x"00000000", 13021 => x"00000000", 13022 => x"00000000", 13023 => x"00000000", 13024 => x"00000000", 13025 => x"00000000", 13026 => x"00000000", 13027 => x"00000000", 13028 => x"00000000", 13029 => x"00000000", 13030 => x"00000000", 13031 => x"00000000", 13032 => x"00000000", 13033 => x"00000000", 13034 => x"00000000", 13035 => x"00000000", 13036 => x"00000000", 13037 => x"00000000", 13038 => x"00000000", 13039 => x"00000000", 13040 => x"00000000", 13041 => x"00000000", 13042 => x"00000000", 13043 => x"00000000", 13044 => x"00000000", 13045 => x"00000000", 13046 => x"00000000", 13047 => x"00000000", 13048 => x"00000000", 13049 => x"00000000", 13050 => x"00000000", 13051 => x"00000000", 13052 => x"00000000", 13053 => x"00000000", 13054 => x"00000000", 13055 => x"00000000", 13056 => x"00000000", 13057 => x"00000000", 13058 => x"00000000", 13059 => x"00000000", 13060 => x"00000000", 13061 => x"00000000", 13062 => x"00000000", 13063 => x"00000000", 13064 => x"00000000", 13065 => x"00000000", 13066 => x"00000000", 13067 => x"00000000", 13068 => x"00000000", 13069 => x"00000000", 13070 => x"00000000", 13071 => x"00000000", 13072 => x"00000000", 13073 => x"00000000", 13074 => x"00000000", 13075 => x"00000000", 13076 => x"00000000", 13077 => x"00000000", 13078 => x"00000000", 13079 => x"00000000", 13080 => x"00000000", 13081 => x"00000000", 13082 => x"00000000", 13083 => x"00000000", 13084 => x"00000000", 13085 => x"00000000", 13086 => x"00000000", 13087 => x"00000000", 13088 => x"00000000", 13089 => x"00000000", 13090 => x"00000000", 13091 => x"00000000", 13092 => x"00000000", 13093 => x"00000000", 13094 => x"00000000", 13095 => x"00000000", 13096 => x"00000000", 13097 => x"00000000", 13098 => x"00000000", 13099 => x"00000000", 13100 => x"00000000", 13101 => x"00000000", 13102 => x"00000000", 13103 => x"00000000", 13104 => x"00000000", 13105 => x"00000000", 13106 => x"00000000", 13107 => x"00000000", 13108 => x"00000000", 13109 => x"00000000", 13110 => x"00000000", 13111 => x"00000000", 13112 => x"00000000", 13113 => x"00000000", 13114 => x"00000000", 13115 => x"00000000", 13116 => x"00000000", 13117 => x"00000000", 13118 => x"00000000", 13119 => x"00000000", 13120 => x"00000000", 13121 => x"00000000", 13122 => x"00000000", 13123 => x"00000000", 13124 => x"00000000", 13125 => x"00000000", 13126 => x"00000000", 13127 => x"00000000", 13128 => x"00000000", 13129 => x"00000000", 13130 => x"00000000", 13131 => x"00000000", 13132 => x"00000000", 13133 => x"00000000", 13134 => x"00000000", 13135 => x"00000000", 13136 => x"00000000", 13137 => x"00000000", 13138 => x"00000000", 13139 => x"00000000", 13140 => x"00000000", 13141 => x"00000000", 13142 => x"00000000", 13143 => x"00000000", 13144 => x"00000000", 13145 => x"00000000", 13146 => x"00000000", 13147 => x"00000000", 13148 => x"00000000", 13149 => x"00000000", 13150 => x"00000000", 13151 => x"00000000", 13152 => x"00000000", 13153 => x"00000000", 13154 => x"00000000", 13155 => x"00000000", 13156 => x"00000000", 13157 => x"00000000", 13158 => x"00000000", 13159 => x"00000000", 13160 => x"00000000", 13161 => x"00000000", 13162 => x"00000000", 13163 => x"00000000", 13164 => x"00000000", 13165 => x"00000000", 13166 => x"00000000", 13167 => x"00000000", 13168 => x"00000000", 13169 => x"00000000", 13170 => x"00000000", 13171 => x"00000000", 13172 => x"00000000", 13173 => x"00000000", 13174 => x"00000000", 13175 => x"00000000", 13176 => x"00000000", 13177 => x"00000000", 13178 => x"00000000", 13179 => x"00000000", 13180 => x"00000000", 13181 => x"00000000", 13182 => x"00000000", 13183 => x"00000000", 13184 => x"00000000", 13185 => x"00000000", 13186 => x"00000000", 13187 => x"00000000", 13188 => x"00000000", 13189 => x"00000000", 13190 => x"00000000", 13191 => x"00000000", 13192 => x"00000000", 13193 => x"00000000", 13194 => x"00000000", 13195 => x"00000000", 13196 => x"00000000", 13197 => x"00000000", 13198 => x"00000000", 13199 => x"00000000", 13200 => x"00000000", 13201 => x"00000000", 13202 => x"00000000", 13203 => x"00000000", 13204 => x"00000000", 13205 => x"00000000", 13206 => x"00000000", 13207 => x"00000000", 13208 => x"00000000", 13209 => x"00000000", 13210 => x"00000000", 13211 => x"00000000", 13212 => x"00000000", 13213 => x"00000000", 13214 => x"00000000", 13215 => x"00000000", 13216 => x"00000000", 13217 => x"00000000", 13218 => x"00000000", 13219 => x"00000000", 13220 => x"00000000", 13221 => x"00000000", 13222 => x"00000000", 13223 => x"00000000", 13224 => x"00000000", 13225 => x"00000000", 13226 => x"00000000", 13227 => x"00000000", 13228 => x"00000000", 13229 => x"00000000", 13230 => x"00000000", 13231 => x"00000000", 13232 => x"00000000", 13233 => x"00000000", 13234 => x"00000000", 13235 => x"00000000", 13236 => x"00000000", 13237 => x"00000000", 13238 => x"00000000", 13239 => x"00000000", 13240 => x"00000000", 13241 => x"00000000", 13242 => x"00000000", 13243 => x"00000000", 13244 => x"00000000", 13245 => x"00000000", 13246 => x"00000000", 13247 => x"00000000", 13248 => x"00000000", 13249 => x"00000000", 13250 => x"00000000", 13251 => x"00000000", 13252 => x"00000000", 13253 => x"00000000", 13254 => x"00000000", 13255 => x"00000000", 13256 => x"00000000", 13257 => x"00000000", 13258 => x"00000000", 13259 => x"00000000", 13260 => x"00000000", 13261 => x"00000000", 13262 => x"00000000", 13263 => x"00000000", 13264 => x"00000000", 13265 => x"00000000", 13266 => x"00000000", 13267 => x"00000000", 13268 => x"00000000", 13269 => x"00000000", 13270 => x"00000000", 13271 => x"00000000", 13272 => x"00000000", 13273 => x"00000000", 13274 => x"00000000", 13275 => x"00000000", 13276 => x"00000000", 13277 => x"00000000", 13278 => x"00000000", 13279 => x"00000000", 13280 => x"00000000", 13281 => x"00000000", 13282 => x"00000000", 13283 => x"00000000", 13284 => x"00000000", 13285 => x"00000000", 13286 => x"00000000", 13287 => x"00000000", 13288 => x"00000000", 13289 => x"00000000", 13290 => x"00000000", 13291 => x"00000000", 13292 => x"00000000", 13293 => x"00000000", 13294 => x"00000000", 13295 => x"00000000", 13296 => x"00000000", 13297 => x"00000000", 13298 => x"00000000", 13299 => x"00000000", 13300 => x"00000000", 13301 => x"00000000", 13302 => x"00000000", 13303 => x"00000000", 13304 => x"00000000", 13305 => x"00000000", 13306 => x"00000000", 13307 => x"00000000", 13308 => x"00000000", 13309 => x"00000000", 13310 => x"00000000", 13311 => x"00000000", 13312 => x"00000000", 13313 => x"00000000", 13314 => x"00000000", 13315 => x"00000000", 13316 => x"00000000", 13317 => x"00000000", 13318 => x"00000000", 13319 => x"00000000", 13320 => x"00000000", 13321 => x"00000000", 13322 => x"00000000", 13323 => x"00000000", 13324 => x"00000000", 13325 => x"00000000", 13326 => x"00000000", 13327 => x"00000000", 13328 => x"00000000", 13329 => x"00000000", 13330 => x"00000000", 13331 => x"00000000", 13332 => x"00000000", 13333 => x"00000000", 13334 => x"00000000", 13335 => x"00000000", 13336 => x"00000000", 13337 => x"00000000", 13338 => x"00000000", 13339 => x"00000000", 13340 => x"00000000", 13341 => x"00000000", 13342 => x"00000000", 13343 => x"00000000", 13344 => x"00000000", 13345 => x"00000000", 13346 => x"00000000", 13347 => x"00000000", 13348 => x"00000000", 13349 => x"00000000", 13350 => x"00000000", 13351 => x"00000000", 13352 => x"00000000", 13353 => x"00000000", 13354 => x"00000000", 13355 => x"00000000", 13356 => x"00000000", 13357 => x"00000000", 13358 => x"00000000", 13359 => x"00000000", 13360 => x"00000000", 13361 => x"00000000", 13362 => x"00000000", 13363 => x"00000000", 13364 => x"00000000", 13365 => x"00000000", 13366 => x"00000000", 13367 => x"00000000", 13368 => x"00000000", 13369 => x"00000000", 13370 => x"00000000", 13371 => x"00000000", 13372 => x"00000000", 13373 => x"00000000", 13374 => x"00000000", 13375 => x"00000000", 13376 => x"00000000", 13377 => x"00000000", 13378 => x"00000000", 13379 => x"00000000", 13380 => x"00000000", 13381 => x"00000000", 13382 => x"00000000", 13383 => x"00000000", 13384 => x"00000000", 13385 => x"00000000", 13386 => x"00000000", 13387 => x"00000000", 13388 => x"00000000", 13389 => x"00000000", 13390 => x"00000000", 13391 => x"00000000", 13392 => x"00000000", 13393 => x"00000000", 13394 => x"00000000", 13395 => x"00000000", 13396 => x"00000000", 13397 => x"00000000", 13398 => x"00000000", 13399 => x"00000000", 13400 => x"00000000", 13401 => x"00000000", 13402 => x"00000000", 13403 => x"00000000", 13404 => x"00000000", 13405 => x"00000000", 13406 => x"00000000", 13407 => x"00000000", 13408 => x"00000000", 13409 => x"00000000", 13410 => x"00000000", 13411 => x"00000000", 13412 => x"00000000", 13413 => x"00000000", 13414 => x"00000000", 13415 => x"00000000", 13416 => x"00000000", 13417 => x"00000000", 13418 => x"00000000", 13419 => x"00000000", 13420 => x"00000000", 13421 => x"00000000", 13422 => x"00000000", 13423 => x"00000000", 13424 => x"00000000", 13425 => x"00000000", 13426 => x"00000000", 13427 => x"00000000", 13428 => x"00000000", 13429 => x"00000000", 13430 => x"00000000", 13431 => x"00000000", 13432 => x"00000000", 13433 => x"00000000", 13434 => x"00000000", 13435 => x"00000000", 13436 => x"00000000", 13437 => x"00000000", 13438 => x"00000000", 13439 => x"00000000", 13440 => x"00000000", 13441 => x"00000000", 13442 => x"00000000", 13443 => x"00000000", 13444 => x"00000000", 13445 => x"00000000", 13446 => x"00000000", 13447 => x"00000000", 13448 => x"00000000", 13449 => x"00000000", 13450 => x"00000000", 13451 => x"00000000", 13452 => x"00000000", 13453 => x"00000000", 13454 => x"00000000", 13455 => x"00000000", 13456 => x"00000000", 13457 => x"00000000", 13458 => x"00000000", 13459 => x"00000000", 13460 => x"00000000", 13461 => x"00000000", 13462 => x"00000000", 13463 => x"00000000", 13464 => x"00000000", 13465 => x"00000000", 13466 => x"00000000", 13467 => x"00000000", 13468 => x"00000000", 13469 => x"00000000", 13470 => x"00000000", 13471 => x"00000000", 13472 => x"00000000", 13473 => x"00000000", 13474 => x"00000000", 13475 => x"00000000", 13476 => x"00000000", 13477 => x"00000000", 13478 => x"00000000", 13479 => x"00000000", 13480 => x"00000000", 13481 => x"00000000", 13482 => x"00000000", 13483 => x"00000000", 13484 => x"00000000", 13485 => x"00000000", 13486 => x"00000000", 13487 => x"00000000", 13488 => x"00000000", 13489 => x"00000000", 13490 => x"00000000", 13491 => x"00000000", 13492 => x"00000000", 13493 => x"00000000", 13494 => x"00000000", 13495 => x"00000000", 13496 => x"00000000", 13497 => x"00000000", 13498 => x"00000000", 13499 => x"00000000", 13500 => x"00000000", 13501 => x"00000000", 13502 => x"00000000", 13503 => x"00000000", 13504 => x"00000000", 13505 => x"00000000", 13506 => x"00000000", 13507 => x"00000000", 13508 => x"00000000", 13509 => x"00000000", 13510 => x"00000000", 13511 => x"00000000", 13512 => x"00000000", 13513 => x"00000000", 13514 => x"00000000", 13515 => x"00000000", 13516 => x"00000000", 13517 => x"00000000", 13518 => x"00000000", 13519 => x"00000000", 13520 => x"00000000", 13521 => x"00000000", 13522 => x"00000000", 13523 => x"00000000", 13524 => x"00000000", 13525 => x"00000000", 13526 => x"00000000", 13527 => x"00000000", 13528 => x"00000000", 13529 => x"00000000", 13530 => x"00000000", 13531 => x"00000000", 13532 => x"00000000", 13533 => x"00000000", 13534 => x"00000000", 13535 => x"00000000", 13536 => x"00000000", 13537 => x"00000000", 13538 => x"00000000", 13539 => x"00000000", 13540 => x"00000000", 13541 => x"00000000", 13542 => x"00000000", 13543 => x"00000000", 13544 => x"00000000", 13545 => x"00000000", 13546 => x"00000000", 13547 => x"00000000", 13548 => x"00000000", 13549 => x"00000000", 13550 => x"00000000", 13551 => x"00000000", 13552 => x"00000000", 13553 => x"00000000", 13554 => x"00000000", 13555 => x"00000000", 13556 => x"00000000", 13557 => x"00000000", 13558 => x"00000000", 13559 => x"00000000", 13560 => x"00000000", 13561 => x"00000000", 13562 => x"00000000", 13563 => x"00000000", 13564 => x"00000000", 13565 => x"00000000", 13566 => x"00000000", 13567 => x"00000000", 13568 => x"00000000", 13569 => x"00000000", 13570 => x"00000000", 13571 => x"00000000", 13572 => x"00000000", 13573 => x"00000000", 13574 => x"00000000", 13575 => x"00000000", 13576 => x"00000000", 13577 => x"00000000", 13578 => x"00000000", 13579 => x"00000000", 13580 => x"00000000", 13581 => x"00000000", 13582 => x"00000000", 13583 => x"00000000", 13584 => x"00000000", 13585 => x"00000000", 13586 => x"00000000", 13587 => x"00000000", 13588 => x"00000000", 13589 => x"00000000", 13590 => x"00000000", 13591 => x"00000000", 13592 => x"00000000", 13593 => x"00000000", 13594 => x"00000000", 13595 => x"00000000", 13596 => x"00000000", 13597 => x"00000000", 13598 => x"00000000", 13599 => x"00000000", 13600 => x"00000000", 13601 => x"00000000", 13602 => x"00000000", 13603 => x"00000000", 13604 => x"00000000", 13605 => x"00000000", 13606 => x"00000000", 13607 => x"00000000", 13608 => x"00000000", 13609 => x"00000000", 13610 => x"00000000", 13611 => x"00000000", 13612 => x"00000000", 13613 => x"00000000", 13614 => x"00000000", 13615 => x"00000000", 13616 => x"00000000", 13617 => x"00000000", 13618 => x"00000000", 13619 => x"00000000", 13620 => x"00000000", 13621 => x"00000000", 13622 => x"00000000", 13623 => x"00000000", 13624 => x"00000000", 13625 => x"00000000", 13626 => x"00000000", 13627 => x"00000000", 13628 => x"00000000", 13629 => x"00000000", 13630 => x"00000000", 13631 => x"00000000", 13632 => x"00000000", 13633 => x"00000000", 13634 => x"00000000", 13635 => x"00000000", 13636 => x"00000000", 13637 => x"00000000", 13638 => x"00000000", 13639 => x"00000000", 13640 => x"00000000", 13641 => x"00000000", 13642 => x"00000000", 13643 => x"00000000", 13644 => x"00000000", 13645 => x"00000000", 13646 => x"00000000", 13647 => x"00000000", 13648 => x"00000000", 13649 => x"00000000", 13650 => x"00000000", 13651 => x"00000000", 13652 => x"00000000", 13653 => x"00000000", 13654 => x"00000000", 13655 => x"00000000", 13656 => x"00000000", 13657 => x"00000000", 13658 => x"00000000", 13659 => x"00000000", 13660 => x"00000000", 13661 => x"00000000", 13662 => x"00000000", 13663 => x"00000000", 13664 => x"00000000", 13665 => x"00000000", 13666 => x"00000000", 13667 => x"00000000", 13668 => x"00000000", 13669 => x"00000000", 13670 => x"00000000", 13671 => x"00000000", 13672 => x"00000000", 13673 => x"00000000", 13674 => x"00000000", 13675 => x"00000000", 13676 => x"00000000", 13677 => x"00000000", 13678 => x"00000000", 13679 => x"00000000", 13680 => x"00000000", 13681 => x"00000000", 13682 => x"00000000", 13683 => x"00000000", 13684 => x"00000000", 13685 => x"00000000", 13686 => x"00000000", 13687 => x"00000000", 13688 => x"00000000", 13689 => x"00000000", 13690 => x"00000000", 13691 => x"00000000", 13692 => x"00000000", 13693 => x"00000000", 13694 => x"00000000", 13695 => x"00000000", 13696 => x"00000000", 13697 => x"00000000", 13698 => x"00000000", 13699 => x"00000000", 13700 => x"00000000", 13701 => x"00000000", 13702 => x"00000000", 13703 => x"00000000", 13704 => x"00000000", 13705 => x"00000000", 13706 => x"00000000", 13707 => x"00000000", 13708 => x"00000000", 13709 => x"00000000", 13710 => x"00000000", 13711 => x"00000000", 13712 => x"00000000", 13713 => x"00000000", 13714 => x"00000000", 13715 => x"00000000", 13716 => x"00000000", 13717 => x"00000000", 13718 => x"00000000", 13719 => x"00000000", 13720 => x"00000000", 13721 => x"00000000", 13722 => x"00000000", 13723 => x"00000000", 13724 => x"00000000", 13725 => x"00000000", 13726 => x"00000000", 13727 => x"00000000", 13728 => x"00000000", 13729 => x"00000000", 13730 => x"00000000", 13731 => x"00000000", 13732 => x"00000000", 13733 => x"00000000", 13734 => x"00000000", 13735 => x"00000000", 13736 => x"00000000", 13737 => x"00000000", 13738 => x"00000000", 13739 => x"00000000", 13740 => x"00000000", 13741 => x"00000000", 13742 => x"00000000", 13743 => x"00000000", 13744 => x"00000000", 13745 => x"00000000", 13746 => x"00000000", 13747 => x"00000000", 13748 => x"00000000", 13749 => x"00000000", 13750 => x"00000000", 13751 => x"00000000", 13752 => x"00000000", 13753 => x"00000000", 13754 => x"00000000", 13755 => x"00000000", 13756 => x"00000000", 13757 => x"00000000", 13758 => x"00000000", 13759 => x"00000000", 13760 => x"00000000", 13761 => x"00000000", 13762 => x"00000000", 13763 => x"00000000", 13764 => x"00000000", 13765 => x"00000000", 13766 => x"00000000", 13767 => x"00000000", 13768 => x"00000000", 13769 => x"00000000", 13770 => x"00000000", 13771 => x"00000000", 13772 => x"00000000", 13773 => x"00000000", 13774 => x"00000000", 13775 => x"00000000", 13776 => x"00000000", 13777 => x"00000000", 13778 => x"00000000", 13779 => x"00000000", 13780 => x"00000000", 13781 => x"00000000", 13782 => x"00000000", 13783 => x"00000000", 13784 => x"00000000", 13785 => x"00000000", 13786 => x"00000000", 13787 => x"00000000", 13788 => x"00000000", 13789 => x"00000000", 13790 => x"00000000", 13791 => x"00000000", 13792 => x"00000000", 13793 => x"00000000", 13794 => x"00000000", 13795 => x"00000000", 13796 => x"00000000", 13797 => x"00000000", 13798 => x"00000000", 13799 => x"00000000", 13800 => x"00000000", 13801 => x"00000000", 13802 => x"00000000", 13803 => x"00000000", 13804 => x"00000000", 13805 => x"00000000", 13806 => x"00000000", 13807 => x"00000000", 13808 => x"00000000", 13809 => x"00000000", 13810 => x"00000000", 13811 => x"00000000", 13812 => x"00000000", 13813 => x"00000000", 13814 => x"00000000", 13815 => x"00000000", 13816 => x"00000000", 13817 => x"00000000", 13818 => x"00000000", 13819 => x"00000000", 13820 => x"00000000", 13821 => x"00000000", 13822 => x"00000000", 13823 => x"00000000", 13824 => x"00000000", 13825 => x"00000000", 13826 => x"00000000", 13827 => x"00000000", 13828 => x"00000000", 13829 => x"00000000", 13830 => x"00000000", 13831 => x"00000000", 13832 => x"00000000", 13833 => x"00000000", 13834 => x"00000000", 13835 => x"00000000", 13836 => x"00000000", 13837 => x"00000000", 13838 => x"00000000", 13839 => x"00000000", 13840 => x"00000000", 13841 => x"00000000", 13842 => x"00000000", 13843 => x"00000000", 13844 => x"00000000", 13845 => x"00000000", 13846 => x"00000000", 13847 => x"00000000", 13848 => x"00000000", 13849 => x"00000000", 13850 => x"00000000", 13851 => x"00000000", 13852 => x"00000000", 13853 => x"00000000", 13854 => x"00000000", 13855 => x"00000000", 13856 => x"00000000", 13857 => x"00000000", 13858 => x"00000000", 13859 => x"00000000", 13860 => x"00000000", 13861 => x"00000000", 13862 => x"00000000", 13863 => x"00000000", 13864 => x"00000000", 13865 => x"00000000", 13866 => x"00000000", 13867 => x"00000000", 13868 => x"00000000", 13869 => x"00000000", 13870 => x"00000000", 13871 => x"00000000", 13872 => x"00000000", 13873 => x"00000000", 13874 => x"00000000", 13875 => x"00000000", 13876 => x"00000000", 13877 => x"00000000", 13878 => x"00000000", 13879 => x"00000000", 13880 => x"00000000", 13881 => x"00000000", 13882 => x"00000000", 13883 => x"00000000", 13884 => x"00000000", 13885 => x"00000000", 13886 => x"00000000", 13887 => x"00000000", 13888 => x"00000000", 13889 => x"00000000", 13890 => x"00000000", 13891 => x"00000000", 13892 => x"00000000", 13893 => x"00000000", 13894 => x"00000000", 13895 => x"00000000", 13896 => x"00000000", 13897 => x"00000000", 13898 => x"00000000", 13899 => x"00000000", 13900 => x"00000000", 13901 => x"00000000", 13902 => x"00000000", 13903 => x"00000000", 13904 => x"00000000", 13905 => x"00000000", 13906 => x"00000000", 13907 => x"00000000", 13908 => x"00000000", 13909 => x"00000000", 13910 => x"00000000", 13911 => x"00000000", 13912 => x"00000000", 13913 => x"00000000", 13914 => x"00000000", 13915 => x"00000000", 13916 => x"00000000", 13917 => x"00000000", 13918 => x"00000000", 13919 => x"00000000", 13920 => x"00000000", 13921 => x"00000000", 13922 => x"00000000", 13923 => x"00000000", 13924 => x"00000000", 13925 => x"00000000", 13926 => x"00000000", 13927 => x"00000000", 13928 => x"00000000", 13929 => x"00000000", 13930 => x"00000000", 13931 => x"00000000", 13932 => x"00000000", 13933 => x"00000000", 13934 => x"00000000", 13935 => x"00000000", 13936 => x"00000000", 13937 => x"00000000", 13938 => x"00000000", 13939 => x"00000000", 13940 => x"00000000", 13941 => x"00000000", 13942 => x"00000000", 13943 => x"00000000", 13944 => x"00000000", 13945 => x"00000000", 13946 => x"00000000", 13947 => x"00000000", 13948 => x"00000000", 13949 => x"00000000", 13950 => x"00000000", 13951 => x"00000000", 13952 => x"00000000", 13953 => x"00000000", 13954 => x"00000000", 13955 => x"00000000", 13956 => x"00000000", 13957 => x"00000000", 13958 => x"00000000", 13959 => x"00000000", 13960 => x"00000000", 13961 => x"00000000", 13962 => x"00000000", 13963 => x"00000000", 13964 => x"00000000", 13965 => x"00000000", 13966 => x"00000000", 13967 => x"00000000", 13968 => x"00000000", 13969 => x"00000000", 13970 => x"00000000", 13971 => x"00000000", 13972 => x"00000000", 13973 => x"00000000", 13974 => x"00000000", 13975 => x"00000000", 13976 => x"00000000", 13977 => x"00000000", 13978 => x"00000000", 13979 => x"00000000", 13980 => x"00000000", 13981 => x"00000000", 13982 => x"00000000", 13983 => x"00000000", 13984 => x"00000000", 13985 => x"00000000", 13986 => x"00000000", 13987 => x"00000000", 13988 => x"00000000", 13989 => x"00000000", 13990 => x"00000000", 13991 => x"00000000", 13992 => x"00000000", 13993 => x"00000000", 13994 => x"00000000", 13995 => x"00000000", 13996 => x"00000000", 13997 => x"00000000", 13998 => x"00000000", 13999 => x"00000000", 14000 => x"00000000", 14001 => x"00000000", 14002 => x"00000000", 14003 => x"00000000", 14004 => x"00000000", 14005 => x"00000000", 14006 => x"00000000", 14007 => x"00000000", 14008 => x"00000000", 14009 => x"00000000", 14010 => x"00000000", 14011 => x"00000000", 14012 => x"00000000", 14013 => x"00000000", 14014 => x"00000000", 14015 => x"00000000", 14016 => x"00000000", 14017 => x"00000000", 14018 => x"00000000", 14019 => x"00000000", 14020 => x"00000000", 14021 => x"00000000", 14022 => x"00000000", 14023 => x"00000000", 14024 => x"00000000", 14025 => x"00000000", 14026 => x"00000000", 14027 => x"00000000", 14028 => x"00000000", 14029 => x"00000000", 14030 => x"00000000", 14031 => x"00000000", 14032 => x"00000000", 14033 => x"00000000", 14034 => x"00000000", 14035 => x"00000000", 14036 => x"00000000", 14037 => x"00000000", 14038 => x"00000000", 14039 => x"00000000", 14040 => x"00000000", 14041 => x"00000000", 14042 => x"00000000", 14043 => x"00000000", 14044 => x"00000000", 14045 => x"00000000", 14046 => x"00000000", 14047 => x"00000000", 14048 => x"00000000", 14049 => x"00000000", 14050 => x"00000000", 14051 => x"00000000", 14052 => x"00000000", 14053 => x"00000000", 14054 => x"00000000", 14055 => x"00000000", 14056 => x"00000000", 14057 => x"00000000", 14058 => x"00000000", 14059 => x"00000000", 14060 => x"00000000", 14061 => x"00000000", 14062 => x"00000000", 14063 => x"00000000", 14064 => x"00000000", 14065 => x"00000000", 14066 => x"00000000", 14067 => x"00000000", 14068 => x"00000000", 14069 => x"00000000", 14070 => x"00000000", 14071 => x"00000000", 14072 => x"00000000", 14073 => x"00000000", 14074 => x"00000000", 14075 => x"00000000", 14076 => x"00000000", 14077 => x"00000000", 14078 => x"00000000", 14079 => x"00000000", 14080 => x"00000000", 14081 => x"00000000", 14082 => x"00000000", 14083 => x"00000000", 14084 => x"00000000", 14085 => x"00000000", 14086 => x"00000000", 14087 => x"00000000", 14088 => x"00000000", 14089 => x"00000000", 14090 => x"00000000", 14091 => x"00000000", 14092 => x"00000000", 14093 => x"00000000", 14094 => x"00000000", 14095 => x"00000000", 14096 => x"00000000", 14097 => x"00000000", 14098 => x"00000000", 14099 => x"00000000", 14100 => x"00000000", 14101 => x"00000000", 14102 => x"00000000", 14103 => x"00000000", 14104 => x"00000000", 14105 => x"00000000", 14106 => x"00000000", 14107 => x"00000000", 14108 => x"00000000", 14109 => x"00000000", 14110 => x"00000000", 14111 => x"00000000", 14112 => x"00000000", 14113 => x"00000000", 14114 => x"00000000", 14115 => x"00000000", 14116 => x"00000000", 14117 => x"00000000", 14118 => x"00000000", 14119 => x"00000000", 14120 => x"00000000", 14121 => x"00000000", 14122 => x"00000000", 14123 => x"00000000", 14124 => x"00000000", 14125 => x"00000000", 14126 => x"00000000", 14127 => x"00000000", 14128 => x"00000000", 14129 => x"00000000", 14130 => x"00000000", 14131 => x"00000000", 14132 => x"00000000", 14133 => x"00000000", 14134 => x"00000000", 14135 => x"00000000", 14136 => x"00000000", 14137 => x"00000000", 14138 => x"00000000", 14139 => x"00000000", 14140 => x"00000000", 14141 => x"00000000", 14142 => x"00000000", 14143 => x"00000000", 14144 => x"00000000", 14145 => x"00000000", 14146 => x"00000000", 14147 => x"00000000", 14148 => x"00000000", 14149 => x"00000000", 14150 => x"00000000", 14151 => x"00000000", 14152 => x"00000000", 14153 => x"00000000", 14154 => x"00000000", 14155 => x"00000000", 14156 => x"00000000", 14157 => x"00000000", 14158 => x"00000000", 14159 => x"00000000", 14160 => x"00000000", 14161 => x"00000000", 14162 => x"00000000", 14163 => x"00000000", 14164 => x"00000000", 14165 => x"00000000", 14166 => x"00000000", 14167 => x"00000000", 14168 => x"00000000", 14169 => x"00000000", 14170 => x"00000000", 14171 => x"00000000", 14172 => x"00000000", 14173 => x"00000000", 14174 => x"00000000", 14175 => x"00000000", 14176 => x"00000000", 14177 => x"00000000", 14178 => x"00000000", 14179 => x"00000000", 14180 => x"00000000", 14181 => x"00000000", 14182 => x"00000000", 14183 => x"00000000", 14184 => x"00000000", 14185 => x"00000000", 14186 => x"00000000", 14187 => x"00000000", 14188 => x"00000000", 14189 => x"00000000", 14190 => x"00000000", 14191 => x"00000000", 14192 => x"00000000", 14193 => x"00000000", 14194 => x"00000000", 14195 => x"00000000", 14196 => x"00000000", 14197 => x"00000000", 14198 => x"00000000", 14199 => x"00000000", 14200 => x"00000000", 14201 => x"00000000", 14202 => x"00000000", 14203 => x"00000000", 14204 => x"00000000", 14205 => x"00000000", 14206 => x"00000000", 14207 => x"00000000", 14208 => x"00000000", 14209 => x"00000000", 14210 => x"00000000", 14211 => x"00000000", 14212 => x"00000000", 14213 => x"00000000", 14214 => x"00000000", 14215 => x"00000000", 14216 => x"00000000", 14217 => x"00000000", 14218 => x"00000000", 14219 => x"00000000", 14220 => x"00000000", 14221 => x"00000000", 14222 => x"00000000", 14223 => x"00000000", 14224 => x"00000000", 14225 => x"00000000", 14226 => x"00000000", 14227 => x"00000000", 14228 => x"00000000", 14229 => x"00000000", 14230 => x"00000000", 14231 => x"00000000", 14232 => x"00000000", 14233 => x"00000000", 14234 => x"00000000", 14235 => x"00000000", 14236 => x"00000000", 14237 => x"00000000", 14238 => x"00000000", 14239 => x"00000000", 14240 => x"00000000", 14241 => x"00000000", 14242 => x"00000000", 14243 => x"00000000", 14244 => x"00000000", 14245 => x"00000000", 14246 => x"00000000", 14247 => x"00000000", 14248 => x"00000000", 14249 => x"00000000", 14250 => x"00000000", 14251 => x"00000000", 14252 => x"00000000", 14253 => x"00000000", 14254 => x"00000000", 14255 => x"00000000", 14256 => x"00000000", 14257 => x"00000000", 14258 => x"00000000", 14259 => x"00000000", 14260 => x"00000000", 14261 => x"00000000", 14262 => x"00000000", 14263 => x"00000000", 14264 => x"00000000", 14265 => x"00000000", 14266 => x"00000000", 14267 => x"00000000", 14268 => x"00000000", 14269 => x"00000000", 14270 => x"00000000", 14271 => x"00000000", 14272 => x"00000000", 14273 => x"00000000", 14274 => x"00000000", 14275 => x"00000000", 14276 => x"00000000", 14277 => x"00000000", 14278 => x"00000000", 14279 => x"00000000", 14280 => x"00000000", 14281 => x"00000000", 14282 => x"00000000", 14283 => x"00000000", 14284 => x"00000000", 14285 => x"00000000", 14286 => x"00000000", 14287 => x"00000000", 14288 => x"00000000", 14289 => x"00000000", 14290 => x"00000000", 14291 => x"00000000", 14292 => x"00000000", 14293 => x"00000000", 14294 => x"00000000", 14295 => x"00000000", 14296 => x"00000000", 14297 => x"00000000", 14298 => x"00000000", 14299 => x"00000000", 14300 => x"00000000", 14301 => x"00000000", 14302 => x"00000000", 14303 => x"00000000", 14304 => x"00000000", 14305 => x"00000000", 14306 => x"00000000", 14307 => x"00000000", 14308 => x"00000000", 14309 => x"00000000", 14310 => x"00000000", 14311 => x"00000000", 14312 => x"00000000", 14313 => x"00000000", 14314 => x"00000000", 14315 => x"00000000", 14316 => x"00000000", 14317 => x"00000000", 14318 => x"00000000", 14319 => x"00000000", 14320 => x"00000000", 14321 => x"00000000", 14322 => x"00000000", 14323 => x"00000000", 14324 => x"00000000", 14325 => x"00000000", 14326 => x"00000000", 14327 => x"00000000", 14328 => x"00000000", 14329 => x"00000000", 14330 => x"00000000", 14331 => x"00000000", 14332 => x"00000000", 14333 => x"00000000", 14334 => x"00000000", 14335 => x"00000000", 14336 => x"00000000", 14337 => x"00000000", 14338 => x"00000000", 14339 => x"00000000", 14340 => x"00000000", 14341 => x"00000000", 14342 => x"00000000", 14343 => x"00000000", 14344 => x"00000000", 14345 => x"00000000", 14346 => x"00000000", 14347 => x"00000000", 14348 => x"00000000", 14349 => x"00000000", 14350 => x"00000000", 14351 => x"00000000", 14352 => x"00000000", 14353 => x"00000000", 14354 => x"00000000", 14355 => x"00000000", 14356 => x"00000000", 14357 => x"00000000", 14358 => x"00000000", 14359 => x"00000000", 14360 => x"00000000", 14361 => x"00000000", 14362 => x"00000000", 14363 => x"00000000", 14364 => x"00000000", 14365 => x"00000000", 14366 => x"00000000", 14367 => x"00000000", 14368 => x"00000000", 14369 => x"00000000", 14370 => x"00000000", 14371 => x"00000000", 14372 => x"00000000", 14373 => x"00000000", 14374 => x"00000000", 14375 => x"00000000", 14376 => x"00000000", 14377 => x"00000000", 14378 => x"00000000", 14379 => x"00000000", 14380 => x"00000000", 14381 => x"00000000", 14382 => x"00000000", 14383 => x"00000000", 14384 => x"00000000", 14385 => x"00000000", 14386 => x"00000000", 14387 => x"00000000", 14388 => x"00000000", 14389 => x"00000000", 14390 => x"00000000", 14391 => x"00000000", 14392 => x"00000000", 14393 => x"00000000", 14394 => x"00000000", 14395 => x"00000000", 14396 => x"00000000", 14397 => x"00000000", 14398 => x"00000000", 14399 => x"00000000", 14400 => x"00000000", 14401 => x"00000000", 14402 => x"00000000", 14403 => x"00000000", 14404 => x"00000000", 14405 => x"00000000", 14406 => x"00000000", 14407 => x"00000000", 14408 => x"00000000", 14409 => x"00000000", 14410 => x"00000000", 14411 => x"00000000", 14412 => x"00000000", 14413 => x"00000000", 14414 => x"00000000", 14415 => x"00000000", 14416 => x"00000000", 14417 => x"00000000", 14418 => x"00000000", 14419 => x"00000000", 14420 => x"00000000", 14421 => x"00000000", 14422 => x"00000000", 14423 => x"00000000", 14424 => x"00000000", 14425 => x"00000000", 14426 => x"00000000", 14427 => x"00000000", 14428 => x"00000000", 14429 => x"00000000", 14430 => x"00000000", 14431 => x"00000000", 14432 => x"00000000", 14433 => x"00000000", 14434 => x"00000000", 14435 => x"00000000", 14436 => x"00000000", 14437 => x"00000000", 14438 => x"00000000", 14439 => x"00000000", 14440 => x"00000000", 14441 => x"00000000", 14442 => x"00000000", 14443 => x"00000000", 14444 => x"00000000", 14445 => x"00000000", 14446 => x"00000000", 14447 => x"00000000", 14448 => x"00000000", 14449 => x"00000000", 14450 => x"00000000", 14451 => x"00000000", 14452 => x"00000000", 14453 => x"00000000", 14454 => x"00000000", 14455 => x"00000000", 14456 => x"00000000", 14457 => x"00000000", 14458 => x"00000000", 14459 => x"00000000", 14460 => x"00000000", 14461 => x"00000000", 14462 => x"00000000", 14463 => x"00000000", 14464 => x"00000000", 14465 => x"00000000", 14466 => x"00000000", 14467 => x"00000000", 14468 => x"00000000", 14469 => x"00000000", 14470 => x"00000000", 14471 => x"00000000", 14472 => x"00000000", 14473 => x"00000000", 14474 => x"00000000", 14475 => x"00000000", 14476 => x"00000000", 14477 => x"00000000", 14478 => x"00000000", 14479 => x"00000000", 14480 => x"00000000", 14481 => x"00000000", 14482 => x"00000000", 14483 => x"00000000", 14484 => x"00000000", 14485 => x"00000000", 14486 => x"00000000", 14487 => x"00000000", 14488 => x"00000000", 14489 => x"00000000", 14490 => x"00000000", 14491 => x"00000000", 14492 => x"00000000", 14493 => x"00000000", 14494 => x"00000000", 14495 => x"00000000", 14496 => x"00000000", 14497 => x"00000000", 14498 => x"00000000", 14499 => x"00000000", 14500 => x"00000000", 14501 => x"00000000", 14502 => x"00000000", 14503 => x"00000000", 14504 => x"00000000", 14505 => x"00000000", 14506 => x"00000000", 14507 => x"00000000", 14508 => x"00000000", 14509 => x"00000000", 14510 => x"00000000", 14511 => x"00000000", 14512 => x"00000000", 14513 => x"00000000", 14514 => x"00000000", 14515 => x"00000000", 14516 => x"00000000", 14517 => x"00000000", 14518 => x"00000000", 14519 => x"00000000", 14520 => x"00000000", 14521 => x"00000000", 14522 => x"00000000", 14523 => x"00000000", 14524 => x"00000000", 14525 => x"00000000", 14526 => x"00000000", 14527 => x"00000000", 14528 => x"00000000", 14529 => x"00000000", 14530 => x"00000000", 14531 => x"00000000", 14532 => x"00000000", 14533 => x"00000000", 14534 => x"00000000", 14535 => x"00000000", 14536 => x"00000000", 14537 => x"00000000", 14538 => x"00000000", 14539 => x"00000000", 14540 => x"00000000", 14541 => x"00000000", 14542 => x"00000000", 14543 => x"00000000", 14544 => x"00000000", 14545 => x"00000000", 14546 => x"00000000", 14547 => x"00000000", 14548 => x"00000000", 14549 => x"00000000", 14550 => x"00000000", 14551 => x"00000000", 14552 => x"00000000", 14553 => x"00000000", 14554 => x"00000000", 14555 => x"00000000", 14556 => x"00000000", 14557 => x"00000000", 14558 => x"00000000", 14559 => x"00000000", 14560 => x"00000000", 14561 => x"00000000", 14562 => x"00000000", 14563 => x"00000000", 14564 => x"00000000", 14565 => x"00000000", 14566 => x"00000000", 14567 => x"00000000", 14568 => x"00000000", 14569 => x"00000000", 14570 => x"00000000", 14571 => x"00000000", 14572 => x"00000000", 14573 => x"00000000", 14574 => x"00000000", 14575 => x"00000000", 14576 => x"00000000", 14577 => x"00000000", 14578 => x"00000000", 14579 => x"00000000", 14580 => x"00000000", 14581 => x"00000000", 14582 => x"00000000", 14583 => x"00000000", 14584 => x"00000000", 14585 => x"00000000", 14586 => x"00000000", 14587 => x"00000000", 14588 => x"00000000", 14589 => x"00000000", 14590 => x"00000000", 14591 => x"00000000", 14592 => x"00000000", 14593 => x"00000000", 14594 => x"00000000", 14595 => x"00000000", 14596 => x"00000000", 14597 => x"00000000", 14598 => x"00000000", 14599 => x"00000000", 14600 => x"00000000", 14601 => x"00000000", 14602 => x"00000000", 14603 => x"00000000", 14604 => x"00000000", 14605 => x"00000000", 14606 => x"00000000", 14607 => x"00000000", 14608 => x"00000000", 14609 => x"00000000", 14610 => x"00000000", 14611 => x"00000000", 14612 => x"00000000", 14613 => x"00000000", 14614 => x"00000000", 14615 => x"00000000", 14616 => x"00000000", 14617 => x"00000000", 14618 => x"00000000", 14619 => x"00000000", 14620 => x"00000000", 14621 => x"00000000", 14622 => x"00000000", 14623 => x"00000000", 14624 => x"00000000", 14625 => x"00000000", 14626 => x"00000000", 14627 => x"00000000", 14628 => x"00000000", 14629 => x"00000000", 14630 => x"00000000", 14631 => x"00000000", 14632 => x"00000000", 14633 => x"00000000", 14634 => x"00000000", 14635 => x"00000000", 14636 => x"00000000", 14637 => x"00000000", 14638 => x"00000000", 14639 => x"00000000", 14640 => x"00000000", 14641 => x"00000000", 14642 => x"00000000", 14643 => x"00000000", 14644 => x"00000000", 14645 => x"00000000", 14646 => x"00000000", 14647 => x"00000000", 14648 => x"00000000", 14649 => x"00000000", 14650 => x"00000000", 14651 => x"00000000", 14652 => x"00000000", 14653 => x"00000000", 14654 => x"00000000", 14655 => x"00000000", 14656 => x"00000000", 14657 => x"00000000", 14658 => x"00000000", 14659 => x"00000000", 14660 => x"00000000", 14661 => x"00000000", 14662 => x"00000000", 14663 => x"00000000", 14664 => x"00000000", 14665 => x"00000000", 14666 => x"00000000", 14667 => x"00000000", 14668 => x"00000000", 14669 => x"00000000", 14670 => x"00000000", 14671 => x"00000000", 14672 => x"00000000", 14673 => x"00000000", 14674 => x"00000000", 14675 => x"00000000", 14676 => x"00000000", 14677 => x"00000000", 14678 => x"00000000", 14679 => x"00000000", 14680 => x"00000000", 14681 => x"00000000", 14682 => x"00000000", 14683 => x"00000000", 14684 => x"00000000", 14685 => x"00000000", 14686 => x"00000000", 14687 => x"00000000", 14688 => x"00000000", 14689 => x"00000000", 14690 => x"00000000", 14691 => x"00000000", 14692 => x"00000000", 14693 => x"00000000", 14694 => x"00000000", 14695 => x"00000000", 14696 => x"00000000", 14697 => x"00000000", 14698 => x"00000000", 14699 => x"00000000", 14700 => x"00000000", 14701 => x"00000000", 14702 => x"00000000", 14703 => x"00000000", 14704 => x"00000000", 14705 => x"00000000", 14706 => x"00000000", 14707 => x"00000000", 14708 => x"00000000", 14709 => x"00000000", 14710 => x"00000000", 14711 => x"00000000", 14712 => x"00000000", 14713 => x"00000000", 14714 => x"00000000", 14715 => x"00000000", 14716 => x"00000000", 14717 => x"00000000", 14718 => x"00000000", 14719 => x"00000000", 14720 => x"00000000", 14721 => x"00000000", 14722 => x"00000000", 14723 => x"00000000", 14724 => x"00000000", 14725 => x"00000000", 14726 => x"00000000", 14727 => x"00000000", 14728 => x"00000000", 14729 => x"00000000", 14730 => x"00000000", 14731 => x"00000000", 14732 => x"00000000", 14733 => x"00000000", 14734 => x"00000000", 14735 => x"00000000", 14736 => x"00000000", 14737 => x"00000000", 14738 => x"00000000", 14739 => x"00000000", 14740 => x"00000000", 14741 => x"00000000", 14742 => x"00000000", 14743 => x"00000000", 14744 => x"00000000", 14745 => x"00000000", 14746 => x"00000000", 14747 => x"00000000", 14748 => x"00000000", 14749 => x"00000000", 14750 => x"00000000", 14751 => x"00000000", 14752 => x"00000000", 14753 => x"00000000", 14754 => x"00000000", 14755 => x"00000000", 14756 => x"00000000", 14757 => x"00000000", 14758 => x"00000000", 14759 => x"00000000", 14760 => x"00000000", 14761 => x"00000000", 14762 => x"00000000", 14763 => x"00000000", 14764 => x"00000000", 14765 => x"00000000", 14766 => x"00000000", 14767 => x"00000000", 14768 => x"00000000", 14769 => x"00000000", 14770 => x"00000000", 14771 => x"00000000", 14772 => x"00000000", 14773 => x"00000000", 14774 => x"00000000", 14775 => x"00000000", 14776 => x"00000000", 14777 => x"00000000", 14778 => x"00000000", 14779 => x"00000000", 14780 => x"00000000", 14781 => x"00000000", 14782 => x"00000000", 14783 => x"00000000", 14784 => x"00000000", 14785 => x"00000000", 14786 => x"00000000", 14787 => x"00000000", 14788 => x"00000000", 14789 => x"00000000", 14790 => x"00000000", 14791 => x"00000000", 14792 => x"00000000", 14793 => x"00000000", 14794 => x"00000000", 14795 => x"00000000", 14796 => x"00000000", 14797 => x"00000000", 14798 => x"00000000", 14799 => x"00000000", 14800 => x"00000000", 14801 => x"00000000", 14802 => x"00000000", 14803 => x"00000000", 14804 => x"00000000", 14805 => x"00000000", 14806 => x"00000000", 14807 => x"00000000", 14808 => x"00000000", 14809 => x"00000000", 14810 => x"00000000", 14811 => x"00000000", 14812 => x"00000000", 14813 => x"00000000", 14814 => x"00000000", 14815 => x"00000000", 14816 => x"00000000", 14817 => x"00000000", 14818 => x"00000000", 14819 => x"00000000", 14820 => x"00000000", 14821 => x"00000000", 14822 => x"00000000", 14823 => x"00000000", 14824 => x"00000000", 14825 => x"00000000", 14826 => x"00000000", 14827 => x"00000000", 14828 => x"00000000", 14829 => x"00000000", 14830 => x"00000000", 14831 => x"00000000", 14832 => x"00000000", 14833 => x"00000000", 14834 => x"00000000", 14835 => x"00000000", 14836 => x"00000000", 14837 => x"00000000", 14838 => x"00000000", 14839 => x"00000000", 14840 => x"00000000", 14841 => x"00000000", 14842 => x"00000000", 14843 => x"00000000", 14844 => x"00000000", 14845 => x"00000000", 14846 => x"00000000", 14847 => x"00000000", 14848 => x"00000000", 14849 => x"00000000", 14850 => x"00000000", 14851 => x"00000000", 14852 => x"00000000", 14853 => x"00000000", 14854 => x"00000000", 14855 => x"00000000", 14856 => x"00000000", 14857 => x"00000000", 14858 => x"00000000", 14859 => x"00000000", 14860 => x"00000000", 14861 => x"00000000", 14862 => x"00000000", 14863 => x"00000000", 14864 => x"00000000", 14865 => x"00000000", 14866 => x"00000000", 14867 => x"00000000", 14868 => x"00000000", 14869 => x"00000000", 14870 => x"00000000", 14871 => x"00000000", 14872 => x"00000000", 14873 => x"00000000", 14874 => x"00000000", 14875 => x"00000000", 14876 => x"00000000", 14877 => x"00000000", 14878 => x"00000000", 14879 => x"00000000", 14880 => x"00000000", 14881 => x"00000000", 14882 => x"00000000", 14883 => x"00000000", 14884 => x"00000000", 14885 => x"00000000", 14886 => x"00000000", 14887 => x"00000000", 14888 => x"00000000", 14889 => x"00000000", 14890 => x"00000000", 14891 => x"00000000", 14892 => x"00000000", 14893 => x"00000000", 14894 => x"00000000", 14895 => x"00000000", 14896 => x"00000000", 14897 => x"00000000", 14898 => x"00000000", 14899 => x"00000000", 14900 => x"00000000", 14901 => x"00000000", 14902 => x"00000000", 14903 => x"00000000", 14904 => x"00000000", 14905 => x"00000000", 14906 => x"00000000", 14907 => x"00000000", 14908 => x"00000000", 14909 => x"00000000", 14910 => x"00000000", 14911 => x"00000000", 14912 => x"00000000", 14913 => x"00000000", 14914 => x"00000000", 14915 => x"00000000", 14916 => x"00000000", 14917 => x"00000000", 14918 => x"00000000", 14919 => x"00000000", 14920 => x"00000000", 14921 => x"00000000", 14922 => x"00000000", 14923 => x"00000000", 14924 => x"00000000", 14925 => x"00000000", 14926 => x"00000000", 14927 => x"00000000", 14928 => x"00000000", 14929 => x"00000000", 14930 => x"00000000", 14931 => x"00000000", 14932 => x"00000000", 14933 => x"00000000", 14934 => x"00000000", 14935 => x"00000000", 14936 => x"00000000", 14937 => x"00000000", 14938 => x"00000000", 14939 => x"00000000", 14940 => x"00000000", 14941 => x"00000000", 14942 => x"00000000", 14943 => x"00000000", 14944 => x"00000000", 14945 => x"00000000", 14946 => x"00000000", 14947 => x"00000000", 14948 => x"00000000", 14949 => x"00000000", 14950 => x"00000000", 14951 => x"00000000", 14952 => x"00000000", 14953 => x"00000000", 14954 => x"00000000", 14955 => x"00000000", 14956 => x"00000000", 14957 => x"00000000", 14958 => x"00000000", 14959 => x"00000000", 14960 => x"00000000", 14961 => x"00000000", 14962 => x"00000000", 14963 => x"00000000", 14964 => x"00000000", 14965 => x"00000000", 14966 => x"00000000", 14967 => x"00000000", 14968 => x"00000000", 14969 => x"00000000", 14970 => x"00000000", 14971 => x"00000000", 14972 => x"00000000", 14973 => x"00000000", 14974 => x"00000000", 14975 => x"00000000", 14976 => x"00000000", 14977 => x"00000000", 14978 => x"00000000", 14979 => x"00000000", 14980 => x"00000000", 14981 => x"00000000", 14982 => x"00000000", 14983 => x"00000000", 14984 => x"00000000", 14985 => x"00000000", 14986 => x"00000000", 14987 => x"00000000", 14988 => x"00000000", 14989 => x"00000000", 14990 => x"00000000", 14991 => x"00000000", 14992 => x"00000000", 14993 => x"00000000", 14994 => x"00000000", 14995 => x"00000000", 14996 => x"00000000", 14997 => x"00000000", 14998 => x"00000000", 14999 => x"00000000", 15000 => x"00000000", 15001 => x"00000000", 15002 => x"00000000", 15003 => x"00000000", 15004 => x"00000000", 15005 => x"00000000", 15006 => x"00000000", 15007 => x"00000000", 15008 => x"00000000", 15009 => x"00000000", 15010 => x"00000000", 15011 => x"00000000", 15012 => x"00000000", 15013 => x"00000000", 15014 => x"00000000", 15015 => x"00000000", 15016 => x"00000000", 15017 => x"00000000", 15018 => x"00000000", 15019 => x"00000000", 15020 => x"00000000", 15021 => x"00000000", 15022 => x"00000000", 15023 => x"00000000", 15024 => x"00000000", 15025 => x"00000000", 15026 => x"00000000", 15027 => x"00000000", 15028 => x"00000000", 15029 => x"00000000", 15030 => x"00000000", 15031 => x"00000000", 15032 => x"00000000", 15033 => x"00000000", 15034 => x"00000000", 15035 => x"00000000", 15036 => x"00000000", 15037 => x"00000000", 15038 => x"00000000", 15039 => x"00000000", 15040 => x"00000000", 15041 => x"00000000", 15042 => x"00000000", 15043 => x"00000000", 15044 => x"00000000", 15045 => x"00000000", 15046 => x"00000000", 15047 => x"00000000", 15048 => x"00000000", 15049 => x"00000000", 15050 => x"00000000", 15051 => x"00000000", 15052 => x"00000000", 15053 => x"00000000", 15054 => x"00000000", 15055 => x"00000000", 15056 => x"00000000", 15057 => x"00000000", 15058 => x"00000000", 15059 => x"00000000", 15060 => x"00000000", 15061 => x"00000000", 15062 => x"00000000", 15063 => x"00000000", 15064 => x"00000000", 15065 => x"00000000", 15066 => x"00000000", 15067 => x"00000000", 15068 => x"00000000", 15069 => x"00000000", 15070 => x"00000000", 15071 => x"00000000", 15072 => x"00000000", 15073 => x"00000000", 15074 => x"00000000", 15075 => x"00000000", 15076 => x"00000000", 15077 => x"00000000", 15078 => x"00000000", 15079 => x"00000000", 15080 => x"00000000", 15081 => x"00000000", 15082 => x"00000000", 15083 => x"00000000", 15084 => x"00000000", 15085 => x"00000000", 15086 => x"00000000", 15087 => x"00000000", 15088 => x"00000000", 15089 => x"00000000", 15090 => x"00000000", 15091 => x"00000000", 15092 => x"00000000", 15093 => x"00000000", 15094 => x"00000000", 15095 => x"00000000", 15096 => x"00000000", 15097 => x"00000000", 15098 => x"00000000", 15099 => x"00000000", 15100 => x"00000000", 15101 => x"00000000", 15102 => x"00000000", 15103 => x"00000000", 15104 => x"00000000", 15105 => x"00000000", 15106 => x"00000000", 15107 => x"00000000", 15108 => x"00000000", 15109 => x"00000000", 15110 => x"00000000", 15111 => x"00000000", 15112 => x"00000000", 15113 => x"00000000", 15114 => x"00000000", 15115 => x"00000000", 15116 => x"00000000", 15117 => x"00000000", 15118 => x"00000000", 15119 => x"00000000", 15120 => x"00000000", 15121 => x"00000000", 15122 => x"00000000", 15123 => x"00000000", 15124 => x"00000000", 15125 => x"00000000", 15126 => x"00000000", 15127 => x"00000000", 15128 => x"00000000", 15129 => x"00000000", 15130 => x"00000000", 15131 => x"00000000", 15132 => x"00000000", 15133 => x"00000000", 15134 => x"00000000", 15135 => x"00000000", 15136 => x"00000000", 15137 => x"00000000", 15138 => x"00000000", 15139 => x"00000000", 15140 => x"00000000", 15141 => x"00000000", 15142 => x"00000000", 15143 => x"00000000", 15144 => x"00000000", 15145 => x"00000000", 15146 => x"00000000", 15147 => x"00000000", 15148 => x"00000000", 15149 => x"00000000", 15150 => x"00000000", 15151 => x"00000000", 15152 => x"00000000", 15153 => x"00000000", 15154 => x"00000000", 15155 => x"00000000", 15156 => x"00000000", 15157 => x"00000000", 15158 => x"00000000", 15159 => x"00000000", 15160 => x"00000000", 15161 => x"00000000", 15162 => x"00000000", 15163 => x"00000000", 15164 => x"00000000", 15165 => x"00000000", 15166 => x"00000000", 15167 => x"00000000", 15168 => x"00000000", 15169 => x"00000000", 15170 => x"00000000", 15171 => x"00000000", 15172 => x"00000000", 15173 => x"00000000", 15174 => x"00000000", 15175 => x"00000000", 15176 => x"00000000", 15177 => x"00000000", 15178 => x"00000000", 15179 => x"00000000", 15180 => x"00000000", 15181 => x"00000000", 15182 => x"00000000", 15183 => x"00000000", 15184 => x"00000000", 15185 => x"00000000", 15186 => x"00000000", 15187 => x"00000000", 15188 => x"00000000", 15189 => x"00000000", 15190 => x"00000000", 15191 => x"00000000", 15192 => x"00000000", 15193 => x"00000000", 15194 => x"00000000", 15195 => x"00000000", 15196 => x"00000000", 15197 => x"00000000", 15198 => x"00000000", 15199 => x"00000000", 15200 => x"00000000", 15201 => x"00000000", 15202 => x"00000000", 15203 => x"00000000", 15204 => x"00000000", 15205 => x"00000000", 15206 => x"00000000", 15207 => x"00000000", 15208 => x"00000000", 15209 => x"00000000", 15210 => x"00000000", 15211 => x"00000000", 15212 => x"00000000", 15213 => x"00000000", 15214 => x"00000000", 15215 => x"00000000", 15216 => x"00000000", 15217 => x"00000000", 15218 => x"00000000", 15219 => x"00000000", 15220 => x"00000000", 15221 => x"00000000", 15222 => x"00000000", 15223 => x"00000000", 15224 => x"00000000", 15225 => x"00000000", 15226 => x"00000000", 15227 => x"00000000", 15228 => x"00000000", 15229 => x"00000000", 15230 => x"00000000", 15231 => x"00000000", 15232 => x"00000000", 15233 => x"00000000", 15234 => x"00000000", 15235 => x"00000000", 15236 => x"00000000", 15237 => x"00000000", 15238 => x"00000000", 15239 => x"00000000", 15240 => x"00000000", 15241 => x"00000000", 15242 => x"00000000", 15243 => x"00000000", 15244 => x"00000000", 15245 => x"00000000", 15246 => x"00000000", 15247 => x"00000000", 15248 => x"00000000", 15249 => x"00000000", 15250 => x"00000000", 15251 => x"00000000", 15252 => x"00000000", 15253 => x"00000000", 15254 => x"00000000", 15255 => x"00000000", 15256 => x"00000000", 15257 => x"00000000", 15258 => x"00000000", 15259 => x"00000000", 15260 => x"00000000", 15261 => x"00000000", 15262 => x"00000000", 15263 => x"00000000", 15264 => x"00000000", 15265 => x"00000000", 15266 => x"00000000", 15267 => x"00000000", 15268 => x"00000000", 15269 => x"00000000", 15270 => x"00000000", 15271 => x"00000000", 15272 => x"00000000", 15273 => x"00000000", 15274 => x"00000000", 15275 => x"00000000", 15276 => x"00000000", 15277 => x"00000000", 15278 => x"00000000", 15279 => x"00000000", 15280 => x"00000000", 15281 => x"00000000", 15282 => x"00000000", 15283 => x"00000000", 15284 => x"00000000", 15285 => x"00000000", 15286 => x"00000000", 15287 => x"00000000", 15288 => x"00000000", 15289 => x"00000000", 15290 => x"00000000", 15291 => x"00000000", 15292 => x"00000000", 15293 => x"00000000", 15294 => x"00000000", 15295 => x"00000000", 15296 => x"00000000", 15297 => x"00000000", 15298 => x"00000000", 15299 => x"00000000", 15300 => x"00000000", 15301 => x"00000000", 15302 => x"00000000", 15303 => x"00000000", 15304 => x"00000000", 15305 => x"00000000", 15306 => x"00000000", 15307 => x"00000000", 15308 => x"00000000", 15309 => x"00000000", 15310 => x"00000000", 15311 => x"00000000", 15312 => x"00000000", 15313 => x"00000000", 15314 => x"00000000", 15315 => x"00000000", 15316 => x"00000000", 15317 => x"00000000", 15318 => x"00000000", 15319 => x"00000000", 15320 => x"00000000", 15321 => x"00000000", 15322 => x"00000000", 15323 => x"00000000", 15324 => x"00000000", 15325 => x"00000000", 15326 => x"00000000", 15327 => x"00000000", 15328 => x"00000000", 15329 => x"00000000", 15330 => x"00000000", 15331 => x"00000000", 15332 => x"00000000", 15333 => x"00000000", 15334 => x"00000000", 15335 => x"00000000", 15336 => x"00000000", 15337 => x"00000000", 15338 => x"00000000", 15339 => x"00000000", 15340 => x"00000000", 15341 => x"00000000", 15342 => x"00000000", 15343 => x"00000000", 15344 => x"00000000", 15345 => x"00000000", 15346 => x"00000000", 15347 => x"00000000", 15348 => x"00000000", 15349 => x"00000000", 15350 => x"00000000", 15351 => x"00000000", 15352 => x"00000000", 15353 => x"00000000", 15354 => x"00000000", 15355 => x"00000000", 15356 => x"00000000", 15357 => x"00000000", 15358 => x"00000000", 15359 => x"00000000", 15360 => x"00000000", 15361 => x"00000000", 15362 => x"00000000", 15363 => x"00000000", 15364 => x"00000000", 15365 => x"00000000", 15366 => x"00000000", 15367 => x"00000000", 15368 => x"00000000", 15369 => x"00000000", 15370 => x"00000000", 15371 => x"00000000", 15372 => x"00000000", 15373 => x"00000000", 15374 => x"00000000", 15375 => x"00000000", 15376 => x"00000000", 15377 => x"00000000", 15378 => x"00000000", 15379 => x"00000000", 15380 => x"00000000", 15381 => x"00000000", 15382 => x"00000000", 15383 => x"00000000", 15384 => x"00000000", 15385 => x"00000000", 15386 => x"00000000", 15387 => x"00000000", 15388 => x"00000000", 15389 => x"00000000", 15390 => x"00000000", 15391 => x"00000000", 15392 => x"00000000", 15393 => x"00000000", 15394 => x"00000000", 15395 => x"00000000", 15396 => x"00000000", 15397 => x"00000000", 15398 => x"00000000", 15399 => x"00000000", 15400 => x"00000000", 15401 => x"00000000", 15402 => x"00000000", 15403 => x"00000000", 15404 => x"00000000", 15405 => x"00000000", 15406 => x"00000000", 15407 => x"00000000", 15408 => x"00000000", 15409 => x"00000000", 15410 => x"00000000", 15411 => x"00000000", 15412 => x"00000000", 15413 => x"00000000", 15414 => x"00000000", 15415 => x"00000000", 15416 => x"00000000", 15417 => x"00000000", 15418 => x"00000000", 15419 => x"00000000", 15420 => x"00000000", 15421 => x"00000000", 15422 => x"00000000", 15423 => x"00000000", 15424 => x"00000000", 15425 => x"00000000", 15426 => x"00000000", 15427 => x"00000000", 15428 => x"00000000", 15429 => x"00000000", 15430 => x"00000000", 15431 => x"00000000", 15432 => x"00000000", 15433 => x"00000000", 15434 => x"00000000", 15435 => x"00000000", 15436 => x"00000000", 15437 => x"00000000", 15438 => x"00000000", 15439 => x"00000000", 15440 => x"00000000", 15441 => x"00000000", 15442 => x"00000000", 15443 => x"00000000", 15444 => x"00000000", 15445 => x"00000000", 15446 => x"00000000", 15447 => x"00000000", 15448 => x"00000000", 15449 => x"00000000", 15450 => x"00000000", 15451 => x"00000000", 15452 => x"00000000", 15453 => x"00000000", 15454 => x"00000000", 15455 => x"00000000", 15456 => x"00000000", 15457 => x"00000000", 15458 => x"00000000", 15459 => x"00000000", 15460 => x"00000000", 15461 => x"00000000", 15462 => x"00000000", 15463 => x"00000000", 15464 => x"00000000", 15465 => x"00000000", 15466 => x"00000000", 15467 => x"00000000", 15468 => x"00000000", 15469 => x"00000000", 15470 => x"00000000", 15471 => x"00000000", 15472 => x"00000000", 15473 => x"00000000", 15474 => x"00000000", 15475 => x"00000000", 15476 => x"00000000", 15477 => x"00000000", 15478 => x"00000000", 15479 => x"00000000", 15480 => x"00000000", 15481 => x"00000000", 15482 => x"00000000", 15483 => x"00000000", 15484 => x"00000000", 15485 => x"00000000", 15486 => x"00000000", 15487 => x"00000000", 15488 => x"00000000", 15489 => x"00000000", 15490 => x"00000000", 15491 => x"00000000", 15492 => x"00000000", 15493 => x"00000000", 15494 => x"00000000", 15495 => x"00000000", 15496 => x"00000000", 15497 => x"00000000", 15498 => x"00000000", 15499 => x"00000000", 15500 => x"00000000", 15501 => x"00000000", 15502 => x"00000000", 15503 => x"00000000", 15504 => x"00000000", 15505 => x"00000000", 15506 => x"00000000", 15507 => x"00000000", 15508 => x"00000000", 15509 => x"00000000", 15510 => x"00000000", 15511 => x"00000000", 15512 => x"00000000", 15513 => x"00000000", 15514 => x"00000000", 15515 => x"00000000", 15516 => x"00000000", 15517 => x"00000000", 15518 => x"00000000", 15519 => x"00000000", 15520 => x"00000000", 15521 => x"00000000", 15522 => x"00000000", 15523 => x"00000000", 15524 => x"00000000", 15525 => x"00000000", 15526 => x"00000000", 15527 => x"00000000", 15528 => x"00000000", 15529 => x"00000000", 15530 => x"00000000", 15531 => x"00000000", 15532 => x"00000000", 15533 => x"00000000", 15534 => x"00000000", 15535 => x"00000000", 15536 => x"00000000", 15537 => x"00000000", 15538 => x"00000000", 15539 => x"00000000", 15540 => x"00000000", 15541 => x"00000000", 15542 => x"00000000", 15543 => x"00000000", 15544 => x"00000000", 15545 => x"00000000", 15546 => x"00000000", 15547 => x"00000000", 15548 => x"00000000", 15549 => x"00000000", 15550 => x"00000000", 15551 => x"00000000", 15552 => x"00000000", 15553 => x"00000000", 15554 => x"00000000", 15555 => x"00000000", 15556 => x"00000000", 15557 => x"00000000", 15558 => x"00000000", 15559 => x"00000000", 15560 => x"00000000", 15561 => x"00000000", 15562 => x"00000000", 15563 => x"00000000", 15564 => x"00000000", 15565 => x"00000000", 15566 => x"00000000", 15567 => x"00000000", 15568 => x"00000000", 15569 => x"00000000", 15570 => x"00000000", 15571 => x"00000000", 15572 => x"00000000", 15573 => x"00000000", 15574 => x"00000000", 15575 => x"00000000", 15576 => x"00000000", 15577 => x"00000000", 15578 => x"00000000", 15579 => x"00000000", 15580 => x"00000000", 15581 => x"00000000", 15582 => x"00000000", 15583 => x"00000000", 15584 => x"00000000", 15585 => x"00000000", 15586 => x"00000000", 15587 => x"00000000", 15588 => x"00000000", 15589 => x"00000000", 15590 => x"00000000", 15591 => x"00000000", 15592 => x"00000000", 15593 => x"00000000", 15594 => x"00000000", 15595 => x"00000000", 15596 => x"00000000", 15597 => x"00000000", 15598 => x"00000000", 15599 => x"00000000", 15600 => x"00000000", 15601 => x"00000000", 15602 => x"00000000", 15603 => x"00000000", 15604 => x"00000000", 15605 => x"00000000", 15606 => x"00000000", 15607 => x"00000000", 15608 => x"00000000", 15609 => x"00000000", 15610 => x"00000000", 15611 => x"00000000", 15612 => x"00000000", 15613 => x"00000000", 15614 => x"00000000", 15615 => x"00000000", 15616 => x"00000000", 15617 => x"00000000", 15618 => x"00000000", 15619 => x"00000000", 15620 => x"00000000", 15621 => x"00000000", 15622 => x"00000000", 15623 => x"00000000", 15624 => x"00000000", 15625 => x"00000000", 15626 => x"00000000", 15627 => x"00000000", 15628 => x"00000000", 15629 => x"00000000", 15630 => x"00000000", 15631 => x"00000000", 15632 => x"00000000", 15633 => x"00000000", 15634 => x"00000000", 15635 => x"00000000", 15636 => x"00000000", 15637 => x"00000000", 15638 => x"00000000", 15639 => x"00000000", 15640 => x"00000000", 15641 => x"00000000", 15642 => x"00000000", 15643 => x"00000000", 15644 => x"00000000", 15645 => x"00000000", 15646 => x"00000000", 15647 => x"00000000", 15648 => x"00000000", 15649 => x"00000000", 15650 => x"00000000", 15651 => x"00000000", 15652 => x"00000000", 15653 => x"00000000", 15654 => x"00000000", 15655 => x"00000000", 15656 => x"00000000", 15657 => x"00000000", 15658 => x"00000000", 15659 => x"00000000", 15660 => x"00000000", 15661 => x"00000000", 15662 => x"00000000", 15663 => x"00000000", 15664 => x"00000000", 15665 => x"00000000", 15666 => x"00000000", 15667 => x"00000000", 15668 => x"00000000", 15669 => x"00000000", 15670 => x"00000000", 15671 => x"00000000", 15672 => x"00000000", 15673 => x"00000000", 15674 => x"00000000", 15675 => x"00000000", 15676 => x"00000000", 15677 => x"00000000", 15678 => x"00000000", 15679 => x"00000000", 15680 => x"00000000", 15681 => x"00000000", 15682 => x"00000000", 15683 => x"00000000", 15684 => x"00000000", 15685 => x"00000000", 15686 => x"00000000", 15687 => x"00000000", 15688 => x"00000000", 15689 => x"00000000", 15690 => x"00000000", 15691 => x"00000000", 15692 => x"00000000", 15693 => x"00000000", 15694 => x"00000000", 15695 => x"00000000", 15696 => x"00000000", 15697 => x"00000000", 15698 => x"00000000", 15699 => x"00000000", 15700 => x"00000000", 15701 => x"00000000", 15702 => x"00000000", 15703 => x"00000000", 15704 => x"00000000", 15705 => x"00000000", 15706 => x"00000000", 15707 => x"00000000", 15708 => x"00000000", 15709 => x"00000000", 15710 => x"00000000", 15711 => x"00000000", 15712 => x"00000000", 15713 => x"00000000", 15714 => x"00000000", 15715 => x"00000000", 15716 => x"00000000", 15717 => x"00000000", 15718 => x"00000000", 15719 => x"00000000", 15720 => x"00000000", 15721 => x"00000000", 15722 => x"00000000", 15723 => x"00000000", 15724 => x"00000000", 15725 => x"00000000", 15726 => x"00000000", 15727 => x"00000000", 15728 => x"00000000", 15729 => x"00000000", 15730 => x"00000000", 15731 => x"00000000", 15732 => x"00000000", 15733 => x"00000000", 15734 => x"00000000", 15735 => x"00000000", 15736 => x"00000000", 15737 => x"00000000", 15738 => x"00000000", 15739 => x"00000000", 15740 => x"00000000", 15741 => x"00000000", 15742 => x"00000000", 15743 => x"00000000", 15744 => x"00000000", 15745 => x"00000000", 15746 => x"00000000", 15747 => x"00000000", 15748 => x"00000000", 15749 => x"00000000", 15750 => x"00000000", 15751 => x"00000000", 15752 => x"00000000", 15753 => x"00000000", 15754 => x"00000000", 15755 => x"00000000", 15756 => x"00000000", 15757 => x"00000000", 15758 => x"00000000", 15759 => x"00000000", 15760 => x"00000000", 15761 => x"00000000", 15762 => x"00000000", 15763 => x"00000000", 15764 => x"00000000", 15765 => x"00000000", 15766 => x"00000000", 15767 => x"00000000", 15768 => x"00000000", 15769 => x"00000000", 15770 => x"00000000", 15771 => x"00000000", 15772 => x"00000000", 15773 => x"00000000", 15774 => x"00000000", 15775 => x"00000000", 15776 => x"00000000", 15777 => x"00000000", 15778 => x"00000000", 15779 => x"00000000", 15780 => x"00000000", 15781 => x"00000000", 15782 => x"00000000", 15783 => x"00000000", 15784 => x"00000000", 15785 => x"00000000", 15786 => x"00000000", 15787 => x"00000000", 15788 => x"00000000", 15789 => x"00000000", 15790 => x"00000000", 15791 => x"00000000", 15792 => x"00000000", 15793 => x"00000000", 15794 => x"00000000", 15795 => x"00000000", 15796 => x"00000000", 15797 => x"00000000", 15798 => x"00000000", 15799 => x"00000000", 15800 => x"00000000", 15801 => x"00000000", 15802 => x"00000000", 15803 => x"00000000", 15804 => x"00000000", 15805 => x"00000000", 15806 => x"00000000", 15807 => x"00000000", 15808 => x"00000000", 15809 => x"00000000", 15810 => x"00000000", 15811 => x"00000000", 15812 => x"00000000", 15813 => x"00000000", 15814 => x"00000000", 15815 => x"00000000", 15816 => x"00000000", 15817 => x"00000000", 15818 => x"00000000", 15819 => x"00000000", 15820 => x"00000000", 15821 => x"00000000", 15822 => x"00000000", 15823 => x"00000000", 15824 => x"00000000", 15825 => x"00000000", 15826 => x"00000000", 15827 => x"00000000", 15828 => x"00000000", 15829 => x"00000000", 15830 => x"00000000", 15831 => x"00000000", 15832 => x"00000000", 15833 => x"00000000", 15834 => x"00000000", 15835 => x"00000000", 15836 => x"00000000", 15837 => x"00000000", 15838 => x"00000000", 15839 => x"00000000", 15840 => x"00000000", 15841 => x"00000000", 15842 => x"00000000", 15843 => x"00000000", 15844 => x"00000000", 15845 => x"00000000", 15846 => x"00000000", 15847 => x"00000000", 15848 => x"00000000", 15849 => x"00000000", 15850 => x"00000000", 15851 => x"00000000", 15852 => x"00000000", 15853 => x"00000000", 15854 => x"00000000", 15855 => x"00000000", 15856 => x"00000000", 15857 => x"00000000", 15858 => x"00000000", 15859 => x"00000000", 15860 => x"00000000", 15861 => x"00000000", 15862 => x"00000000", 15863 => x"00000000", 15864 => x"00000000", 15865 => x"00000000", 15866 => x"00000000", 15867 => x"00000000", 15868 => x"00000000", 15869 => x"00000000", 15870 => x"00000000", 15871 => x"00000000", 15872 => x"00000000", 15873 => x"00000000", 15874 => x"00000000", 15875 => x"00000000", 15876 => x"00000000", 15877 => x"00000000", 15878 => x"00000000", 15879 => x"00000000", 15880 => x"00000000", 15881 => x"00000000", 15882 => x"00000000", 15883 => x"00000000", 15884 => x"00000000", 15885 => x"00000000", 15886 => x"00000000", 15887 => x"00000000", 15888 => x"00000000", 15889 => x"00000000", 15890 => x"00000000", 15891 => x"00000000", 15892 => x"00000000", 15893 => x"00000000", 15894 => x"00000000", 15895 => x"00000000", 15896 => x"00000000", 15897 => x"00000000", 15898 => x"00000000", 15899 => x"00000000", 15900 => x"00000000", 15901 => x"00000000", 15902 => x"00000000", 15903 => x"00000000", 15904 => x"00000000", 15905 => x"00000000", 15906 => x"00000000", 15907 => x"00000000", 15908 => x"00000000", 15909 => x"00000000", 15910 => x"00000000", 15911 => x"00000000", 15912 => x"00000000", 15913 => x"00000000", 15914 => x"00000000", 15915 => x"00000000", 15916 => x"00000000", 15917 => x"00000000", 15918 => x"00000000", 15919 => x"00000000", 15920 => x"00000000", 15921 => x"00000000", 15922 => x"00000000", 15923 => x"00000000", 15924 => x"00000000", 15925 => x"00000000", 15926 => x"00000000", 15927 => x"00000000", 15928 => x"00000000", 15929 => x"00000000", 15930 => x"00000000", 15931 => x"00000000", 15932 => x"00000000", 15933 => x"00000000", 15934 => x"00000000", 15935 => x"00000000", 15936 => x"00000000", 15937 => x"00000000", 15938 => x"00000000", 15939 => x"00000000", 15940 => x"00000000", 15941 => x"00000000", 15942 => x"00000000", 15943 => x"00000000", 15944 => x"00000000", 15945 => x"00000000", 15946 => x"00000000", 15947 => x"00000000", 15948 => x"00000000", 15949 => x"00000000", 15950 => x"00000000", 15951 => x"00000000", 15952 => x"00000000", 15953 => x"00000000", 15954 => x"00000000", 15955 => x"00000000", 15956 => x"00000000", 15957 => x"00000000", 15958 => x"00000000", 15959 => x"00000000", 15960 => x"00000000", 15961 => x"00000000", 15962 => x"00000000", 15963 => x"00000000", 15964 => x"00000000", 15965 => x"00000000", 15966 => x"00000000", 15967 => x"00000000", 15968 => x"00000000", 15969 => x"00000000", 15970 => x"00000000", 15971 => x"00000000", 15972 => x"00000000", 15973 => x"00000000", 15974 => x"00000000", 15975 => x"00000000", 15976 => x"00000000", 15977 => x"00000000", 15978 => x"00000000", 15979 => x"00000000", 15980 => x"00000000", 15981 => x"00000000", 15982 => x"00000000", 15983 => x"00000000", 15984 => x"00000000", 15985 => x"00000000", 15986 => x"00000000", 15987 => x"00000000", 15988 => x"00000000", 15989 => x"00000000", 15990 => x"00000000", 15991 => x"00000000", 15992 => x"00000000", 15993 => x"00000000", 15994 => x"00000000", 15995 => x"00000000", 15996 => x"00000000", 15997 => x"00000000", 15998 => x"00000000", 15999 => x"00000000", 16000 => x"00000000", 16001 => x"00000000", 16002 => x"00000000", 16003 => x"00000000", 16004 => x"00000000", 16005 => x"00000000", 16006 => x"00000000", 16007 => x"00000000", 16008 => x"00000000", 16009 => x"00000000", 16010 => x"00000000", 16011 => x"00000000", 16012 => x"00000000", 16013 => x"00000000", 16014 => x"00000000", 16015 => x"00000000", 16016 => x"00000000", 16017 => x"00000000", 16018 => x"00000000", 16019 => x"00000000", 16020 => x"00000000", 16021 => x"00000000", 16022 => x"00000000", 16023 => x"00000000", 16024 => x"00000000", 16025 => x"00000000", 16026 => x"00000000", 16027 => x"00000000", 16028 => x"00000000", 16029 => x"00000000", 16030 => x"00000000", 16031 => x"00000000", 16032 => x"00000000", 16033 => x"00000000", 16034 => x"00000000", 16035 => x"00000000", 16036 => x"00000000", 16037 => x"00000000", 16038 => x"00000000", 16039 => x"00000000", 16040 => x"00000000", 16041 => x"00000000", 16042 => x"00000000", 16043 => x"00000000", 16044 => x"00000000", 16045 => x"00000000", 16046 => x"00000000", 16047 => x"00000000", 16048 => x"00000000", 16049 => x"00000000", 16050 => x"00000000", 16051 => x"00000000", 16052 => x"00000000", 16053 => x"00000000", 16054 => x"00000000", 16055 => x"00000000", 16056 => x"00000000", 16057 => x"00000000", 16058 => x"00000000", 16059 => x"00000000", 16060 => x"00000000", 16061 => x"00000000", 16062 => x"00000000", 16063 => x"00000000", 16064 => x"00000000", 16065 => x"00000000", 16066 => x"00000000", 16067 => x"00000000", 16068 => x"00000000", 16069 => x"00000000", 16070 => x"00000000", 16071 => x"00000000", 16072 => x"00000000", 16073 => x"00000000", 16074 => x"00000000", 16075 => x"00000000", 16076 => x"00000000", 16077 => x"00000000", 16078 => x"00000000", 16079 => x"00000000", 16080 => x"00000000", 16081 => x"00000000", 16082 => x"00000000", 16083 => x"00000000", 16084 => x"00000000", 16085 => x"00000000", 16086 => x"00000000", 16087 => x"00000000", 16088 => x"00000000", 16089 => x"00000000", 16090 => x"00000000", 16091 => x"00000000", 16092 => x"00000000", 16093 => x"00000000", 16094 => x"00000000", 16095 => x"00000000", 16096 => x"00000000", 16097 => x"00000000", 16098 => x"00000000", 16099 => x"00000000", 16100 => x"00000000", 16101 => x"00000000", 16102 => x"00000000", 16103 => x"00000000", 16104 => x"00000000", 16105 => x"00000000", 16106 => x"00000000", 16107 => x"00000000", 16108 => x"00000000", 16109 => x"00000000", 16110 => x"00000000", 16111 => x"00000000", 16112 => x"00000000", 16113 => x"00000000", 16114 => x"00000000", 16115 => x"00000000", 16116 => x"00000000", 16117 => x"00000000", 16118 => x"00000000", 16119 => x"00000000", 16120 => x"00000000", 16121 => x"00000000", 16122 => x"00000000", 16123 => x"00000000", 16124 => x"00000000", 16125 => x"00000000", 16126 => x"00000000", 16127 => x"00000000", 16128 => x"00000000", 16129 => x"00000000", 16130 => x"00000000", 16131 => x"00000000", 16132 => x"00000000", 16133 => x"00000000", 16134 => x"00000000", 16135 => x"00000000", 16136 => x"00000000", 16137 => x"00000000", 16138 => x"00000000", 16139 => x"00000000", 16140 => x"00000000", 16141 => x"00000000", 16142 => x"00000000", 16143 => x"00000000", 16144 => x"00000000", 16145 => x"00000000", 16146 => x"00000000", 16147 => x"00000000", 16148 => x"00000000", 16149 => x"00000000", 16150 => x"00000000", 16151 => x"00000000", 16152 => x"00000000", 16153 => x"00000000", 16154 => x"00000000", 16155 => x"00000000", 16156 => x"00000000", 16157 => x"00000000", 16158 => x"00000000", 16159 => x"00000000", 16160 => x"00000000", 16161 => x"00000000", 16162 => x"00000000", 16163 => x"00000000", 16164 => x"00000000", 16165 => x"00000000", 16166 => x"00000000", 16167 => x"00000000", 16168 => x"00000000", 16169 => x"00000000", 16170 => x"00000000", 16171 => x"00000000", 16172 => x"00000000", 16173 => x"00000000", 16174 => x"00000000", 16175 => x"00000000", 16176 => x"00000000", 16177 => x"00000000", 16178 => x"00000000", 16179 => x"00000000", 16180 => x"00000000", 16181 => x"00000000", 16182 => x"00000000", 16183 => x"00000000", 16184 => x"00000000", 16185 => x"00000000", 16186 => x"00000000", 16187 => x"00000000", 16188 => x"00000000", 16189 => x"00000000", 16190 => x"00000000", 16191 => x"00000000", 16192 => x"00000000", 16193 => x"00000000", 16194 => x"00000000", 16195 => x"00000000", 16196 => x"00000000", 16197 => x"00000000", 16198 => x"00000000", 16199 => x"00000000", 16200 => x"00000000", 16201 => x"00000000", 16202 => x"00000000", 16203 => x"00000000", 16204 => x"00000000", 16205 => x"00000000", 16206 => x"00000000", 16207 => x"00000000", 16208 => x"00000000", 16209 => x"00000000", 16210 => x"00000000", 16211 => x"00000000", 16212 => x"00000000", 16213 => x"00000000", 16214 => x"00000000", 16215 => x"00000000", 16216 => x"00000000", 16217 => x"00000000", 16218 => x"00000000", 16219 => x"00000000", 16220 => x"00000000", 16221 => x"00000000", 16222 => x"00000000", 16223 => x"00000000", 16224 => x"00000000", 16225 => x"00000000", 16226 => x"00000000", 16227 => x"00000000", 16228 => x"00000000", 16229 => x"00000000", 16230 => x"00000000", 16231 => x"00000000", 16232 => x"00000000", 16233 => x"00000000", 16234 => x"00000000", 16235 => x"00000000", 16236 => x"00000000", 16237 => x"00000000", 16238 => x"00000000", 16239 => x"00000000", 16240 => x"00000000", 16241 => x"00000000", 16242 => x"00000000", 16243 => x"00000000", 16244 => x"00000000", 16245 => x"00000000", 16246 => x"00000000", 16247 => x"00000000", 16248 => x"00000000", 16249 => x"00000000", 16250 => x"00000000", 16251 => x"00000000", 16252 => x"00000000", 16253 => x"00000000", 16254 => x"00000000", 16255 => x"00000000", 16256 => x"00000000", 16257 => x"00000000", 16258 => x"00000000", 16259 => x"00000000", 16260 => x"00000000", 16261 => x"00000000", 16262 => x"00000000", 16263 => x"00000000", 16264 => x"00000000", 16265 => x"00000000", 16266 => x"00000000", 16267 => x"00000000", 16268 => x"00000000", 16269 => x"00000000", 16270 => x"00000000", 16271 => x"00000000", 16272 => x"00000000", 16273 => x"00000000", 16274 => x"00000000", 16275 => x"00000000", 16276 => x"00000000", 16277 => x"00000000", 16278 => x"00000000", 16279 => x"00000000", 16280 => x"00000000", 16281 => x"00000000", 16282 => x"00000000", 16283 => x"00000000", 16284 => x"00000000", 16285 => x"00000000", 16286 => x"00000000", 16287 => x"00000000", 16288 => x"00000000", 16289 => x"00000000", 16290 => x"00000000", 16291 => x"00000000", 16292 => x"00000000", 16293 => x"00000000", 16294 => x"00000000", 16295 => x"00000000", 16296 => x"00000000", 16297 => x"00000000", 16298 => x"00000000", 16299 => x"00000000", 16300 => x"00000000", 16301 => x"00000000", 16302 => x"00000000", 16303 => x"00000000", 16304 => x"00000000", 16305 => x"00000000", 16306 => x"00000000", 16307 => x"00000000", 16308 => x"00000000", 16309 => x"00000000", 16310 => x"00000000", 16311 => x"00000000", 16312 => x"00000000", 16313 => x"00000000", 16314 => x"00000000", 16315 => x"00000000", 16316 => x"00000000", 16317 => x"00000000", 16318 => x"00000000", 16319 => x"00000000", 16320 => x"00000000", 16321 => x"00000000", 16322 => x"00000000", 16323 => x"00000000", 16324 => x"00000000", 16325 => x"00000000", 16326 => x"00000000", 16327 => x"00000000", 16328 => x"00000000", 16329 => x"00000000", 16330 => x"00000000", 16331 => x"00000000", 16332 => x"00000000", 16333 => x"00000000", 16334 => x"00000000", 16335 => x"00000000", 16336 => x"00000000", 16337 => x"00000000", 16338 => x"00000000", 16339 => x"00000000", 16340 => x"00000000", 16341 => x"00000000", 16342 => x"00000000", 16343 => x"00000000", 16344 => x"00000000", 16345 => x"00000000", 16346 => x"00000000", 16347 => x"00000000", 16348 => x"00000000", 16349 => x"00000000", 16350 => x"00000000", 16351 => x"00000000", 16352 => x"00000000", 16353 => x"00000000", 16354 => x"00000000", 16355 => x"00000000", 16356 => x"00000000", 16357 => x"00000000", 16358 => x"00000000", 16359 => x"00000000", 16360 => x"00000000", 16361 => x"00000000", 16362 => x"00000000", 16363 => x"00000000", 16364 => x"00000000", 16365 => x"00000000", 16366 => x"00000000", 16367 => x"00000000", 16368 => x"00000000", 16369 => x"00000000", 16370 => x"00000000", 16371 => x"00000000", 16372 => x"00000000", 16373 => x"00000000", 16374 => x"00000000", 16375 => x"00000000", 16376 => x"00000000", 16377 => x"00000000", 16378 => x"00000000", 16379 => x"00000000", 16380 => x"00000000", 16381 => x"00000000", 16382 => x"00000000", 16383 => x"00000000", 16384 => x"00000000", 16385 => x"00000000", 16386 => x"00000000", 16387 => x"00000000", 16388 => x"00000000", 16389 => x"00000000", 16390 => x"00000000", 16391 => x"00000000", 16392 => x"00000000", 16393 => x"00000000", 16394 => x"00000000", 16395 => x"00000000", 16396 => x"00000000", 16397 => x"00000000", 16398 => x"00000000", 16399 => x"00000000", 16400 => x"00000000", 16401 => x"00000000", 16402 => x"00000000", 16403 => x"00000000", 16404 => x"00000000", 16405 => x"00000000", 16406 => x"00000000", 16407 => x"00000000", 16408 => x"00000000", 16409 => x"00000000", 16410 => x"00000000", 16411 => x"00000000", 16412 => x"00000000", 16413 => x"00000000", 16414 => x"00000000", 16415 => x"00000000", 16416 => x"00000000", 16417 => x"00000000", 16418 => x"00000000", 16419 => x"00000000", 16420 => x"00000000", 16421 => x"00000000", 16422 => x"00000000", 16423 => x"00000000", 16424 => x"00000000", 16425 => x"00000000", 16426 => x"00000000", 16427 => x"00000000", 16428 => x"00000000", 16429 => x"00000000", 16430 => x"00000000", 16431 => x"00000000", 16432 => x"00000000", 16433 => x"00000000", 16434 => x"00000000", 16435 => x"00000000", 16436 => x"00000000", 16437 => x"00000000", 16438 => x"00000000", 16439 => x"00000000", 16440 => x"00000000", 16441 => x"00000000", 16442 => x"00000000", 16443 => x"00000000", 16444 => x"00000000", 16445 => x"00000000", 16446 => x"00000000", 16447 => x"00000000", 16448 => x"00000000", 16449 => x"00000000", 16450 => x"00000000", 16451 => x"00000000", 16452 => x"00000000", 16453 => x"00000000", 16454 => x"00000000", 16455 => x"00000000", 16456 => x"00000000", 16457 => x"00000000", 16458 => x"00000000", 16459 => x"00000000", 16460 => x"00000000", 16461 => x"00000000", 16462 => x"00000000", 16463 => x"00000000", 16464 => x"00000000", 16465 => x"00000000", 16466 => x"00000000", 16467 => x"00000000", 16468 => x"00000000", 16469 => x"00000000", 16470 => x"00000000", 16471 => x"00000000", 16472 => x"00000000", 16473 => x"00000000", 16474 => x"00000000", 16475 => x"00000000", 16476 => x"00000000", 16477 => x"00000000", 16478 => x"00000000", 16479 => x"00000000", 16480 => x"00000000", 16481 => x"00000000", 16482 => x"00000000", 16483 => x"00000000", 16484 => x"00000000", 16485 => x"00000000", 16486 => x"00000000", 16487 => x"00000000", 16488 => x"00000000", 16489 => x"00000000", 16490 => x"00000000", 16491 => x"00000000", 16492 => x"00000000", 16493 => x"00000000", 16494 => x"00000000", 16495 => x"00000000", 16496 => x"00000000", 16497 => x"00000000", 16498 => x"00000000", 16499 => x"00000000", 16500 => x"00000000", 16501 => x"00000000", 16502 => x"00000000", 16503 => x"00000000", 16504 => x"00000000", 16505 => x"00000000", 16506 => x"00000000", 16507 => x"00000000", 16508 => x"00000000", 16509 => x"00000000", 16510 => x"00000000", 16511 => x"00000000", 16512 => x"00000000", 16513 => x"00000000", 16514 => x"00000000", 16515 => x"00000000", 16516 => x"00000000", 16517 => x"00000000", 16518 => x"00000000", 16519 => x"00000000", 16520 => x"00000000", 16521 => x"00000000", 16522 => x"00000000", 16523 => x"00000000", 16524 => x"00000000", 16525 => x"00000000", 16526 => x"00000000", 16527 => x"00000000", 16528 => x"00000000", 16529 => x"00000000", 16530 => x"00000000", 16531 => x"00000000", 16532 => x"00000000", 16533 => x"00000000", 16534 => x"00000000", 16535 => x"00000000", 16536 => x"00000000", 16537 => x"00000000", 16538 => x"00000000", 16539 => x"00000000", 16540 => x"00000000", 16541 => x"00000000", 16542 => x"00000000", 16543 => x"00000000", 16544 => x"00000000", 16545 => x"00000000", 16546 => x"00000000", 16547 => x"00000000", 16548 => x"00000000", 16549 => x"00000000", 16550 => x"00000000", 16551 => x"00000000", 16552 => x"00000000", 16553 => x"00000000", 16554 => x"00000000", 16555 => x"00000000", 16556 => x"00000000", 16557 => x"00000000", 16558 => x"00000000", 16559 => x"00000000", 16560 => x"00000000", 16561 => x"00000000", 16562 => x"00000000", 16563 => x"00000000", 16564 => x"00000000", 16565 => x"00000000", 16566 => x"00000000", 16567 => x"00000000", 16568 => x"00000000", 16569 => x"00000000", 16570 => x"00000000", 16571 => x"00000000", 16572 => x"00000000", 16573 => x"00000000", 16574 => x"00000000", 16575 => x"00000000", 16576 => x"00000000", 16577 => x"00000000", 16578 => x"00000000", 16579 => x"00000000", 16580 => x"00000000", 16581 => x"00000000", 16582 => x"00000000", 16583 => x"00000000", 16584 => x"00000000", 16585 => x"00000000", 16586 => x"00000000", 16587 => x"00000000", 16588 => x"00000000", 16589 => x"00000000", 16590 => x"00000000", 16591 => x"00000000", 16592 => x"00000000", 16593 => x"00000000", 16594 => x"00000000", 16595 => x"00000000", 16596 => x"00000000", 16597 => x"00000000", 16598 => x"00000000", 16599 => x"00000000", 16600 => x"00000000", 16601 => x"00000000", 16602 => x"00000000", 16603 => x"00000000", 16604 => x"00000000", 16605 => x"00000000", 16606 => x"00000000", 16607 => x"00000000", 16608 => x"00000000", 16609 => x"00000000", 16610 => x"00000000", 16611 => x"00000000", 16612 => x"00000000", 16613 => x"00000000", 16614 => x"00000000", 16615 => x"00000000", 16616 => x"00000000", 16617 => x"00000000", 16618 => x"00000000", 16619 => x"00000000", 16620 => x"00000000", 16621 => x"00000000", 16622 => x"00000000", 16623 => x"00000000", 16624 => x"00000000", 16625 => x"00000000", 16626 => x"00000000", 16627 => x"00000000", 16628 => x"00000000", 16629 => x"00000000", 16630 => x"00000000", 16631 => x"00000000", 16632 => x"00000000", 16633 => x"00000000", 16634 => x"00000000", 16635 => x"00000000", 16636 => x"00000000", 16637 => x"00000000", 16638 => x"00000000", 16639 => x"00000000", 16640 => x"00000000", 16641 => x"00000000", 16642 => x"00000000", 16643 => x"00000000", 16644 => x"00000000", 16645 => x"00000000", 16646 => x"00000000", 16647 => x"00000000", 16648 => x"00000000", 16649 => x"00000000", 16650 => x"00000000", 16651 => x"00000000", 16652 => x"00000000", 16653 => x"00000000", 16654 => x"00000000", 16655 => x"00000000", 16656 => x"00000000", 16657 => x"00000000", 16658 => x"00000000", 16659 => x"00000000", 16660 => x"00000000", 16661 => x"00000000", 16662 => x"00000000", 16663 => x"00000000", 16664 => x"00000000", 16665 => x"00000000", 16666 => x"00000000", 16667 => x"00000000", 16668 => x"00000000", 16669 => x"00000000", 16670 => x"00000000", 16671 => x"00000000", 16672 => x"00000000", 16673 => x"00000000", 16674 => x"00000000", 16675 => x"00000000", 16676 => x"00000000", 16677 => x"00000000", 16678 => x"00000000", 16679 => x"00000000", 16680 => x"00000000", 16681 => x"00000000", 16682 => x"00000000", 16683 => x"00000000", 16684 => x"00000000", 16685 => x"00000000", 16686 => x"00000000", 16687 => x"00000000", 16688 => x"00000000", 16689 => x"00000000", 16690 => x"00000000", 16691 => x"00000000", 16692 => x"00000000", 16693 => x"00000000", 16694 => x"00000000", 16695 => x"00000000", 16696 => x"00000000", 16697 => x"00000000", 16698 => x"00000000", 16699 => x"00000000", 16700 => x"00000000", 16701 => x"00000000", 16702 => x"00000000", 16703 => x"00000000", 16704 => x"00000000", 16705 => x"00000000", 16706 => x"00000000", 16707 => x"00000000", 16708 => x"00000000", 16709 => x"00000000", 16710 => x"00000000", 16711 => x"00000000", 16712 => x"00000000", 16713 => x"00000000", 16714 => x"00000000", 16715 => x"00000000", 16716 => x"00000000", 16717 => x"00000000", 16718 => x"00000000", 16719 => x"00000000", 16720 => x"00000000", 16721 => x"00000000", 16722 => x"00000000", 16723 => x"00000000", 16724 => x"00000000", 16725 => x"00000000", 16726 => x"00000000", 16727 => x"00000000", 16728 => x"00000000", 16729 => x"00000000", 16730 => x"00000000", 16731 => x"00000000", 16732 => x"00000000", 16733 => x"00000000", 16734 => x"00000000", 16735 => x"00000000", 16736 => x"00000000", 16737 => x"00000000", 16738 => x"00000000", 16739 => x"00000000", 16740 => x"00000000", 16741 => x"00000000", 16742 => x"00000000", 16743 => x"00000000", 16744 => x"00000000", 16745 => x"00000000", 16746 => x"00000000", 16747 => x"00000000", 16748 => x"00000000", 16749 => x"00000000", 16750 => x"00000000", 16751 => x"00000000", 16752 => x"00000000", 16753 => x"00000000", 16754 => x"00000000", 16755 => x"00000000", 16756 => x"00000000", 16757 => x"00000000", 16758 => x"00000000", 16759 => x"00000000", 16760 => x"00000000", 16761 => x"00000000", 16762 => x"00000000", 16763 => x"00000000", 16764 => x"00000000", 16765 => x"00000000", 16766 => x"00000000", 16767 => x"00000000", 16768 => x"00000000", 16769 => x"00000000", 16770 => x"00000000", 16771 => x"00000000", 16772 => x"00000000", 16773 => x"00000000", 16774 => x"00000000", 16775 => x"00000000", 16776 => x"00000000", 16777 => x"00000000", 16778 => x"00000000", 16779 => x"00000000", 16780 => x"00000000", 16781 => x"00000000", 16782 => x"00000000", 16783 => x"00000000", 16784 => x"00000000", 16785 => x"00000000", 16786 => x"00000000", 16787 => x"00000000", 16788 => x"00000000", 16789 => x"00000000", 16790 => x"00000000", 16791 => x"00000000", 16792 => x"00000000", 16793 => x"00000000", 16794 => x"00000000", 16795 => x"00000000", 16796 => x"00000000", 16797 => x"00000000", 16798 => x"00000000", 16799 => x"00000000", 16800 => x"00000000", 16801 => x"00000000", 16802 => x"00000000", 16803 => x"00000000", 16804 => x"00000000", 16805 => x"00000000", 16806 => x"00000000", 16807 => x"00000000", 16808 => x"00000000", 16809 => x"00000000", 16810 => x"00000000", 16811 => x"00000000", 16812 => x"00000000", 16813 => x"00000000", 16814 => x"00000000", 16815 => x"00000000", 16816 => x"00000000", 16817 => x"00000000", 16818 => x"00000000", 16819 => x"00000000", 16820 => x"00000000", 16821 => x"00000000", 16822 => x"00000000", 16823 => x"00000000", 16824 => x"00000000", 16825 => x"00000000", 16826 => x"00000000", 16827 => x"00000000", 16828 => x"00000000", 16829 => x"00000000", 16830 => x"00000000", 16831 => x"00000000", 16832 => x"00000000", 16833 => x"00000000", 16834 => x"00000000", 16835 => x"00000000", 16836 => x"00000000", 16837 => x"00000000", 16838 => x"00000000", 16839 => x"00000000", 16840 => x"00000000", 16841 => x"00000000", 16842 => x"00000000", 16843 => x"00000000", 16844 => x"00000000", 16845 => x"00000000", 16846 => x"00000000", 16847 => x"00000000", 16848 => x"00000000", 16849 => x"00000000", 16850 => x"00000000", 16851 => x"00000000", 16852 => x"00000000", 16853 => x"00000000", 16854 => x"00000000", 16855 => x"00000000", 16856 => x"00000000", 16857 => x"00000000", 16858 => x"00000000", 16859 => x"00000000", 16860 => x"00000000", 16861 => x"00000000", 16862 => x"00000000", 16863 => x"00000000", 16864 => x"00000000", 16865 => x"00000000", 16866 => x"00000000", 16867 => x"00000000", 16868 => x"00000000", 16869 => x"00000000", 16870 => x"00000000", 16871 => x"00000000", 16872 => x"00000000", 16873 => x"00000000", 16874 => x"00000000", 16875 => x"00000000", 16876 => x"00000000", 16877 => x"00000000", 16878 => x"00000000", 16879 => x"00000000", 16880 => x"00000000", 16881 => x"00000000", 16882 => x"00000000", 16883 => x"00000000", 16884 => x"00000000", 16885 => x"00000000", 16886 => x"00000000", 16887 => x"00000000", 16888 => x"00000000", 16889 => x"00000000", 16890 => x"00000000", 16891 => x"00000000", 16892 => x"00000000", 16893 => x"00000000", 16894 => x"00000000", 16895 => x"00000000", 16896 => x"00000000", 16897 => x"00000000", 16898 => x"00000000", 16899 => x"00000000", 16900 => x"00000000", 16901 => x"00000000", 16902 => x"00000000", 16903 => x"00000000", 16904 => x"00000000", 16905 => x"00000000", 16906 => x"00000000", 16907 => x"00000000", 16908 => x"00000000", 16909 => x"00000000", 16910 => x"00000000", 16911 => x"00000000", 16912 => x"00000000", 16913 => x"00000000", 16914 => x"00000000", 16915 => x"00000000", 16916 => x"00000000", 16917 => x"00000000", 16918 => x"00000000", 16919 => x"00000000", 16920 => x"00000000", 16921 => x"00000000", 16922 => x"00000000", 16923 => x"00000000", 16924 => x"00000000", 16925 => x"00000000", 16926 => x"00000000", 16927 => x"00000000", 16928 => x"00000000", 16929 => x"00000000", 16930 => x"00000000", 16931 => x"00000000", 16932 => x"00000000", 16933 => x"00000000", 16934 => x"00000000", 16935 => x"00000000", 16936 => x"00000000", 16937 => x"00000000", 16938 => x"00000000", 16939 => x"00000000", 16940 => x"00000000", 16941 => x"00000000", 16942 => x"00000000", 16943 => x"00000000", 16944 => x"00000000", 16945 => x"00000000", 16946 => x"00000000", 16947 => x"00000000", 16948 => x"00000000", 16949 => x"00000000", 16950 => x"00000000", 16951 => x"00000000", 16952 => x"00000000", 16953 => x"00000000", 16954 => x"00000000", 16955 => x"00000000", 16956 => x"00000000", 16957 => x"00000000", 16958 => x"00000000", 16959 => x"00000000", 16960 => x"00000000", 16961 => x"00000000", 16962 => x"00000000", 16963 => x"00000000", 16964 => x"00000000", 16965 => x"00000000", 16966 => x"00000000", 16967 => x"00000000", 16968 => x"00000000", 16969 => x"00000000", 16970 => x"00000000", 16971 => x"00000000", 16972 => x"00000000", 16973 => x"00000000", 16974 => x"00000000", 16975 => x"00000000", 16976 => x"00000000", 16977 => x"00000000", 16978 => x"00000000", 16979 => x"00000000", 16980 => x"00000000", 16981 => x"00000000", 16982 => x"00000000", 16983 => x"00000000", 16984 => x"00000000", 16985 => x"00000000", 16986 => x"00000000", 16987 => x"00000000", 16988 => x"00000000", 16989 => x"00000000", 16990 => x"00000000", 16991 => x"00000000", 16992 => x"00000000", 16993 => x"00000000", 16994 => x"00000000", 16995 => x"00000000", 16996 => x"00000000", 16997 => x"00000000", 16998 => x"00000000", 16999 => x"00000000", 17000 => x"00000000", 17001 => x"00000000", 17002 => x"00000000", 17003 => x"00000000", 17004 => x"00000000", 17005 => x"00000000", 17006 => x"00000000", 17007 => x"00000000", 17008 => x"00000000", 17009 => x"00000000", 17010 => x"00000000", 17011 => x"00000000", 17012 => x"00000000", 17013 => x"00000000", 17014 => x"00000000", 17015 => x"00000000", 17016 => x"00000000", 17017 => x"00000000", 17018 => x"00000000", 17019 => x"00000000", 17020 => x"00000000", 17021 => x"00000000", 17022 => x"00000000", 17023 => x"00000000", 17024 => x"00000000", 17025 => x"00000000", 17026 => x"00000000", 17027 => x"00000000", 17028 => x"00000000", 17029 => x"00000000", 17030 => x"00000000", 17031 => x"00000000", 17032 => x"00000000", 17033 => x"00000000", 17034 => x"00000000", 17035 => x"00000000", 17036 => x"00000000", 17037 => x"00000000", 17038 => x"00000000", 17039 => x"00000000", 17040 => x"00000000", 17041 => x"00000000", 17042 => x"00000000", 17043 => x"00000000", 17044 => x"00000000", 17045 => x"00000000", 17046 => x"00000000", 17047 => x"00000000", 17048 => x"00000000", 17049 => x"00000000", 17050 => x"00000000", 17051 => x"00000000", 17052 => x"00000000", 17053 => x"00000000", 17054 => x"00000000", 17055 => x"00000000", 17056 => x"00000000", 17057 => x"00000000", 17058 => x"00000000", 17059 => x"00000000", 17060 => x"00000000", 17061 => x"00000000", 17062 => x"00000000", 17063 => x"00000000", 17064 => x"00000000", 17065 => x"00000000", 17066 => x"00000000", 17067 => x"00000000", 17068 => x"00000000", 17069 => x"00000000", 17070 => x"00000000", 17071 => x"00000000", 17072 => x"00000000", 17073 => x"00000000", 17074 => x"00000000", 17075 => x"00000000", 17076 => x"00000000", 17077 => x"00000000", 17078 => x"00000000", 17079 => x"00000000", 17080 => x"00000000", 17081 => x"00000000", 17082 => x"00000000", 17083 => x"00000000", 17084 => x"00000000", 17085 => x"00000000", 17086 => x"00000000", 17087 => x"00000000", 17088 => x"00000000", 17089 => x"00000000", 17090 => x"00000000", 17091 => x"00000000", 17092 => x"00000000", 17093 => x"00000000", 17094 => x"00000000", 17095 => x"00000000", 17096 => x"00000000", 17097 => x"00000000", 17098 => x"00000000", 17099 => x"00000000", 17100 => x"00000000", 17101 => x"00000000", 17102 => x"00000000", 17103 => x"00000000", 17104 => x"00000000", 17105 => x"00000000", 17106 => x"00000000", 17107 => x"00000000", 17108 => x"00000000", 17109 => x"00000000", 17110 => x"00000000", 17111 => x"00000000", 17112 => x"00000000", 17113 => x"00000000", 17114 => x"00000000", 17115 => x"00000000", 17116 => x"00000000", 17117 => x"00000000", 17118 => x"00000000", 17119 => x"00000000", 17120 => x"00000000", 17121 => x"00000000", 17122 => x"00000000", 17123 => x"00000000", 17124 => x"00000000", 17125 => x"00000000", 17126 => x"00000000", 17127 => x"00000000", 17128 => x"00000000", 17129 => x"00000000", 17130 => x"00000000", 17131 => x"00000000", 17132 => x"00000000", 17133 => x"00000000", 17134 => x"00000000", 17135 => x"00000000", 17136 => x"00000000", 17137 => x"00000000", 17138 => x"00000000", 17139 => x"00000000", 17140 => x"00000000", 17141 => x"00000000", 17142 => x"00000000", 17143 => x"00000000", 17144 => x"00000000", 17145 => x"00000000", 17146 => x"00000000", 17147 => x"00000000", 17148 => x"00000000", 17149 => x"00000000", 17150 => x"00000000", 17151 => x"00000000", 17152 => x"00000000", 17153 => x"00000000", 17154 => x"00000000", 17155 => x"00000000", 17156 => x"00000000", 17157 => x"00000000", 17158 => x"00000000", 17159 => x"00000000", 17160 => x"00000000", 17161 => x"00000000", 17162 => x"00000000", 17163 => x"00000000", 17164 => x"00000000", 17165 => x"00000000", 17166 => x"00000000", 17167 => x"00000000", 17168 => x"00000000", 17169 => x"00000000", 17170 => x"00000000", 17171 => x"00000000", 17172 => x"00000000", 17173 => x"00000000", 17174 => x"00000000", 17175 => x"00000000", 17176 => x"00000000", 17177 => x"00000000", 17178 => x"00000000", 17179 => x"00000000", 17180 => x"00000000", 17181 => x"00000000", 17182 => x"00000000", 17183 => x"00000000", 17184 => x"00000000", 17185 => x"00000000", 17186 => x"00000000", 17187 => x"00000000", 17188 => x"00000000", 17189 => x"00000000", 17190 => x"00000000", 17191 => x"00000000", 17192 => x"00000000", 17193 => x"00000000", 17194 => x"00000000", 17195 => x"00000000", 17196 => x"00000000", 17197 => x"00000000", 17198 => x"00000000", 17199 => x"00000000", 17200 => x"00000000", 17201 => x"00000000", 17202 => x"00000000", 17203 => x"00000000", 17204 => x"00000000", 17205 => x"00000000", 17206 => x"00000000", 17207 => x"00000000", 17208 => x"00000000", 17209 => x"00000000", 17210 => x"00000000", 17211 => x"00000000", 17212 => x"00000000", 17213 => x"00000000", 17214 => x"00000000", 17215 => x"00000000", 17216 => x"00000000", 17217 => x"00000000", 17218 => x"00000000", 17219 => x"00000000", 17220 => x"00000000", 17221 => x"00000000", 17222 => x"00000000", 17223 => x"00000000", 17224 => x"00000000", 17225 => x"00000000", 17226 => x"00000000", 17227 => x"00000000", 17228 => x"00000000", 17229 => x"00000000", 17230 => x"00000000", 17231 => x"00000000", 17232 => x"00000000", 17233 => x"00000000", 17234 => x"00000000", 17235 => x"00000000", 17236 => x"00000000", 17237 => x"00000000", 17238 => x"00000000", 17239 => x"00000000", 17240 => x"00000000", 17241 => x"00000000", 17242 => x"00000000", 17243 => x"00000000", 17244 => x"00000000", 17245 => x"00000000", 17246 => x"00000000", 17247 => x"00000000", 17248 => x"00000000", 17249 => x"00000000", 17250 => x"00000000", 17251 => x"00000000", 17252 => x"00000000", 17253 => x"00000000", 17254 => x"00000000", 17255 => x"00000000", 17256 => x"00000000", 17257 => x"00000000", 17258 => x"00000000", 17259 => x"00000000", 17260 => x"00000000", 17261 => x"00000000", 17262 => x"00000000", 17263 => x"00000000", 17264 => x"00000000", 17265 => x"00000000", 17266 => x"00000000", 17267 => x"00000000", 17268 => x"00000000", 17269 => x"00000000", 17270 => x"00000000", 17271 => x"00000000", 17272 => x"00000000", 17273 => x"00000000", 17274 => x"00000000", 17275 => x"00000000", 17276 => x"00000000", 17277 => x"00000000", 17278 => x"00000000", 17279 => x"00000000", 17280 => x"00000000", 17281 => x"00000000", 17282 => x"00000000", 17283 => x"00000000", 17284 => x"00000000", 17285 => x"00000000", 17286 => x"00000000", 17287 => x"00000000", 17288 => x"00000000", 17289 => x"00000000", 17290 => x"00000000", 17291 => x"00000000", 17292 => x"00000000", 17293 => x"00000000", 17294 => x"00000000", 17295 => x"00000000", 17296 => x"00000000", 17297 => x"00000000", 17298 => x"00000000", 17299 => x"00000000", 17300 => x"00000000", 17301 => x"00000000", 17302 => x"00000000", 17303 => x"00000000", 17304 => x"00000000", 17305 => x"00000000", 17306 => x"00000000", 17307 => x"00000000", 17308 => x"00000000", 17309 => x"00000000", 17310 => x"00000000", 17311 => x"00000000", 17312 => x"00000000", 17313 => x"00000000", 17314 => x"00000000", 17315 => x"00000000", 17316 => x"00000000", 17317 => x"00000000", 17318 => x"00000000", 17319 => x"00000000", 17320 => x"00000000", 17321 => x"00000000", 17322 => x"00000000", 17323 => x"00000000", 17324 => x"00000000", 17325 => x"00000000", 17326 => x"00000000", 17327 => x"00000000", 17328 => x"00000000", 17329 => x"00000000", 17330 => x"00000000", 17331 => x"00000000", 17332 => x"00000000", 17333 => x"00000000", 17334 => x"00000000", 17335 => x"00000000", 17336 => x"00000000", 17337 => x"00000000", 17338 => x"00000000", 17339 => x"00000000", 17340 => x"00000000", 17341 => x"00000000", 17342 => x"00000000", 17343 => x"00000000", 17344 => x"00000000", 17345 => x"00000000", 17346 => x"00000000", 17347 => x"00000000", 17348 => x"00000000", 17349 => x"00000000", 17350 => x"00000000", 17351 => x"00000000", 17352 => x"00000000", 17353 => x"00000000", 17354 => x"00000000", 17355 => x"00000000", 17356 => x"00000000", 17357 => x"00000000", 17358 => x"00000000", 17359 => x"00000000", 17360 => x"00000000", 17361 => x"00000000", 17362 => x"00000000", 17363 => x"00000000", 17364 => x"00000000", 17365 => x"00000000", 17366 => x"00000000", 17367 => x"00000000", 17368 => x"00000000", 17369 => x"00000000", 17370 => x"00000000", 17371 => x"00000000", 17372 => x"00000000", 17373 => x"00000000", 17374 => x"00000000", 17375 => x"00000000", 17376 => x"00000000", 17377 => x"00000000", 17378 => x"00000000", 17379 => x"00000000", 17380 => x"00000000", 17381 => x"00000000", 17382 => x"00000000", 17383 => x"00000000", 17384 => x"00000000", 17385 => x"00000000", 17386 => x"00000000", 17387 => x"00000000", 17388 => x"00000000", 17389 => x"00000000", 17390 => x"00000000", 17391 => x"00000000", 17392 => x"00000000", 17393 => x"00000000", 17394 => x"00000000", 17395 => x"00000000", 17396 => x"00000000", 17397 => x"00000000", 17398 => x"00000000", 17399 => x"00000000", 17400 => x"00000000", 17401 => x"00000000", 17402 => x"00000000", 17403 => x"00000000", 17404 => x"00000000", 17405 => x"00000000", 17406 => x"00000000", 17407 => x"00000000", 17408 => x"00000000", 17409 => x"00000000", 17410 => x"00000000", 17411 => x"00000000", 17412 => x"00000000", 17413 => x"00000000", 17414 => x"00000000", 17415 => x"00000000", 17416 => x"00000000", 17417 => x"00000000", 17418 => x"00000000", 17419 => x"00000000", 17420 => x"00000000", 17421 => x"00000000", 17422 => x"00000000", 17423 => x"00000000", 17424 => x"00000000", 17425 => x"00000000", 17426 => x"00000000", 17427 => x"00000000", 17428 => x"00000000", 17429 => x"00000000", 17430 => x"00000000", 17431 => x"00000000", 17432 => x"00000000", 17433 => x"00000000", 17434 => x"00000000", 17435 => x"00000000", 17436 => x"00000000", 17437 => x"00000000", 17438 => x"00000000", 17439 => x"00000000", 17440 => x"00000000", 17441 => x"00000000", 17442 => x"00000000", 17443 => x"00000000", 17444 => x"00000000", 17445 => x"00000000", 17446 => x"00000000", 17447 => x"00000000", 17448 => x"00000000", 17449 => x"00000000", 17450 => x"00000000", 17451 => x"00000000", 17452 => x"00000000", 17453 => x"00000000", 17454 => x"00000000", 17455 => x"00000000", 17456 => x"00000000", 17457 => x"00000000", 17458 => x"00000000", 17459 => x"00000000", 17460 => x"00000000", 17461 => x"00000000", 17462 => x"00000000", 17463 => x"00000000", 17464 => x"00000000", 17465 => x"00000000", 17466 => x"00000000", 17467 => x"00000000", 17468 => x"00000000", 17469 => x"00000000", 17470 => x"00000000", 17471 => x"00000000", 17472 => x"00000000", 17473 => x"00000000", 17474 => x"00000000", 17475 => x"00000000", 17476 => x"00000000", 17477 => x"00000000", 17478 => x"00000000", 17479 => x"00000000", 17480 => x"00000000", 17481 => x"00000000", 17482 => x"00000000", 17483 => x"00000000", 17484 => x"00000000", 17485 => x"00000000", 17486 => x"00000000", 17487 => x"00000000", 17488 => x"00000000", 17489 => x"00000000", 17490 => x"00000000", 17491 => x"00000000", 17492 => x"00000000", 17493 => x"00000000", 17494 => x"00000000", 17495 => x"00000000", 17496 => x"00000000", 17497 => x"00000000", 17498 => x"00000000", 17499 => x"00000000", 17500 => x"00000000", 17501 => x"00000000", 17502 => x"00000000", 17503 => x"00000000", 17504 => x"00000000", 17505 => x"00000000", 17506 => x"00000000", 17507 => x"00000000", 17508 => x"00000000", 17509 => x"00000000", 17510 => x"00000000", 17511 => x"00000000", 17512 => x"00000000", 17513 => x"00000000", 17514 => x"00000000", 17515 => x"00000000", 17516 => x"00000000", 17517 => x"00000000", 17518 => x"00000000", 17519 => x"00000000", 17520 => x"00000000", 17521 => x"00000000", 17522 => x"00000000", 17523 => x"00000000", 17524 => x"00000000", 17525 => x"00000000", 17526 => x"00000000", 17527 => x"00000000", 17528 => x"00000000", 17529 => x"00000000", 17530 => x"00000000", 17531 => x"00000000", 17532 => x"00000000", 17533 => x"00000000", 17534 => x"00000000", 17535 => x"00000000", 17536 => x"00000000", 17537 => x"00000000", 17538 => x"00000000", 17539 => x"00000000", 17540 => x"00000000", 17541 => x"00000000", 17542 => x"00000000", 17543 => x"00000000", 17544 => x"00000000", 17545 => x"00000000", 17546 => x"00000000", 17547 => x"00000000", 17548 => x"00000000", 17549 => x"00000000", 17550 => x"00000000", 17551 => x"00000000", 17552 => x"00000000", 17553 => x"00000000", 17554 => x"00000000", 17555 => x"00000000", 17556 => x"00000000", 17557 => x"00000000", 17558 => x"00000000", 17559 => x"00000000", 17560 => x"00000000", 17561 => x"00000000", 17562 => x"00000000", 17563 => x"00000000", 17564 => x"00000000", 17565 => x"00000000", 17566 => x"00000000", 17567 => x"00000000", 17568 => x"00000000", 17569 => x"00000000", 17570 => x"00000000", 17571 => x"00000000", 17572 => x"00000000", 17573 => x"00000000", 17574 => x"00000000", 17575 => x"00000000", 17576 => x"00000000", 17577 => x"00000000", 17578 => x"00000000", 17579 => x"00000000", 17580 => x"00000000", 17581 => x"00000000", 17582 => x"00000000", 17583 => x"00000000", 17584 => x"00000000", 17585 => x"00000000", 17586 => x"00000000", 17587 => x"00000000", 17588 => x"00000000", 17589 => x"00000000", 17590 => x"00000000", 17591 => x"00000000", 17592 => x"00000000", 17593 => x"00000000", 17594 => x"00000000", 17595 => x"00000000", 17596 => x"00000000", 17597 => x"00000000", 17598 => x"00000000", 17599 => x"00000000", 17600 => x"00000000", 17601 => x"00000000", 17602 => x"00000000", 17603 => x"00000000", 17604 => x"00000000", 17605 => x"00000000", 17606 => x"00000000", 17607 => x"00000000", 17608 => x"00000000", 17609 => x"00000000", 17610 => x"00000000", 17611 => x"00000000", 17612 => x"00000000", 17613 => x"00000000", 17614 => x"00000000", 17615 => x"00000000", 17616 => x"00000000", 17617 => x"00000000", 17618 => x"00000000", 17619 => x"00000000", 17620 => x"00000000", 17621 => x"00000000", 17622 => x"00000000", 17623 => x"00000000", 17624 => x"00000000", 17625 => x"00000000", 17626 => x"00000000", 17627 => x"00000000", 17628 => x"00000000", 17629 => x"00000000", 17630 => x"00000000", 17631 => x"00000000", 17632 => x"00000000", 17633 => x"00000000", 17634 => x"00000000", 17635 => x"00000000", 17636 => x"00000000", 17637 => x"00000000", 17638 => x"00000000", 17639 => x"00000000", 17640 => x"00000000", 17641 => x"00000000", 17642 => x"00000000", 17643 => x"00000000", 17644 => x"00000000", 17645 => x"00000000", 17646 => x"00000000", 17647 => x"00000000", 17648 => x"00000000", 17649 => x"00000000", 17650 => x"00000000", 17651 => x"00000000", 17652 => x"00000000", 17653 => x"00000000", 17654 => x"00000000", 17655 => x"00000000", 17656 => x"00000000", 17657 => x"00000000", 17658 => x"00000000", 17659 => x"00000000", 17660 => x"00000000", 17661 => x"00000000", 17662 => x"00000000", 17663 => x"00000000", 17664 => x"00000000", 17665 => x"00000000", 17666 => x"00000000", 17667 => x"00000000", 17668 => x"00000000", 17669 => x"00000000", 17670 => x"00000000", 17671 => x"00000000", 17672 => x"00000000", 17673 => x"00000000", 17674 => x"00000000", 17675 => x"00000000", 17676 => x"00000000", 17677 => x"00000000", 17678 => x"00000000", 17679 => x"00000000", 17680 => x"00000000", 17681 => x"00000000", 17682 => x"00000000", 17683 => x"00000000", 17684 => x"00000000", 17685 => x"00000000", 17686 => x"00000000", 17687 => x"00000000", 17688 => x"00000000", 17689 => x"00000000", 17690 => x"00000000", 17691 => x"00000000", 17692 => x"00000000", 17693 => x"00000000", 17694 => x"00000000", 17695 => x"00000000", 17696 => x"00000000", 17697 => x"00000000", 17698 => x"00000000", 17699 => x"00000000", 17700 => x"00000000", 17701 => x"00000000", 17702 => x"00000000", 17703 => x"00000000", 17704 => x"00000000", 17705 => x"00000000", 17706 => x"00000000", 17707 => x"00000000", 17708 => x"00000000", 17709 => x"00000000", 17710 => x"00000000", 17711 => x"00000000", 17712 => x"00000000", 17713 => x"00000000", 17714 => x"00000000", 17715 => x"00000000", 17716 => x"00000000", 17717 => x"00000000", 17718 => x"00000000", 17719 => x"00000000", 17720 => x"00000000", 17721 => x"00000000", 17722 => x"00000000", 17723 => x"00000000", 17724 => x"00000000", 17725 => x"00000000", 17726 => x"00000000", 17727 => x"00000000", 17728 => x"00000000", 17729 => x"00000000", 17730 => x"00000000", 17731 => x"00000000", 17732 => x"00000000", 17733 => x"00000000", 17734 => x"00000000", 17735 => x"00000000", 17736 => x"00000000", 17737 => x"00000000", 17738 => x"00000000", 17739 => x"00000000", 17740 => x"00000000", 17741 => x"00000000", 17742 => x"00000000", 17743 => x"00000000", 17744 => x"00000000", 17745 => x"00000000", 17746 => x"00000000", 17747 => x"00000000", 17748 => x"00000000", 17749 => x"00000000", 17750 => x"00000000", 17751 => x"00000000", 17752 => x"00000000", 17753 => x"00000000", 17754 => x"00000000", 17755 => x"00000000", 17756 => x"00000000", 17757 => x"00000000", 17758 => x"00000000", 17759 => x"00000000", 17760 => x"00000000", 17761 => x"00000000", 17762 => x"00000000", 17763 => x"00000000", 17764 => x"00000000", 17765 => x"00000000", 17766 => x"00000000", 17767 => x"00000000", 17768 => x"00000000", 17769 => x"00000000", 17770 => x"00000000", 17771 => x"00000000", 17772 => x"00000000", 17773 => x"00000000", 17774 => x"00000000", 17775 => x"00000000", 17776 => x"00000000", 17777 => x"00000000", 17778 => x"00000000", 17779 => x"00000000", 17780 => x"00000000", 17781 => x"00000000", 17782 => x"00000000", 17783 => x"00000000", 17784 => x"00000000", 17785 => x"00000000", 17786 => x"00000000", 17787 => x"00000000", 17788 => x"00000000", 17789 => x"00000000", 17790 => x"00000000", 17791 => x"00000000", 17792 => x"00000000", 17793 => x"00000000", 17794 => x"00000000", 17795 => x"00000000", 17796 => x"00000000", 17797 => x"00000000", 17798 => x"00000000", 17799 => x"00000000", 17800 => x"00000000", 17801 => x"00000000", 17802 => x"00000000", 17803 => x"00000000", 17804 => x"00000000", 17805 => x"00000000", 17806 => x"00000000", 17807 => x"00000000", 17808 => x"00000000", 17809 => x"00000000", 17810 => x"00000000", 17811 => x"00000000", 17812 => x"00000000", 17813 => x"00000000", 17814 => x"00000000", 17815 => x"00000000", 17816 => x"00000000", 17817 => x"00000000", 17818 => x"00000000", 17819 => x"00000000", 17820 => x"00000000", 17821 => x"00000000", 17822 => x"00000000", 17823 => x"00000000", 17824 => x"00000000", 17825 => x"00000000", 17826 => x"00000000", 17827 => x"00000000", 17828 => x"00000000", 17829 => x"00000000", 17830 => x"00000000", 17831 => x"00000000", 17832 => x"00000000", 17833 => x"00000000", 17834 => x"00000000", 17835 => x"00000000", 17836 => x"00000000", 17837 => x"00000000", 17838 => x"00000000", 17839 => x"00000000", 17840 => x"00000000", 17841 => x"00000000", 17842 => x"00000000", 17843 => x"00000000", 17844 => x"00000000", 17845 => x"00000000", 17846 => x"00000000", 17847 => x"00000000", 17848 => x"00000000", 17849 => x"00000000", 17850 => x"00000000", 17851 => x"00000000", 17852 => x"00000000", 17853 => x"00000000", 17854 => x"00000000", 17855 => x"00000000", 17856 => x"00000000", 17857 => x"00000000", 17858 => x"00000000", 17859 => x"00000000", 17860 => x"00000000", 17861 => x"00000000", 17862 => x"00000000", 17863 => x"00000000", 17864 => x"00000000", 17865 => x"00000000", 17866 => x"00000000", 17867 => x"00000000", 17868 => x"00000000", 17869 => x"00000000", 17870 => x"00000000", 17871 => x"00000000", 17872 => x"00000000", 17873 => x"00000000", 17874 => x"00000000", 17875 => x"00000000", 17876 => x"00000000", 17877 => x"00000000", 17878 => x"00000000", 17879 => x"00000000", 17880 => x"00000000", 17881 => x"00000000", 17882 => x"00000000", 17883 => x"00000000", 17884 => x"00000000", 17885 => x"00000000", 17886 => x"00000000", 17887 => x"00000000", 17888 => x"00000000", 17889 => x"00000000", 17890 => x"00000000", 17891 => x"00000000", 17892 => x"00000000", 17893 => x"00000000", 17894 => x"00000000", 17895 => x"00000000", 17896 => x"00000000", 17897 => x"00000000", 17898 => x"00000000", 17899 => x"00000000", 17900 => x"00000000", 17901 => x"00000000", 17902 => x"00000000", 17903 => x"00000000", 17904 => x"00000000", 17905 => x"00000000", 17906 => x"00000000", 17907 => x"00000000", 17908 => x"00000000", 17909 => x"00000000", 17910 => x"00000000", 17911 => x"00000000", 17912 => x"00000000", 17913 => x"00000000", 17914 => x"00000000", 17915 => x"00000000", 17916 => x"00000000", 17917 => x"00000000", 17918 => x"00000000", 17919 => x"00000000", 17920 => x"00000000", 17921 => x"00000000", 17922 => x"00000000", 17923 => x"00000000", 17924 => x"00000000", 17925 => x"00000000", 17926 => x"00000000", 17927 => x"00000000", 17928 => x"00000000", 17929 => x"00000000", 17930 => x"00000000", 17931 => x"00000000", 17932 => x"00000000", 17933 => x"00000000", 17934 => x"00000000", 17935 => x"00000000", 17936 => x"00000000", 17937 => x"00000000", 17938 => x"00000000", 17939 => x"00000000", 17940 => x"00000000", 17941 => x"00000000", 17942 => x"00000000", 17943 => x"00000000", 17944 => x"00000000", 17945 => x"00000000", 17946 => x"00000000", 17947 => x"00000000", 17948 => x"00000000", 17949 => x"00000000", 17950 => x"00000000", 17951 => x"00000000", 17952 => x"00000000", 17953 => x"00000000", 17954 => x"00000000", 17955 => x"00000000", 17956 => x"00000000", 17957 => x"00000000", 17958 => x"00000000", 17959 => x"00000000", 17960 => x"00000000", 17961 => x"00000000", 17962 => x"00000000", 17963 => x"00000000", 17964 => x"00000000", 17965 => x"00000000", 17966 => x"00000000", 17967 => x"00000000", 17968 => x"00000000", 17969 => x"00000000", 17970 => x"00000000", 17971 => x"00000000", 17972 => x"00000000", 17973 => x"00000000", 17974 => x"00000000", 17975 => x"00000000", 17976 => x"00000000", 17977 => x"00000000", 17978 => x"00000000", 17979 => x"00000000", 17980 => x"00000000", 17981 => x"00000000", 17982 => x"00000000", 17983 => x"00000000", 17984 => x"00000000", 17985 => x"00000000", 17986 => x"00000000", 17987 => x"00000000", 17988 => x"00000000", 17989 => x"00000000", 17990 => x"00000000", 17991 => x"00000000", 17992 => x"00000000", 17993 => x"00000000", 17994 => x"00000000", 17995 => x"00000000", 17996 => x"00000000", 17997 => x"00000000", 17998 => x"00000000", 17999 => x"00000000", 18000 => x"00000000", 18001 => x"00000000", 18002 => x"00000000", 18003 => x"00000000", 18004 => x"00000000", 18005 => x"00000000", 18006 => x"00000000", 18007 => x"00000000", 18008 => x"00000000", 18009 => x"00000000", 18010 => x"00000000", 18011 => x"00000000", 18012 => x"00000000", 18013 => x"00000000", 18014 => x"00000000", 18015 => x"00000000", 18016 => x"00000000", 18017 => x"00000000", 18018 => x"00000000", 18019 => x"00000000", 18020 => x"00000000", 18021 => x"00000000", 18022 => x"00000000", 18023 => x"00000000", 18024 => x"00000000", 18025 => x"00000000", 18026 => x"00000000", 18027 => x"00000000", 18028 => x"00000000", 18029 => x"00000000", 18030 => x"00000000", 18031 => x"00000000", 18032 => x"00000000", 18033 => x"00000000", 18034 => x"00000000", 18035 => x"00000000", 18036 => x"00000000", 18037 => x"00000000", 18038 => x"00000000", 18039 => x"00000000", 18040 => x"00000000", 18041 => x"00000000", 18042 => x"00000000", 18043 => x"00000000", 18044 => x"00000000", 18045 => x"00000000", 18046 => x"00000000", 18047 => x"00000000", 18048 => x"00000000", 18049 => x"00000000", 18050 => x"00000000", 18051 => x"00000000", 18052 => x"00000000", 18053 => x"00000000", 18054 => x"00000000", 18055 => x"00000000", 18056 => x"00000000", 18057 => x"00000000", 18058 => x"00000000", 18059 => x"00000000", 18060 => x"00000000", 18061 => x"00000000", 18062 => x"00000000", 18063 => x"00000000", 18064 => x"00000000", 18065 => x"00000000", 18066 => x"00000000", 18067 => x"00000000", 18068 => x"00000000", 18069 => x"00000000", 18070 => x"00000000", 18071 => x"00000000", 18072 => x"00000000", 18073 => x"00000000", 18074 => x"00000000", 18075 => x"00000000", 18076 => x"00000000", 18077 => x"00000000", 18078 => x"00000000", 18079 => x"00000000", 18080 => x"00000000", 18081 => x"00000000", 18082 => x"00000000", 18083 => x"00000000", 18084 => x"00000000", 18085 => x"00000000", 18086 => x"00000000", 18087 => x"00000000", 18088 => x"00000000", 18089 => x"00000000", 18090 => x"00000000", 18091 => x"00000000", 18092 => x"00000000", 18093 => x"00000000", 18094 => x"00000000", 18095 => x"00000000", 18096 => x"00000000", 18097 => x"00000000", 18098 => x"00000000", 18099 => x"00000000", 18100 => x"00000000", 18101 => x"00000000", 18102 => x"00000000", 18103 => x"00000000", 18104 => x"00000000", 18105 => x"00000000", 18106 => x"00000000", 18107 => x"00000000", 18108 => x"00000000", 18109 => x"00000000", 18110 => x"00000000", 18111 => x"00000000", 18112 => x"00000000", 18113 => x"00000000", 18114 => x"00000000", 18115 => x"00000000", 18116 => x"00000000", 18117 => x"00000000", 18118 => x"00000000", 18119 => x"00000000", 18120 => x"00000000", 18121 => x"00000000", 18122 => x"00000000", 18123 => x"00000000", 18124 => x"00000000", 18125 => x"00000000", 18126 => x"00000000", 18127 => x"00000000", 18128 => x"00000000", 18129 => x"00000000", 18130 => x"00000000", 18131 => x"00000000", 18132 => x"00000000", 18133 => x"00000000", 18134 => x"00000000", 18135 => x"00000000", 18136 => x"00000000", 18137 => x"00000000", 18138 => x"00000000", 18139 => x"00000000", 18140 => x"00000000", 18141 => x"00000000", 18142 => x"00000000", 18143 => x"00000000", 18144 => x"00000000", 18145 => x"00000000", 18146 => x"00000000", 18147 => x"00000000", 18148 => x"00000000", 18149 => x"00000000", 18150 => x"00000000", 18151 => x"00000000", 18152 => x"00000000", 18153 => x"00000000", 18154 => x"00000000", 18155 => x"00000000", 18156 => x"00000000", 18157 => x"00000000", 18158 => x"00000000", 18159 => x"00000000", 18160 => x"00000000", 18161 => x"00000000", 18162 => x"00000000", 18163 => x"00000000", 18164 => x"00000000", 18165 => x"00000000", 18166 => x"00000000", 18167 => x"00000000", 18168 => x"00000000", 18169 => x"00000000", 18170 => x"00000000", 18171 => x"00000000", 18172 => x"00000000", 18173 => x"00000000", 18174 => x"00000000", 18175 => x"00000000", 18176 => x"00000000", 18177 => x"00000000", 18178 => x"00000000", 18179 => x"00000000", 18180 => x"00000000", 18181 => x"00000000", 18182 => x"00000000", 18183 => x"00000000", 18184 => x"00000000", 18185 => x"00000000", 18186 => x"00000000", 18187 => x"00000000", 18188 => x"00000000", 18189 => x"00000000", 18190 => x"00000000", 18191 => x"00000000", 18192 => x"00000000", 18193 => x"00000000", 18194 => x"00000000", 18195 => x"00000000", 18196 => x"00000000", 18197 => x"00000000", 18198 => x"00000000", 18199 => x"00000000", 18200 => x"00000000", 18201 => x"00000000", 18202 => x"00000000", 18203 => x"00000000", 18204 => x"00000000", 18205 => x"00000000", 18206 => x"00000000", 18207 => x"00000000", 18208 => x"00000000", 18209 => x"00000000", 18210 => x"00000000", 18211 => x"00000000", 18212 => x"00000000", 18213 => x"00000000", 18214 => x"00000000", 18215 => x"00000000", 18216 => x"00000000", 18217 => x"00000000", 18218 => x"00000000", 18219 => x"00000000", 18220 => x"00000000", 18221 => x"00000000", 18222 => x"00000000", 18223 => x"00000000", 18224 => x"00000000", 18225 => x"00000000", 18226 => x"00000000", 18227 => x"00000000", 18228 => x"00000000", 18229 => x"00000000", 18230 => x"00000000", 18231 => x"00000000", 18232 => x"00000000", 18233 => x"00000000", 18234 => x"00000000", 18235 => x"00000000", 18236 => x"00000000", 18237 => x"00000000", 18238 => x"00000000", 18239 => x"00000000", 18240 => x"00000000", 18241 => x"00000000", 18242 => x"00000000", 18243 => x"00000000", 18244 => x"00000000", 18245 => x"00000000", 18246 => x"00000000", 18247 => x"00000000", 18248 => x"00000000", 18249 => x"00000000", 18250 => x"00000000", 18251 => x"00000000", 18252 => x"00000000", 18253 => x"00000000", 18254 => x"00000000", 18255 => x"00000000", 18256 => x"00000000", 18257 => x"00000000", 18258 => x"00000000", 18259 => x"00000000", 18260 => x"00000000", 18261 => x"00000000", 18262 => x"00000000", 18263 => x"00000000", 18264 => x"00000000", 18265 => x"00000000", 18266 => x"00000000", 18267 => x"00000000", 18268 => x"00000000", 18269 => x"00000000", 18270 => x"00000000", 18271 => x"00000000", 18272 => x"00000000", 18273 => x"00000000", 18274 => x"00000000", 18275 => x"00000000", 18276 => x"00000000", 18277 => x"00000000", 18278 => x"00000000", 18279 => x"00000000", 18280 => x"00000000", 18281 => x"00000000", 18282 => x"00000000", 18283 => x"00000000", 18284 => x"00000000", 18285 => x"00000000", 18286 => x"00000000", 18287 => x"00000000", 18288 => x"00000000", 18289 => x"00000000", 18290 => x"00000000", 18291 => x"00000000", 18292 => x"00000000", 18293 => x"00000000", 18294 => x"00000000", 18295 => x"00000000", 18296 => x"00000000", 18297 => x"00000000", 18298 => x"00000000", 18299 => x"00000000", 18300 => x"00000000", 18301 => x"00000000", 18302 => x"00000000", 18303 => x"00000000", 18304 => x"00000000", 18305 => x"00000000", 18306 => x"00000000", 18307 => x"00000000", 18308 => x"00000000", 18309 => x"00000000", 18310 => x"00000000", 18311 => x"00000000", 18312 => x"00000000", 18313 => x"00000000", 18314 => x"00000000", 18315 => x"00000000", 18316 => x"00000000", 18317 => x"00000000", 18318 => x"00000000", 18319 => x"00000000", 18320 => x"00000000", 18321 => x"00000000", 18322 => x"00000000", 18323 => x"00000000", 18324 => x"00000000", 18325 => x"00000000", 18326 => x"00000000", 18327 => x"00000000", 18328 => x"00000000", 18329 => x"00000000", 18330 => x"00000000", 18331 => x"00000000", 18332 => x"00000000", 18333 => x"00000000", 18334 => x"00000000", 18335 => x"00000000", 18336 => x"00000000", 18337 => x"00000000", 18338 => x"00000000", 18339 => x"00000000", 18340 => x"00000000", 18341 => x"00000000", 18342 => x"00000000", 18343 => x"00000000", 18344 => x"00000000", 18345 => x"00000000", 18346 => x"00000000", 18347 => x"00000000", 18348 => x"00000000", 18349 => x"00000000", 18350 => x"00000000", 18351 => x"00000000", 18352 => x"00000000", 18353 => x"00000000", 18354 => x"00000000", 18355 => x"00000000", 18356 => x"00000000", 18357 => x"00000000", 18358 => x"00000000", 18359 => x"00000000", 18360 => x"00000000", 18361 => x"00000000", 18362 => x"00000000", 18363 => x"00000000", 18364 => x"00000000", 18365 => x"00000000", 18366 => x"00000000", 18367 => x"00000000", 18368 => x"00000000", 18369 => x"00000000", 18370 => x"00000000", 18371 => x"00000000", 18372 => x"00000000", 18373 => x"00000000", 18374 => x"00000000", 18375 => x"00000000", 18376 => x"00000000", 18377 => x"00000000", 18378 => x"00000000", 18379 => x"00000000", 18380 => x"00000000", 18381 => x"00000000", 18382 => x"00000000", 18383 => x"00000000", 18384 => x"00000000", 18385 => x"00000000", 18386 => x"00000000", 18387 => x"00000000", 18388 => x"00000000", 18389 => x"00000000", 18390 => x"00000000", 18391 => x"00000000", 18392 => x"00000000", 18393 => x"00000000", 18394 => x"00000000", 18395 => x"00000000", 18396 => x"00000000", 18397 => x"00000000", 18398 => x"00000000", 18399 => x"00000000", 18400 => x"00000000", 18401 => x"00000000", 18402 => x"00000000", 18403 => x"00000000", 18404 => x"00000000", 18405 => x"00000000", 18406 => x"00000000", 18407 => x"00000000", 18408 => x"00000000", 18409 => x"00000000", 18410 => x"00000000", 18411 => x"00000000", 18412 => x"00000000", 18413 => x"00000000", 18414 => x"00000000", 18415 => x"00000000", 18416 => x"00000000", 18417 => x"00000000", 18418 => x"00000000", 18419 => x"00000000", 18420 => x"00000000", 18421 => x"00000000", 18422 => x"00000000", 18423 => x"00000000", 18424 => x"00000000", 18425 => x"00000000", 18426 => x"00000000", 18427 => x"00000000", 18428 => x"00000000", 18429 => x"00000000", 18430 => x"00000000", 18431 => x"00000000", 18432 => x"00000000", 18433 => x"00000000", 18434 => x"00000000", 18435 => x"00000000", 18436 => x"00000000", 18437 => x"00000000", 18438 => x"00000000", 18439 => x"00000000", 18440 => x"00000000", 18441 => x"00000000", 18442 => x"00000000", 18443 => x"00000000", 18444 => x"00000000", 18445 => x"00000000", 18446 => x"00000000", 18447 => x"00000000", 18448 => x"00000000", 18449 => x"00000000", 18450 => x"00000000", 18451 => x"00000000", 18452 => x"00000000", 18453 => x"00000000", 18454 => x"00000000", 18455 => x"00000000", 18456 => x"00000000", 18457 => x"00000000", 18458 => x"00000000", 18459 => x"00000000", 18460 => x"00000000", 18461 => x"00000000", 18462 => x"00000000", 18463 => x"00000000", 18464 => x"00000000", 18465 => x"00000000", 18466 => x"00000000", 18467 => x"00000000", 18468 => x"00000000", 18469 => x"00000000", 18470 => x"00000000", 18471 => x"00000000", 18472 => x"00000000", 18473 => x"00000000", 18474 => x"00000000", 18475 => x"00000000", 18476 => x"00000000", 18477 => x"00000000", 18478 => x"00000000", 18479 => x"00000000", 18480 => x"00000000", 18481 => x"00000000", 18482 => x"00000000", 18483 => x"00000000", 18484 => x"00000000", 18485 => x"00000000", 18486 => x"00000000", 18487 => x"00000000", 18488 => x"00000000", 18489 => x"00000000", 18490 => x"00000000", 18491 => x"00000000", 18492 => x"00000000", 18493 => x"00000000", 18494 => x"00000000", 18495 => x"00000000", 18496 => x"00000000", 18497 => x"00000000", 18498 => x"00000000", 18499 => x"00000000", 18500 => x"00000000", 18501 => x"00000000", 18502 => x"00000000", 18503 => x"00000000", 18504 => x"00000000", 18505 => x"00000000", 18506 => x"00000000", 18507 => x"00000000", 18508 => x"00000000", 18509 => x"00000000", 18510 => x"00000000", 18511 => x"00000000", 18512 => x"00000000", 18513 => x"00000000", 18514 => x"00000000", 18515 => x"00000000", 18516 => x"00000000", 18517 => x"00000000", 18518 => x"00000000", 18519 => x"00000000", 18520 => x"00000000", 18521 => x"00000000", 18522 => x"00000000", 18523 => x"00000000", 18524 => x"00000000", 18525 => x"00000000", 18526 => x"00000000", 18527 => x"00000000", 18528 => x"00000000", 18529 => x"00000000", 18530 => x"00000000", 18531 => x"00000000", 18532 => x"00000000", 18533 => x"00000000", 18534 => x"00000000", 18535 => x"00000000", 18536 => x"00000000", 18537 => x"00000000", 18538 => x"00000000", 18539 => x"00000000", 18540 => x"00000000", 18541 => x"00000000", 18542 => x"00000000", 18543 => x"00000000", 18544 => x"00000000", 18545 => x"00000000", 18546 => x"00000000", 18547 => x"00000000", 18548 => x"00000000", 18549 => x"00000000", 18550 => x"00000000", 18551 => x"00000000", 18552 => x"00000000", 18553 => x"00000000", 18554 => x"00000000", 18555 => x"00000000", 18556 => x"00000000", 18557 => x"00000000", 18558 => x"00000000", 18559 => x"00000000", 18560 => x"00000000", 18561 => x"00000000", 18562 => x"00000000", 18563 => x"00000000", 18564 => x"00000000", 18565 => x"00000000", 18566 => x"00000000", 18567 => x"00000000", 18568 => x"00000000", 18569 => x"00000000", 18570 => x"00000000", 18571 => x"00000000", 18572 => x"00000000", 18573 => x"00000000", 18574 => x"00000000", 18575 => x"00000000", 18576 => x"00000000", 18577 => x"00000000", 18578 => x"00000000", 18579 => x"00000000", 18580 => x"00000000", 18581 => x"00000000", 18582 => x"00000000", 18583 => x"00000000", 18584 => x"00000000", 18585 => x"00000000", 18586 => x"00000000", 18587 => x"00000000", 18588 => x"00000000", 18589 => x"00000000", 18590 => x"00000000", 18591 => x"00000000", 18592 => x"00000000", 18593 => x"00000000", 18594 => x"00000000", 18595 => x"00000000", 18596 => x"00000000", 18597 => x"00000000", 18598 => x"00000000", 18599 => x"00000000", 18600 => x"00000000", 18601 => x"00000000", 18602 => x"00000000", 18603 => x"00000000", 18604 => x"00000000", 18605 => x"00000000", 18606 => x"00000000", 18607 => x"00000000", 18608 => x"00000000", 18609 => x"00000000", 18610 => x"00000000", 18611 => x"00000000", 18612 => x"00000000", 18613 => x"00000000", 18614 => x"00000000", 18615 => x"00000000", 18616 => x"00000000", 18617 => x"00000000", 18618 => x"00000000", 18619 => x"00000000", 18620 => x"00000000", 18621 => x"00000000", 18622 => x"00000000", 18623 => x"00000000", 18624 => x"00000000", 18625 => x"00000000", 18626 => x"00000000", 18627 => x"00000000", 18628 => x"00000000", 18629 => x"00000000", 18630 => x"00000000", 18631 => x"00000000", 18632 => x"00000000", 18633 => x"00000000", 18634 => x"00000000", 18635 => x"00000000", 18636 => x"00000000", 18637 => x"00000000", 18638 => x"00000000", 18639 => x"00000000", 18640 => x"00000000", 18641 => x"00000000", 18642 => x"00000000", 18643 => x"00000000", 18644 => x"00000000", 18645 => x"00000000", 18646 => x"00000000", 18647 => x"00000000", 18648 => x"00000000", 18649 => x"00000000", 18650 => x"00000000", 18651 => x"00000000", 18652 => x"00000000", 18653 => x"00000000", 18654 => x"00000000", 18655 => x"00000000", 18656 => x"00000000", 18657 => x"00000000", 18658 => x"00000000", 18659 => x"00000000", 18660 => x"00000000", 18661 => x"00000000", 18662 => x"00000000", 18663 => x"00000000", 18664 => x"00000000", 18665 => x"00000000", 18666 => x"00000000", 18667 => x"00000000", 18668 => x"00000000", 18669 => x"00000000", 18670 => x"00000000", 18671 => x"00000000", 18672 => x"00000000", 18673 => x"00000000", 18674 => x"00000000", 18675 => x"00000000", 18676 => x"00000000", 18677 => x"00000000", 18678 => x"00000000", 18679 => x"00000000", 18680 => x"00000000", 18681 => x"00000000", 18682 => x"00000000", 18683 => x"00000000", 18684 => x"00000000", 18685 => x"00000000", 18686 => x"00000000", 18687 => x"00000000", 18688 => x"00000000", 18689 => x"00000000", 18690 => x"00000000", 18691 => x"00000000", 18692 => x"00000000", 18693 => x"00000000", 18694 => x"00000000", 18695 => x"00000000", 18696 => x"00000000", 18697 => x"00000000", 18698 => x"00000000", 18699 => x"00000000", 18700 => x"00000000", 18701 => x"00000000", 18702 => x"00000000", 18703 => x"00000000", 18704 => x"00000000", 18705 => x"00000000", 18706 => x"00000000", 18707 => x"00000000", 18708 => x"00000000", 18709 => x"00000000", 18710 => x"00000000", 18711 => x"00000000", 18712 => x"00000000", 18713 => x"00000000", 18714 => x"00000000", 18715 => x"00000000", 18716 => x"00000000", 18717 => x"00000000", 18718 => x"00000000", 18719 => x"00000000", 18720 => x"00000000", 18721 => x"00000000", 18722 => x"00000000", 18723 => x"00000000", 18724 => x"00000000", 18725 => x"00000000", 18726 => x"00000000", 18727 => x"00000000", 18728 => x"00000000", 18729 => x"00000000", 18730 => x"00000000", 18731 => x"00000000", 18732 => x"00000000", 18733 => x"00000000", 18734 => x"00000000", 18735 => x"00000000", 18736 => x"00000000", 18737 => x"00000000", 18738 => x"00000000", 18739 => x"00000000", 18740 => x"00000000", 18741 => x"00000000", 18742 => x"00000000", 18743 => x"00000000", 18744 => x"00000000", 18745 => x"00000000", 18746 => x"00000000", 18747 => x"00000000", 18748 => x"00000000", 18749 => x"00000000", 18750 => x"00000000", 18751 => x"00000000", 18752 => x"00000000", 18753 => x"00000000", 18754 => x"00000000", 18755 => x"00000000", 18756 => x"00000000", 18757 => x"00000000", 18758 => x"00000000", 18759 => x"00000000", 18760 => x"00000000", 18761 => x"00000000", 18762 => x"00000000", 18763 => x"00000000", 18764 => x"00000000", 18765 => x"00000000", 18766 => x"00000000", 18767 => x"00000000", 18768 => x"00000000", 18769 => x"00000000", 18770 => x"00000000", 18771 => x"00000000", 18772 => x"00000000", 18773 => x"00000000", 18774 => x"00000000", 18775 => x"00000000", 18776 => x"00000000", 18777 => x"00000000", 18778 => x"00000000", 18779 => x"00000000", 18780 => x"00000000", 18781 => x"00000000", 18782 => x"00000000", 18783 => x"00000000", 18784 => x"00000000", 18785 => x"00000000", 18786 => x"00000000", 18787 => x"00000000", 18788 => x"00000000", 18789 => x"00000000", 18790 => x"00000000", 18791 => x"00000000", 18792 => x"00000000", 18793 => x"00000000", 18794 => x"00000000", 18795 => x"00000000", 18796 => x"00000000", 18797 => x"00000000", 18798 => x"00000000", 18799 => x"00000000", 18800 => x"00000000", 18801 => x"00000000", 18802 => x"00000000", 18803 => x"00000000", 18804 => x"00000000", 18805 => x"00000000", 18806 => x"00000000", 18807 => x"00000000", 18808 => x"00000000", 18809 => x"00000000", 18810 => x"00000000", 18811 => x"00000000", 18812 => x"00000000", 18813 => x"00000000", 18814 => x"00000000", 18815 => x"00000000", 18816 => x"00000000", 18817 => x"00000000", 18818 => x"00000000", 18819 => x"00000000", 18820 => x"00000000", 18821 => x"00000000", 18822 => x"00000000", 18823 => x"00000000", 18824 => x"00000000", 18825 => x"00000000", 18826 => x"00000000", 18827 => x"00000000", 18828 => x"00000000", 18829 => x"00000000", 18830 => x"00000000", 18831 => x"00000000", 18832 => x"00000000", 18833 => x"00000000", 18834 => x"00000000", 18835 => x"00000000", 18836 => x"00000000", 18837 => x"00000000", 18838 => x"00000000", 18839 => x"00000000", 18840 => x"00000000", 18841 => x"00000000", 18842 => x"00000000", 18843 => x"00000000", 18844 => x"00000000", 18845 => x"00000000", 18846 => x"00000000", 18847 => x"00000000", 18848 => x"00000000", 18849 => x"00000000", 18850 => x"00000000", 18851 => x"00000000", 18852 => x"00000000", 18853 => x"00000000", 18854 => x"00000000", 18855 => x"00000000", 18856 => x"00000000", 18857 => x"00000000", 18858 => x"00000000", 18859 => x"00000000", 18860 => x"00000000", 18861 => x"00000000", 18862 => x"00000000", 18863 => x"00000000", 18864 => x"00000000", 18865 => x"00000000", 18866 => x"00000000", 18867 => x"00000000", 18868 => x"00000000", 18869 => x"00000000", 18870 => x"00000000", 18871 => x"00000000", 18872 => x"00000000", 18873 => x"00000000", 18874 => x"00000000", 18875 => x"00000000", 18876 => x"00000000", 18877 => x"00000000", 18878 => x"00000000", 18879 => x"00000000", 18880 => x"00000000", 18881 => x"00000000", 18882 => x"00000000", 18883 => x"00000000", 18884 => x"00000000", 18885 => x"00000000", 18886 => x"00000000", 18887 => x"00000000", 18888 => x"00000000", 18889 => x"00000000", 18890 => x"00000000", 18891 => x"00000000", 18892 => x"00000000", 18893 => x"00000000", 18894 => x"00000000", 18895 => x"00000000", 18896 => x"00000000", 18897 => x"00000000", 18898 => x"00000000", 18899 => x"00000000", 18900 => x"00000000", 18901 => x"00000000", 18902 => x"00000000", 18903 => x"00000000", 18904 => x"00000000", 18905 => x"00000000", 18906 => x"00000000", 18907 => x"00000000", 18908 => x"00000000", 18909 => x"00000000", 18910 => x"00000000", 18911 => x"00000000", 18912 => x"00000000", 18913 => x"00000000", 18914 => x"00000000", 18915 => x"00000000", 18916 => x"00000000", 18917 => x"00000000", 18918 => x"00000000", 18919 => x"00000000", 18920 => x"00000000", 18921 => x"00000000", 18922 => x"00000000", 18923 => x"00000000", 18924 => x"00000000", 18925 => x"00000000", 18926 => x"00000000", 18927 => x"00000000", 18928 => x"00000000", 18929 => x"00000000", 18930 => x"00000000", 18931 => x"00000000", 18932 => x"00000000", 18933 => x"00000000", 18934 => x"00000000", 18935 => x"00000000", 18936 => x"00000000", 18937 => x"00000000", 18938 => x"00000000", 18939 => x"00000000", 18940 => x"00000000", 18941 => x"00000000", 18942 => x"00000000", 18943 => x"00000000", 18944 => x"00000000", 18945 => x"00000000", 18946 => x"00000000", 18947 => x"00000000", 18948 => x"00000000", 18949 => x"00000000", 18950 => x"00000000", 18951 => x"00000000", 18952 => x"00000000", 18953 => x"00000000", 18954 => x"00000000", 18955 => x"00000000", 18956 => x"00000000", 18957 => x"00000000", 18958 => x"00000000", 18959 => x"00000000", 18960 => x"00000000", 18961 => x"00000000", 18962 => x"00000000", 18963 => x"00000000", 18964 => x"00000000", 18965 => x"00000000", 18966 => x"00000000", 18967 => x"00000000", 18968 => x"00000000", 18969 => x"00000000", 18970 => x"00000000", 18971 => x"00000000", 18972 => x"00000000", 18973 => x"00000000", 18974 => x"00000000", 18975 => x"00000000", 18976 => x"00000000", 18977 => x"00000000", 18978 => x"00000000", 18979 => x"00000000", 18980 => x"00000000", 18981 => x"00000000", 18982 => x"00000000", 18983 => x"00000000", 18984 => x"00000000", 18985 => x"00000000", 18986 => x"00000000", 18987 => x"00000000", 18988 => x"00000000", 18989 => x"00000000", 18990 => x"00000000", 18991 => x"00000000", 18992 => x"00000000", 18993 => x"00000000", 18994 => x"00000000", 18995 => x"00000000", 18996 => x"00000000", 18997 => x"00000000", 18998 => x"00000000", 18999 => x"00000000", 19000 => x"00000000", 19001 => x"00000000", 19002 => x"00000000", 19003 => x"00000000", 19004 => x"00000000", 19005 => x"00000000", 19006 => x"00000000", 19007 => x"00000000", 19008 => x"00000000", 19009 => x"00000000", 19010 => x"00000000", 19011 => x"00000000", 19012 => x"00000000", 19013 => x"00000000", 19014 => x"00000000", 19015 => x"00000000", 19016 => x"00000000", 19017 => x"00000000", 19018 => x"00000000", 19019 => x"00000000", 19020 => x"00000000", 19021 => x"00000000", 19022 => x"00000000", 19023 => x"00000000", 19024 => x"00000000", 19025 => x"00000000", 19026 => x"00000000", 19027 => x"00000000", 19028 => x"00000000", 19029 => x"00000000", 19030 => x"00000000", 19031 => x"00000000", 19032 => x"00000000", 19033 => x"00000000", 19034 => x"00000000", 19035 => x"00000000", 19036 => x"00000000", 19037 => x"00000000", 19038 => x"00000000", 19039 => x"00000000", 19040 => x"00000000", 19041 => x"00000000", 19042 => x"00000000", 19043 => x"00000000", 19044 => x"00000000", 19045 => x"00000000", 19046 => x"00000000", 19047 => x"00000000", 19048 => x"00000000", 19049 => x"00000000", 19050 => x"00000000", 19051 => x"00000000", 19052 => x"00000000", 19053 => x"00000000", 19054 => x"00000000", 19055 => x"00000000", 19056 => x"00000000", 19057 => x"00000000", 19058 => x"00000000", 19059 => x"00000000", 19060 => x"00000000", 19061 => x"00000000", 19062 => x"00000000", 19063 => x"00000000", 19064 => x"00000000", 19065 => x"00000000", 19066 => x"00000000", 19067 => x"00000000", 19068 => x"00000000", 19069 => x"00000000", 19070 => x"00000000", 19071 => x"00000000", 19072 => x"00000000", 19073 => x"00000000", 19074 => x"00000000", 19075 => x"00000000", 19076 => x"00000000", 19077 => x"00000000", 19078 => x"00000000", 19079 => x"00000000", 19080 => x"00000000", 19081 => x"00000000", 19082 => x"00000000", 19083 => x"00000000", 19084 => x"00000000", 19085 => x"00000000", 19086 => x"00000000", 19087 => x"00000000", 19088 => x"00000000", 19089 => x"00000000", 19090 => x"00000000", 19091 => x"00000000", 19092 => x"00000000", 19093 => x"00000000", 19094 => x"00000000", 19095 => x"00000000", 19096 => x"00000000", 19097 => x"00000000", 19098 => x"00000000", 19099 => x"00000000", 19100 => x"00000000", 19101 => x"00000000", 19102 => x"00000000", 19103 => x"00000000", 19104 => x"00000000", 19105 => x"00000000", 19106 => x"00000000", 19107 => x"00000000", 19108 => x"00000000", 19109 => x"00000000", 19110 => x"00000000", 19111 => x"00000000", 19112 => x"00000000", 19113 => x"00000000", 19114 => x"00000000", 19115 => x"00000000", 19116 => x"00000000", 19117 => x"00000000", 19118 => x"00000000", 19119 => x"00000000", 19120 => x"00000000", 19121 => x"00000000", 19122 => x"00000000", 19123 => x"00000000", 19124 => x"00000000", 19125 => x"00000000", 19126 => x"00000000", 19127 => x"00000000", 19128 => x"00000000", 19129 => x"00000000", 19130 => x"00000000", 19131 => x"00000000", 19132 => x"00000000", 19133 => x"00000000", 19134 => x"00000000", 19135 => x"00000000", 19136 => x"00000000", 19137 => x"00000000", 19138 => x"00000000", 19139 => x"00000000", 19140 => x"00000000", 19141 => x"00000000", 19142 => x"00000000", 19143 => x"00000000", 19144 => x"00000000", 19145 => x"00000000", 19146 => x"00000000", 19147 => x"00000000", 19148 => x"00000000", 19149 => x"00000000", 19150 => x"00000000", 19151 => x"00000000", 19152 => x"00000000", 19153 => x"00000000", 19154 => x"00000000", 19155 => x"00000000", 19156 => x"00000000", 19157 => x"00000000", 19158 => x"00000000", 19159 => x"00000000", 19160 => x"00000000", 19161 => x"00000000", 19162 => x"00000000", 19163 => x"00000000", 19164 => x"00000000", 19165 => x"00000000", 19166 => x"00000000", 19167 => x"00000000", 19168 => x"00000000", 19169 => x"00000000", 19170 => x"00000000", 19171 => x"00000000", 19172 => x"00000000", 19173 => x"00000000", 19174 => x"00000000", 19175 => x"00000000", 19176 => x"00000000", 19177 => x"00000000", 19178 => x"00000000", 19179 => x"00000000", 19180 => x"00000000", 19181 => x"00000000", 19182 => x"00000000", 19183 => x"00000000", 19184 => x"00000000", 19185 => x"00000000", 19186 => x"00000000", 19187 => x"00000000", 19188 => x"00000000", 19189 => x"00000000", 19190 => x"00000000", 19191 => x"00000000", 19192 => x"00000000", 19193 => x"00000000", 19194 => x"00000000", 19195 => x"00000000", 19196 => x"00000000", 19197 => x"00000000", 19198 => x"00000000", 19199 => x"00000000", 19200 => x"00000000", 19201 => x"00000000", 19202 => x"00000000", 19203 => x"00000000", 19204 => x"00000000", 19205 => x"00000000", 19206 => x"00000000", 19207 => x"00000000", 19208 => x"00000000", 19209 => x"00000000", 19210 => x"00000000", 19211 => x"00000000", 19212 => x"00000000", 19213 => x"00000000", 19214 => x"00000000", 19215 => x"00000000", 19216 => x"00000000", 19217 => x"00000000", 19218 => x"00000000", 19219 => x"00000000", 19220 => x"00000000", 19221 => x"00000000", 19222 => x"00000000", 19223 => x"00000000", 19224 => x"00000000", 19225 => x"00000000", 19226 => x"00000000", 19227 => x"00000000", 19228 => x"00000000", 19229 => x"00000000", 19230 => x"00000000", 19231 => x"00000000", 19232 => x"00000000", 19233 => x"00000000", 19234 => x"00000000", 19235 => x"00000000", 19236 => x"00000000", 19237 => x"00000000", 19238 => x"00000000", 19239 => x"00000000", 19240 => x"00000000", 19241 => x"00000000", 19242 => x"00000000", 19243 => x"00000000", 19244 => x"00000000", 19245 => x"00000000", 19246 => x"00000000", 19247 => x"00000000", 19248 => x"00000000", 19249 => x"00000000", 19250 => x"00000000", 19251 => x"00000000", 19252 => x"00000000", 19253 => x"00000000", 19254 => x"00000000", 19255 => x"00000000", 19256 => x"00000000", 19257 => x"00000000", 19258 => x"00000000", 19259 => x"00000000", 19260 => x"00000000", 19261 => x"00000000", 19262 => x"00000000", 19263 => x"00000000", 19264 => x"00000000", 19265 => x"00000000", 19266 => x"00000000", 19267 => x"00000000", 19268 => x"00000000", 19269 => x"00000000", 19270 => x"00000000", 19271 => x"00000000", 19272 => x"00000000", 19273 => x"00000000", 19274 => x"00000000", 19275 => x"00000000", 19276 => x"00000000", 19277 => x"00000000", 19278 => x"00000000", 19279 => x"00000000", 19280 => x"00000000", 19281 => x"00000000", 19282 => x"00000000", 19283 => x"00000000", 19284 => x"00000000", 19285 => x"00000000", 19286 => x"00000000", 19287 => x"00000000", 19288 => x"00000000", 19289 => x"00000000", 19290 => x"00000000", 19291 => x"00000000", 19292 => x"00000000", 19293 => x"00000000", 19294 => x"00000000", 19295 => x"00000000", 19296 => x"00000000", 19297 => x"00000000", 19298 => x"00000000", 19299 => x"00000000", 19300 => x"00000000", 19301 => x"00000000", 19302 => x"00000000", 19303 => x"00000000", 19304 => x"00000000", 19305 => x"00000000", 19306 => x"00000000", 19307 => x"00000000", 19308 => x"00000000", 19309 => x"00000000", 19310 => x"00000000", 19311 => x"00000000", 19312 => x"00000000", 19313 => x"00000000", 19314 => x"00000000", 19315 => x"00000000", 19316 => x"00000000", 19317 => x"00000000", 19318 => x"00000000", 19319 => x"00000000", 19320 => x"00000000", 19321 => x"00000000", 19322 => x"00000000", 19323 => x"00000000", 19324 => x"00000000", 19325 => x"00000000", 19326 => x"00000000", 19327 => x"00000000", 19328 => x"00000000", 19329 => x"00000000", 19330 => x"00000000", 19331 => x"00000000", 19332 => x"00000000", 19333 => x"00000000", 19334 => x"00000000", 19335 => x"00000000", 19336 => x"00000000", 19337 => x"00000000", 19338 => x"00000000", 19339 => x"00000000", 19340 => x"00000000", 19341 => x"00000000", 19342 => x"00000000", 19343 => x"00000000", 19344 => x"00000000", 19345 => x"00000000", 19346 => x"00000000", 19347 => x"00000000", 19348 => x"00000000", 19349 => x"00000000", 19350 => x"00000000", 19351 => x"00000000", 19352 => x"00000000", 19353 => x"00000000", 19354 => x"00000000", 19355 => x"00000000", 19356 => x"00000000", 19357 => x"00000000", 19358 => x"00000000", 19359 => x"00000000", 19360 => x"00000000", 19361 => x"00000000", 19362 => x"00000000", 19363 => x"00000000", 19364 => x"00000000", 19365 => x"00000000", 19366 => x"00000000", 19367 => x"00000000", 19368 => x"00000000", 19369 => x"00000000", 19370 => x"00000000", 19371 => x"00000000", 19372 => x"00000000", 19373 => x"00000000", 19374 => x"00000000", 19375 => x"00000000", 19376 => x"00000000", 19377 => x"00000000", 19378 => x"00000000", 19379 => x"00000000", 19380 => x"00000000", 19381 => x"00000000", 19382 => x"00000000", 19383 => x"00000000", 19384 => x"00000000", 19385 => x"00000000", 19386 => x"00000000", 19387 => x"00000000", 19388 => x"00000000", 19389 => x"00000000", 19390 => x"00000000", 19391 => x"00000000", 19392 => x"00000000", 19393 => x"00000000", 19394 => x"00000000", 19395 => x"00000000", 19396 => x"00000000", 19397 => x"00000000", 19398 => x"00000000", 19399 => x"00000000", 19400 => x"00000000", 19401 => x"00000000", 19402 => x"00000000", 19403 => x"00000000", 19404 => x"00000000", 19405 => x"00000000", 19406 => x"00000000", 19407 => x"00000000", 19408 => x"00000000", 19409 => x"00000000", 19410 => x"00000000", 19411 => x"00000000", 19412 => x"00000000", 19413 => x"00000000", 19414 => x"00000000", 19415 => x"00000000", 19416 => x"00000000", 19417 => x"00000000", 19418 => x"00000000", 19419 => x"00000000", 19420 => x"00000000", 19421 => x"00000000", 19422 => x"00000000", 19423 => x"00000000", 19424 => x"00000000", 19425 => x"00000000", 19426 => x"00000000", 19427 => x"00000000", 19428 => x"00000000", 19429 => x"00000000", 19430 => x"00000000", 19431 => x"00000000", 19432 => x"00000000", 19433 => x"00000000", 19434 => x"00000000", 19435 => x"00000000", 19436 => x"00000000", 19437 => x"00000000", 19438 => x"00000000", 19439 => x"00000000", 19440 => x"00000000", 19441 => x"00000000", 19442 => x"00000000", 19443 => x"00000000", 19444 => x"00000000", 19445 => x"00000000", 19446 => x"00000000", 19447 => x"00000000", 19448 => x"00000000", 19449 => x"00000000", 19450 => x"00000000", 19451 => x"00000000", 19452 => x"00000000", 19453 => x"00000000", 19454 => x"00000000", 19455 => x"00000000", 19456 => x"00000000", 19457 => x"00000000", 19458 => x"00000000", 19459 => x"00000000", 19460 => x"00000000", 19461 => x"00000000", 19462 => x"00000000", 19463 => x"00000000", 19464 => x"00000000", 19465 => x"00000000", 19466 => x"00000000", 19467 => x"00000000", 19468 => x"00000000", 19469 => x"00000000", 19470 => x"00000000", 19471 => x"00000000", 19472 => x"00000000", 19473 => x"00000000", 19474 => x"00000000", 19475 => x"00000000", 19476 => x"00000000", 19477 => x"00000000", 19478 => x"00000000", 19479 => x"00000000", 19480 => x"00000000", 19481 => x"00000000", 19482 => x"00000000", 19483 => x"00000000", 19484 => x"00000000", 19485 => x"00000000", 19486 => x"00000000", 19487 => x"00000000", 19488 => x"00000000", 19489 => x"00000000", 19490 => x"00000000", 19491 => x"00000000", 19492 => x"00000000", 19493 => x"00000000", 19494 => x"00000000", 19495 => x"00000000", 19496 => x"00000000", 19497 => x"00000000", 19498 => x"00000000", 19499 => x"00000000", 19500 => x"00000000", 19501 => x"00000000", 19502 => x"00000000", 19503 => x"00000000", 19504 => x"00000000", 19505 => x"00000000", 19506 => x"00000000", 19507 => x"00000000", 19508 => x"00000000", 19509 => x"00000000", 19510 => x"00000000", 19511 => x"00000000", 19512 => x"00000000", 19513 => x"00000000", 19514 => x"00000000", 19515 => x"00000000", 19516 => x"00000000", 19517 => x"00000000", 19518 => x"00000000", 19519 => x"00000000", 19520 => x"00000000", 19521 => x"00000000", 19522 => x"00000000", 19523 => x"00000000", 19524 => x"00000000", 19525 => x"00000000", 19526 => x"00000000", 19527 => x"00000000", 19528 => x"00000000", 19529 => x"00000000", 19530 => x"00000000", 19531 => x"00000000", 19532 => x"00000000", 19533 => x"00000000", 19534 => x"00000000", 19535 => x"00000000", 19536 => x"00000000", 19537 => x"00000000", 19538 => x"00000000", 19539 => x"00000000", 19540 => x"00000000", 19541 => x"00000000", 19542 => x"00000000", 19543 => x"00000000", 19544 => x"00000000", 19545 => x"00000000", 19546 => x"00000000", 19547 => x"00000000", 19548 => x"00000000", 19549 => x"00000000", 19550 => x"00000000", 19551 => x"00000000", 19552 => x"00000000", 19553 => x"00000000", 19554 => x"00000000", 19555 => x"00000000", 19556 => x"00000000", 19557 => x"00000000", 19558 => x"00000000", 19559 => x"00000000", 19560 => x"00000000", 19561 => x"00000000", 19562 => x"00000000", 19563 => x"00000000", 19564 => x"00000000", 19565 => x"00000000", 19566 => x"00000000", 19567 => x"00000000", 19568 => x"00000000", 19569 => x"00000000", 19570 => x"00000000", 19571 => x"00000000", 19572 => x"00000000", 19573 => x"00000000", 19574 => x"00000000", 19575 => x"00000000", 19576 => x"00000000", 19577 => x"00000000", 19578 => x"00000000", 19579 => x"00000000", 19580 => x"00000000", 19581 => x"00000000", 19582 => x"00000000", 19583 => x"00000000", 19584 => x"00000000", 19585 => x"00000000", 19586 => x"00000000", 19587 => x"00000000", 19588 => x"00000000", 19589 => x"00000000", 19590 => x"00000000", 19591 => x"00000000", 19592 => x"00000000", 19593 => x"00000000", 19594 => x"00000000", 19595 => x"00000000", 19596 => x"00000000", 19597 => x"00000000", 19598 => x"00000000", 19599 => x"00000000", 19600 => x"00000000", 19601 => x"00000000", 19602 => x"00000000", 19603 => x"00000000", 19604 => x"00000000", 19605 => x"00000000", 19606 => x"00000000", 19607 => x"00000000", 19608 => x"00000000", 19609 => x"00000000", 19610 => x"00000000", 19611 => x"00000000", 19612 => x"00000000", 19613 => x"00000000", 19614 => x"00000000", 19615 => x"00000000", 19616 => x"00000000", 19617 => x"00000000", 19618 => x"00000000", 19619 => x"00000000", 19620 => x"00000000", 19621 => x"00000000", 19622 => x"00000000", 19623 => x"00000000", 19624 => x"00000000", 19625 => x"00000000", 19626 => x"00000000", 19627 => x"00000000", 19628 => x"00000000", 19629 => x"00000000", 19630 => x"00000000", 19631 => x"00000000", 19632 => x"00000000", 19633 => x"00000000", 19634 => x"00000000", 19635 => x"00000000", 19636 => x"00000000", 19637 => x"00000000", 19638 => x"00000000", 19639 => x"00000000", 19640 => x"00000000", 19641 => x"00000000", 19642 => x"00000000", 19643 => x"00000000", 19644 => x"00000000", 19645 => x"00000000", 19646 => x"00000000", 19647 => x"00000000", 19648 => x"00000000", 19649 => x"00000000", 19650 => x"00000000", 19651 => x"00000000", 19652 => x"00000000", 19653 => x"00000000", 19654 => x"00000000", 19655 => x"00000000", 19656 => x"00000000", 19657 => x"00000000", 19658 => x"00000000", 19659 => x"00000000", 19660 => x"00000000", 19661 => x"00000000", 19662 => x"00000000", 19663 => x"00000000", 19664 => x"00000000", 19665 => x"00000000", 19666 => x"00000000", 19667 => x"00000000", 19668 => x"00000000", 19669 => x"00000000", 19670 => x"00000000", 19671 => x"00000000", 19672 => x"00000000", 19673 => x"00000000", 19674 => x"00000000", 19675 => x"00000000", 19676 => x"00000000", 19677 => x"00000000", 19678 => x"00000000", 19679 => x"00000000", 19680 => x"00000000", 19681 => x"00000000", 19682 => x"00000000", 19683 => x"00000000", 19684 => x"00000000", 19685 => x"00000000", 19686 => x"00000000", 19687 => x"00000000", 19688 => x"00000000", 19689 => x"00000000", 19690 => x"00000000", 19691 => x"00000000", 19692 => x"00000000", 19693 => x"00000000", 19694 => x"00000000", 19695 => x"00000000", 19696 => x"00000000", 19697 => x"00000000", 19698 => x"00000000", 19699 => x"00000000", 19700 => x"00000000", 19701 => x"00000000", 19702 => x"00000000", 19703 => x"00000000", 19704 => x"00000000", 19705 => x"00000000", 19706 => x"00000000", 19707 => x"00000000", 19708 => x"00000000", 19709 => x"00000000", 19710 => x"00000000", 19711 => x"00000000", 19712 => x"00000000", 19713 => x"00000000", 19714 => x"00000000", 19715 => x"00000000", 19716 => x"00000000", 19717 => x"00000000", 19718 => x"00000000", 19719 => x"00000000", 19720 => x"00000000", 19721 => x"00000000", 19722 => x"00000000", 19723 => x"00000000", 19724 => x"00000000", 19725 => x"00000000", 19726 => x"00000000", 19727 => x"00000000", 19728 => x"00000000", 19729 => x"00000000", 19730 => x"00000000", 19731 => x"00000000", 19732 => x"00000000", 19733 => x"00000000", 19734 => x"00000000", 19735 => x"00000000", 19736 => x"00000000", 19737 => x"00000000", 19738 => x"00000000", 19739 => x"00000000", 19740 => x"00000000", 19741 => x"00000000", 19742 => x"00000000", 19743 => x"00000000", 19744 => x"00000000", 19745 => x"00000000", 19746 => x"00000000", 19747 => x"00000000", 19748 => x"00000000", 19749 => x"00000000", 19750 => x"00000000", 19751 => x"00000000", 19752 => x"00000000", 19753 => x"00000000", 19754 => x"00000000", 19755 => x"00000000", 19756 => x"00000000", 19757 => x"00000000", 19758 => x"00000000", 19759 => x"00000000", 19760 => x"00000000", 19761 => x"00000000", 19762 => x"00000000", 19763 => x"00000000", 19764 => x"00000000", 19765 => x"00000000", 19766 => x"00000000", 19767 => x"00000000", 19768 => x"00000000", 19769 => x"00000000", 19770 => x"00000000", 19771 => x"00000000", 19772 => x"00000000", 19773 => x"00000000", 19774 => x"00000000", 19775 => x"00000000", 19776 => x"00000000", 19777 => x"00000000", 19778 => x"00000000", 19779 => x"00000000", 19780 => x"00000000", 19781 => x"00000000", 19782 => x"00000000", 19783 => x"00000000", 19784 => x"00000000", 19785 => x"00000000", 19786 => x"00000000", 19787 => x"00000000", 19788 => x"00000000", 19789 => x"00000000", 19790 => x"00000000", 19791 => x"00000000", 19792 => x"00000000", 19793 => x"00000000", 19794 => x"00000000", 19795 => x"00000000", 19796 => x"00000000", 19797 => x"00000000", 19798 => x"00000000", 19799 => x"00000000", 19800 => x"00000000", 19801 => x"00000000", 19802 => x"00000000", 19803 => x"00000000", 19804 => x"00000000", 19805 => x"00000000", 19806 => x"00000000", 19807 => x"00000000", 19808 => x"00000000", 19809 => x"00000000", 19810 => x"00000000", 19811 => x"00000000", 19812 => x"00000000", 19813 => x"00000000", 19814 => x"00000000", 19815 => x"00000000", 19816 => x"00000000", 19817 => x"00000000", 19818 => x"00000000", 19819 => x"00000000", 19820 => x"00000000", 19821 => x"00000000", 19822 => x"00000000", 19823 => x"00000000", 19824 => x"00000000", 19825 => x"00000000", 19826 => x"00000000", 19827 => x"00000000", 19828 => x"00000000", 19829 => x"00000000", 19830 => x"00000000", 19831 => x"00000000", 19832 => x"00000000", 19833 => x"00000000", 19834 => x"00000000", 19835 => x"00000000", 19836 => x"00000000", 19837 => x"00000000", 19838 => x"00000000", 19839 => x"00000000", 19840 => x"00000000", 19841 => x"00000000", 19842 => x"00000000", 19843 => x"00000000", 19844 => x"00000000", 19845 => x"00000000", 19846 => x"00000000", 19847 => x"00000000", 19848 => x"00000000", 19849 => x"00000000", 19850 => x"00000000", 19851 => x"00000000", 19852 => x"00000000", 19853 => x"00000000", 19854 => x"00000000", 19855 => x"00000000", 19856 => x"00000000", 19857 => x"00000000", 19858 => x"00000000", 19859 => x"00000000", 19860 => x"00000000", 19861 => x"00000000", 19862 => x"00000000", 19863 => x"00000000", 19864 => x"00000000", 19865 => x"00000000", 19866 => x"00000000", 19867 => x"00000000", 19868 => x"00000000", 19869 => x"00000000", 19870 => x"00000000", 19871 => x"00000000", 19872 => x"00000000", 19873 => x"00000000", 19874 => x"00000000", 19875 => x"00000000", 19876 => x"00000000", 19877 => x"00000000", 19878 => x"00000000", 19879 => x"00000000", 19880 => x"00000000", 19881 => x"00000000", 19882 => x"00000000", 19883 => x"00000000", 19884 => x"00000000", 19885 => x"00000000", 19886 => x"00000000", 19887 => x"00000000", 19888 => x"00000000", 19889 => x"00000000", 19890 => x"00000000", 19891 => x"00000000", 19892 => x"00000000", 19893 => x"00000000", 19894 => x"00000000", 19895 => x"00000000", 19896 => x"00000000", 19897 => x"00000000", 19898 => x"00000000", 19899 => x"00000000", 19900 => x"00000000", 19901 => x"00000000", 19902 => x"00000000", 19903 => x"00000000", 19904 => x"00000000", 19905 => x"00000000", 19906 => x"00000000", 19907 => x"00000000", 19908 => x"00000000", 19909 => x"00000000", 19910 => x"00000000", 19911 => x"00000000", 19912 => x"00000000", 19913 => x"00000000", 19914 => x"00000000", 19915 => x"00000000", 19916 => x"00000000", 19917 => x"00000000", 19918 => x"00000000", 19919 => x"00000000", 19920 => x"00000000", 19921 => x"00000000", 19922 => x"00000000", 19923 => x"00000000", 19924 => x"00000000", 19925 => x"00000000", 19926 => x"00000000", 19927 => x"00000000", 19928 => x"00000000", 19929 => x"00000000", 19930 => x"00000000", 19931 => x"00000000", 19932 => x"00000000", 19933 => x"00000000", 19934 => x"00000000", 19935 => x"00000000", 19936 => x"00000000", 19937 => x"00000000", 19938 => x"00000000", 19939 => x"00000000", 19940 => x"00000000", 19941 => x"00000000", 19942 => x"00000000", 19943 => x"00000000", 19944 => x"00000000", 19945 => x"00000000", 19946 => x"00000000", 19947 => x"00000000", 19948 => x"00000000", 19949 => x"00000000", 19950 => x"00000000", 19951 => x"00000000", 19952 => x"00000000", 19953 => x"00000000", 19954 => x"00000000", 19955 => x"00000000", 19956 => x"00000000", 19957 => x"00000000", 19958 => x"00000000", 19959 => x"00000000", 19960 => x"00000000", 19961 => x"00000000", 19962 => x"00000000", 19963 => x"00000000", 19964 => x"00000000", 19965 => x"00000000", 19966 => x"00000000", 19967 => x"00000000", 19968 => x"00000000", 19969 => x"00000000", 19970 => x"00000000", 19971 => x"00000000", 19972 => x"00000000", 19973 => x"00000000", 19974 => x"00000000", 19975 => x"00000000", 19976 => x"00000000", 19977 => x"00000000", 19978 => x"00000000", 19979 => x"00000000", 19980 => x"00000000", 19981 => x"00000000", 19982 => x"00000000", 19983 => x"00000000", 19984 => x"00000000", 19985 => x"00000000", 19986 => x"00000000", 19987 => x"00000000", 19988 => x"00000000", 19989 => x"00000000", 19990 => x"00000000", 19991 => x"00000000", 19992 => x"00000000", 19993 => x"00000000", 19994 => x"00000000", 19995 => x"00000000", 19996 => x"00000000", 19997 => x"00000000", 19998 => x"00000000", 19999 => x"00000000", 20000 => x"00000000", 20001 => x"00000000", 20002 => x"00000000", 20003 => x"00000000", 20004 => x"00000000", 20005 => x"00000000", 20006 => x"00000000", 20007 => x"00000000", 20008 => x"00000000", 20009 => x"00000000", 20010 => x"00000000", 20011 => x"00000000", 20012 => x"00000000", 20013 => x"00000000", 20014 => x"00000000", 20015 => x"00000000", 20016 => x"00000000", 20017 => x"00000000", 20018 => x"00000000", 20019 => x"00000000", 20020 => x"00000000", 20021 => x"00000000", 20022 => x"00000000", 20023 => x"00000000", 20024 => x"00000000", 20025 => x"00000000", 20026 => x"00000000", 20027 => x"00000000", 20028 => x"00000000", 20029 => x"00000000", 20030 => x"00000000", 20031 => x"00000000", 20032 => x"00000000", 20033 => x"00000000", 20034 => x"00000000", 20035 => x"00000000", 20036 => x"00000000", 20037 => x"00000000", 20038 => x"00000000", 20039 => x"00000000", 20040 => x"00000000", 20041 => x"00000000", 20042 => x"00000000", 20043 => x"00000000", 20044 => x"00000000", 20045 => x"00000000", 20046 => x"00000000", 20047 => x"00000000", 20048 => x"00000000", 20049 => x"00000000", 20050 => x"00000000", 20051 => x"00000000", 20052 => x"00000000", 20053 => x"00000000", 20054 => x"00000000", 20055 => x"00000000", 20056 => x"00000000", 20057 => x"00000000", 20058 => x"00000000", 20059 => x"00000000", 20060 => x"00000000", 20061 => x"00000000", 20062 => x"00000000", 20063 => x"00000000", 20064 => x"00000000", 20065 => x"00000000", 20066 => x"00000000", 20067 => x"00000000", 20068 => x"00000000", 20069 => x"00000000", 20070 => x"00000000", 20071 => x"00000000", 20072 => x"00000000", 20073 => x"00000000", 20074 => x"00000000", 20075 => x"00000000", 20076 => x"00000000", 20077 => x"00000000", 20078 => x"00000000", 20079 => x"00000000", 20080 => x"00000000", 20081 => x"00000000", 20082 => x"00000000", 20083 => x"00000000", 20084 => x"00000000", 20085 => x"00000000", 20086 => x"00000000", 20087 => x"00000000", 20088 => x"00000000", 20089 => x"00000000", 20090 => x"00000000", 20091 => x"00000000", 20092 => x"00000000", 20093 => x"00000000", 20094 => x"00000000", 20095 => x"00000000", 20096 => x"00000000", 20097 => x"00000000", 20098 => x"00000000", 20099 => x"00000000", 20100 => x"00000000", 20101 => x"00000000", 20102 => x"00000000", 20103 => x"00000000", 20104 => x"00000000", 20105 => x"00000000", 20106 => x"00000000", 20107 => x"00000000", 20108 => x"00000000", 20109 => x"00000000", 20110 => x"00000000", 20111 => x"00000000", 20112 => x"00000000", 20113 => x"00000000", 20114 => x"00000000", 20115 => x"00000000", 20116 => x"00000000", 20117 => x"00000000", 20118 => x"00000000", 20119 => x"00000000", 20120 => x"00000000", 20121 => x"00000000", 20122 => x"00000000", 20123 => x"00000000", 20124 => x"00000000", 20125 => x"00000000", 20126 => x"00000000", 20127 => x"00000000", 20128 => x"00000000", 20129 => x"00000000", 20130 => x"00000000", 20131 => x"00000000", 20132 => x"00000000", 20133 => x"00000000", 20134 => x"00000000", 20135 => x"00000000", 20136 => x"00000000", 20137 => x"00000000", 20138 => x"00000000", 20139 => x"00000000", 20140 => x"00000000", 20141 => x"00000000", 20142 => x"00000000", 20143 => x"00000000", 20144 => x"00000000", 20145 => x"00000000", 20146 => x"00000000", 20147 => x"00000000", 20148 => x"00000000", 20149 => x"00000000", 20150 => x"00000000", 20151 => x"00000000", 20152 => x"00000000", 20153 => x"00000000", 20154 => x"00000000", 20155 => x"00000000", 20156 => x"00000000", 20157 => x"00000000", 20158 => x"00000000", 20159 => x"00000000", 20160 => x"00000000", 20161 => x"00000000", 20162 => x"00000000", 20163 => x"00000000", 20164 => x"00000000", 20165 => x"00000000", 20166 => x"00000000", 20167 => x"00000000", 20168 => x"00000000", 20169 => x"00000000", 20170 => x"00000000", 20171 => x"00000000", 20172 => x"00000000", 20173 => x"00000000", 20174 => x"00000000", 20175 => x"00000000", 20176 => x"00000000", 20177 => x"00000000", 20178 => x"00000000", 20179 => x"00000000", 20180 => x"00000000", 20181 => x"00000000", 20182 => x"00000000", 20183 => x"00000000", 20184 => x"00000000", 20185 => x"00000000", 20186 => x"00000000", 20187 => x"00000000", 20188 => x"00000000", 20189 => x"00000000", 20190 => x"00000000", 20191 => x"00000000", 20192 => x"00000000", 20193 => x"00000000", 20194 => x"00000000", 20195 => x"00000000", 20196 => x"00000000", 20197 => x"00000000", 20198 => x"00000000", 20199 => x"00000000", 20200 => x"00000000", 20201 => x"00000000", 20202 => x"00000000", 20203 => x"00000000", 20204 => x"00000000", 20205 => x"00000000", 20206 => x"00000000", 20207 => x"00000000", 20208 => x"00000000", 20209 => x"00000000", 20210 => x"00000000", 20211 => x"00000000", 20212 => x"00000000", 20213 => x"00000000", 20214 => x"00000000", 20215 => x"00000000", 20216 => x"00000000", 20217 => x"00000000", 20218 => x"00000000", 20219 => x"00000000", 20220 => x"00000000", 20221 => x"00000000", 20222 => x"00000000", 20223 => x"00000000", 20224 => x"00000000", 20225 => x"00000000", 20226 => x"00000000", 20227 => x"00000000", 20228 => x"00000000", 20229 => x"00000000", 20230 => x"00000000", 20231 => x"00000000", 20232 => x"00000000", 20233 => x"00000000", 20234 => x"00000000", 20235 => x"00000000", 20236 => x"00000000", 20237 => x"00000000", 20238 => x"00000000", 20239 => x"00000000", 20240 => x"00000000", 20241 => x"00000000", 20242 => x"00000000", 20243 => x"00000000", 20244 => x"00000000", 20245 => x"00000000", 20246 => x"00000000", 20247 => x"00000000", 20248 => x"00000000", 20249 => x"00000000", 20250 => x"00000000", 20251 => x"00000000", 20252 => x"00000000", 20253 => x"00000000", 20254 => x"00000000", 20255 => x"00000000", 20256 => x"00000000", 20257 => x"00000000", 20258 => x"00000000", 20259 => x"00000000", 20260 => x"00000000", 20261 => x"00000000", 20262 => x"00000000", 20263 => x"00000000", 20264 => x"00000000", 20265 => x"00000000", 20266 => x"00000000", 20267 => x"00000000", 20268 => x"00000000", 20269 => x"00000000", 20270 => x"00000000", 20271 => x"00000000", 20272 => x"00000000", 20273 => x"00000000", 20274 => x"00000000", 20275 => x"00000000", 20276 => x"00000000", 20277 => x"00000000", 20278 => x"00000000", 20279 => x"00000000", 20280 => x"00000000", 20281 => x"00000000", 20282 => x"00000000", 20283 => x"00000000", 20284 => x"00000000", 20285 => x"00000000", 20286 => x"00000000", 20287 => x"00000000", 20288 => x"00000000", 20289 => x"00000000", 20290 => x"00000000", 20291 => x"00000000", 20292 => x"00000000", 20293 => x"00000000", 20294 => x"00000000", 20295 => x"00000000", 20296 => x"00000000", 20297 => x"00000000", 20298 => x"00000000", 20299 => x"00000000", 20300 => x"00000000", 20301 => x"00000000", 20302 => x"00000000", 20303 => x"00000000", 20304 => x"00000000", 20305 => x"00000000", 20306 => x"00000000", 20307 => x"00000000", 20308 => x"00000000", 20309 => x"00000000", 20310 => x"00000000", 20311 => x"00000000", 20312 => x"00000000", 20313 => x"00000000", 20314 => x"00000000", 20315 => x"00000000", 20316 => x"00000000", 20317 => x"00000000", 20318 => x"00000000", 20319 => x"00000000", 20320 => x"00000000", 20321 => x"00000000", 20322 => x"00000000", 20323 => x"00000000", 20324 => x"00000000", 20325 => x"00000000", 20326 => x"00000000", 20327 => x"00000000", 20328 => x"00000000", 20329 => x"00000000", 20330 => x"00000000", 20331 => x"00000000", 20332 => x"00000000", 20333 => x"00000000", 20334 => x"00000000", 20335 => x"00000000", 20336 => x"00000000", 20337 => x"00000000", 20338 => x"00000000", 20339 => x"00000000", 20340 => x"00000000", 20341 => x"00000000", 20342 => x"00000000", 20343 => x"00000000", 20344 => x"00000000", 20345 => x"00000000", 20346 => x"00000000", 20347 => x"00000000", 20348 => x"00000000", 20349 => x"00000000", 20350 => x"00000000", 20351 => x"00000000", 20352 => x"00000000", 20353 => x"00000000", 20354 => x"00000000", 20355 => x"00000000", 20356 => x"00000000", 20357 => x"00000000", 20358 => x"00000000", 20359 => x"00000000", 20360 => x"00000000", 20361 => x"00000000", 20362 => x"00000000", 20363 => x"00000000", 20364 => x"00000000", 20365 => x"00000000", 20366 => x"00000000", 20367 => x"00000000", 20368 => x"00000000", 20369 => x"00000000", 20370 => x"00000000", 20371 => x"00000000", 20372 => x"00000000", 20373 => x"00000000", 20374 => x"00000000", 20375 => x"00000000", 20376 => x"00000000", 20377 => x"00000000", 20378 => x"00000000", 20379 => x"00000000", 20380 => x"00000000", 20381 => x"00000000", 20382 => x"00000000", 20383 => x"00000000", 20384 => x"00000000", 20385 => x"00000000", 20386 => x"00000000", 20387 => x"00000000", 20388 => x"00000000", 20389 => x"00000000", 20390 => x"00000000", 20391 => x"00000000", 20392 => x"00000000", 20393 => x"00000000", 20394 => x"00000000", 20395 => x"00000000", 20396 => x"00000000", 20397 => x"00000000", 20398 => x"00000000", 20399 => x"00000000", 20400 => x"00000000", 20401 => x"00000000", 20402 => x"00000000", 20403 => x"00000000", 20404 => x"00000000", 20405 => x"00000000", 20406 => x"00000000", 20407 => x"00000000", 20408 => x"00000000", 20409 => x"00000000", 20410 => x"00000000", 20411 => x"00000000", 20412 => x"00000000", 20413 => x"00000000", 20414 => x"00000000", 20415 => x"00000000", 20416 => x"00000000", 20417 => x"00000000", 20418 => x"00000000", 20419 => x"00000000", 20420 => x"00000000", 20421 => x"00000000", 20422 => x"00000000", 20423 => x"00000000", 20424 => x"00000000", 20425 => x"00000000", 20426 => x"00000000", 20427 => x"00000000", 20428 => x"00000000", 20429 => x"00000000", 20430 => x"00000000", 20431 => x"00000000", 20432 => x"00000000", 20433 => x"00000000", 20434 => x"00000000", 20435 => x"00000000", 20436 => x"00000000", 20437 => x"00000000", 20438 => x"00000000", 20439 => x"00000000", 20440 => x"00000000", 20441 => x"00000000", 20442 => x"00000000", 20443 => x"00000000", 20444 => x"00000000", 20445 => x"00000000", 20446 => x"00000000", 20447 => x"00000000", 20448 => x"00000000", 20449 => x"00000000", 20450 => x"00000000", 20451 => x"00000000", 20452 => x"00000000", 20453 => x"00000000", 20454 => x"00000000", 20455 => x"00000000", 20456 => x"00000000", 20457 => x"00000000", 20458 => x"00000000", 20459 => x"00000000", 20460 => x"00000000", 20461 => x"00000000", 20462 => x"00000000", 20463 => x"00000000", 20464 => x"00000000", 20465 => x"00000000", 20466 => x"00000000", 20467 => x"00000000", 20468 => x"00000000", 20469 => x"00000000", 20470 => x"00000000", 20471 => x"00000000", 20472 => x"00000000", 20473 => x"00000000", 20474 => x"00000000", 20475 => x"00000000", 20476 => x"00000000", 20477 => x"00000000", 20478 => x"00000000", 20479 => x"00000000", 20480 => x"00000000", 20481 => x"00000000", 20482 => x"00000000", 20483 => x"00000000", 20484 => x"00000000", 20485 => x"00000000", 20486 => x"00000000", 20487 => x"00000000", 20488 => x"00000000", 20489 => x"00000000", 20490 => x"00000000", 20491 => x"00000000", 20492 => x"00000000", 20493 => x"00000000", 20494 => x"00000000", 20495 => x"00000000", 20496 => x"00000000", 20497 => x"00000000", 20498 => x"00000000", 20499 => x"00000000", 20500 => x"00000000", 20501 => x"00000000", 20502 => x"00000000", 20503 => x"00000000", 20504 => x"00000000", 20505 => x"00000000", 20506 => x"00000000", 20507 => x"00000000", 20508 => x"00000000", 20509 => x"00000000", 20510 => x"00000000", 20511 => x"00000000", 20512 => x"00000000", 20513 => x"00000000", 20514 => x"00000000", 20515 => x"00000000", 20516 => x"00000000", 20517 => x"00000000", 20518 => x"00000000", 20519 => x"00000000", 20520 => x"00000000", 20521 => x"00000000", 20522 => x"00000000", 20523 => x"00000000", 20524 => x"00000000", 20525 => x"00000000", 20526 => x"00000000", 20527 => x"00000000", 20528 => x"00000000", 20529 => x"00000000", 20530 => x"00000000", 20531 => x"00000000", 20532 => x"00000000", 20533 => x"00000000", 20534 => x"00000000", 20535 => x"00000000", 20536 => x"00000000", 20537 => x"00000000", 20538 => x"00000000", 20539 => x"00000000", 20540 => x"00000000", 20541 => x"00000000", 20542 => x"00000000", 20543 => x"00000000", 20544 => x"00000000", 20545 => x"00000000", 20546 => x"00000000", 20547 => x"00000000", 20548 => x"00000000", 20549 => x"00000000", 20550 => x"00000000", 20551 => x"00000000", 20552 => x"00000000", 20553 => x"00000000", 20554 => x"00000000", 20555 => x"00000000", 20556 => x"00000000", 20557 => x"00000000", 20558 => x"00000000", 20559 => x"00000000", 20560 => x"00000000", 20561 => x"00000000", 20562 => x"00000000", 20563 => x"00000000", 20564 => x"00000000", 20565 => x"00000000", 20566 => x"00000000", 20567 => x"00000000", 20568 => x"00000000", 20569 => x"00000000", 20570 => x"00000000", 20571 => x"00000000", 20572 => x"00000000", 20573 => x"00000000", 20574 => x"00000000", 20575 => x"00000000", 20576 => x"00000000", 20577 => x"00000000", 20578 => x"00000000", 20579 => x"00000000", 20580 => x"00000000", 20581 => x"00000000", 20582 => x"00000000", 20583 => x"00000000", 20584 => x"00000000", 20585 => x"00000000", 20586 => x"00000000", 20587 => x"00000000", 20588 => x"00000000", 20589 => x"00000000", 20590 => x"00000000", 20591 => x"00000000", 20592 => x"00000000", 20593 => x"00000000", 20594 => x"00000000", 20595 => x"00000000", 20596 => x"00000000", 20597 => x"00000000", 20598 => x"00000000", 20599 => x"00000000", 20600 => x"00000000", 20601 => x"00000000", 20602 => x"00000000", 20603 => x"00000000", 20604 => x"00000000", 20605 => x"00000000", 20606 => x"00000000", 20607 => x"00000000", 20608 => x"00000000", 20609 => x"00000000", 20610 => x"00000000", 20611 => x"00000000", 20612 => x"00000000", 20613 => x"00000000", 20614 => x"00000000", 20615 => x"00000000", 20616 => x"00000000", 20617 => x"00000000", 20618 => x"00000000", 20619 => x"00000000", 20620 => x"00000000", 20621 => x"00000000", 20622 => x"00000000", 20623 => x"00000000", 20624 => x"00000000", 20625 => x"00000000", 20626 => x"00000000", 20627 => x"00000000", 20628 => x"00000000", 20629 => x"00000000", 20630 => x"00000000", 20631 => x"00000000", 20632 => x"00000000", 20633 => x"00000000", 20634 => x"00000000", 20635 => x"00000000", 20636 => x"00000000", 20637 => x"00000000", 20638 => x"00000000", 20639 => x"00000000", 20640 => x"00000000", 20641 => x"00000000", 20642 => x"00000000", 20643 => x"00000000", 20644 => x"00000000", 20645 => x"00000000", 20646 => x"00000000", 20647 => x"00000000", 20648 => x"00000000", 20649 => x"00000000", 20650 => x"00000000", 20651 => x"00000000", 20652 => x"00000000", 20653 => x"00000000", 20654 => x"00000000", 20655 => x"00000000", 20656 => x"00000000", 20657 => x"00000000", 20658 => x"00000000", 20659 => x"00000000", 20660 => x"00000000", 20661 => x"00000000", 20662 => x"00000000", 20663 => x"00000000", 20664 => x"00000000", 20665 => x"00000000", 20666 => x"00000000", 20667 => x"00000000", 20668 => x"00000000", 20669 => x"00000000", 20670 => x"00000000", 20671 => x"00000000", 20672 => x"00000000", 20673 => x"00000000", 20674 => x"00000000", 20675 => x"00000000", 20676 => x"00000000", 20677 => x"00000000", 20678 => x"00000000", 20679 => x"00000000", 20680 => x"00000000", 20681 => x"00000000", 20682 => x"00000000", 20683 => x"00000000", 20684 => x"00000000", 20685 => x"00000000", 20686 => x"00000000", 20687 => x"00000000", 20688 => x"00000000", 20689 => x"00000000", 20690 => x"00000000", 20691 => x"00000000", 20692 => x"00000000", 20693 => x"00000000", 20694 => x"00000000", 20695 => x"00000000", 20696 => x"00000000", 20697 => x"00000000", 20698 => x"00000000", 20699 => x"00000000", 20700 => x"00000000", 20701 => x"00000000", 20702 => x"00000000", 20703 => x"00000000", 20704 => x"00000000", 20705 => x"00000000", 20706 => x"00000000", 20707 => x"00000000", 20708 => x"00000000", 20709 => x"00000000", 20710 => x"00000000", 20711 => x"00000000", 20712 => x"00000000", 20713 => x"00000000", 20714 => x"00000000", 20715 => x"00000000", 20716 => x"00000000", 20717 => x"00000000", 20718 => x"00000000", 20719 => x"00000000", 20720 => x"00000000", 20721 => x"00000000", 20722 => x"00000000", 20723 => x"00000000", 20724 => x"00000000", 20725 => x"00000000", 20726 => x"00000000", 20727 => x"00000000", 20728 => x"00000000", 20729 => x"00000000", 20730 => x"00000000", 20731 => x"00000000", 20732 => x"00000000", 20733 => x"00000000", 20734 => x"00000000", 20735 => x"00000000", 20736 => x"00000000", 20737 => x"00000000", 20738 => x"00000000", 20739 => x"00000000", 20740 => x"00000000", 20741 => x"00000000", 20742 => x"00000000", 20743 => x"00000000", 20744 => x"00000000", 20745 => x"00000000", 20746 => x"00000000", 20747 => x"00000000", 20748 => x"00000000", 20749 => x"00000000", 20750 => x"00000000", 20751 => x"00000000", 20752 => x"00000000", 20753 => x"00000000", 20754 => x"00000000", 20755 => x"00000000", 20756 => x"00000000", 20757 => x"00000000", 20758 => x"00000000", 20759 => x"00000000", 20760 => x"00000000", 20761 => x"00000000", 20762 => x"00000000", 20763 => x"00000000", 20764 => x"00000000", 20765 => x"00000000", 20766 => x"00000000", 20767 => x"00000000", 20768 => x"00000000", 20769 => x"00000000", 20770 => x"00000000", 20771 => x"00000000", 20772 => x"00000000", 20773 => x"00000000", 20774 => x"00000000", 20775 => x"00000000", 20776 => x"00000000", 20777 => x"00000000", 20778 => x"00000000", 20779 => x"00000000", 20780 => x"00000000", 20781 => x"00000000", 20782 => x"00000000", 20783 => x"00000000", 20784 => x"00000000", 20785 => x"00000000", 20786 => x"00000000", 20787 => x"00000000", 20788 => x"00000000", 20789 => x"00000000", 20790 => x"00000000", 20791 => x"00000000", 20792 => x"00000000", 20793 => x"00000000", 20794 => x"00000000", 20795 => x"00000000", 20796 => x"00000000", 20797 => x"00000000", 20798 => x"00000000", 20799 => x"00000000", 20800 => x"00000000", 20801 => x"00000000", 20802 => x"00000000", 20803 => x"00000000", 20804 => x"00000000", 20805 => x"00000000", 20806 => x"00000000", 20807 => x"00000000", 20808 => x"00000000", 20809 => x"00000000", 20810 => x"00000000", 20811 => x"00000000", 20812 => x"00000000", 20813 => x"00000000", 20814 => x"00000000", 20815 => x"00000000", 20816 => x"00000000", 20817 => x"00000000", 20818 => x"00000000", 20819 => x"00000000", 20820 => x"00000000", 20821 => x"00000000", 20822 => x"00000000", 20823 => x"00000000", 20824 => x"00000000", 20825 => x"00000000", 20826 => x"00000000", 20827 => x"00000000", 20828 => x"00000000", 20829 => x"00000000", 20830 => x"00000000", 20831 => x"00000000", 20832 => x"00000000", 20833 => x"00000000", 20834 => x"00000000", 20835 => x"00000000", 20836 => x"00000000", 20837 => x"00000000", 20838 => x"00000000", 20839 => x"00000000", 20840 => x"00000000", 20841 => x"00000000", 20842 => x"00000000", 20843 => x"00000000", 20844 => x"00000000", 20845 => x"00000000", 20846 => x"00000000", 20847 => x"00000000", 20848 => x"00000000", 20849 => x"00000000", 20850 => x"00000000", 20851 => x"00000000", 20852 => x"00000000", 20853 => x"00000000", 20854 => x"00000000", 20855 => x"00000000", 20856 => x"00000000", 20857 => x"00000000", 20858 => x"00000000", 20859 => x"00000000", 20860 => x"00000000", 20861 => x"00000000", 20862 => x"00000000", 20863 => x"00000000", 20864 => x"00000000", 20865 => x"00000000", 20866 => x"00000000", 20867 => x"00000000", 20868 => x"00000000", 20869 => x"00000000", 20870 => x"00000000", 20871 => x"00000000", 20872 => x"00000000", 20873 => x"00000000", 20874 => x"00000000", 20875 => x"00000000", 20876 => x"00000000", 20877 => x"00000000", 20878 => x"00000000", 20879 => x"00000000", 20880 => x"00000000", 20881 => x"00000000", 20882 => x"00000000", 20883 => x"00000000", 20884 => x"00000000", 20885 => x"00000000", 20886 => x"00000000", 20887 => x"00000000", 20888 => x"00000000", 20889 => x"00000000", 20890 => x"00000000", 20891 => x"00000000", 20892 => x"00000000", 20893 => x"00000000", 20894 => x"00000000", 20895 => x"00000000", 20896 => x"00000000", 20897 => x"00000000", 20898 => x"00000000", 20899 => x"00000000", 20900 => x"00000000", 20901 => x"00000000", 20902 => x"00000000", 20903 => x"00000000", 20904 => x"00000000", 20905 => x"00000000", 20906 => x"00000000", 20907 => x"00000000", 20908 => x"00000000", 20909 => x"00000000", 20910 => x"00000000", 20911 => x"00000000", 20912 => x"00000000", 20913 => x"00000000", 20914 => x"00000000", 20915 => x"00000000", 20916 => x"00000000", 20917 => x"00000000", 20918 => x"00000000", 20919 => x"00000000", 20920 => x"00000000", 20921 => x"00000000", 20922 => x"00000000", 20923 => x"00000000", 20924 => x"00000000", 20925 => x"00000000", 20926 => x"00000000", 20927 => x"00000000", 20928 => x"00000000", 20929 => x"00000000", 20930 => x"00000000", 20931 => x"00000000", 20932 => x"00000000", 20933 => x"00000000", 20934 => x"00000000", 20935 => x"00000000", 20936 => x"00000000", 20937 => x"00000000", 20938 => x"00000000", 20939 => x"00000000", 20940 => x"00000000", 20941 => x"00000000", 20942 => x"00000000", 20943 => x"00000000", 20944 => x"00000000", 20945 => x"00000000", 20946 => x"00000000", 20947 => x"00000000", 20948 => x"00000000", 20949 => x"00000000", 20950 => x"00000000", 20951 => x"00000000", 20952 => x"00000000", 20953 => x"00000000", 20954 => x"00000000", 20955 => x"00000000", 20956 => x"00000000", 20957 => x"00000000", 20958 => x"00000000", 20959 => x"00000000", 20960 => x"00000000", 20961 => x"00000000", 20962 => x"00000000", 20963 => x"00000000", 20964 => x"00000000", 20965 => x"00000000", 20966 => x"00000000", 20967 => x"00000000", 20968 => x"00000000", 20969 => x"00000000", 20970 => x"00000000", 20971 => x"00000000", 20972 => x"00000000", 20973 => x"00000000", 20974 => x"00000000", 20975 => x"00000000", 20976 => x"00000000", 20977 => x"00000000", 20978 => x"00000000", 20979 => x"00000000", 20980 => x"00000000", 20981 => x"00000000", 20982 => x"00000000", 20983 => x"00000000", 20984 => x"00000000", 20985 => x"00000000", 20986 => x"00000000", 20987 => x"00000000", 20988 => x"00000000", 20989 => x"00000000", 20990 => x"00000000", 20991 => x"00000000", 20992 => x"00000000", 20993 => x"00000000", 20994 => x"00000000", 20995 => x"00000000", 20996 => x"00000000", 20997 => x"00000000", 20998 => x"00000000", 20999 => x"00000000", 21000 => x"00000000", 21001 => x"00000000", 21002 => x"00000000", 21003 => x"00000000", 21004 => x"00000000", 21005 => x"00000000", 21006 => x"00000000", 21007 => x"00000000", 21008 => x"00000000", 21009 => x"00000000", 21010 => x"00000000", 21011 => x"00000000", 21012 => x"00000000", 21013 => x"00000000", 21014 => x"00000000", 21015 => x"00000000", 21016 => x"00000000", 21017 => x"00000000", 21018 => x"00000000", 21019 => x"00000000", 21020 => x"00000000", 21021 => x"00000000", 21022 => x"00000000", 21023 => x"00000000", 21024 => x"00000000", 21025 => x"00000000", 21026 => x"00000000", 21027 => x"00000000", 21028 => x"00000000", 21029 => x"00000000", 21030 => x"00000000", 21031 => x"00000000", 21032 => x"00000000", 21033 => x"00000000", 21034 => x"00000000", 21035 => x"00000000", 21036 => x"00000000", 21037 => x"00000000", 21038 => x"00000000", 21039 => x"00000000", 21040 => x"00000000", 21041 => x"00000000", 21042 => x"00000000", 21043 => x"00000000", 21044 => x"00000000", 21045 => x"00000000", 21046 => x"00000000", 21047 => x"00000000", 21048 => x"00000000", 21049 => x"00000000", 21050 => x"00000000", 21051 => x"00000000", 21052 => x"00000000", 21053 => x"00000000", 21054 => x"00000000", 21055 => x"00000000", 21056 => x"00000000", 21057 => x"00000000", 21058 => x"00000000", 21059 => x"00000000", 21060 => x"00000000", 21061 => x"00000000", 21062 => x"00000000", 21063 => x"00000000", 21064 => x"00000000", 21065 => x"00000000", 21066 => x"00000000", 21067 => x"00000000", 21068 => x"00000000", 21069 => x"00000000", 21070 => x"00000000", 21071 => x"00000000", 21072 => x"00000000", 21073 => x"00000000", 21074 => x"00000000", 21075 => x"00000000", 21076 => x"00000000", 21077 => x"00000000", 21078 => x"00000000", 21079 => x"00000000", 21080 => x"00000000", 21081 => x"00000000", 21082 => x"00000000", 21083 => x"00000000", 21084 => x"00000000", 21085 => x"00000000", 21086 => x"00000000", 21087 => x"00000000", 21088 => x"00000000", 21089 => x"00000000", 21090 => x"00000000", 21091 => x"00000000", 21092 => x"00000000", 21093 => x"00000000", 21094 => x"00000000", 21095 => x"00000000", 21096 => x"00000000", 21097 => x"00000000", 21098 => x"00000000", 21099 => x"00000000", 21100 => x"00000000", 21101 => x"00000000", 21102 => x"00000000", 21103 => x"00000000", 21104 => x"00000000", 21105 => x"00000000", 21106 => x"00000000", 21107 => x"00000000", 21108 => x"00000000", 21109 => x"00000000", 21110 => x"00000000", 21111 => x"00000000", 21112 => x"00000000", 21113 => x"00000000", 21114 => x"00000000", 21115 => x"00000000", 21116 => x"00000000", 21117 => x"00000000", 21118 => x"00000000", 21119 => x"00000000", 21120 => x"00000000", 21121 => x"00000000", 21122 => x"00000000", 21123 => x"00000000", 21124 => x"00000000", 21125 => x"00000000", 21126 => x"00000000", 21127 => x"00000000", 21128 => x"00000000", 21129 => x"00000000", 21130 => x"00000000", 21131 => x"00000000", 21132 => x"00000000", 21133 => x"00000000", 21134 => x"00000000", 21135 => x"00000000", 21136 => x"00000000", 21137 => x"00000000", 21138 => x"00000000", 21139 => x"00000000", 21140 => x"00000000", 21141 => x"00000000", 21142 => x"00000000", 21143 => x"00000000", 21144 => x"00000000", 21145 => x"00000000", 21146 => x"00000000", 21147 => x"00000000", 21148 => x"00000000", 21149 => x"00000000", 21150 => x"00000000", 21151 => x"00000000", 21152 => x"00000000", 21153 => x"00000000", 21154 => x"00000000", 21155 => x"00000000", 21156 => x"00000000", 21157 => x"00000000", 21158 => x"00000000", 21159 => x"00000000", 21160 => x"00000000", 21161 => x"00000000", 21162 => x"00000000", 21163 => x"00000000", 21164 => x"00000000", 21165 => x"00000000", 21166 => x"00000000", 21167 => x"00000000", 21168 => x"00000000", 21169 => x"00000000", 21170 => x"00000000", 21171 => x"00000000", 21172 => x"00000000", 21173 => x"00000000", 21174 => x"00000000", 21175 => x"00000000", 21176 => x"00000000", 21177 => x"00000000", 21178 => x"00000000", 21179 => x"00000000", 21180 => x"00000000", 21181 => x"00000000", 21182 => x"00000000", 21183 => x"00000000", 21184 => x"00000000", 21185 => x"00000000", 21186 => x"00000000", 21187 => x"00000000", 21188 => x"00000000", 21189 => x"00000000", 21190 => x"00000000", 21191 => x"00000000", 21192 => x"00000000", 21193 => x"00000000", 21194 => x"00000000", 21195 => x"00000000", 21196 => x"00000000", 21197 => x"00000000", 21198 => x"00000000", 21199 => x"00000000", 21200 => x"00000000", 21201 => x"00000000", 21202 => x"00000000", 21203 => x"00000000", 21204 => x"00000000", 21205 => x"00000000", 21206 => x"00000000", 21207 => x"00000000", 21208 => x"00000000", 21209 => x"00000000", 21210 => x"00000000", 21211 => x"00000000", 21212 => x"00000000", 21213 => x"00000000", 21214 => x"00000000", 21215 => x"00000000", 21216 => x"00000000", 21217 => x"00000000", 21218 => x"00000000", 21219 => x"00000000", 21220 => x"00000000", 21221 => x"00000000", 21222 => x"00000000", 21223 => x"00000000", 21224 => x"00000000", 21225 => x"00000000", 21226 => x"00000000", 21227 => x"00000000", 21228 => x"00000000", 21229 => x"00000000", 21230 => x"00000000", 21231 => x"00000000", 21232 => x"00000000", 21233 => x"00000000", 21234 => x"00000000", 21235 => x"00000000", 21236 => x"00000000", 21237 => x"00000000", 21238 => x"00000000", 21239 => x"00000000", 21240 => x"00000000", 21241 => x"00000000", 21242 => x"00000000", 21243 => x"00000000", 21244 => x"00000000", 21245 => x"00000000", 21246 => x"00000000", 21247 => x"00000000", 21248 => x"00000000", 21249 => x"00000000", 21250 => x"00000000", 21251 => x"00000000", 21252 => x"00000000", 21253 => x"00000000", 21254 => x"00000000", 21255 => x"00000000", 21256 => x"00000000", 21257 => x"00000000", 21258 => x"00000000", 21259 => x"00000000", 21260 => x"00000000", 21261 => x"00000000", 21262 => x"00000000", 21263 => x"00000000", 21264 => x"00000000", 21265 => x"00000000", 21266 => x"00000000", 21267 => x"00000000", 21268 => x"00000000", 21269 => x"00000000", 21270 => x"00000000", 21271 => x"00000000", 21272 => x"00000000", 21273 => x"00000000", 21274 => x"00000000", 21275 => x"00000000", 21276 => x"00000000", 21277 => x"00000000", 21278 => x"00000000", 21279 => x"00000000", 21280 => x"00000000", 21281 => x"00000000", 21282 => x"00000000", 21283 => x"00000000", 21284 => x"00000000", 21285 => x"00000000", 21286 => x"00000000", 21287 => x"00000000", 21288 => x"00000000", 21289 => x"00000000", 21290 => x"00000000", 21291 => x"00000000", 21292 => x"00000000", 21293 => x"00000000", 21294 => x"00000000", 21295 => x"00000000", 21296 => x"00000000", 21297 => x"00000000", 21298 => x"00000000", 21299 => x"00000000", 21300 => x"00000000", 21301 => x"00000000", 21302 => x"00000000", 21303 => x"00000000", 21304 => x"00000000", 21305 => x"00000000", 21306 => x"00000000", 21307 => x"00000000", 21308 => x"00000000", 21309 => x"00000000", 21310 => x"00000000", 21311 => x"00000000", 21312 => x"00000000", 21313 => x"00000000", 21314 => x"00000000", 21315 => x"00000000", 21316 => x"00000000", 21317 => x"00000000", 21318 => x"00000000", 21319 => x"00000000", 21320 => x"00000000", 21321 => x"00000000", 21322 => x"00000000", 21323 => x"00000000", 21324 => x"00000000", 21325 => x"00000000", 21326 => x"00000000", 21327 => x"00000000", 21328 => x"00000000", 21329 => x"00000000", 21330 => x"00000000", 21331 => x"00000000", 21332 => x"00000000", 21333 => x"00000000", 21334 => x"00000000", 21335 => x"00000000", 21336 => x"00000000", 21337 => x"00000000", 21338 => x"00000000", 21339 => x"00000000", 21340 => x"00000000", 21341 => x"00000000", 21342 => x"00000000", 21343 => x"00000000", 21344 => x"00000000", 21345 => x"00000000", 21346 => x"00000000", 21347 => x"00000000", 21348 => x"00000000", 21349 => x"00000000", 21350 => x"00000000", 21351 => x"00000000", 21352 => x"00000000", 21353 => x"00000000", 21354 => x"00000000", 21355 => x"00000000", 21356 => x"00000000", 21357 => x"00000000", 21358 => x"00000000", 21359 => x"00000000", 21360 => x"00000000", 21361 => x"00000000", 21362 => x"00000000", 21363 => x"00000000", 21364 => x"00000000", 21365 => x"00000000", 21366 => x"00000000", 21367 => x"00000000", 21368 => x"00000000", 21369 => x"00000000", 21370 => x"00000000", 21371 => x"00000000", 21372 => x"00000000", 21373 => x"00000000", 21374 => x"00000000", 21375 => x"00000000", 21376 => x"00000000", 21377 => x"00000000", 21378 => x"00000000", 21379 => x"00000000", 21380 => x"00000000", 21381 => x"00000000", 21382 => x"00000000", 21383 => x"00000000", 21384 => x"00000000", 21385 => x"00000000", 21386 => x"00000000", 21387 => x"00000000", 21388 => x"00000000", 21389 => x"00000000", 21390 => x"00000000", 21391 => x"00000000", 21392 => x"00000000", 21393 => x"00000000", 21394 => x"00000000", 21395 => x"00000000", 21396 => x"00000000", 21397 => x"00000000", 21398 => x"00000000", 21399 => x"00000000", 21400 => x"00000000", 21401 => x"00000000", 21402 => x"00000000", 21403 => x"00000000", 21404 => x"00000000", 21405 => x"00000000", 21406 => x"00000000", 21407 => x"00000000", 21408 => x"00000000", 21409 => x"00000000", 21410 => x"00000000", 21411 => x"00000000", 21412 => x"00000000", 21413 => x"00000000", 21414 => x"00000000", 21415 => x"00000000", 21416 => x"00000000", 21417 => x"00000000", 21418 => x"00000000", 21419 => x"00000000", 21420 => x"00000000", 21421 => x"00000000", 21422 => x"00000000", 21423 => x"00000000", 21424 => x"00000000", 21425 => x"00000000", 21426 => x"00000000", 21427 => x"00000000", 21428 => x"00000000", 21429 => x"00000000", 21430 => x"00000000", 21431 => x"00000000", 21432 => x"00000000", 21433 => x"00000000", 21434 => x"00000000", 21435 => x"00000000", 21436 => x"00000000", 21437 => x"00000000", 21438 => x"00000000", 21439 => x"00000000", 21440 => x"00000000", 21441 => x"00000000", 21442 => x"00000000", 21443 => x"00000000", 21444 => x"00000000", 21445 => x"00000000", 21446 => x"00000000", 21447 => x"00000000", 21448 => x"00000000", 21449 => x"00000000", 21450 => x"00000000", 21451 => x"00000000", 21452 => x"00000000", 21453 => x"00000000", 21454 => x"00000000", 21455 => x"00000000", 21456 => x"00000000", 21457 => x"00000000", 21458 => x"00000000", 21459 => x"00000000", 21460 => x"00000000", 21461 => x"00000000", 21462 => x"00000000", 21463 => x"00000000", 21464 => x"00000000", 21465 => x"00000000", 21466 => x"00000000", 21467 => x"00000000", 21468 => x"00000000", 21469 => x"00000000", 21470 => x"00000000", 21471 => x"00000000", 21472 => x"00000000", 21473 => x"00000000", 21474 => x"00000000", 21475 => x"00000000", 21476 => x"00000000", 21477 => x"00000000", 21478 => x"00000000", 21479 => x"00000000", 21480 => x"00000000", 21481 => x"00000000", 21482 => x"00000000", 21483 => x"00000000", 21484 => x"00000000", 21485 => x"00000000", 21486 => x"00000000", 21487 => x"00000000", 21488 => x"00000000", 21489 => x"00000000", 21490 => x"00000000", 21491 => x"00000000", 21492 => x"00000000", 21493 => x"00000000", 21494 => x"00000000", 21495 => x"00000000", 21496 => x"00000000", 21497 => x"00000000", 21498 => x"00000000", 21499 => x"00000000", 21500 => x"00000000", 21501 => x"00000000", 21502 => x"00000000", 21503 => x"00000000", 21504 => x"00000000", 21505 => x"00000000", 21506 => x"00000000", 21507 => x"00000000", 21508 => x"00000000", 21509 => x"00000000", 21510 => x"00000000", 21511 => x"00000000", 21512 => x"00000000", 21513 => x"00000000", 21514 => x"00000000", 21515 => x"00000000", 21516 => x"00000000", 21517 => x"00000000", 21518 => x"00000000", 21519 => x"00000000", 21520 => x"00000000", 21521 => x"00000000", 21522 => x"00000000", 21523 => x"00000000", 21524 => x"00000000", 21525 => x"00000000", 21526 => x"00000000", 21527 => x"00000000", 21528 => x"00000000", 21529 => x"00000000", 21530 => x"00000000", 21531 => x"00000000", 21532 => x"00000000", 21533 => x"00000000", 21534 => x"00000000", 21535 => x"00000000", 21536 => x"00000000", 21537 => x"00000000", 21538 => x"00000000", 21539 => x"00000000", 21540 => x"00000000", 21541 => x"00000000", 21542 => x"00000000", 21543 => x"00000000", 21544 => x"00000000", 21545 => x"00000000", 21546 => x"00000000", 21547 => x"00000000", 21548 => x"00000000", 21549 => x"00000000", 21550 => x"00000000", 21551 => x"00000000", 21552 => x"00000000", 21553 => x"00000000", 21554 => x"00000000", 21555 => x"00000000", 21556 => x"00000000", 21557 => x"00000000", 21558 => x"00000000", 21559 => x"00000000", 21560 => x"00000000", 21561 => x"00000000", 21562 => x"00000000", 21563 => x"00000000", 21564 => x"00000000", 21565 => x"00000000", 21566 => x"00000000", 21567 => x"00000000", 21568 => x"00000000", 21569 => x"00000000", 21570 => x"00000000", 21571 => x"00000000", 21572 => x"00000000", 21573 => x"00000000", 21574 => x"00000000", 21575 => x"00000000", 21576 => x"00000000", 21577 => x"00000000", 21578 => x"00000000", 21579 => x"00000000", 21580 => x"00000000", 21581 => x"00000000", 21582 => x"00000000", 21583 => x"00000000", 21584 => x"00000000", 21585 => x"00000000", 21586 => x"00000000", 21587 => x"00000000", 21588 => x"00000000", 21589 => x"00000000", 21590 => x"00000000", 21591 => x"00000000", 21592 => x"00000000", 21593 => x"00000000", 21594 => x"00000000", 21595 => x"00000000", 21596 => x"00000000", 21597 => x"00000000", 21598 => x"00000000", 21599 => x"00000000", 21600 => x"00000000", 21601 => x"00000000", 21602 => x"00000000", 21603 => x"00000000", 21604 => x"00000000", 21605 => x"00000000", 21606 => x"00000000", 21607 => x"00000000", 21608 => x"00000000", 21609 => x"00000000", 21610 => x"00000000", 21611 => x"00000000", 21612 => x"00000000", 21613 => x"00000000", 21614 => x"00000000", 21615 => x"00000000", 21616 => x"00000000", 21617 => x"00000000", 21618 => x"00000000", 21619 => x"00000000", 21620 => x"00000000", 21621 => x"00000000", 21622 => x"00000000", 21623 => x"00000000", 21624 => x"00000000", 21625 => x"00000000", 21626 => x"00000000", 21627 => x"00000000", 21628 => x"00000000", 21629 => x"00000000", 21630 => x"00000000", 21631 => x"00000000", 21632 => x"00000000", 21633 => x"00000000", 21634 => x"00000000", 21635 => x"00000000", 21636 => x"00000000", 21637 => x"00000000", 21638 => x"00000000", 21639 => x"00000000", 21640 => x"00000000", 21641 => x"00000000", 21642 => x"00000000", 21643 => x"00000000", 21644 => x"00000000", 21645 => x"00000000", 21646 => x"00000000", 21647 => x"00000000", 21648 => x"00000000", 21649 => x"00000000", 21650 => x"00000000", 21651 => x"00000000", 21652 => x"00000000", 21653 => x"00000000", 21654 => x"00000000", 21655 => x"00000000", 21656 => x"00000000", 21657 => x"00000000", 21658 => x"00000000", 21659 => x"00000000", 21660 => x"00000000", 21661 => x"00000000", 21662 => x"00000000", 21663 => x"00000000", 21664 => x"00000000", 21665 => x"00000000", 21666 => x"00000000", 21667 => x"00000000", 21668 => x"00000000", 21669 => x"00000000", 21670 => x"00000000", 21671 => x"00000000", 21672 => x"00000000", 21673 => x"00000000", 21674 => x"00000000", 21675 => x"00000000", 21676 => x"00000000", 21677 => x"00000000", 21678 => x"00000000", 21679 => x"00000000", 21680 => x"00000000", 21681 => x"00000000", 21682 => x"00000000", 21683 => x"00000000", 21684 => x"00000000", 21685 => x"00000000", 21686 => x"00000000", 21687 => x"00000000", 21688 => x"00000000", 21689 => x"00000000", 21690 => x"00000000", 21691 => x"00000000", 21692 => x"00000000", 21693 => x"00000000", 21694 => x"00000000", 21695 => x"00000000", 21696 => x"00000000", 21697 => x"00000000", 21698 => x"00000000", 21699 => x"00000000", 21700 => x"00000000", 21701 => x"00000000", 21702 => x"00000000", 21703 => x"00000000", 21704 => x"00000000", 21705 => x"00000000", 21706 => x"00000000", 21707 => x"00000000", 21708 => x"00000000", 21709 => x"00000000", 21710 => x"00000000", 21711 => x"00000000", 21712 => x"00000000", 21713 => x"00000000", 21714 => x"00000000", 21715 => x"00000000", 21716 => x"00000000", 21717 => x"00000000", 21718 => x"00000000", 21719 => x"00000000", 21720 => x"00000000", 21721 => x"00000000", 21722 => x"00000000", 21723 => x"00000000", 21724 => x"00000000", 21725 => x"00000000", 21726 => x"00000000", 21727 => x"00000000", 21728 => x"00000000", 21729 => x"00000000", 21730 => x"00000000", 21731 => x"00000000", 21732 => x"00000000", 21733 => x"00000000", 21734 => x"00000000", 21735 => x"00000000", 21736 => x"00000000", 21737 => x"00000000", 21738 => x"00000000", 21739 => x"00000000", 21740 => x"00000000", 21741 => x"00000000", 21742 => x"00000000", 21743 => x"00000000", 21744 => x"00000000", 21745 => x"00000000", 21746 => x"00000000", 21747 => x"00000000", 21748 => x"00000000", 21749 => x"00000000", 21750 => x"00000000", 21751 => x"00000000", 21752 => x"00000000", 21753 => x"00000000", 21754 => x"00000000", 21755 => x"00000000", 21756 => x"00000000", 21757 => x"00000000", 21758 => x"00000000", 21759 => x"00000000", 21760 => x"00000000", 21761 => x"00000000", 21762 => x"00000000", 21763 => x"00000000", 21764 => x"00000000", 21765 => x"00000000", 21766 => x"00000000", 21767 => x"00000000", 21768 => x"00000000", 21769 => x"00000000", 21770 => x"00000000", 21771 => x"00000000", 21772 => x"00000000", 21773 => x"00000000", 21774 => x"00000000", 21775 => x"00000000", 21776 => x"00000000", 21777 => x"00000000", 21778 => x"00000000", 21779 => x"00000000", 21780 => x"00000000", 21781 => x"00000000", 21782 => x"00000000", 21783 => x"00000000", 21784 => x"00000000", 21785 => x"00000000", 21786 => x"00000000", 21787 => x"00000000", 21788 => x"00000000", 21789 => x"00000000", 21790 => x"00000000", 21791 => x"00000000", 21792 => x"00000000", 21793 => x"00000000", 21794 => x"00000000", 21795 => x"00000000", 21796 => x"00000000", 21797 => x"00000000", 21798 => x"00000000", 21799 => x"00000000", 21800 => x"00000000", 21801 => x"00000000", 21802 => x"00000000", 21803 => x"00000000", 21804 => x"00000000", 21805 => x"00000000", 21806 => x"00000000", 21807 => x"00000000", 21808 => x"00000000", 21809 => x"00000000", 21810 => x"00000000", 21811 => x"00000000", 21812 => x"00000000", 21813 => x"00000000", 21814 => x"00000000", 21815 => x"00000000", 21816 => x"00000000", 21817 => x"00000000", 21818 => x"00000000", 21819 => x"00000000", 21820 => x"00000000", 21821 => x"00000000", 21822 => x"00000000", 21823 => x"00000000", 21824 => x"00000000", 21825 => x"00000000", 21826 => x"00000000", 21827 => x"00000000", 21828 => x"00000000", 21829 => x"00000000", 21830 => x"00000000", 21831 => x"00000000", 21832 => x"00000000", 21833 => x"00000000", 21834 => x"00000000", 21835 => x"00000000", 21836 => x"00000000", 21837 => x"00000000", 21838 => x"00000000", 21839 => x"00000000", 21840 => x"00000000", 21841 => x"00000000", 21842 => x"00000000", 21843 => x"00000000", 21844 => x"00000000", 21845 => x"00000000", 21846 => x"00000000", 21847 => x"00000000", 21848 => x"00000000", 21849 => x"00000000", 21850 => x"00000000", 21851 => x"00000000", 21852 => x"00000000", 21853 => x"00000000", 21854 => x"00000000", 21855 => x"00000000", 21856 => x"00000000", 21857 => x"00000000", 21858 => x"00000000", 21859 => x"00000000", 21860 => x"00000000", 21861 => x"00000000", 21862 => x"00000000", 21863 => x"00000000", 21864 => x"00000000", 21865 => x"00000000", 21866 => x"00000000", 21867 => x"00000000", 21868 => x"00000000", 21869 => x"00000000", 21870 => x"00000000", 21871 => x"00000000", 21872 => x"00000000", 21873 => x"00000000", 21874 => x"00000000", 21875 => x"00000000", 21876 => x"00000000", 21877 => x"00000000", 21878 => x"00000000", 21879 => x"00000000", 21880 => x"00000000", 21881 => x"00000000", 21882 => x"00000000", 21883 => x"00000000", 21884 => x"00000000", 21885 => x"00000000", 21886 => x"00000000", 21887 => x"00000000", 21888 => x"00000000", 21889 => x"00000000", 21890 => x"00000000", 21891 => x"00000000", 21892 => x"00000000", 21893 => x"00000000", 21894 => x"00000000", 21895 => x"00000000", 21896 => x"00000000", 21897 => x"00000000", 21898 => x"00000000", 21899 => x"00000000", 21900 => x"00000000", 21901 => x"00000000", 21902 => x"00000000", 21903 => x"00000000", 21904 => x"00000000", 21905 => x"00000000", 21906 => x"00000000", 21907 => x"00000000", 21908 => x"00000000", 21909 => x"00000000", 21910 => x"00000000", 21911 => x"00000000", 21912 => x"00000000", 21913 => x"00000000", 21914 => x"00000000", 21915 => x"00000000", 21916 => x"00000000", 21917 => x"00000000", 21918 => x"00000000", 21919 => x"00000000", 21920 => x"00000000", 21921 => x"00000000", 21922 => x"00000000", 21923 => x"00000000", 21924 => x"00000000", 21925 => x"00000000", 21926 => x"00000000", 21927 => x"00000000", 21928 => x"00000000", 21929 => x"00000000", 21930 => x"00000000", 21931 => x"00000000", 21932 => x"00000000", 21933 => x"00000000", 21934 => x"00000000", 21935 => x"00000000", 21936 => x"00000000", 21937 => x"00000000", 21938 => x"00000000", 21939 => x"00000000", 21940 => x"00000000", 21941 => x"00000000", 21942 => x"00000000", 21943 => x"00000000", 21944 => x"00000000", 21945 => x"00000000", 21946 => x"00000000", 21947 => x"00000000", 21948 => x"00000000", 21949 => x"00000000", 21950 => x"00000000", 21951 => x"00000000", 21952 => x"00000000", 21953 => x"00000000", 21954 => x"00000000", 21955 => x"00000000", 21956 => x"00000000", 21957 => x"00000000", 21958 => x"00000000", 21959 => x"00000000", 21960 => x"00000000", 21961 => x"00000000", 21962 => x"00000000", 21963 => x"00000000", 21964 => x"00000000", 21965 => x"00000000", 21966 => x"00000000", 21967 => x"00000000", 21968 => x"00000000", 21969 => x"00000000", 21970 => x"00000000", 21971 => x"00000000", 21972 => x"00000000", 21973 => x"00000000", 21974 => x"00000000", 21975 => x"00000000", 21976 => x"00000000", 21977 => x"00000000", 21978 => x"00000000", 21979 => x"00000000", 21980 => x"00000000", 21981 => x"00000000", 21982 => x"00000000", 21983 => x"00000000", 21984 => x"00000000", 21985 => x"00000000", 21986 => x"00000000", 21987 => x"00000000", 21988 => x"00000000", 21989 => x"00000000", 21990 => x"00000000", 21991 => x"00000000", 21992 => x"00000000", 21993 => x"00000000", 21994 => x"00000000", 21995 => x"00000000", 21996 => x"00000000", 21997 => x"00000000", 21998 => x"00000000", 21999 => x"00000000", 22000 => x"00000000", 22001 => x"00000000", 22002 => x"00000000", 22003 => x"00000000", 22004 => x"00000000", 22005 => x"00000000", 22006 => x"00000000", 22007 => x"00000000", 22008 => x"00000000", 22009 => x"00000000", 22010 => x"00000000", 22011 => x"00000000", 22012 => x"00000000", 22013 => x"00000000", 22014 => x"00000000", 22015 => x"00000000", 22016 => x"00000000", 22017 => x"00000000", 22018 => x"00000000", 22019 => x"00000000", 22020 => x"00000000", 22021 => x"00000000", 22022 => x"00000000", 22023 => x"00000000", 22024 => x"00000000", 22025 => x"00000000", 22026 => x"00000000", 22027 => x"00000000", 22028 => x"00000000", 22029 => x"00000000", 22030 => x"00000000", 22031 => x"00000000", 22032 => x"00000000", 22033 => x"00000000", 22034 => x"00000000", 22035 => x"00000000", 22036 => x"00000000", 22037 => x"00000000", 22038 => x"00000000", 22039 => x"00000000", 22040 => x"00000000", 22041 => x"00000000", 22042 => x"00000000", 22043 => x"00000000", 22044 => x"00000000", 22045 => x"00000000", 22046 => x"00000000", 22047 => x"00000000", 22048 => x"00000000", 22049 => x"00000000", 22050 => x"00000000", 22051 => x"00000000", 22052 => x"00000000", 22053 => x"00000000", 22054 => x"00000000", 22055 => x"00000000", 22056 => x"00000000", 22057 => x"00000000", 22058 => x"00000000", 22059 => x"00000000", 22060 => x"00000000", 22061 => x"00000000", 22062 => x"00000000", 22063 => x"00000000", 22064 => x"00000000", 22065 => x"00000000", 22066 => x"00000000", 22067 => x"00000000", 22068 => x"00000000", 22069 => x"00000000", 22070 => x"00000000", 22071 => x"00000000", 22072 => x"00000000", 22073 => x"00000000", 22074 => x"00000000", 22075 => x"00000000", 22076 => x"00000000", 22077 => x"00000000", 22078 => x"00000000", 22079 => x"00000000", 22080 => x"00000000", 22081 => x"00000000", 22082 => x"00000000", 22083 => x"00000000", 22084 => x"00000000", 22085 => x"00000000", 22086 => x"00000000", 22087 => x"00000000", 22088 => x"00000000", 22089 => x"00000000", 22090 => x"00000000", 22091 => x"00000000", 22092 => x"00000000", 22093 => x"00000000", 22094 => x"00000000", 22095 => x"00000000", 22096 => x"00000000", 22097 => x"00000000", 22098 => x"00000000", 22099 => x"00000000", 22100 => x"00000000", 22101 => x"00000000", 22102 => x"00000000", 22103 => x"00000000", 22104 => x"00000000", 22105 => x"00000000", 22106 => x"00000000", 22107 => x"00000000", 22108 => x"00000000", 22109 => x"00000000", 22110 => x"00000000", 22111 => x"00000000", 22112 => x"00000000", 22113 => x"00000000", 22114 => x"00000000", 22115 => x"00000000", 22116 => x"00000000", 22117 => x"00000000", 22118 => x"00000000", 22119 => x"00000000", 22120 => x"00000000", 22121 => x"00000000", 22122 => x"00000000", 22123 => x"00000000", 22124 => x"00000000", 22125 => x"00000000", 22126 => x"00000000", 22127 => x"00000000", 22128 => x"00000000", 22129 => x"00000000", 22130 => x"00000000", 22131 => x"00000000", 22132 => x"00000000", 22133 => x"00000000", 22134 => x"00000000", 22135 => x"00000000", 22136 => x"00000000", 22137 => x"00000000", 22138 => x"00000000", 22139 => x"00000000", 22140 => x"00000000", 22141 => x"00000000", 22142 => x"00000000", 22143 => x"00000000", 22144 => x"00000000", 22145 => x"00000000", 22146 => x"00000000", 22147 => x"00000000", 22148 => x"00000000", 22149 => x"00000000", 22150 => x"00000000", 22151 => x"00000000", 22152 => x"00000000", 22153 => x"00000000", 22154 => x"00000000", 22155 => x"00000000", 22156 => x"00000000", 22157 => x"00000000", 22158 => x"00000000", 22159 => x"00000000", 22160 => x"00000000", 22161 => x"00000000", 22162 => x"00000000", 22163 => x"00000000", 22164 => x"00000000", 22165 => x"00000000", 22166 => x"00000000", 22167 => x"00000000", 22168 => x"00000000", 22169 => x"00000000", 22170 => x"00000000", 22171 => x"00000000", 22172 => x"00000000", 22173 => x"00000000", 22174 => x"00000000", 22175 => x"00000000", 22176 => x"00000000", 22177 => x"00000000", 22178 => x"00000000", 22179 => x"00000000", 22180 => x"00000000", 22181 => x"00000000", 22182 => x"00000000", 22183 => x"00000000", 22184 => x"00000000", 22185 => x"00000000", 22186 => x"00000000", 22187 => x"00000000", 22188 => x"00000000", 22189 => x"00000000", 22190 => x"00000000", 22191 => x"00000000", 22192 => x"00000000", 22193 => x"00000000", 22194 => x"00000000", 22195 => x"00000000", 22196 => x"00000000", 22197 => x"00000000", 22198 => x"00000000", 22199 => x"00000000", 22200 => x"00000000", 22201 => x"00000000", 22202 => x"00000000", 22203 => x"00000000", 22204 => x"00000000", 22205 => x"00000000", 22206 => x"00000000", 22207 => x"00000000", 22208 => x"00000000", 22209 => x"00000000", 22210 => x"00000000", 22211 => x"00000000", 22212 => x"00000000", 22213 => x"00000000", 22214 => x"00000000", 22215 => x"00000000", 22216 => x"00000000", 22217 => x"00000000", 22218 => x"00000000", 22219 => x"00000000", 22220 => x"00000000", 22221 => x"00000000", 22222 => x"00000000", 22223 => x"00000000", 22224 => x"00000000", 22225 => x"00000000", 22226 => x"00000000", 22227 => x"00000000", 22228 => x"00000000", 22229 => x"00000000", 22230 => x"00000000", 22231 => x"00000000", 22232 => x"00000000", 22233 => x"00000000", 22234 => x"00000000", 22235 => x"00000000", 22236 => x"00000000", 22237 => x"00000000", 22238 => x"00000000", 22239 => x"00000000", 22240 => x"00000000", 22241 => x"00000000", 22242 => x"00000000", 22243 => x"00000000", 22244 => x"00000000", 22245 => x"00000000", 22246 => x"00000000", 22247 => x"00000000", 22248 => x"00000000", 22249 => x"00000000", 22250 => x"00000000", 22251 => x"00000000", 22252 => x"00000000", 22253 => x"00000000", 22254 => x"00000000", 22255 => x"00000000", 22256 => x"00000000", 22257 => x"00000000", 22258 => x"00000000", 22259 => x"00000000", 22260 => x"00000000", 22261 => x"00000000", 22262 => x"00000000", 22263 => x"00000000", 22264 => x"00000000", 22265 => x"00000000", 22266 => x"00000000", 22267 => x"00000000", 22268 => x"00000000", 22269 => x"00000000", 22270 => x"00000000", 22271 => x"00000000", 22272 => x"00000000", 22273 => x"00000000", 22274 => x"00000000", 22275 => x"00000000", 22276 => x"00000000", 22277 => x"00000000", 22278 => x"00000000", 22279 => x"00000000", 22280 => x"00000000", 22281 => x"00000000", 22282 => x"00000000", 22283 => x"00000000", 22284 => x"00000000", 22285 => x"00000000", 22286 => x"00000000", 22287 => x"00000000", 22288 => x"00000000", 22289 => x"00000000", 22290 => x"00000000", 22291 => x"00000000", 22292 => x"00000000", 22293 => x"00000000", 22294 => x"00000000", 22295 => x"00000000", 22296 => x"00000000", 22297 => x"00000000", 22298 => x"00000000", 22299 => x"00000000", 22300 => x"00000000", 22301 => x"00000000", 22302 => x"00000000", 22303 => x"00000000", 22304 => x"00000000", 22305 => x"00000000", 22306 => x"00000000", 22307 => x"00000000", 22308 => x"00000000", 22309 => x"00000000", 22310 => x"00000000", 22311 => x"00000000", 22312 => x"00000000", 22313 => x"00000000", 22314 => x"00000000", 22315 => x"00000000", 22316 => x"00000000", 22317 => x"00000000", 22318 => x"00000000", 22319 => x"00000000", 22320 => x"00000000", 22321 => x"00000000", 22322 => x"00000000", 22323 => x"00000000", 22324 => x"00000000", 22325 => x"00000000", 22326 => x"00000000", 22327 => x"00000000", 22328 => x"00000000", 22329 => x"00000000", 22330 => x"00000000", 22331 => x"00000000", 22332 => x"00000000", 22333 => x"00000000", 22334 => x"00000000", 22335 => x"00000000", 22336 => x"00000000", 22337 => x"00000000", 22338 => x"00000000", 22339 => x"00000000", 22340 => x"00000000", 22341 => x"00000000", 22342 => x"00000000", 22343 => x"00000000", 22344 => x"00000000", 22345 => x"00000000", 22346 => x"00000000", 22347 => x"00000000", 22348 => x"00000000", 22349 => x"00000000", 22350 => x"00000000", 22351 => x"00000000", 22352 => x"00000000", 22353 => x"00000000", 22354 => x"00000000", 22355 => x"00000000", 22356 => x"00000000", 22357 => x"00000000", 22358 => x"00000000", 22359 => x"00000000", 22360 => x"00000000", 22361 => x"00000000", 22362 => x"00000000", 22363 => x"00000000", 22364 => x"00000000", 22365 => x"00000000", 22366 => x"00000000", 22367 => x"00000000", 22368 => x"00000000", 22369 => x"00000000", 22370 => x"00000000", 22371 => x"00000000", 22372 => x"00000000", 22373 => x"00000000", 22374 => x"00000000", 22375 => x"00000000", 22376 => x"00000000", 22377 => x"00000000", 22378 => x"00000000", 22379 => x"00000000", 22380 => x"00000000", 22381 => x"00000000", 22382 => x"00000000", 22383 => x"00000000", 22384 => x"00000000", 22385 => x"00000000", 22386 => x"00000000", 22387 => x"00000000", 22388 => x"00000000", 22389 => x"00000000", 22390 => x"00000000", 22391 => x"00000000", 22392 => x"00000000", 22393 => x"00000000", 22394 => x"00000000", 22395 => x"00000000", 22396 => x"00000000", 22397 => x"00000000", 22398 => x"00000000", 22399 => x"00000000", 22400 => x"00000000", 22401 => x"00000000", 22402 => x"00000000", 22403 => x"00000000", 22404 => x"00000000", 22405 => x"00000000", 22406 => x"00000000", 22407 => x"00000000", 22408 => x"00000000", 22409 => x"00000000", 22410 => x"00000000", 22411 => x"00000000", 22412 => x"00000000", 22413 => x"00000000", 22414 => x"00000000", 22415 => x"00000000", 22416 => x"00000000", 22417 => x"00000000", 22418 => x"00000000", 22419 => x"00000000", 22420 => x"00000000", 22421 => x"00000000", 22422 => x"00000000", 22423 => x"00000000", 22424 => x"00000000", 22425 => x"00000000", 22426 => x"00000000", 22427 => x"00000000", 22428 => x"00000000", 22429 => x"00000000", 22430 => x"00000000", 22431 => x"00000000", 22432 => x"00000000", 22433 => x"00000000", 22434 => x"00000000", 22435 => x"00000000", 22436 => x"00000000", 22437 => x"00000000", 22438 => x"00000000", 22439 => x"00000000", 22440 => x"00000000", 22441 => x"00000000", 22442 => x"00000000", 22443 => x"00000000", 22444 => x"00000000", 22445 => x"00000000", 22446 => x"00000000", 22447 => x"00000000", 22448 => x"00000000", 22449 => x"00000000", 22450 => x"00000000", 22451 => x"00000000", 22452 => x"00000000", 22453 => x"00000000", 22454 => x"00000000", 22455 => x"00000000", 22456 => x"00000000", 22457 => x"00000000", 22458 => x"00000000", 22459 => x"00000000", 22460 => x"00000000", 22461 => x"00000000", 22462 => x"00000000", 22463 => x"00000000", 22464 => x"00000000", 22465 => x"00000000", 22466 => x"00000000", 22467 => x"00000000", 22468 => x"00000000", 22469 => x"00000000", 22470 => x"00000000", 22471 => x"00000000", 22472 => x"00000000", 22473 => x"00000000", 22474 => x"00000000", 22475 => x"00000000", 22476 => x"00000000", 22477 => x"00000000", 22478 => x"00000000", 22479 => x"00000000", 22480 => x"00000000", 22481 => x"00000000", 22482 => x"00000000", 22483 => x"00000000", 22484 => x"00000000", 22485 => x"00000000", 22486 => x"00000000", 22487 => x"00000000", 22488 => x"00000000", 22489 => x"00000000", 22490 => x"00000000", 22491 => x"00000000", 22492 => x"00000000", 22493 => x"00000000", 22494 => x"00000000", 22495 => x"00000000", 22496 => x"00000000", 22497 => x"00000000", 22498 => x"00000000", 22499 => x"00000000", 22500 => x"00000000", 22501 => x"00000000", 22502 => x"00000000", 22503 => x"00000000", 22504 => x"00000000", 22505 => x"00000000", 22506 => x"00000000", 22507 => x"00000000", 22508 => x"00000000", 22509 => x"00000000", 22510 => x"00000000", 22511 => x"00000000", 22512 => x"00000000", 22513 => x"00000000", 22514 => x"00000000", 22515 => x"00000000", 22516 => x"00000000", 22517 => x"00000000", 22518 => x"00000000", 22519 => x"00000000", 22520 => x"00000000", 22521 => x"00000000", 22522 => x"00000000", 22523 => x"00000000", 22524 => x"00000000", 22525 => x"00000000", 22526 => x"00000000", 22527 => x"00000000", 22528 => x"00000000", 22529 => x"00000000", 22530 => x"00000000", 22531 => x"00000000", 22532 => x"00000000", 22533 => x"00000000", 22534 => x"00000000", 22535 => x"00000000", 22536 => x"00000000", 22537 => x"00000000", 22538 => x"00000000", 22539 => x"00000000", 22540 => x"00000000", 22541 => x"00000000", 22542 => x"00000000", 22543 => x"00000000", 22544 => x"00000000", 22545 => x"00000000", 22546 => x"00000000", 22547 => x"00000000", 22548 => x"00000000", 22549 => x"00000000", 22550 => x"00000000", 22551 => x"00000000", 22552 => x"00000000", 22553 => x"00000000", 22554 => x"00000000", 22555 => x"00000000", 22556 => x"00000000", 22557 => x"00000000", 22558 => x"00000000", 22559 => x"00000000", 22560 => x"00000000", 22561 => x"00000000", 22562 => x"00000000", 22563 => x"00000000", 22564 => x"00000000", 22565 => x"00000000", 22566 => x"00000000", 22567 => x"00000000", 22568 => x"00000000", 22569 => x"00000000", 22570 => x"00000000", 22571 => x"00000000", 22572 => x"00000000", 22573 => x"00000000", 22574 => x"00000000", 22575 => x"00000000", 22576 => x"00000000", 22577 => x"00000000", 22578 => x"00000000", 22579 => x"00000000", 22580 => x"00000000", 22581 => x"00000000", 22582 => x"00000000", 22583 => x"00000000", 22584 => x"00000000", 22585 => x"00000000", 22586 => x"00000000", 22587 => x"00000000", 22588 => x"00000000", 22589 => x"00000000", 22590 => x"00000000", 22591 => x"00000000", 22592 => x"00000000", 22593 => x"00000000", 22594 => x"00000000", 22595 => x"00000000", 22596 => x"00000000", 22597 => x"00000000", 22598 => x"00000000", 22599 => x"00000000", 22600 => x"00000000", 22601 => x"00000000", 22602 => x"00000000", 22603 => x"00000000", 22604 => x"00000000", 22605 => x"00000000", 22606 => x"00000000", 22607 => x"00000000", 22608 => x"00000000", 22609 => x"00000000", 22610 => x"00000000", 22611 => x"00000000", 22612 => x"00000000", 22613 => x"00000000", 22614 => x"00000000", 22615 => x"00000000", 22616 => x"00000000", 22617 => x"00000000", 22618 => x"00000000", 22619 => x"00000000", 22620 => x"00000000", 22621 => x"00000000", 22622 => x"00000000", 22623 => x"00000000", 22624 => x"00000000", 22625 => x"00000000", 22626 => x"00000000", 22627 => x"00000000", 22628 => x"00000000", 22629 => x"00000000", 22630 => x"00000000", 22631 => x"00000000", 22632 => x"00000000", 22633 => x"00000000", 22634 => x"00000000", 22635 => x"00000000", 22636 => x"00000000", 22637 => x"00000000", 22638 => x"00000000", 22639 => x"00000000", 22640 => x"00000000", 22641 => x"00000000", 22642 => x"00000000", 22643 => x"00000000", 22644 => x"00000000", 22645 => x"00000000", 22646 => x"00000000", 22647 => x"00000000", 22648 => x"00000000", 22649 => x"00000000", 22650 => x"00000000", 22651 => x"00000000", 22652 => x"00000000", 22653 => x"00000000", 22654 => x"00000000", 22655 => x"00000000", 22656 => x"00000000", 22657 => x"00000000", 22658 => x"00000000", 22659 => x"00000000", 22660 => x"00000000", 22661 => x"00000000", 22662 => x"00000000", 22663 => x"00000000", 22664 => x"00000000", 22665 => x"00000000", 22666 => x"00000000", 22667 => x"00000000", 22668 => x"00000000", 22669 => x"00000000", 22670 => x"00000000", 22671 => x"00000000", 22672 => x"00000000", 22673 => x"00000000", 22674 => x"00000000", 22675 => x"00000000", 22676 => x"00000000", 22677 => x"00000000", 22678 => x"00000000", 22679 => x"00000000", 22680 => x"00000000", 22681 => x"00000000", 22682 => x"00000000", 22683 => x"00000000", 22684 => x"00000000", 22685 => x"00000000", 22686 => x"00000000", 22687 => x"00000000", 22688 => x"00000000", 22689 => x"00000000", 22690 => x"00000000", 22691 => x"00000000", 22692 => x"00000000", 22693 => x"00000000", 22694 => x"00000000", 22695 => x"00000000", 22696 => x"00000000", 22697 => x"00000000", 22698 => x"00000000", 22699 => x"00000000", 22700 => x"00000000", 22701 => x"00000000", 22702 => x"00000000", 22703 => x"00000000", 22704 => x"00000000", 22705 => x"00000000", 22706 => x"00000000", 22707 => x"00000000", 22708 => x"00000000", 22709 => x"00000000", 22710 => x"00000000", 22711 => x"00000000", 22712 => x"00000000", 22713 => x"00000000", 22714 => x"00000000", 22715 => x"00000000", 22716 => x"00000000", 22717 => x"00000000", 22718 => x"00000000", 22719 => x"00000000", 22720 => x"00000000", 22721 => x"00000000", 22722 => x"00000000", 22723 => x"00000000", 22724 => x"00000000", 22725 => x"00000000", 22726 => x"00000000", 22727 => x"00000000", 22728 => x"00000000", 22729 => x"00000000", 22730 => x"00000000", 22731 => x"00000000", 22732 => x"00000000", 22733 => x"00000000", 22734 => x"00000000", 22735 => x"00000000", 22736 => x"00000000", 22737 => x"00000000", 22738 => x"00000000", 22739 => x"00000000", 22740 => x"00000000", 22741 => x"00000000", 22742 => x"00000000", 22743 => x"00000000", 22744 => x"00000000", 22745 => x"00000000", 22746 => x"00000000", 22747 => x"00000000", 22748 => x"00000000", 22749 => x"00000000", 22750 => x"00000000", 22751 => x"00000000", 22752 => x"00000000", 22753 => x"00000000", 22754 => x"00000000", 22755 => x"00000000", 22756 => x"00000000", 22757 => x"00000000", 22758 => x"00000000", 22759 => x"00000000", 22760 => x"00000000", 22761 => x"00000000", 22762 => x"00000000", 22763 => x"00000000", 22764 => x"00000000", 22765 => x"00000000", 22766 => x"00000000", 22767 => x"00000000", 22768 => x"00000000", 22769 => x"00000000", 22770 => x"00000000", 22771 => x"00000000", 22772 => x"00000000", 22773 => x"00000000", 22774 => x"00000000", 22775 => x"00000000", 22776 => x"00000000", 22777 => x"00000000", 22778 => x"00000000", 22779 => x"00000000", 22780 => x"00000000", 22781 => x"00000000", 22782 => x"00000000", 22783 => x"00000000", 22784 => x"00000000", 22785 => x"00000000", 22786 => x"00000000", 22787 => x"00000000", 22788 => x"00000000", 22789 => x"00000000", 22790 => x"00000000", 22791 => x"00000000", 22792 => x"00000000", 22793 => x"00000000", 22794 => x"00000000", 22795 => x"00000000", 22796 => x"00000000", 22797 => x"00000000", 22798 => x"00000000", 22799 => x"00000000", 22800 => x"00000000", 22801 => x"00000000", 22802 => x"00000000", 22803 => x"00000000", 22804 => x"00000000", 22805 => x"00000000", 22806 => x"00000000", 22807 => x"00000000", 22808 => x"00000000", 22809 => x"00000000", 22810 => x"00000000", 22811 => x"00000000", 22812 => x"00000000", 22813 => x"00000000", 22814 => x"00000000", 22815 => x"00000000", 22816 => x"00000000", 22817 => x"00000000", 22818 => x"00000000", 22819 => x"00000000", 22820 => x"00000000", 22821 => x"00000000", 22822 => x"00000000", 22823 => x"00000000", 22824 => x"00000000", 22825 => x"00000000", 22826 => x"00000000", 22827 => x"00000000", 22828 => x"00000000", 22829 => x"00000000", 22830 => x"00000000", 22831 => x"00000000", 22832 => x"00000000", 22833 => x"00000000", 22834 => x"00000000", 22835 => x"00000000", 22836 => x"00000000", 22837 => x"00000000", 22838 => x"00000000", 22839 => x"00000000", 22840 => x"00000000", 22841 => x"00000000", 22842 => x"00000000", 22843 => x"00000000", 22844 => x"00000000", 22845 => x"00000000", 22846 => x"00000000", 22847 => x"00000000", 22848 => x"00000000", 22849 => x"00000000", 22850 => x"00000000", 22851 => x"00000000", 22852 => x"00000000", 22853 => x"00000000", 22854 => x"00000000", 22855 => x"00000000", 22856 => x"00000000", 22857 => x"00000000", 22858 => x"00000000", 22859 => x"00000000", 22860 => x"00000000", 22861 => x"00000000", 22862 => x"00000000", 22863 => x"00000000", 22864 => x"00000000", 22865 => x"00000000", 22866 => x"00000000", 22867 => x"00000000", 22868 => x"00000000", 22869 => x"00000000", 22870 => x"00000000", 22871 => x"00000000", 22872 => x"00000000", 22873 => x"00000000", 22874 => x"00000000", 22875 => x"00000000", 22876 => x"00000000", 22877 => x"00000000", 22878 => x"00000000", 22879 => x"00000000", 22880 => x"00000000", 22881 => x"00000000", 22882 => x"00000000", 22883 => x"00000000", 22884 => x"00000000", 22885 => x"00000000", 22886 => x"00000000", 22887 => x"00000000", 22888 => x"00000000", 22889 => x"00000000", 22890 => x"00000000", 22891 => x"00000000", 22892 => x"00000000", 22893 => x"00000000", 22894 => x"00000000", 22895 => x"00000000", 22896 => x"00000000", 22897 => x"00000000", 22898 => x"00000000", 22899 => x"00000000", 22900 => x"00000000", 22901 => x"00000000", 22902 => x"00000000", 22903 => x"00000000", 22904 => x"00000000", 22905 => x"00000000", 22906 => x"00000000", 22907 => x"00000000", 22908 => x"00000000", 22909 => x"00000000", 22910 => x"00000000", 22911 => x"00000000", 22912 => x"00000000", 22913 => x"00000000", 22914 => x"00000000", 22915 => x"00000000", 22916 => x"00000000", 22917 => x"00000000", 22918 => x"00000000", 22919 => x"00000000", 22920 => x"00000000", 22921 => x"00000000", 22922 => x"00000000", 22923 => x"00000000", 22924 => x"00000000", 22925 => x"00000000", 22926 => x"00000000", 22927 => x"00000000", 22928 => x"00000000", 22929 => x"00000000", 22930 => x"00000000", 22931 => x"00000000", 22932 => x"00000000", 22933 => x"00000000", 22934 => x"00000000", 22935 => x"00000000", 22936 => x"00000000", 22937 => x"00000000", 22938 => x"00000000", 22939 => x"00000000", 22940 => x"00000000", 22941 => x"00000000", 22942 => x"00000000", 22943 => x"00000000", 22944 => x"00000000", 22945 => x"00000000", 22946 => x"00000000", 22947 => x"00000000", 22948 => x"00000000", 22949 => x"00000000", 22950 => x"00000000", 22951 => x"00000000", 22952 => x"00000000", 22953 => x"00000000", 22954 => x"00000000", 22955 => x"00000000", 22956 => x"00000000", 22957 => x"00000000", 22958 => x"00000000", 22959 => x"00000000", 22960 => x"00000000", 22961 => x"00000000", 22962 => x"00000000", 22963 => x"00000000", 22964 => x"00000000", 22965 => x"00000000", 22966 => x"00000000", 22967 => x"00000000", 22968 => x"00000000", 22969 => x"00000000", 22970 => x"00000000", 22971 => x"00000000", 22972 => x"00000000", 22973 => x"00000000", 22974 => x"00000000", 22975 => x"00000000", 22976 => x"00000000", 22977 => x"00000000", 22978 => x"00000000", 22979 => x"00000000", 22980 => x"00000000", 22981 => x"00000000", 22982 => x"00000000", 22983 => x"00000000", 22984 => x"00000000", 22985 => x"00000000", 22986 => x"00000000", 22987 => x"00000000", 22988 => x"00000000", 22989 => x"00000000", 22990 => x"00000000", 22991 => x"00000000", 22992 => x"00000000", 22993 => x"00000000", 22994 => x"00000000", 22995 => x"00000000", 22996 => x"00000000", 22997 => x"00000000", 22998 => x"00000000", 22999 => x"00000000", 23000 => x"00000000", 23001 => x"00000000", 23002 => x"00000000", 23003 => x"00000000", 23004 => x"00000000", 23005 => x"00000000", 23006 => x"00000000", 23007 => x"00000000", 23008 => x"00000000", 23009 => x"00000000", 23010 => x"00000000", 23011 => x"00000000", 23012 => x"00000000", 23013 => x"00000000", 23014 => x"00000000", 23015 => x"00000000", 23016 => x"00000000", 23017 => x"00000000", 23018 => x"00000000", 23019 => x"00000000", 23020 => x"00000000", 23021 => x"00000000", 23022 => x"00000000", 23023 => x"00000000", 23024 => x"00000000", 23025 => x"00000000", 23026 => x"00000000", 23027 => x"00000000", 23028 => x"00000000", 23029 => x"00000000", 23030 => x"00000000", 23031 => x"00000000", 23032 => x"00000000", 23033 => x"00000000", 23034 => x"00000000", 23035 => x"00000000", 23036 => x"00000000", 23037 => x"00000000", 23038 => x"00000000", 23039 => x"00000000", 23040 => x"00000000", 23041 => x"00000000", 23042 => x"00000000", 23043 => x"00000000", 23044 => x"00000000", 23045 => x"00000000", 23046 => x"00000000", 23047 => x"00000000", 23048 => x"00000000", 23049 => x"00000000", 23050 => x"00000000", 23051 => x"00000000", 23052 => x"00000000", 23053 => x"00000000", 23054 => x"00000000", 23055 => x"00000000", 23056 => x"00000000", 23057 => x"00000000", 23058 => x"00000000", 23059 => x"00000000", 23060 => x"00000000", 23061 => x"00000000", 23062 => x"00000000", 23063 => x"00000000", 23064 => x"00000000", 23065 => x"00000000", 23066 => x"00000000", 23067 => x"00000000", 23068 => x"00000000", 23069 => x"00000000", 23070 => x"00000000", 23071 => x"00000000", 23072 => x"00000000", 23073 => x"00000000", 23074 => x"00000000", 23075 => x"00000000", 23076 => x"00000000", 23077 => x"00000000", 23078 => x"00000000", 23079 => x"00000000", 23080 => x"00000000", 23081 => x"00000000", 23082 => x"00000000", 23083 => x"00000000", 23084 => x"00000000", 23085 => x"00000000", 23086 => x"00000000", 23087 => x"00000000", 23088 => x"00000000", 23089 => x"00000000", 23090 => x"00000000", 23091 => x"00000000", 23092 => x"00000000", 23093 => x"00000000", 23094 => x"00000000", 23095 => x"00000000", 23096 => x"00000000", 23097 => x"00000000", 23098 => x"00000000", 23099 => x"00000000", 23100 => x"00000000", 23101 => x"00000000", 23102 => x"00000000", 23103 => x"00000000", 23104 => x"00000000", 23105 => x"00000000", 23106 => x"00000000", 23107 => x"00000000", 23108 => x"00000000", 23109 => x"00000000", 23110 => x"00000000", 23111 => x"00000000", 23112 => x"00000000", 23113 => x"00000000", 23114 => x"00000000", 23115 => x"00000000", 23116 => x"00000000", 23117 => x"00000000", 23118 => x"00000000", 23119 => x"00000000", 23120 => x"00000000", 23121 => x"00000000", 23122 => x"00000000", 23123 => x"00000000", 23124 => x"00000000", 23125 => x"00000000", 23126 => x"00000000", 23127 => x"00000000", 23128 => x"00000000", 23129 => x"00000000", 23130 => x"00000000", 23131 => x"00000000", 23132 => x"00000000", 23133 => x"00000000", 23134 => x"00000000", 23135 => x"00000000", 23136 => x"00000000", 23137 => x"00000000", 23138 => x"00000000", 23139 => x"00000000", 23140 => x"00000000", 23141 => x"00000000", 23142 => x"00000000", 23143 => x"00000000", 23144 => x"00000000", 23145 => x"00000000", 23146 => x"00000000", 23147 => x"00000000", 23148 => x"00000000", 23149 => x"00000000", 23150 => x"00000000", 23151 => x"00000000", 23152 => x"00000000", 23153 => x"00000000", 23154 => x"00000000", 23155 => x"00000000", 23156 => x"00000000", 23157 => x"00000000", 23158 => x"00000000", 23159 => x"00000000", 23160 => x"00000000", 23161 => x"00000000", 23162 => x"00000000", 23163 => x"00000000", 23164 => x"00000000", 23165 => x"00000000", 23166 => x"00000000", 23167 => x"00000000", 23168 => x"00000000", 23169 => x"00000000", 23170 => x"00000000", 23171 => x"00000000", 23172 => x"00000000", 23173 => x"00000000", 23174 => x"00000000", 23175 => x"00000000", 23176 => x"00000000", 23177 => x"00000000", 23178 => x"00000000", 23179 => x"00000000", 23180 => x"00000000", 23181 => x"00000000", 23182 => x"00000000", 23183 => x"00000000", 23184 => x"00000000", 23185 => x"00000000", 23186 => x"00000000", 23187 => x"00000000", 23188 => x"00000000", 23189 => x"00000000", 23190 => x"00000000", 23191 => x"00000000", 23192 => x"00000000", 23193 => x"00000000", 23194 => x"00000000", 23195 => x"00000000", 23196 => x"00000000", 23197 => x"00000000", 23198 => x"00000000", 23199 => x"00000000", 23200 => x"00000000", 23201 => x"00000000", 23202 => x"00000000", 23203 => x"00000000", 23204 => x"00000000", 23205 => x"00000000", 23206 => x"00000000", 23207 => x"00000000", 23208 => x"00000000", 23209 => x"00000000", 23210 => x"00000000", 23211 => x"00000000", 23212 => x"00000000", 23213 => x"00000000", 23214 => x"00000000", 23215 => x"00000000", 23216 => x"00000000", 23217 => x"00000000", 23218 => x"00000000", 23219 => x"00000000", 23220 => x"00000000", 23221 => x"00000000", 23222 => x"00000000", 23223 => x"00000000", 23224 => x"00000000", 23225 => x"00000000", 23226 => x"00000000", 23227 => x"00000000", 23228 => x"00000000", 23229 => x"00000000", 23230 => x"00000000", 23231 => x"00000000", 23232 => x"00000000", 23233 => x"00000000", 23234 => x"00000000", 23235 => x"00000000", 23236 => x"00000000", 23237 => x"00000000", 23238 => x"00000000", 23239 => x"00000000", 23240 => x"00000000", 23241 => x"00000000", 23242 => x"00000000", 23243 => x"00000000", 23244 => x"00000000", 23245 => x"00000000", 23246 => x"00000000", 23247 => x"00000000", 23248 => x"00000000", 23249 => x"00000000", 23250 => x"00000000", 23251 => x"00000000", 23252 => x"00000000", 23253 => x"00000000", 23254 => x"00000000", 23255 => x"00000000", 23256 => x"00000000", 23257 => x"00000000", 23258 => x"00000000", 23259 => x"00000000", 23260 => x"00000000", 23261 => x"00000000", 23262 => x"00000000", 23263 => x"00000000", 23264 => x"00000000", 23265 => x"00000000", 23266 => x"00000000", 23267 => x"00000000", 23268 => x"00000000", 23269 => x"00000000", 23270 => x"00000000", 23271 => x"00000000", 23272 => x"00000000", 23273 => x"00000000", 23274 => x"00000000", 23275 => x"00000000", 23276 => x"00000000", 23277 => x"00000000", 23278 => x"00000000", 23279 => x"00000000", 23280 => x"00000000", 23281 => x"00000000", 23282 => x"00000000", 23283 => x"00000000", 23284 => x"00000000", 23285 => x"00000000", 23286 => x"00000000", 23287 => x"00000000", 23288 => x"00000000", 23289 => x"00000000", 23290 => x"00000000", 23291 => x"00000000", 23292 => x"00000000", 23293 => x"00000000", 23294 => x"00000000", 23295 => x"00000000", 23296 => x"00000000", 23297 => x"00000000", 23298 => x"00000000", 23299 => x"00000000", 23300 => x"00000000", 23301 => x"00000000", 23302 => x"00000000", 23303 => x"00000000", 23304 => x"00000000", 23305 => x"00000000", 23306 => x"00000000", 23307 => x"00000000", 23308 => x"00000000", 23309 => x"00000000", 23310 => x"00000000", 23311 => x"00000000", 23312 => x"00000000", 23313 => x"00000000", 23314 => x"00000000", 23315 => x"00000000", 23316 => x"00000000", 23317 => x"00000000", 23318 => x"00000000", 23319 => x"00000000", 23320 => x"00000000", 23321 => x"00000000", 23322 => x"00000000", 23323 => x"00000000", 23324 => x"00000000", 23325 => x"00000000", 23326 => x"00000000", 23327 => x"00000000", 23328 => x"00000000", 23329 => x"00000000", 23330 => x"00000000", 23331 => x"00000000", 23332 => x"00000000", 23333 => x"00000000", 23334 => x"00000000", 23335 => x"00000000", 23336 => x"00000000", 23337 => x"00000000", 23338 => x"00000000", 23339 => x"00000000", 23340 => x"00000000", 23341 => x"00000000", 23342 => x"00000000", 23343 => x"00000000", 23344 => x"00000000", 23345 => x"00000000", 23346 => x"00000000", 23347 => x"00000000", 23348 => x"00000000", 23349 => x"00000000", 23350 => x"00000000", 23351 => x"00000000", 23352 => x"00000000", 23353 => x"00000000", 23354 => x"00000000", 23355 => x"00000000", 23356 => x"00000000", 23357 => x"00000000", 23358 => x"00000000", 23359 => x"00000000", 23360 => x"00000000", 23361 => x"00000000", 23362 => x"00000000", 23363 => x"00000000", 23364 => x"00000000", 23365 => x"00000000", 23366 => x"00000000", 23367 => x"00000000", 23368 => x"00000000", 23369 => x"00000000", 23370 => x"00000000", 23371 => x"00000000", 23372 => x"00000000", 23373 => x"00000000", 23374 => x"00000000", 23375 => x"00000000", 23376 => x"00000000", 23377 => x"00000000", 23378 => x"00000000", 23379 => x"00000000", 23380 => x"00000000", 23381 => x"00000000", 23382 => x"00000000", 23383 => x"00000000", 23384 => x"00000000", 23385 => x"00000000", 23386 => x"00000000", 23387 => x"00000000", 23388 => x"00000000", 23389 => x"00000000", 23390 => x"00000000", 23391 => x"00000000", 23392 => x"00000000", 23393 => x"00000000", 23394 => x"00000000", 23395 => x"00000000", 23396 => x"00000000", 23397 => x"00000000", 23398 => x"00000000", 23399 => x"00000000", 23400 => x"00000000", 23401 => x"00000000", 23402 => x"00000000", 23403 => x"00000000", 23404 => x"00000000", 23405 => x"00000000", 23406 => x"00000000", 23407 => x"00000000", 23408 => x"00000000", 23409 => x"00000000", 23410 => x"00000000", 23411 => x"00000000", 23412 => x"00000000", 23413 => x"00000000", 23414 => x"00000000", 23415 => x"00000000", 23416 => x"00000000", 23417 => x"00000000", 23418 => x"00000000", 23419 => x"00000000", 23420 => x"00000000", 23421 => x"00000000", 23422 => x"00000000", 23423 => x"00000000", 23424 => x"00000000", 23425 => x"00000000", 23426 => x"00000000", 23427 => x"00000000", 23428 => x"00000000", 23429 => x"00000000", 23430 => x"00000000", 23431 => x"00000000", 23432 => x"00000000", 23433 => x"00000000", 23434 => x"00000000", 23435 => x"00000000", 23436 => x"00000000", 23437 => x"00000000", 23438 => x"00000000", 23439 => x"00000000", 23440 => x"00000000", 23441 => x"00000000", 23442 => x"00000000", 23443 => x"00000000", 23444 => x"00000000", 23445 => x"00000000", 23446 => x"00000000", 23447 => x"00000000", 23448 => x"00000000", 23449 => x"00000000", 23450 => x"00000000", 23451 => x"00000000", 23452 => x"00000000", 23453 => x"00000000", 23454 => x"00000000", 23455 => x"00000000", 23456 => x"00000000", 23457 => x"00000000", 23458 => x"00000000", 23459 => x"00000000", 23460 => x"00000000", 23461 => x"00000000", 23462 => x"00000000", 23463 => x"00000000", 23464 => x"00000000", 23465 => x"00000000", 23466 => x"00000000", 23467 => x"00000000", 23468 => x"00000000", 23469 => x"00000000", 23470 => x"00000000", 23471 => x"00000000", 23472 => x"00000000", 23473 => x"00000000", 23474 => x"00000000", 23475 => x"00000000", 23476 => x"00000000", 23477 => x"00000000", 23478 => x"00000000", 23479 => x"00000000", 23480 => x"00000000", 23481 => x"00000000", 23482 => x"00000000", 23483 => x"00000000", 23484 => x"00000000", 23485 => x"00000000", 23486 => x"00000000", 23487 => x"00000000", 23488 => x"00000000", 23489 => x"00000000", 23490 => x"00000000", 23491 => x"00000000", 23492 => x"00000000", 23493 => x"00000000", 23494 => x"00000000", 23495 => x"00000000", 23496 => x"00000000", 23497 => x"00000000", 23498 => x"00000000", 23499 => x"00000000", 23500 => x"00000000", 23501 => x"00000000", 23502 => x"00000000", 23503 => x"00000000", 23504 => x"00000000", 23505 => x"00000000", 23506 => x"00000000", 23507 => x"00000000", 23508 => x"00000000", 23509 => x"00000000", 23510 => x"00000000", 23511 => x"00000000", 23512 => x"00000000", 23513 => x"00000000", 23514 => x"00000000", 23515 => x"00000000", 23516 => x"00000000", 23517 => x"00000000", 23518 => x"00000000", 23519 => x"00000000", 23520 => x"00000000", 23521 => x"00000000", 23522 => x"00000000", 23523 => x"00000000", 23524 => x"00000000", 23525 => x"00000000", 23526 => x"00000000", 23527 => x"00000000", 23528 => x"00000000", 23529 => x"00000000", 23530 => x"00000000", 23531 => x"00000000", 23532 => x"00000000", 23533 => x"00000000", 23534 => x"00000000", 23535 => x"00000000", 23536 => x"00000000", 23537 => x"00000000", 23538 => x"00000000", 23539 => x"00000000", 23540 => x"00000000", 23541 => x"00000000", 23542 => x"00000000", 23543 => x"00000000", 23544 => x"00000000", 23545 => x"00000000", 23546 => x"00000000", 23547 => x"00000000", 23548 => x"00000000", 23549 => x"00000000", 23550 => x"00000000", 23551 => x"00000000", 23552 => x"00000000", 23553 => x"00000000", 23554 => x"00000000", 23555 => x"00000000", 23556 => x"00000000", 23557 => x"00000000", 23558 => x"00000000", 23559 => x"00000000", 23560 => x"00000000", 23561 => x"00000000", 23562 => x"00000000", 23563 => x"00000000", 23564 => x"00000000", 23565 => x"00000000", 23566 => x"00000000", 23567 => x"00000000", 23568 => x"00000000", 23569 => x"00000000", 23570 => x"00000000", 23571 => x"00000000", 23572 => x"00000000", 23573 => x"00000000", 23574 => x"00000000", 23575 => x"00000000", 23576 => x"00000000", 23577 => x"00000000", 23578 => x"00000000", 23579 => x"00000000", 23580 => x"00000000", 23581 => x"00000000", 23582 => x"00000000", 23583 => x"00000000", 23584 => x"00000000", 23585 => x"00000000", 23586 => x"00000000", 23587 => x"00000000", 23588 => x"00000000", 23589 => x"00000000", 23590 => x"00000000", 23591 => x"00000000", 23592 => x"00000000", 23593 => x"00000000", 23594 => x"00000000", 23595 => x"00000000", 23596 => x"00000000", 23597 => x"00000000", 23598 => x"00000000", 23599 => x"00000000", 23600 => x"00000000", 23601 => x"00000000", 23602 => x"00000000", 23603 => x"00000000", 23604 => x"00000000", 23605 => x"00000000", 23606 => x"00000000", 23607 => x"00000000", 23608 => x"00000000", 23609 => x"00000000", 23610 => x"00000000", 23611 => x"00000000", 23612 => x"00000000", 23613 => x"00000000", 23614 => x"00000000", 23615 => x"00000000", 23616 => x"00000000", 23617 => x"00000000", 23618 => x"00000000", 23619 => x"00000000", 23620 => x"00000000", 23621 => x"00000000", 23622 => x"00000000", 23623 => x"00000000", 23624 => x"00000000", 23625 => x"00000000", 23626 => x"00000000", 23627 => x"00000000", 23628 => x"00000000", 23629 => x"00000000", 23630 => x"00000000", 23631 => x"00000000", 23632 => x"00000000", 23633 => x"00000000", 23634 => x"00000000", 23635 => x"00000000", 23636 => x"00000000", 23637 => x"00000000", 23638 => x"00000000", 23639 => x"00000000", 23640 => x"00000000", 23641 => x"00000000", 23642 => x"00000000", 23643 => x"00000000", 23644 => x"00000000", 23645 => x"00000000", 23646 => x"00000000", 23647 => x"00000000", 23648 => x"00000000", 23649 => x"00000000", 23650 => x"00000000", 23651 => x"00000000", 23652 => x"00000000", 23653 => x"00000000", 23654 => x"00000000", 23655 => x"00000000", 23656 => x"00000000", 23657 => x"00000000", 23658 => x"00000000", 23659 => x"00000000", 23660 => x"00000000", 23661 => x"00000000", 23662 => x"00000000", 23663 => x"00000000", 23664 => x"00000000", 23665 => x"00000000", 23666 => x"00000000", 23667 => x"00000000", 23668 => x"00000000", 23669 => x"00000000", 23670 => x"00000000", 23671 => x"00000000", 23672 => x"00000000", 23673 => x"00000000", 23674 => x"00000000", 23675 => x"00000000", 23676 => x"00000000", 23677 => x"00000000", 23678 => x"00000000", 23679 => x"00000000", 23680 => x"00000000", 23681 => x"00000000", 23682 => x"00000000", 23683 => x"00000000", 23684 => x"00000000", 23685 => x"00000000", 23686 => x"00000000", 23687 => x"00000000", 23688 => x"00000000", 23689 => x"00000000", 23690 => x"00000000", 23691 => x"00000000", 23692 => x"00000000", 23693 => x"00000000", 23694 => x"00000000", 23695 => x"00000000", 23696 => x"00000000", 23697 => x"00000000", 23698 => x"00000000", 23699 => x"00000000", 23700 => x"00000000", 23701 => x"00000000", 23702 => x"00000000", 23703 => x"00000000", 23704 => x"00000000", 23705 => x"00000000", 23706 => x"00000000", 23707 => x"00000000", 23708 => x"00000000", 23709 => x"00000000", 23710 => x"00000000", 23711 => x"00000000", 23712 => x"00000000", 23713 => x"00000000", 23714 => x"00000000", 23715 => x"00000000", 23716 => x"00000000", 23717 => x"00000000", 23718 => x"00000000", 23719 => x"00000000", 23720 => x"00000000", 23721 => x"00000000", 23722 => x"00000000", 23723 => x"00000000", 23724 => x"00000000", 23725 => x"00000000", 23726 => x"00000000", 23727 => x"00000000", 23728 => x"00000000", 23729 => x"00000000", 23730 => x"00000000", 23731 => x"00000000", 23732 => x"00000000", 23733 => x"00000000", 23734 => x"00000000", 23735 => x"00000000", 23736 => x"00000000", 23737 => x"00000000", 23738 => x"00000000", 23739 => x"00000000", 23740 => x"00000000", 23741 => x"00000000", 23742 => x"00000000", 23743 => x"00000000", 23744 => x"00000000", 23745 => x"00000000", 23746 => x"00000000", 23747 => x"00000000", 23748 => x"00000000", 23749 => x"00000000", 23750 => x"00000000", 23751 => x"00000000", 23752 => x"00000000", 23753 => x"00000000", 23754 => x"00000000", 23755 => x"00000000", 23756 => x"00000000", 23757 => x"00000000", 23758 => x"00000000", 23759 => x"00000000", 23760 => x"00000000", 23761 => x"00000000", 23762 => x"00000000", 23763 => x"00000000", 23764 => x"00000000", 23765 => x"00000000", 23766 => x"00000000", 23767 => x"00000000", 23768 => x"00000000", 23769 => x"00000000", 23770 => x"00000000", 23771 => x"00000000", 23772 => x"00000000", 23773 => x"00000000", 23774 => x"00000000", 23775 => x"00000000", 23776 => x"00000000", 23777 => x"00000000", 23778 => x"00000000", 23779 => x"00000000", 23780 => x"00000000", 23781 => x"00000000", 23782 => x"00000000", 23783 => x"00000000", 23784 => x"00000000", 23785 => x"00000000", 23786 => x"00000000", 23787 => x"00000000", 23788 => x"00000000", 23789 => x"00000000", 23790 => x"00000000", 23791 => x"00000000", 23792 => x"00000000", 23793 => x"00000000", 23794 => x"00000000", 23795 => x"00000000", 23796 => x"00000000", 23797 => x"00000000", 23798 => x"00000000", 23799 => x"00000000", 23800 => x"00000000", 23801 => x"00000000", 23802 => x"00000000", 23803 => x"00000000", 23804 => x"00000000", 23805 => x"00000000", 23806 => x"00000000", 23807 => x"00000000", 23808 => x"00000000", 23809 => x"00000000", 23810 => x"00000000", 23811 => x"00000000", 23812 => x"00000000", 23813 => x"00000000", 23814 => x"00000000", 23815 => x"00000000", 23816 => x"00000000", 23817 => x"00000000", 23818 => x"00000000", 23819 => x"00000000", 23820 => x"00000000", 23821 => x"00000000", 23822 => x"00000000", 23823 => x"00000000", 23824 => x"00000000", 23825 => x"00000000", 23826 => x"00000000", 23827 => x"00000000", 23828 => x"00000000", 23829 => x"00000000", 23830 => x"00000000", 23831 => x"00000000", 23832 => x"00000000", 23833 => x"00000000", 23834 => x"00000000", 23835 => x"00000000", 23836 => x"00000000", 23837 => x"00000000", 23838 => x"00000000", 23839 => x"00000000", 23840 => x"00000000", 23841 => x"00000000", 23842 => x"00000000", 23843 => x"00000000", 23844 => x"00000000", 23845 => x"00000000", 23846 => x"00000000", 23847 => x"00000000", 23848 => x"00000000", 23849 => x"00000000", 23850 => x"00000000", 23851 => x"00000000", 23852 => x"00000000", 23853 => x"00000000", 23854 => x"00000000", 23855 => x"00000000", 23856 => x"00000000", 23857 => x"00000000", 23858 => x"00000000", 23859 => x"00000000", 23860 => x"00000000", 23861 => x"00000000", 23862 => x"00000000", 23863 => x"00000000", 23864 => x"00000000", 23865 => x"00000000", 23866 => x"00000000", 23867 => x"00000000", 23868 => x"00000000", 23869 => x"00000000", 23870 => x"00000000", 23871 => x"00000000", 23872 => x"00000000", 23873 => x"00000000", 23874 => x"00000000", 23875 => x"00000000", 23876 => x"00000000", 23877 => x"00000000", 23878 => x"00000000", 23879 => x"00000000", 23880 => x"00000000", 23881 => x"00000000", 23882 => x"00000000", 23883 => x"00000000", 23884 => x"00000000", 23885 => x"00000000", 23886 => x"00000000", 23887 => x"00000000", 23888 => x"00000000", 23889 => x"00000000", 23890 => x"00000000", 23891 => x"00000000", 23892 => x"00000000", 23893 => x"00000000", 23894 => x"00000000", 23895 => x"00000000", 23896 => x"00000000", 23897 => x"00000000", 23898 => x"00000000", 23899 => x"00000000", 23900 => x"00000000", 23901 => x"00000000", 23902 => x"00000000", 23903 => x"00000000", 23904 => x"00000000", 23905 => x"00000000", 23906 => x"00000000", 23907 => x"00000000", 23908 => x"00000000", 23909 => x"00000000", 23910 => x"00000000", 23911 => x"00000000", 23912 => x"00000000", 23913 => x"00000000", 23914 => x"00000000", 23915 => x"00000000", 23916 => x"00000000", 23917 => x"00000000", 23918 => x"00000000", 23919 => x"00000000", 23920 => x"00000000", 23921 => x"00000000", 23922 => x"00000000", 23923 => x"00000000", 23924 => x"00000000", 23925 => x"00000000", 23926 => x"00000000", 23927 => x"00000000", 23928 => x"00000000", 23929 => x"00000000", 23930 => x"00000000", 23931 => x"00000000", 23932 => x"00000000", 23933 => x"00000000", 23934 => x"00000000", 23935 => x"00000000", 23936 => x"00000000", 23937 => x"00000000", 23938 => x"00000000", 23939 => x"00000000", 23940 => x"00000000", 23941 => x"00000000", 23942 => x"00000000", 23943 => x"00000000", 23944 => x"00000000", 23945 => x"00000000", 23946 => x"00000000", 23947 => x"00000000", 23948 => x"00000000", 23949 => x"00000000", 23950 => x"00000000", 23951 => x"00000000", 23952 => x"00000000", 23953 => x"00000000", 23954 => x"00000000", 23955 => x"00000000", 23956 => x"00000000", 23957 => x"00000000", 23958 => x"00000000", 23959 => x"00000000", 23960 => x"00000000", 23961 => x"00000000", 23962 => x"00000000", 23963 => x"00000000", 23964 => x"00000000", 23965 => x"00000000", 23966 => x"00000000", 23967 => x"00000000", 23968 => x"00000000", 23969 => x"00000000", 23970 => x"00000000", 23971 => x"00000000", 23972 => x"00000000", 23973 => x"00000000", 23974 => x"00000000", 23975 => x"00000000", 23976 => x"00000000", 23977 => x"00000000", 23978 => x"00000000", 23979 => x"00000000", 23980 => x"00000000", 23981 => x"00000000", 23982 => x"00000000", 23983 => x"00000000", 23984 => x"00000000", 23985 => x"00000000", 23986 => x"00000000", 23987 => x"00000000", 23988 => x"00000000", 23989 => x"00000000", 23990 => x"00000000", 23991 => x"00000000", 23992 => x"00000000", 23993 => x"00000000", 23994 => x"00000000", 23995 => x"00000000", 23996 => x"00000000", 23997 => x"00000000", 23998 => x"00000000", 23999 => x"00000000", 24000 => x"00000000", 24001 => x"00000000", 24002 => x"00000000", 24003 => x"00000000", 24004 => x"00000000", 24005 => x"00000000", 24006 => x"00000000", 24007 => x"00000000", 24008 => x"00000000", 24009 => x"00000000", 24010 => x"00000000", 24011 => x"00000000", 24012 => x"00000000", 24013 => x"00000000", 24014 => x"00000000", 24015 => x"00000000", 24016 => x"00000000", 24017 => x"00000000", 24018 => x"00000000", 24019 => x"00000000", 24020 => x"00000000", 24021 => x"00000000", 24022 => x"00000000", 24023 => x"00000000", 24024 => x"00000000", 24025 => x"00000000", 24026 => x"00000000", 24027 => x"00000000", 24028 => x"00000000", 24029 => x"00000000", 24030 => x"00000000", 24031 => x"00000000", 24032 => x"00000000", 24033 => x"00000000", 24034 => x"00000000", 24035 => x"00000000", 24036 => x"00000000", 24037 => x"00000000", 24038 => x"00000000", 24039 => x"00000000", 24040 => x"00000000", 24041 => x"00000000", 24042 => x"00000000", 24043 => x"00000000", 24044 => x"00000000", 24045 => x"00000000", 24046 => x"00000000", 24047 => x"00000000", 24048 => x"00000000", 24049 => x"00000000", 24050 => x"00000000", 24051 => x"00000000", 24052 => x"00000000", 24053 => x"00000000", 24054 => x"00000000", 24055 => x"00000000", 24056 => x"00000000", 24057 => x"00000000", 24058 => x"00000000", 24059 => x"00000000", 24060 => x"00000000", 24061 => x"00000000", 24062 => x"00000000", 24063 => x"00000000", 24064 => x"00000000", 24065 => x"00000000", 24066 => x"00000000", 24067 => x"00000000", 24068 => x"00000000", 24069 => x"00000000", 24070 => x"00000000", 24071 => x"00000000", 24072 => x"00000000", 24073 => x"00000000", 24074 => x"00000000", 24075 => x"00000000", 24076 => x"00000000", 24077 => x"00000000", 24078 => x"00000000", 24079 => x"00000000", 24080 => x"00000000", 24081 => x"00000000", 24082 => x"00000000", 24083 => x"00000000", 24084 => x"00000000", 24085 => x"00000000", 24086 => x"00000000", 24087 => x"00000000", 24088 => x"00000000", 24089 => x"00000000", 24090 => x"00000000", 24091 => x"00000000", 24092 => x"00000000", 24093 => x"00000000", 24094 => x"00000000", 24095 => x"00000000", 24096 => x"00000000", 24097 => x"00000000", 24098 => x"00000000", 24099 => x"00000000", 24100 => x"00000000", 24101 => x"00000000", 24102 => x"00000000", 24103 => x"00000000", 24104 => x"00000000", 24105 => x"00000000", 24106 => x"00000000", 24107 => x"00000000", 24108 => x"00000000", 24109 => x"00000000", 24110 => x"00000000", 24111 => x"00000000", 24112 => x"00000000", 24113 => x"00000000", 24114 => x"00000000", 24115 => x"00000000", 24116 => x"00000000", 24117 => x"00000000", 24118 => x"00000000", 24119 => x"00000000", 24120 => x"00000000", 24121 => x"00000000", 24122 => x"00000000", 24123 => x"00000000", 24124 => x"00000000", 24125 => x"00000000", 24126 => x"00000000", 24127 => x"00000000", 24128 => x"00000000", 24129 => x"00000000", 24130 => x"00000000", 24131 => x"00000000", 24132 => x"00000000", 24133 => x"00000000", 24134 => x"00000000", 24135 => x"00000000", 24136 => x"00000000", 24137 => x"00000000", 24138 => x"00000000", 24139 => x"00000000", 24140 => x"00000000", 24141 => x"00000000", 24142 => x"00000000", 24143 => x"00000000", 24144 => x"00000000", 24145 => x"00000000", 24146 => x"00000000", 24147 => x"00000000", 24148 => x"00000000", 24149 => x"00000000", 24150 => x"00000000", 24151 => x"00000000", 24152 => x"00000000", 24153 => x"00000000", 24154 => x"00000000", 24155 => x"00000000", 24156 => x"00000000", 24157 => x"00000000", 24158 => x"00000000", 24159 => x"00000000", 24160 => x"00000000", 24161 => x"00000000", 24162 => x"00000000", 24163 => x"00000000", 24164 => x"00000000", 24165 => x"00000000", 24166 => x"00000000", 24167 => x"00000000", 24168 => x"00000000", 24169 => x"00000000", 24170 => x"00000000", 24171 => x"00000000", 24172 => x"00000000", 24173 => x"00000000", 24174 => x"00000000", 24175 => x"00000000", 24176 => x"00000000", 24177 => x"00000000", 24178 => x"00000000", 24179 => x"00000000", 24180 => x"00000000", 24181 => x"00000000", 24182 => x"00000000", 24183 => x"00000000", 24184 => x"00000000", 24185 => x"00000000", 24186 => x"00000000", 24187 => x"00000000", 24188 => x"00000000", 24189 => x"00000000", 24190 => x"00000000", 24191 => x"00000000", 24192 => x"00000000", 24193 => x"00000000", 24194 => x"00000000", 24195 => x"00000000", 24196 => x"00000000", 24197 => x"00000000", 24198 => x"00000000", 24199 => x"00000000", 24200 => x"00000000", 24201 => x"00000000", 24202 => x"00000000", 24203 => x"00000000", 24204 => x"00000000", 24205 => x"00000000", 24206 => x"00000000", 24207 => x"00000000", 24208 => x"00000000", 24209 => x"00000000", 24210 => x"00000000", 24211 => x"00000000", 24212 => x"00000000", 24213 => x"00000000", 24214 => x"00000000", 24215 => x"00000000", 24216 => x"00000000", 24217 => x"00000000", 24218 => x"00000000", 24219 => x"00000000", 24220 => x"00000000", 24221 => x"00000000", 24222 => x"00000000", 24223 => x"00000000", 24224 => x"00000000", 24225 => x"00000000", 24226 => x"00000000", 24227 => x"00000000", 24228 => x"00000000", 24229 => x"00000000", 24230 => x"00000000", 24231 => x"00000000", 24232 => x"00000000", 24233 => x"00000000", 24234 => x"00000000", 24235 => x"00000000", 24236 => x"00000000", 24237 => x"00000000", 24238 => x"00000000", 24239 => x"00000000", 24240 => x"00000000", 24241 => x"00000000", 24242 => x"00000000", 24243 => x"00000000", 24244 => x"00000000", 24245 => x"00000000", 24246 => x"00000000", 24247 => x"00000000", 24248 => x"00000000", 24249 => x"00000000", 24250 => x"00000000", 24251 => x"00000000", 24252 => x"00000000", 24253 => x"00000000", 24254 => x"00000000", 24255 => x"00000000", 24256 => x"00000000", 24257 => x"00000000", 24258 => x"00000000", 24259 => x"00000000", 24260 => x"00000000", 24261 => x"00000000", 24262 => x"00000000", 24263 => x"00000000", 24264 => x"00000000", 24265 => x"00000000", 24266 => x"00000000", 24267 => x"00000000", 24268 => x"00000000", 24269 => x"00000000", 24270 => x"00000000", 24271 => x"00000000", 24272 => x"00000000", 24273 => x"00000000", 24274 => x"00000000", 24275 => x"00000000", 24276 => x"00000000", 24277 => x"00000000", 24278 => x"00000000", 24279 => x"00000000", 24280 => x"00000000", 24281 => x"00000000", 24282 => x"00000000", 24283 => x"00000000", 24284 => x"00000000", 24285 => x"00000000", 24286 => x"00000000", 24287 => x"00000000", 24288 => x"00000000", 24289 => x"00000000", 24290 => x"00000000", 24291 => x"00000000", 24292 => x"00000000", 24293 => x"00000000", 24294 => x"00000000", 24295 => x"00000000", 24296 => x"00000000", 24297 => x"00000000", 24298 => x"00000000", 24299 => x"00000000", 24300 => x"00000000", 24301 => x"00000000", 24302 => x"00000000", 24303 => x"00000000", 24304 => x"00000000", 24305 => x"00000000", 24306 => x"00000000", 24307 => x"00000000", 24308 => x"00000000", 24309 => x"00000000", 24310 => x"00000000", 24311 => x"00000000", 24312 => x"00000000", 24313 => x"00000000", 24314 => x"00000000", 24315 => x"00000000", 24316 => x"00000000", 24317 => x"00000000", 24318 => x"00000000", 24319 => x"00000000", 24320 => x"00000000", 24321 => x"00000000", 24322 => x"00000000", 24323 => x"00000000", 24324 => x"00000000", 24325 => x"00000000", 24326 => x"00000000", 24327 => x"00000000", 24328 => x"00000000", 24329 => x"00000000", 24330 => x"00000000", 24331 => x"00000000", 24332 => x"00000000", 24333 => x"00000000", 24334 => x"00000000", 24335 => x"00000000", 24336 => x"00000000", 24337 => x"00000000", 24338 => x"00000000", 24339 => x"00000000", 24340 => x"00000000", 24341 => x"00000000", 24342 => x"00000000", 24343 => x"00000000", 24344 => x"00000000", 24345 => x"00000000", 24346 => x"00000000", 24347 => x"00000000", 24348 => x"00000000", 24349 => x"00000000", 24350 => x"00000000", 24351 => x"00000000", 24352 => x"00000000", 24353 => x"00000000", 24354 => x"00000000", 24355 => x"00000000", 24356 => x"00000000", 24357 => x"00000000", 24358 => x"00000000", 24359 => x"00000000", 24360 => x"00000000", 24361 => x"00000000", 24362 => x"00000000", 24363 => x"00000000", 24364 => x"00000000", 24365 => x"00000000", 24366 => x"00000000", 24367 => x"00000000", 24368 => x"00000000", 24369 => x"00000000", 24370 => x"00000000", 24371 => x"00000000", 24372 => x"00000000", 24373 => x"00000000", 24374 => x"00000000", 24375 => x"00000000", 24376 => x"00000000", 24377 => x"00000000", 24378 => x"00000000", 24379 => x"00000000", 24380 => x"00000000", 24381 => x"00000000", 24382 => x"00000000", 24383 => x"00000000", 24384 => x"00000000", 24385 => x"00000000", 24386 => x"00000000", 24387 => x"00000000", 24388 => x"00000000", 24389 => x"00000000", 24390 => x"00000000", 24391 => x"00000000", 24392 => x"00000000", 24393 => x"00000000", 24394 => x"00000000", 24395 => x"00000000", 24396 => x"00000000", 24397 => x"00000000", 24398 => x"00000000", 24399 => x"00000000", 24400 => x"00000000", 24401 => x"00000000", 24402 => x"00000000", 24403 => x"00000000", 24404 => x"00000000", 24405 => x"00000000", 24406 => x"00000000", 24407 => x"00000000", 24408 => x"00000000", 24409 => x"00000000", 24410 => x"00000000", 24411 => x"00000000", 24412 => x"00000000", 24413 => x"00000000", 24414 => x"00000000", 24415 => x"00000000", 24416 => x"00000000", 24417 => x"00000000", 24418 => x"00000000", 24419 => x"00000000", 24420 => x"00000000", 24421 => x"00000000", 24422 => x"00000000", 24423 => x"00000000", 24424 => x"00000000", 24425 => x"00000000", 24426 => x"00000000", 24427 => x"00000000", 24428 => x"00000000", 24429 => x"00000000", 24430 => x"00000000", 24431 => x"00000000", 24432 => x"00000000", 24433 => x"00000000", 24434 => x"00000000", 24435 => x"00000000", 24436 => x"00000000", 24437 => x"00000000", 24438 => x"00000000", 24439 => x"00000000", 24440 => x"00000000", 24441 => x"00000000", 24442 => x"00000000", 24443 => x"00000000", 24444 => x"00000000", 24445 => x"00000000", 24446 => x"00000000", 24447 => x"00000000", 24448 => x"00000000", 24449 => x"00000000", 24450 => x"00000000", 24451 => x"00000000", 24452 => x"00000000", 24453 => x"00000000", 24454 => x"00000000", 24455 => x"00000000", 24456 => x"00000000", 24457 => x"00000000", 24458 => x"00000000", 24459 => x"00000000", 24460 => x"00000000", 24461 => x"00000000", 24462 => x"00000000", 24463 => x"00000000", 24464 => x"00000000", 24465 => x"00000000", 24466 => x"00000000", 24467 => x"00000000", 24468 => x"00000000", 24469 => x"00000000", 24470 => x"00000000", 24471 => x"00000000", 24472 => x"00000000", 24473 => x"00000000", 24474 => x"00000000", 24475 => x"00000000", 24476 => x"00000000", 24477 => x"00000000", 24478 => x"00000000", 24479 => x"00000000", 24480 => x"00000000", 24481 => x"00000000", 24482 => x"00000000", 24483 => x"00000000", 24484 => x"00000000", 24485 => x"00000000", 24486 => x"00000000", 24487 => x"00000000", 24488 => x"00000000", 24489 => x"00000000", 24490 => x"00000000", 24491 => x"00000000", 24492 => x"00000000", 24493 => x"00000000", 24494 => x"00000000", 24495 => x"00000000", 24496 => x"00000000", 24497 => x"00000000", 24498 => x"00000000", 24499 => x"00000000", 24500 => x"00000000", 24501 => x"00000000", 24502 => x"00000000", 24503 => x"00000000", 24504 => x"00000000", 24505 => x"00000000", 24506 => x"00000000", 24507 => x"00000000", 24508 => x"00000000", 24509 => x"00000000", 24510 => x"00000000", 24511 => x"00000000", 24512 => x"00000000", 24513 => x"00000000", 24514 => x"00000000", 24515 => x"00000000", 24516 => x"00000000", 24517 => x"00000000", 24518 => x"00000000", 24519 => x"00000000", 24520 => x"00000000", 24521 => x"00000000", 24522 => x"00000000", 24523 => x"00000000", 24524 => x"00000000", 24525 => x"00000000", 24526 => x"00000000", 24527 => x"00000000", 24528 => x"00000000", 24529 => x"00000000", 24530 => x"00000000", 24531 => x"00000000", 24532 => x"00000000", 24533 => x"00000000", 24534 => x"00000000", 24535 => x"00000000", 24536 => x"00000000", 24537 => x"00000000", 24538 => x"00000000", 24539 => x"00000000", 24540 => x"00000000", 24541 => x"00000000", 24542 => x"00000000", 24543 => x"00000000", 24544 => x"00000000", 24545 => x"00000000", 24546 => x"00000000", 24547 => x"00000000", 24548 => x"00000000", 24549 => x"00000000", 24550 => x"00000000", 24551 => x"00000000", 24552 => x"00000000", 24553 => x"00000000", 24554 => x"00000000", 24555 => x"00000000", 24556 => x"00000000", 24557 => x"00000000", 24558 => x"00000000", 24559 => x"00000000", 24560 => x"00000000", 24561 => x"00000000", 24562 => x"00000000", 24563 => x"00000000", 24564 => x"00000000", 24565 => x"00000000", 24566 => x"00000000", 24567 => x"00000000", 24568 => x"00000000", 24569 => x"00000000", 24570 => x"00000000", 24571 => x"00000000", 24572 => x"00000000", 24573 => x"00000000", 24574 => x"00000000", 24575 => x"00000000", 24576 => x"00000000", 24577 => x"00000000", 24578 => x"00000000", 24579 => x"00000000", 24580 => x"00000000", 24581 => x"00000000", 24582 => x"00000000", 24583 => x"00000000", 24584 => x"00000000", 24585 => x"00000000", 24586 => x"00000000", 24587 => x"00000000", 24588 => x"00000000", 24589 => x"00000000", 24590 => x"00000000", 24591 => x"00000000", 24592 => x"00000000", 24593 => x"00000000", 24594 => x"00000000", 24595 => x"00000000", 24596 => x"00000000", 24597 => x"00000000", 24598 => x"00000000", 24599 => x"00000000", 24600 => x"00000000", 24601 => x"00000000", 24602 => x"00000000", 24603 => x"00000000", 24604 => x"00000000", 24605 => x"00000000", 24606 => x"00000000", 24607 => x"00000000", 24608 => x"00000000", 24609 => x"00000000", 24610 => x"00000000", 24611 => x"00000000", 24612 => x"00000000", 24613 => x"00000000", 24614 => x"00000000", 24615 => x"00000000", 24616 => x"00000000", 24617 => x"00000000", 24618 => x"00000000", 24619 => x"00000000", 24620 => x"00000000", 24621 => x"00000000", 24622 => x"00000000", 24623 => x"00000000", 24624 => x"00000000", 24625 => x"00000000", 24626 => x"00000000", 24627 => x"00000000", 24628 => x"00000000", 24629 => x"00000000", 24630 => x"00000000", 24631 => x"00000000", 24632 => x"00000000", 24633 => x"00000000", 24634 => x"00000000", 24635 => x"00000000", 24636 => x"00000000", 24637 => x"00000000", 24638 => x"00000000", 24639 => x"00000000", 24640 => x"00000000", 24641 => x"00000000", 24642 => x"00000000", 24643 => x"00000000", 24644 => x"00000000", 24645 => x"00000000", 24646 => x"00000000", 24647 => x"00000000", 24648 => x"00000000", 24649 => x"00000000", 24650 => x"00000000", 24651 => x"00000000", 24652 => x"00000000", 24653 => x"00000000", 24654 => x"00000000", 24655 => x"00000000", 24656 => x"00000000", 24657 => x"00000000", 24658 => x"00000000", 24659 => x"00000000", 24660 => x"00000000", 24661 => x"00000000", 24662 => x"00000000", 24663 => x"00000000", 24664 => x"00000000", 24665 => x"00000000", 24666 => x"00000000", 24667 => x"00000000", 24668 => x"00000000", 24669 => x"00000000", 24670 => x"00000000", 24671 => x"00000000", 24672 => x"00000000", 24673 => x"00000000", 24674 => x"00000000", 24675 => x"00000000", 24676 => x"00000000", 24677 => x"00000000", 24678 => x"00000000", 24679 => x"00000000", 24680 => x"00000000", 24681 => x"00000000", 24682 => x"00000000", 24683 => x"00000000", 24684 => x"00000000", 24685 => x"00000000", 24686 => x"00000000", 24687 => x"00000000", 24688 => x"00000000", 24689 => x"00000000", 24690 => x"00000000", 24691 => x"00000000", 24692 => x"00000000", 24693 => x"00000000", 24694 => x"00000000", 24695 => x"00000000", 24696 => x"00000000", 24697 => x"00000000", 24698 => x"00000000", 24699 => x"00000000", 24700 => x"00000000", 24701 => x"00000000", 24702 => x"00000000", 24703 => x"00000000", 24704 => x"00000000", 24705 => x"00000000", 24706 => x"00000000", 24707 => x"00000000", 24708 => x"00000000", 24709 => x"00000000", 24710 => x"00000000", 24711 => x"00000000", 24712 => x"00000000", 24713 => x"00000000", 24714 => x"00000000", 24715 => x"00000000", 24716 => x"00000000", 24717 => x"00000000", 24718 => x"00000000", 24719 => x"00000000", 24720 => x"00000000", 24721 => x"00000000", 24722 => x"00000000", 24723 => x"00000000", 24724 => x"00000000", 24725 => x"00000000", 24726 => x"00000000", 24727 => x"00000000", 24728 => x"00000000", 24729 => x"00000000", 24730 => x"00000000", 24731 => x"00000000", 24732 => x"00000000", 24733 => x"00000000", 24734 => x"00000000", 24735 => x"00000000", 24736 => x"00000000", 24737 => x"00000000", 24738 => x"00000000", 24739 => x"00000000", 24740 => x"00000000", 24741 => x"00000000", 24742 => x"00000000", 24743 => x"00000000", 24744 => x"00000000", 24745 => x"00000000", 24746 => x"00000000", 24747 => x"00000000", 24748 => x"00000000", 24749 => x"00000000", 24750 => x"00000000", 24751 => x"00000000", 24752 => x"00000000", 24753 => x"00000000", 24754 => x"00000000", 24755 => x"00000000", 24756 => x"00000000", 24757 => x"00000000", 24758 => x"00000000", 24759 => x"00000000", 24760 => x"00000000", 24761 => x"00000000", 24762 => x"00000000", 24763 => x"00000000", 24764 => x"00000000", 24765 => x"00000000", 24766 => x"00000000", 24767 => x"00000000", 24768 => x"00000000", 24769 => x"00000000", 24770 => x"00000000", 24771 => x"00000000", 24772 => x"00000000", 24773 => x"00000000", 24774 => x"00000000", 24775 => x"00000000", 24776 => x"00000000", 24777 => x"00000000", 24778 => x"00000000", 24779 => x"00000000", 24780 => x"00000000", 24781 => x"00000000", 24782 => x"00000000", 24783 => x"00000000", 24784 => x"00000000", 24785 => x"00000000", 24786 => x"00000000", 24787 => x"00000000", 24788 => x"00000000", 24789 => x"00000000", 24790 => x"00000000", 24791 => x"00000000", 24792 => x"00000000", 24793 => x"00000000", 24794 => x"00000000", 24795 => x"00000000", 24796 => x"00000000", 24797 => x"00000000", 24798 => x"00000000", 24799 => x"00000000", 24800 => x"00000000", 24801 => x"00000000", 24802 => x"00000000", 24803 => x"00000000", 24804 => x"00000000", 24805 => x"00000000", 24806 => x"00000000", 24807 => x"00000000", 24808 => x"00000000", 24809 => x"00000000", 24810 => x"00000000", 24811 => x"00000000", 24812 => x"00000000", 24813 => x"00000000", 24814 => x"00000000", 24815 => x"00000000", 24816 => x"00000000", 24817 => x"00000000", 24818 => x"00000000", 24819 => x"00000000", 24820 => x"00000000", 24821 => x"00000000", 24822 => x"00000000", 24823 => x"00000000", 24824 => x"00000000", 24825 => x"00000000", 24826 => x"00000000", 24827 => x"00000000", 24828 => x"00000000", 24829 => x"00000000", 24830 => x"00000000", 24831 => x"00000000", 24832 => x"00000000", 24833 => x"00000000", 24834 => x"00000000", 24835 => x"00000000", 24836 => x"00000000", 24837 => x"00000000", 24838 => x"00000000", 24839 => x"00000000", 24840 => x"00000000", 24841 => x"00000000", 24842 => x"00000000", 24843 => x"00000000", 24844 => x"00000000", 24845 => x"00000000", 24846 => x"00000000", 24847 => x"00000000", 24848 => x"00000000", 24849 => x"00000000", 24850 => x"00000000", 24851 => x"00000000", 24852 => x"00000000", 24853 => x"00000000", 24854 => x"00000000", 24855 => x"00000000", 24856 => x"00000000", 24857 => x"00000000", 24858 => x"00000000", 24859 => x"00000000", 24860 => x"00000000", 24861 => x"00000000", 24862 => x"00000000", 24863 => x"00000000", 24864 => x"00000000", 24865 => x"00000000", 24866 => x"00000000", 24867 => x"00000000", 24868 => x"00000000", 24869 => x"00000000", 24870 => x"00000000", 24871 => x"00000000", 24872 => x"00000000", 24873 => x"00000000", 24874 => x"00000000", 24875 => x"00000000", 24876 => x"00000000", 24877 => x"00000000", 24878 => x"00000000", 24879 => x"00000000", 24880 => x"00000000", 24881 => x"00000000", 24882 => x"00000000", 24883 => x"00000000", 24884 => x"00000000", 24885 => x"00000000", 24886 => x"00000000", 24887 => x"00000000", 24888 => x"00000000", 24889 => x"00000000", 24890 => x"00000000", 24891 => x"00000000", 24892 => x"00000000", 24893 => x"00000000", 24894 => x"00000000", 24895 => x"00000000", 24896 => x"00000000", 24897 => x"00000000", 24898 => x"00000000", 24899 => x"00000000", 24900 => x"00000000", 24901 => x"00000000", 24902 => x"00000000", 24903 => x"00000000", 24904 => x"00000000", 24905 => x"00000000", 24906 => x"00000000", 24907 => x"00000000", 24908 => x"00000000", 24909 => x"00000000", 24910 => x"00000000", 24911 => x"00000000", 24912 => x"00000000", 24913 => x"00000000", 24914 => x"00000000", 24915 => x"00000000", 24916 => x"00000000", 24917 => x"00000000", 24918 => x"00000000", 24919 => x"00000000", 24920 => x"00000000", 24921 => x"00000000", 24922 => x"00000000", 24923 => x"00000000", 24924 => x"00000000", 24925 => x"00000000", 24926 => x"00000000", 24927 => x"00000000", 24928 => x"00000000", 24929 => x"00000000", 24930 => x"00000000", 24931 => x"00000000", 24932 => x"00000000", 24933 => x"00000000", 24934 => x"00000000", 24935 => x"00000000", 24936 => x"00000000", 24937 => x"00000000", 24938 => x"00000000", 24939 => x"00000000", 24940 => x"00000000", 24941 => x"00000000", 24942 => x"00000000", 24943 => x"00000000", 24944 => x"00000000", 24945 => x"00000000", 24946 => x"00000000", 24947 => x"00000000", 24948 => x"00000000", 24949 => x"00000000", 24950 => x"00000000", 24951 => x"00000000", 24952 => x"00000000", 24953 => x"00000000", 24954 => x"00000000", 24955 => x"00000000", 24956 => x"00000000", 24957 => x"00000000", 24958 => x"00000000", 24959 => x"00000000", 24960 => x"00000000", 24961 => x"00000000", 24962 => x"00000000", 24963 => x"00000000", 24964 => x"00000000", 24965 => x"00000000", 24966 => x"00000000", 24967 => x"00000000", 24968 => x"00000000", 24969 => x"00000000", 24970 => x"00000000", 24971 => x"00000000", 24972 => x"00000000", 24973 => x"00000000", 24974 => x"00000000", 24975 => x"00000000", 24976 => x"00000000", 24977 => x"00000000", 24978 => x"00000000", 24979 => x"00000000", 24980 => x"00000000", 24981 => x"00000000", 24982 => x"00000000", 24983 => x"00000000", 24984 => x"00000000", 24985 => x"00000000", 24986 => x"00000000", 24987 => x"00000000", 24988 => x"00000000", 24989 => x"00000000", 24990 => x"00000000", 24991 => x"00000000", 24992 => x"00000000", 24993 => x"00000000", 24994 => x"00000000", 24995 => x"00000000", 24996 => x"00000000", 24997 => x"00000000", 24998 => x"00000000", 24999 => x"00000000", 25000 => x"00000000", 25001 => x"00000000", 25002 => x"00000000", 25003 => x"00000000", 25004 => x"00000000", 25005 => x"00000000", 25006 => x"00000000", 25007 => x"00000000", 25008 => x"00000000", 25009 => x"00000000", 25010 => x"00000000", 25011 => x"00000000", 25012 => x"00000000", 25013 => x"00000000", 25014 => x"00000000", 25015 => x"00000000", 25016 => x"00000000", 25017 => x"00000000", 25018 => x"00000000", 25019 => x"00000000", 25020 => x"00000000", 25021 => x"00000000", 25022 => x"00000000", 25023 => x"00000000", 25024 => x"00000000", 25025 => x"00000000", 25026 => x"00000000", 25027 => x"00000000", 25028 => x"00000000", 25029 => x"00000000", 25030 => x"00000000", 25031 => x"00000000", 25032 => x"00000000", 25033 => x"00000000", 25034 => x"00000000", 25035 => x"00000000", 25036 => x"00000000", 25037 => x"00000000", 25038 => x"00000000", 25039 => x"00000000", 25040 => x"00000000", 25041 => x"00000000", 25042 => x"00000000", 25043 => x"00000000", 25044 => x"00000000", 25045 => x"00000000", 25046 => x"00000000", 25047 => x"00000000", 25048 => x"00000000", 25049 => x"00000000", 25050 => x"00000000", 25051 => x"00000000", 25052 => x"00000000", 25053 => x"00000000", 25054 => x"00000000", 25055 => x"00000000", 25056 => x"00000000", 25057 => x"00000000", 25058 => x"00000000", 25059 => x"00000000", 25060 => x"00000000", 25061 => x"00000000", 25062 => x"00000000", 25063 => x"00000000", 25064 => x"00000000", 25065 => x"00000000", 25066 => x"00000000", 25067 => x"00000000", 25068 => x"00000000", 25069 => x"00000000", 25070 => x"00000000", 25071 => x"00000000", 25072 => x"00000000", 25073 => x"00000000", 25074 => x"00000000", 25075 => x"00000000", 25076 => x"00000000", 25077 => x"00000000", 25078 => x"00000000", 25079 => x"00000000", 25080 => x"00000000", 25081 => x"00000000", 25082 => x"00000000", 25083 => x"00000000", 25084 => x"00000000", 25085 => x"00000000", 25086 => x"00000000", 25087 => x"00000000", 25088 => x"00000000", 25089 => x"00000000", 25090 => x"00000000", 25091 => x"00000000", 25092 => x"00000000", 25093 => x"00000000", 25094 => x"00000000", 25095 => x"00000000", 25096 => x"00000000", 25097 => x"00000000", 25098 => x"00000000", 25099 => x"00000000", 25100 => x"00000000", 25101 => x"00000000", 25102 => x"00000000", 25103 => x"00000000", 25104 => x"00000000", 25105 => x"00000000", 25106 => x"00000000", 25107 => x"00000000", 25108 => x"00000000", 25109 => x"00000000", 25110 => x"00000000", 25111 => x"00000000", 25112 => x"00000000", 25113 => x"00000000", 25114 => x"00000000", 25115 => x"00000000", 25116 => x"00000000", 25117 => x"00000000", 25118 => x"00000000", 25119 => x"00000000", 25120 => x"00000000", 25121 => x"00000000", 25122 => x"00000000", 25123 => x"00000000", 25124 => x"00000000", 25125 => x"00000000", 25126 => x"00000000", 25127 => x"00000000", 25128 => x"00000000", 25129 => x"00000000", 25130 => x"00000000", 25131 => x"00000000", 25132 => x"00000000", 25133 => x"00000000", 25134 => x"00000000", 25135 => x"00000000", 25136 => x"00000000", 25137 => x"00000000", 25138 => x"00000000", 25139 => x"00000000", 25140 => x"00000000", 25141 => x"00000000", 25142 => x"00000000", 25143 => x"00000000", 25144 => x"00000000", 25145 => x"00000000", 25146 => x"00000000", 25147 => x"00000000", 25148 => x"00000000", 25149 => x"00000000", 25150 => x"00000000", 25151 => x"00000000", 25152 => x"00000000", 25153 => x"00000000", 25154 => x"00000000", 25155 => x"00000000", 25156 => x"00000000", 25157 => x"00000000", 25158 => x"00000000", 25159 => x"00000000", 25160 => x"00000000", 25161 => x"00000000", 25162 => x"00000000", 25163 => x"00000000", 25164 => x"00000000", 25165 => x"00000000", 25166 => x"00000000", 25167 => x"00000000", 25168 => x"00000000", 25169 => x"00000000", 25170 => x"00000000", 25171 => x"00000000", 25172 => x"00000000", 25173 => x"00000000", 25174 => x"00000000", 25175 => x"00000000", 25176 => x"00000000", 25177 => x"00000000", 25178 => x"00000000", 25179 => x"00000000", 25180 => x"00000000", 25181 => x"00000000", 25182 => x"00000000", 25183 => x"00000000", 25184 => x"00000000", 25185 => x"00000000", 25186 => x"00000000", 25187 => x"00000000", 25188 => x"00000000", 25189 => x"00000000", 25190 => x"00000000", 25191 => x"00000000", 25192 => x"00000000", 25193 => x"00000000", 25194 => x"00000000", 25195 => x"00000000", 25196 => x"00000000", 25197 => x"00000000", 25198 => x"00000000", 25199 => x"00000000", 25200 => x"00000000", 25201 => x"00000000", 25202 => x"00000000", 25203 => x"00000000", 25204 => x"00000000", 25205 => x"00000000", 25206 => x"00000000", 25207 => x"00000000", 25208 => x"00000000", 25209 => x"00000000", 25210 => x"00000000", 25211 => x"00000000", 25212 => x"00000000", 25213 => x"00000000", 25214 => x"00000000", 25215 => x"00000000", 25216 => x"00000000", 25217 => x"00000000", 25218 => x"00000000", 25219 => x"00000000", 25220 => x"00000000", 25221 => x"00000000", 25222 => x"00000000", 25223 => x"00000000", 25224 => x"00000000", 25225 => x"00000000", 25226 => x"00000000", 25227 => x"00000000", 25228 => x"00000000", 25229 => x"00000000", 25230 => x"00000000", 25231 => x"00000000", 25232 => x"00000000", 25233 => x"00000000", 25234 => x"00000000", 25235 => x"00000000", 25236 => x"00000000", 25237 => x"00000000", 25238 => x"00000000", 25239 => x"00000000", 25240 => x"00000000", 25241 => x"00000000", 25242 => x"00000000", 25243 => x"00000000", 25244 => x"00000000", 25245 => x"00000000", 25246 => x"00000000", 25247 => x"00000000", 25248 => x"00000000", 25249 => x"00000000", 25250 => x"00000000", 25251 => x"00000000", 25252 => x"00000000", 25253 => x"00000000", 25254 => x"00000000", 25255 => x"00000000", 25256 => x"00000000", 25257 => x"00000000", 25258 => x"00000000", 25259 => x"00000000", 25260 => x"00000000", 25261 => x"00000000", 25262 => x"00000000", 25263 => x"00000000", 25264 => x"00000000", 25265 => x"00000000", 25266 => x"00000000", 25267 => x"00000000", 25268 => x"00000000", 25269 => x"00000000", 25270 => x"00000000", 25271 => x"00000000", 25272 => x"00000000", 25273 => x"00000000", 25274 => x"00000000", 25275 => x"00000000", 25276 => x"00000000", 25277 => x"00000000", 25278 => x"00000000", 25279 => x"00000000", 25280 => x"00000000", 25281 => x"00000000", 25282 => x"00000000", 25283 => x"00000000", 25284 => x"00000000", 25285 => x"00000000", 25286 => x"00000000", 25287 => x"00000000", 25288 => x"00000000", 25289 => x"00000000", 25290 => x"00000000", 25291 => x"00000000", 25292 => x"00000000", 25293 => x"00000000", 25294 => x"00000000", 25295 => x"00000000", 25296 => x"00000000", 25297 => x"00000000", 25298 => x"00000000", 25299 => x"00000000", 25300 => x"00000000", 25301 => x"00000000", 25302 => x"00000000", 25303 => x"00000000", 25304 => x"00000000", 25305 => x"00000000", 25306 => x"00000000", 25307 => x"00000000", 25308 => x"00000000", 25309 => x"00000000", 25310 => x"00000000", 25311 => x"00000000", 25312 => x"00000000", 25313 => x"00000000", 25314 => x"00000000", 25315 => x"00000000", 25316 => x"00000000", 25317 => x"00000000", 25318 => x"00000000", 25319 => x"00000000", 25320 => x"00000000", 25321 => x"00000000", 25322 => x"00000000", 25323 => x"00000000", 25324 => x"00000000", 25325 => x"00000000", 25326 => x"00000000", 25327 => x"00000000", 25328 => x"00000000", 25329 => x"00000000", 25330 => x"00000000", 25331 => x"00000000", 25332 => x"00000000", 25333 => x"00000000", 25334 => x"00000000", 25335 => x"00000000", 25336 => x"00000000", 25337 => x"00000000", 25338 => x"00000000", 25339 => x"00000000", 25340 => x"00000000", 25341 => x"00000000", 25342 => x"00000000", 25343 => x"00000000", 25344 => x"00000000", 25345 => x"00000000", 25346 => x"00000000", 25347 => x"00000000", 25348 => x"00000000", 25349 => x"00000000", 25350 => x"00000000", 25351 => x"00000000", 25352 => x"00000000", 25353 => x"00000000", 25354 => x"00000000", 25355 => x"00000000", 25356 => x"00000000", 25357 => x"00000000", 25358 => x"00000000", 25359 => x"00000000", 25360 => x"00000000", 25361 => x"00000000", 25362 => x"00000000", 25363 => x"00000000", 25364 => x"00000000", 25365 => x"00000000", 25366 => x"00000000", 25367 => x"00000000", 25368 => x"00000000", 25369 => x"00000000", 25370 => x"00000000", 25371 => x"00000000", 25372 => x"00000000", 25373 => x"00000000", 25374 => x"00000000", 25375 => x"00000000", 25376 => x"00000000", 25377 => x"00000000", 25378 => x"00000000", 25379 => x"00000000", 25380 => x"00000000", 25381 => x"00000000", 25382 => x"00000000", 25383 => x"00000000", 25384 => x"00000000", 25385 => x"00000000", 25386 => x"00000000", 25387 => x"00000000", 25388 => x"00000000", 25389 => x"00000000", 25390 => x"00000000", 25391 => x"00000000", 25392 => x"00000000", 25393 => x"00000000", 25394 => x"00000000", 25395 => x"00000000", 25396 => x"00000000", 25397 => x"00000000", 25398 => x"00000000", 25399 => x"00000000", 25400 => x"00000000", 25401 => x"00000000", 25402 => x"00000000", 25403 => x"00000000", 25404 => x"00000000", 25405 => x"00000000", 25406 => x"00000000", 25407 => x"00000000", 25408 => x"00000000", 25409 => x"00000000", 25410 => x"00000000", 25411 => x"00000000", 25412 => x"00000000", 25413 => x"00000000", 25414 => x"00000000", 25415 => x"00000000", 25416 => x"00000000", 25417 => x"00000000", 25418 => x"00000000", 25419 => x"00000000", 25420 => x"00000000", 25421 => x"00000000", 25422 => x"00000000", 25423 => x"00000000", 25424 => x"00000000", 25425 => x"00000000", 25426 => x"00000000", 25427 => x"00000000", 25428 => x"00000000", 25429 => x"00000000", 25430 => x"00000000", 25431 => x"00000000", 25432 => x"00000000", 25433 => x"00000000", 25434 => x"00000000", 25435 => x"00000000", 25436 => x"00000000", 25437 => x"00000000", 25438 => x"00000000", 25439 => x"00000000", 25440 => x"00000000", 25441 => x"00000000", 25442 => x"00000000", 25443 => x"00000000", 25444 => x"00000000", 25445 => x"00000000", 25446 => x"00000000", 25447 => x"00000000", 25448 => x"00000000", 25449 => x"00000000", 25450 => x"00000000", 25451 => x"00000000", 25452 => x"00000000", 25453 => x"00000000", 25454 => x"00000000", 25455 => x"00000000", 25456 => x"00000000", 25457 => x"00000000", 25458 => x"00000000", 25459 => x"00000000", 25460 => x"00000000", 25461 => x"00000000", 25462 => x"00000000", 25463 => x"00000000", 25464 => x"00000000", 25465 => x"00000000", 25466 => x"00000000", 25467 => x"00000000", 25468 => x"00000000", 25469 => x"00000000", 25470 => x"00000000", 25471 => x"00000000", 25472 => x"00000000", 25473 => x"00000000", 25474 => x"00000000", 25475 => x"00000000", 25476 => x"00000000", 25477 => x"00000000", 25478 => x"00000000", 25479 => x"00000000", 25480 => x"00000000", 25481 => x"00000000", 25482 => x"00000000", 25483 => x"00000000", 25484 => x"00000000", 25485 => x"00000000", 25486 => x"00000000", 25487 => x"00000000", 25488 => x"00000000", 25489 => x"00000000", 25490 => x"00000000", 25491 => x"00000000", 25492 => x"00000000", 25493 => x"00000000", 25494 => x"00000000", 25495 => x"00000000", 25496 => x"00000000", 25497 => x"00000000", 25498 => x"00000000", 25499 => x"00000000", 25500 => x"00000000", 25501 => x"00000000", 25502 => x"00000000", 25503 => x"00000000", 25504 => x"00000000", 25505 => x"00000000", 25506 => x"00000000", 25507 => x"00000000", 25508 => x"00000000", 25509 => x"00000000", 25510 => x"00000000", 25511 => x"00000000", 25512 => x"00000000", 25513 => x"00000000", 25514 => x"00000000", 25515 => x"00000000", 25516 => x"00000000", 25517 => x"00000000", 25518 => x"00000000", 25519 => x"00000000", 25520 => x"00000000", 25521 => x"00000000", 25522 => x"00000000", 25523 => x"00000000", 25524 => x"00000000", 25525 => x"00000000", 25526 => x"00000000", 25527 => x"00000000", 25528 => x"00000000", 25529 => x"00000000", 25530 => x"00000000", 25531 => x"00000000", 25532 => x"00000000", 25533 => x"00000000", 25534 => x"00000000", 25535 => x"00000000", 25536 => x"00000000", 25537 => x"00000000", 25538 => x"00000000", 25539 => x"00000000", 25540 => x"00000000", 25541 => x"00000000", 25542 => x"00000000", 25543 => x"00000000", 25544 => x"00000000", 25545 => x"00000000", 25546 => x"00000000", 25547 => x"00000000", 25548 => x"00000000", 25549 => x"00000000", 25550 => x"00000000", 25551 => x"00000000", 25552 => x"00000000", 25553 => x"00000000", 25554 => x"00000000", 25555 => x"00000000", 25556 => x"00000000", 25557 => x"00000000", 25558 => x"00000000", 25559 => x"00000000", 25560 => x"00000000", 25561 => x"00000000", 25562 => x"00000000", 25563 => x"00000000", 25564 => x"00000000", 25565 => x"00000000", 25566 => x"00000000", 25567 => x"00000000", 25568 => x"00000000", 25569 => x"00000000", 25570 => x"00000000", 25571 => x"00000000", 25572 => x"00000000", 25573 => x"00000000", 25574 => x"00000000", 25575 => x"00000000", 25576 => x"00000000", 25577 => x"00000000", 25578 => x"00000000", 25579 => x"00000000", 25580 => x"00000000", 25581 => x"00000000", 25582 => x"00000000", 25583 => x"00000000", 25584 => x"00000000", 25585 => x"00000000", 25586 => x"00000000", 25587 => x"00000000", 25588 => x"00000000", 25589 => x"00000000", 25590 => x"00000000", 25591 => x"00000000", 25592 => x"00000000", 25593 => x"00000000", 25594 => x"00000000", 25595 => x"00000000", 25596 => x"00000000", 25597 => x"00000000", 25598 => x"00000000", 25599 => x"00000000", 25600 => x"00000000", 25601 => x"00000000", 25602 => x"00000000", 25603 => x"00000000", 25604 => x"00000000", 25605 => x"00000000", 25606 => x"00000000", 25607 => x"00000000", 25608 => x"00000000", 25609 => x"00000000", 25610 => x"00000000", 25611 => x"00000000", 25612 => x"00000000", 25613 => x"00000000", 25614 => x"00000000", 25615 => x"00000000", 25616 => x"00000000", 25617 => x"00000000", 25618 => x"00000000", 25619 => x"00000000", 25620 => x"00000000", 25621 => x"00000000", 25622 => x"00000000", 25623 => x"00000000", 25624 => x"00000000", 25625 => x"00000000", 25626 => x"00000000", 25627 => x"00000000", 25628 => x"00000000", 25629 => x"00000000", 25630 => x"00000000", 25631 => x"00000000", 25632 => x"00000000", 25633 => x"00000000", 25634 => x"00000000", 25635 => x"00000000", 25636 => x"00000000", 25637 => x"00000000", 25638 => x"00000000", 25639 => x"00000000", 25640 => x"00000000", 25641 => x"00000000", 25642 => x"00000000", 25643 => x"00000000", 25644 => x"00000000", 25645 => x"00000000", 25646 => x"00000000", 25647 => x"00000000", 25648 => x"00000000", 25649 => x"00000000", 25650 => x"00000000", 25651 => x"00000000", 25652 => x"00000000", 25653 => x"00000000", 25654 => x"00000000", 25655 => x"00000000", 25656 => x"00000000", 25657 => x"00000000", 25658 => x"00000000", 25659 => x"00000000", 25660 => x"00000000", 25661 => x"00000000", 25662 => x"00000000", 25663 => x"00000000", 25664 => x"00000000", 25665 => x"00000000", 25666 => x"00000000", 25667 => x"00000000", 25668 => x"00000000", 25669 => x"00000000", 25670 => x"00000000", 25671 => x"00000000", 25672 => x"00000000", 25673 => x"00000000", 25674 => x"00000000", 25675 => x"00000000", 25676 => x"00000000", 25677 => x"00000000", 25678 => x"00000000", 25679 => x"00000000", 25680 => x"00000000", 25681 => x"00000000", 25682 => x"00000000", 25683 => x"00000000", 25684 => x"00000000", 25685 => x"00000000", 25686 => x"00000000", 25687 => x"00000000", 25688 => x"00000000", 25689 => x"00000000", 25690 => x"00000000", 25691 => x"00000000", 25692 => x"00000000", 25693 => x"00000000", 25694 => x"00000000", 25695 => x"00000000", 25696 => x"00000000", 25697 => x"00000000", 25698 => x"00000000", 25699 => x"00000000", 25700 => x"00000000", 25701 => x"00000000", 25702 => x"00000000", 25703 => x"00000000", 25704 => x"00000000", 25705 => x"00000000", 25706 => x"00000000", 25707 => x"00000000", 25708 => x"00000000", 25709 => x"00000000", 25710 => x"00000000", 25711 => x"00000000", 25712 => x"00000000", 25713 => x"00000000", 25714 => x"00000000", 25715 => x"00000000", 25716 => x"00000000", 25717 => x"00000000", 25718 => x"00000000", 25719 => x"00000000", 25720 => x"00000000", 25721 => x"00000000", 25722 => x"00000000", 25723 => x"00000000", 25724 => x"00000000", 25725 => x"00000000", 25726 => x"00000000", 25727 => x"00000000", 25728 => x"00000000", 25729 => x"00000000", 25730 => x"00000000", 25731 => x"00000000", 25732 => x"00000000", 25733 => x"00000000", 25734 => x"00000000", 25735 => x"00000000", 25736 => x"00000000", 25737 => x"00000000", 25738 => x"00000000", 25739 => x"00000000", 25740 => x"00000000", 25741 => x"00000000", 25742 => x"00000000", 25743 => x"00000000", 25744 => x"00000000", 25745 => x"00000000", 25746 => x"00000000", 25747 => x"00000000", 25748 => x"00000000", 25749 => x"00000000", 25750 => x"00000000", 25751 => x"00000000", 25752 => x"00000000", 25753 => x"00000000", 25754 => x"00000000", 25755 => x"00000000", 25756 => x"00000000", 25757 => x"00000000", 25758 => x"00000000", 25759 => x"00000000", 25760 => x"00000000", 25761 => x"00000000", 25762 => x"00000000", 25763 => x"00000000", 25764 => x"00000000", 25765 => x"00000000", 25766 => x"00000000", 25767 => x"00000000", 25768 => x"00000000", 25769 => x"00000000", 25770 => x"00000000", 25771 => x"00000000", 25772 => x"00000000", 25773 => x"00000000", 25774 => x"00000000", 25775 => x"00000000", 25776 => x"00000000", 25777 => x"00000000", 25778 => x"00000000", 25779 => x"00000000", 25780 => x"00000000", 25781 => x"00000000", 25782 => x"00000000", 25783 => x"00000000", 25784 => x"00000000", 25785 => x"00000000", 25786 => x"00000000", 25787 => x"00000000", 25788 => x"00000000", 25789 => x"00000000", 25790 => x"00000000", 25791 => x"00000000", 25792 => x"00000000", 25793 => x"00000000", 25794 => x"00000000", 25795 => x"00000000", 25796 => x"00000000", 25797 => x"00000000", 25798 => x"00000000", 25799 => x"00000000", 25800 => x"00000000", 25801 => x"00000000", 25802 => x"00000000", 25803 => x"00000000", 25804 => x"00000000", 25805 => x"00000000", 25806 => x"00000000", 25807 => x"00000000", 25808 => x"00000000", 25809 => x"00000000", 25810 => x"00000000", 25811 => x"00000000", 25812 => x"00000000", 25813 => x"00000000", 25814 => x"00000000", 25815 => x"00000000", 25816 => x"00000000", 25817 => x"00000000", 25818 => x"00000000", 25819 => x"00000000", 25820 => x"00000000", 25821 => x"00000000", 25822 => x"00000000", 25823 => x"00000000", 25824 => x"00000000", 25825 => x"00000000", 25826 => x"00000000", 25827 => x"00000000", 25828 => x"00000000", 25829 => x"00000000", 25830 => x"00000000", 25831 => x"00000000", 25832 => x"00000000", 25833 => x"00000000", 25834 => x"00000000", 25835 => x"00000000", 25836 => x"00000000", 25837 => x"00000000", 25838 => x"00000000", 25839 => x"00000000", 25840 => x"00000000", 25841 => x"00000000", 25842 => x"00000000", 25843 => x"00000000", 25844 => x"00000000", 25845 => x"00000000", 25846 => x"00000000", 25847 => x"00000000", 25848 => x"00000000", 25849 => x"00000000", 25850 => x"00000000", 25851 => x"00000000", 25852 => x"00000000", 25853 => x"00000000", 25854 => x"00000000", 25855 => x"00000000", 25856 => x"00000000", 25857 => x"00000000", 25858 => x"00000000", 25859 => x"00000000", 25860 => x"00000000", 25861 => x"00000000", 25862 => x"00000000", 25863 => x"00000000", 25864 => x"00000000", 25865 => x"00000000", 25866 => x"00000000", 25867 => x"00000000", 25868 => x"00000000", 25869 => x"00000000", 25870 => x"00000000", 25871 => x"00000000", 25872 => x"00000000", 25873 => x"00000000", 25874 => x"00000000", 25875 => x"00000000", 25876 => x"00000000", 25877 => x"00000000", 25878 => x"00000000", 25879 => x"00000000", 25880 => x"00000000", 25881 => x"00000000", 25882 => x"00000000", 25883 => x"00000000", 25884 => x"00000000", 25885 => x"00000000", 25886 => x"00000000", 25887 => x"00000000", 25888 => x"00000000", 25889 => x"00000000", 25890 => x"00000000", 25891 => x"00000000", 25892 => x"00000000", 25893 => x"00000000", 25894 => x"00000000", 25895 => x"00000000", 25896 => x"00000000", 25897 => x"00000000", 25898 => x"00000000", 25899 => x"00000000", 25900 => x"00000000", 25901 => x"00000000", 25902 => x"00000000", 25903 => x"00000000", 25904 => x"00000000", 25905 => x"00000000", 25906 => x"00000000", 25907 => x"00000000", 25908 => x"00000000", 25909 => x"00000000", 25910 => x"00000000", 25911 => x"00000000", 25912 => x"00000000", 25913 => x"00000000", 25914 => x"00000000", 25915 => x"00000000", 25916 => x"00000000", 25917 => x"00000000", 25918 => x"00000000", 25919 => x"00000000", 25920 => x"00000000", 25921 => x"00000000", 25922 => x"00000000", 25923 => x"00000000", 25924 => x"00000000", 25925 => x"00000000", 25926 => x"00000000", 25927 => x"00000000", 25928 => x"00000000", 25929 => x"00000000", 25930 => x"00000000", 25931 => x"00000000", 25932 => x"00000000", 25933 => x"00000000", 25934 => x"00000000", 25935 => x"00000000", 25936 => x"00000000", 25937 => x"00000000", 25938 => x"00000000", 25939 => x"00000000", 25940 => x"00000000", 25941 => x"00000000", 25942 => x"00000000", 25943 => x"00000000", 25944 => x"00000000", 25945 => x"00000000", 25946 => x"00000000", 25947 => x"00000000", 25948 => x"00000000", 25949 => x"00000000", 25950 => x"00000000", 25951 => x"00000000", 25952 => x"00000000", 25953 => x"00000000", 25954 => x"00000000", 25955 => x"00000000", 25956 => x"00000000", 25957 => x"00000000", 25958 => x"00000000", 25959 => x"00000000", 25960 => x"00000000", 25961 => x"00000000", 25962 => x"00000000", 25963 => x"00000000", 25964 => x"00000000", 25965 => x"00000000", 25966 => x"00000000", 25967 => x"00000000", 25968 => x"00000000", 25969 => x"00000000", 25970 => x"00000000", 25971 => x"00000000", 25972 => x"00000000", 25973 => x"00000000", 25974 => x"00000000", 25975 => x"00000000", 25976 => x"00000000", 25977 => x"00000000", 25978 => x"00000000", 25979 => x"00000000", 25980 => x"00000000", 25981 => x"00000000", 25982 => x"00000000", 25983 => x"00000000", 25984 => x"00000000", 25985 => x"00000000", 25986 => x"00000000", 25987 => x"00000000", 25988 => x"00000000", 25989 => x"00000000", 25990 => x"00000000", 25991 => x"00000000", 25992 => x"00000000", 25993 => x"00000000", 25994 => x"00000000", 25995 => x"00000000", 25996 => x"00000000", 25997 => x"00000000", 25998 => x"00000000", 25999 => x"00000000", 26000 => x"00000000", 26001 => x"00000000", 26002 => x"00000000", 26003 => x"00000000", 26004 => x"00000000", 26005 => x"00000000", 26006 => x"00000000", 26007 => x"00000000", 26008 => x"00000000", 26009 => x"00000000", 26010 => x"00000000", 26011 => x"00000000", 26012 => x"00000000", 26013 => x"00000000", 26014 => x"00000000", 26015 => x"00000000", 26016 => x"00000000", 26017 => x"00000000", 26018 => x"00000000", 26019 => x"00000000", 26020 => x"00000000", 26021 => x"00000000", 26022 => x"00000000", 26023 => x"00000000", 26024 => x"00000000", 26025 => x"00000000", 26026 => x"00000000", 26027 => x"00000000", 26028 => x"00000000", 26029 => x"00000000", 26030 => x"00000000", 26031 => x"00000000", 26032 => x"00000000", 26033 => x"00000000", 26034 => x"00000000", 26035 => x"00000000", 26036 => x"00000000", 26037 => x"00000000", 26038 => x"00000000", 26039 => x"00000000", 26040 => x"00000000", 26041 => x"00000000", 26042 => x"00000000", 26043 => x"00000000", 26044 => x"00000000", 26045 => x"00000000", 26046 => x"00000000", 26047 => x"00000000", 26048 => x"00000000", 26049 => x"00000000", 26050 => x"00000000", 26051 => x"00000000", 26052 => x"00000000", 26053 => x"00000000", 26054 => x"00000000", 26055 => x"00000000", 26056 => x"00000000", 26057 => x"00000000", 26058 => x"00000000", 26059 => x"00000000", 26060 => x"00000000", 26061 => x"00000000", 26062 => x"00000000", 26063 => x"00000000", 26064 => x"00000000", 26065 => x"00000000", 26066 => x"00000000", 26067 => x"00000000", 26068 => x"00000000", 26069 => x"00000000", 26070 => x"00000000", 26071 => x"00000000", 26072 => x"00000000", 26073 => x"00000000", 26074 => x"00000000", 26075 => x"00000000", 26076 => x"00000000", 26077 => x"00000000", 26078 => x"00000000", 26079 => x"00000000", 26080 => x"00000000", 26081 => x"00000000", 26082 => x"00000000", 26083 => x"00000000", 26084 => x"00000000", 26085 => x"00000000", 26086 => x"00000000", 26087 => x"00000000", 26088 => x"00000000", 26089 => x"00000000", 26090 => x"00000000", 26091 => x"00000000", 26092 => x"00000000", 26093 => x"00000000", 26094 => x"00000000", 26095 => x"00000000", 26096 => x"00000000", 26097 => x"00000000", 26098 => x"00000000", 26099 => x"00000000", 26100 => x"00000000", 26101 => x"00000000", 26102 => x"00000000", 26103 => x"00000000", 26104 => x"00000000", 26105 => x"00000000", 26106 => x"00000000", 26107 => x"00000000", 26108 => x"00000000", 26109 => x"00000000", 26110 => x"00000000", 26111 => x"00000000", 26112 => x"00000000", 26113 => x"00000000", 26114 => x"00000000", 26115 => x"00000000", 26116 => x"00000000", 26117 => x"00000000", 26118 => x"00000000", 26119 => x"00000000", 26120 => x"00000000", 26121 => x"00000000", 26122 => x"00000000", 26123 => x"00000000", 26124 => x"00000000", 26125 => x"00000000", 26126 => x"00000000", 26127 => x"00000000", 26128 => x"00000000", 26129 => x"00000000", 26130 => x"00000000", 26131 => x"00000000", 26132 => x"00000000", 26133 => x"00000000", 26134 => x"00000000", 26135 => x"00000000", 26136 => x"00000000", 26137 => x"00000000", 26138 => x"00000000", 26139 => x"00000000", 26140 => x"00000000", 26141 => x"00000000", 26142 => x"00000000", 26143 => x"00000000", 26144 => x"00000000", 26145 => x"00000000", 26146 => x"00000000", 26147 => x"00000000", 26148 => x"00000000", 26149 => x"00000000", 26150 => x"00000000", 26151 => x"00000000", 26152 => x"00000000", 26153 => x"00000000", 26154 => x"00000000", 26155 => x"00000000", 26156 => x"00000000", 26157 => x"00000000", 26158 => x"00000000", 26159 => x"00000000", 26160 => x"00000000", 26161 => x"00000000", 26162 => x"00000000", 26163 => x"00000000", 26164 => x"00000000", 26165 => x"00000000", 26166 => x"00000000", 26167 => x"00000000", 26168 => x"00000000", 26169 => x"00000000", 26170 => x"00000000", 26171 => x"00000000", 26172 => x"00000000", 26173 => x"00000000", 26174 => x"00000000", 26175 => x"00000000", 26176 => x"00000000", 26177 => x"00000000", 26178 => x"00000000", 26179 => x"00000000", 26180 => x"00000000", 26181 => x"00000000", 26182 => x"00000000", 26183 => x"00000000", 26184 => x"00000000", 26185 => x"00000000", 26186 => x"00000000", 26187 => x"00000000", 26188 => x"00000000", 26189 => x"00000000", 26190 => x"00000000", 26191 => x"00000000", 26192 => x"00000000", 26193 => x"00000000", 26194 => x"00000000", 26195 => x"00000000", 26196 => x"00000000", 26197 => x"00000000", 26198 => x"00000000", 26199 => x"00000000", 26200 => x"00000000", 26201 => x"00000000", 26202 => x"00000000", 26203 => x"00000000", 26204 => x"00000000", 26205 => x"00000000", 26206 => x"00000000", 26207 => x"00000000", 26208 => x"00000000", 26209 => x"00000000", 26210 => x"00000000", 26211 => x"00000000", 26212 => x"00000000", 26213 => x"00000000", 26214 => x"00000000", 26215 => x"00000000", 26216 => x"00000000", 26217 => x"00000000", 26218 => x"00000000", 26219 => x"00000000", 26220 => x"00000000", 26221 => x"00000000", 26222 => x"00000000", 26223 => x"00000000", 26224 => x"00000000", 26225 => x"00000000", 26226 => x"00000000", 26227 => x"00000000", 26228 => x"00000000", 26229 => x"00000000", 26230 => x"00000000", 26231 => x"00000000", 26232 => x"00000000", 26233 => x"00000000", 26234 => x"00000000", 26235 => x"00000000", 26236 => x"00000000", 26237 => x"00000000", 26238 => x"00000000", 26239 => x"00000000", 26240 => x"00000000", 26241 => x"00000000", 26242 => x"00000000", 26243 => x"00000000", 26244 => x"00000000", 26245 => x"00000000", 26246 => x"00000000", 26247 => x"00000000", 26248 => x"00000000", 26249 => x"00000000", 26250 => x"00000000", 26251 => x"00000000", 26252 => x"00000000", 26253 => x"00000000", 26254 => x"00000000", 26255 => x"00000000", 26256 => x"00000000", 26257 => x"00000000", 26258 => x"00000000", 26259 => x"00000000", 26260 => x"00000000", 26261 => x"00000000", 26262 => x"00000000", 26263 => x"00000000", 26264 => x"00000000", 26265 => x"00000000", 26266 => x"00000000", 26267 => x"00000000", 26268 => x"00000000", 26269 => x"00000000", 26270 => x"00000000", 26271 => x"00000000", 26272 => x"00000000", 26273 => x"00000000", 26274 => x"00000000", 26275 => x"00000000", 26276 => x"00000000", 26277 => x"00000000", 26278 => x"00000000", 26279 => x"00000000", 26280 => x"00000000", 26281 => x"00000000", 26282 => x"00000000", 26283 => x"00000000", 26284 => x"00000000", 26285 => x"00000000", 26286 => x"00000000", 26287 => x"00000000", 26288 => x"00000000", 26289 => x"00000000", 26290 => x"00000000", 26291 => x"00000000", 26292 => x"00000000", 26293 => x"00000000", 26294 => x"00000000", 26295 => x"00000000", 26296 => x"00000000", 26297 => x"00000000", 26298 => x"00000000", 26299 => x"00000000", 26300 => x"00000000", 26301 => x"00000000", 26302 => x"00000000", 26303 => x"00000000", 26304 => x"00000000", 26305 => x"00000000", 26306 => x"00000000", 26307 => x"00000000", 26308 => x"00000000", 26309 => x"00000000", 26310 => x"00000000", 26311 => x"00000000", 26312 => x"00000000", 26313 => x"00000000", 26314 => x"00000000", 26315 => x"00000000", 26316 => x"00000000", 26317 => x"00000000", 26318 => x"00000000", 26319 => x"00000000", 26320 => x"00000000", 26321 => x"00000000", 26322 => x"00000000", 26323 => x"00000000", 26324 => x"00000000", 26325 => x"00000000", 26326 => x"00000000", 26327 => x"00000000", 26328 => x"00000000", 26329 => x"00000000", 26330 => x"00000000", 26331 => x"00000000", 26332 => x"00000000", 26333 => x"00000000", 26334 => x"00000000", 26335 => x"00000000", 26336 => x"00000000", 26337 => x"00000000", 26338 => x"00000000", 26339 => x"00000000", 26340 => x"00000000", 26341 => x"00000000", 26342 => x"00000000", 26343 => x"00000000", 26344 => x"00000000", 26345 => x"00000000", 26346 => x"00000000", 26347 => x"00000000", 26348 => x"00000000", 26349 => x"00000000", 26350 => x"00000000", 26351 => x"00000000", 26352 => x"00000000", 26353 => x"00000000", 26354 => x"00000000", 26355 => x"00000000", 26356 => x"00000000", 26357 => x"00000000", 26358 => x"00000000", 26359 => x"00000000", 26360 => x"00000000", 26361 => x"00000000", 26362 => x"00000000", 26363 => x"00000000", 26364 => x"00000000", 26365 => x"00000000", 26366 => x"00000000", 26367 => x"00000000", 26368 => x"00000000", 26369 => x"00000000", 26370 => x"00000000", 26371 => x"00000000", 26372 => x"00000000", 26373 => x"00000000", 26374 => x"00000000", 26375 => x"00000000", 26376 => x"00000000", 26377 => x"00000000", 26378 => x"00000000", 26379 => x"00000000", 26380 => x"00000000", 26381 => x"00000000", 26382 => x"00000000", 26383 => x"00000000", 26384 => x"00000000", 26385 => x"00000000", 26386 => x"00000000", 26387 => x"00000000", 26388 => x"00000000", 26389 => x"00000000", 26390 => x"00000000", 26391 => x"00000000", 26392 => x"00000000", 26393 => x"00000000", 26394 => x"00000000", 26395 => x"00000000", 26396 => x"00000000", 26397 => x"00000000", 26398 => x"00000000", 26399 => x"00000000", 26400 => x"00000000", 26401 => x"00000000", 26402 => x"00000000", 26403 => x"00000000", 26404 => x"00000000", 26405 => x"00000000", 26406 => x"00000000", 26407 => x"00000000", 26408 => x"00000000", 26409 => x"00000000", 26410 => x"00000000", 26411 => x"00000000", 26412 => x"00000000", 26413 => x"00000000", 26414 => x"00000000", 26415 => x"00000000", 26416 => x"00000000", 26417 => x"00000000", 26418 => x"00000000", 26419 => x"00000000", 26420 => x"00000000", 26421 => x"00000000", 26422 => x"00000000", 26423 => x"00000000", 26424 => x"00000000", 26425 => x"00000000", 26426 => x"00000000", 26427 => x"00000000", 26428 => x"00000000", 26429 => x"00000000", 26430 => x"00000000", 26431 => x"00000000", 26432 => x"00000000", 26433 => x"00000000", 26434 => x"00000000", 26435 => x"00000000", 26436 => x"00000000", 26437 => x"00000000", 26438 => x"00000000", 26439 => x"00000000", 26440 => x"00000000", 26441 => x"00000000", 26442 => x"00000000", 26443 => x"00000000", 26444 => x"00000000", 26445 => x"00000000", 26446 => x"00000000", 26447 => x"00000000", 26448 => x"00000000", 26449 => x"00000000", 26450 => x"00000000", 26451 => x"00000000", 26452 => x"00000000", 26453 => x"00000000", 26454 => x"00000000", 26455 => x"00000000", 26456 => x"00000000", 26457 => x"00000000", 26458 => x"00000000", 26459 => x"00000000", 26460 => x"00000000", 26461 => x"00000000", 26462 => x"00000000", 26463 => x"00000000", 26464 => x"00000000", 26465 => x"00000000", 26466 => x"00000000", 26467 => x"00000000", 26468 => x"00000000", 26469 => x"00000000", 26470 => x"00000000", 26471 => x"00000000", 26472 => x"00000000", 26473 => x"00000000", 26474 => x"00000000", 26475 => x"00000000", 26476 => x"00000000", 26477 => x"00000000", 26478 => x"00000000", 26479 => x"00000000", 26480 => x"00000000", 26481 => x"00000000", 26482 => x"00000000", 26483 => x"00000000", 26484 => x"00000000", 26485 => x"00000000", 26486 => x"00000000", 26487 => x"00000000", 26488 => x"00000000", 26489 => x"00000000", 26490 => x"00000000", 26491 => x"00000000", 26492 => x"00000000", 26493 => x"00000000", 26494 => x"00000000", 26495 => x"00000000", 26496 => x"00000000", 26497 => x"00000000", 26498 => x"00000000", 26499 => x"00000000", 26500 => x"00000000", 26501 => x"00000000", 26502 => x"00000000", 26503 => x"00000000", 26504 => x"00000000", 26505 => x"00000000", 26506 => x"00000000", 26507 => x"00000000", 26508 => x"00000000", 26509 => x"00000000", 26510 => x"00000000", 26511 => x"00000000", 26512 => x"00000000", 26513 => x"00000000", 26514 => x"00000000", 26515 => x"00000000", 26516 => x"00000000", 26517 => x"00000000", 26518 => x"00000000", 26519 => x"00000000", 26520 => x"00000000", 26521 => x"00000000", 26522 => x"00000000", 26523 => x"00000000", 26524 => x"00000000", 26525 => x"00000000", 26526 => x"00000000", 26527 => x"00000000", 26528 => x"00000000", 26529 => x"00000000", 26530 => x"00000000", 26531 => x"00000000", 26532 => x"00000000", 26533 => x"00000000", 26534 => x"00000000", 26535 => x"00000000", 26536 => x"00000000", 26537 => x"00000000", 26538 => x"00000000", 26539 => x"00000000", 26540 => x"00000000", 26541 => x"00000000", 26542 => x"00000000", 26543 => x"00000000", 26544 => x"00000000", 26545 => x"00000000", 26546 => x"00000000", 26547 => x"00000000", 26548 => x"00000000", 26549 => x"00000000", 26550 => x"00000000", 26551 => x"00000000", 26552 => x"00000000", 26553 => x"00000000", 26554 => x"00000000", 26555 => x"00000000", 26556 => x"00000000", 26557 => x"00000000", 26558 => x"00000000", 26559 => x"00000000", 26560 => x"00000000", 26561 => x"00000000", 26562 => x"00000000", 26563 => x"00000000", 26564 => x"00000000", 26565 => x"00000000", 26566 => x"00000000", 26567 => x"00000000", 26568 => x"00000000", 26569 => x"00000000", 26570 => x"00000000", 26571 => x"00000000", 26572 => x"00000000", 26573 => x"00000000", 26574 => x"00000000", 26575 => x"00000000", 26576 => x"00000000", 26577 => x"00000000", 26578 => x"00000000", 26579 => x"00000000", 26580 => x"00000000", 26581 => x"00000000", 26582 => x"00000000", 26583 => x"00000000", 26584 => x"00000000", 26585 => x"00000000", 26586 => x"00000000", 26587 => x"00000000", 26588 => x"00000000", 26589 => x"00000000", 26590 => x"00000000", 26591 => x"00000000", 26592 => x"00000000", 26593 => x"00000000", 26594 => x"00000000", 26595 => x"00000000", 26596 => x"00000000", 26597 => x"00000000", 26598 => x"00000000", 26599 => x"00000000", 26600 => x"00000000", 26601 => x"00000000", 26602 => x"00000000", 26603 => x"00000000", 26604 => x"00000000", 26605 => x"00000000", 26606 => x"00000000", 26607 => x"00000000", 26608 => x"00000000", 26609 => x"00000000", 26610 => x"00000000", 26611 => x"00000000", 26612 => x"00000000", 26613 => x"00000000", 26614 => x"00000000", 26615 => x"00000000", 26616 => x"00000000", 26617 => x"00000000", 26618 => x"00000000", 26619 => x"00000000", 26620 => x"00000000", 26621 => x"00000000", 26622 => x"00000000", 26623 => x"00000000", 26624 => x"00000000", 26625 => x"00000000", 26626 => x"00000000", 26627 => x"00000000", 26628 => x"00000000", 26629 => x"00000000", 26630 => x"00000000", 26631 => x"00000000", 26632 => x"00000000", 26633 => x"00000000", 26634 => x"00000000", 26635 => x"00000000", 26636 => x"00000000", 26637 => x"00000000", 26638 => x"00000000", 26639 => x"00000000", 26640 => x"00000000", 26641 => x"00000000", 26642 => x"00000000", 26643 => x"00000000", 26644 => x"00000000", 26645 => x"00000000", 26646 => x"00000000", 26647 => x"00000000", 26648 => x"00000000", 26649 => x"00000000", 26650 => x"00000000", 26651 => x"00000000", 26652 => x"00000000", 26653 => x"00000000", 26654 => x"00000000", 26655 => x"00000000", 26656 => x"00000000", 26657 => x"00000000", 26658 => x"00000000", 26659 => x"00000000", 26660 => x"00000000", 26661 => x"00000000", 26662 => x"00000000", 26663 => x"00000000", 26664 => x"00000000", 26665 => x"00000000", 26666 => x"00000000", 26667 => x"00000000", 26668 => x"00000000", 26669 => x"00000000", 26670 => x"00000000", 26671 => x"00000000", 26672 => x"00000000", 26673 => x"00000000", 26674 => x"00000000", 26675 => x"00000000", 26676 => x"00000000", 26677 => x"00000000", 26678 => x"00000000", 26679 => x"00000000", 26680 => x"00000000", 26681 => x"00000000", 26682 => x"00000000", 26683 => x"00000000", 26684 => x"00000000", 26685 => x"00000000", 26686 => x"00000000", 26687 => x"00000000", 26688 => x"00000000", 26689 => x"00000000", 26690 => x"00000000", 26691 => x"00000000", 26692 => x"00000000", 26693 => x"00000000", 26694 => x"00000000", 26695 => x"00000000", 26696 => x"00000000", 26697 => x"00000000", 26698 => x"00000000", 26699 => x"00000000", 26700 => x"00000000", 26701 => x"00000000", 26702 => x"00000000", 26703 => x"00000000", 26704 => x"00000000", 26705 => x"00000000", 26706 => x"00000000", 26707 => x"00000000", 26708 => x"00000000", 26709 => x"00000000", 26710 => x"00000000", 26711 => x"00000000", 26712 => x"00000000", 26713 => x"00000000", 26714 => x"00000000", 26715 => x"00000000", 26716 => x"00000000", 26717 => x"00000000", 26718 => x"00000000", 26719 => x"00000000", 26720 => x"00000000", 26721 => x"00000000", 26722 => x"00000000", 26723 => x"00000000", 26724 => x"00000000", 26725 => x"00000000", 26726 => x"00000000", 26727 => x"00000000", 26728 => x"00000000", 26729 => x"00000000", 26730 => x"00000000", 26731 => x"00000000", 26732 => x"00000000", 26733 => x"00000000", 26734 => x"00000000", 26735 => x"00000000", 26736 => x"00000000", 26737 => x"00000000", 26738 => x"00000000", 26739 => x"00000000", 26740 => x"00000000", 26741 => x"00000000", 26742 => x"00000000", 26743 => x"00000000", 26744 => x"00000000", 26745 => x"00000000", 26746 => x"00000000", 26747 => x"00000000", 26748 => x"00000000", 26749 => x"00000000", 26750 => x"00000000", 26751 => x"00000000", 26752 => x"00000000", 26753 => x"00000000", 26754 => x"00000000", 26755 => x"00000000", 26756 => x"00000000", 26757 => x"00000000", 26758 => x"00000000", 26759 => x"00000000", 26760 => x"00000000", 26761 => x"00000000", 26762 => x"00000000", 26763 => x"00000000", 26764 => x"00000000", 26765 => x"00000000", 26766 => x"00000000", 26767 => x"00000000", 26768 => x"00000000", 26769 => x"00000000", 26770 => x"00000000", 26771 => x"00000000", 26772 => x"00000000", 26773 => x"00000000", 26774 => x"00000000", 26775 => x"00000000", 26776 => x"00000000", 26777 => x"00000000", 26778 => x"00000000", 26779 => x"00000000", 26780 => x"00000000", 26781 => x"00000000", 26782 => x"00000000", 26783 => x"00000000", 26784 => x"00000000", 26785 => x"00000000", 26786 => x"00000000", 26787 => x"00000000", 26788 => x"00000000", 26789 => x"00000000", 26790 => x"00000000", 26791 => x"00000000", 26792 => x"00000000", 26793 => x"00000000", 26794 => x"00000000", 26795 => x"00000000", 26796 => x"00000000", 26797 => x"00000000", 26798 => x"00000000", 26799 => x"00000000", 26800 => x"00000000", 26801 => x"00000000", 26802 => x"00000000", 26803 => x"00000000", 26804 => x"00000000", 26805 => x"00000000", 26806 => x"00000000", 26807 => x"00000000", 26808 => x"00000000", 26809 => x"00000000", 26810 => x"00000000", 26811 => x"00000000", 26812 => x"00000000", 26813 => x"00000000", 26814 => x"00000000", 26815 => x"00000000", 26816 => x"00000000", 26817 => x"00000000", 26818 => x"00000000", 26819 => x"00000000", 26820 => x"00000000", 26821 => x"00000000", 26822 => x"00000000", 26823 => x"00000000", 26824 => x"00000000", 26825 => x"00000000", 26826 => x"00000000", 26827 => x"00000000", 26828 => x"00000000", 26829 => x"00000000", 26830 => x"00000000", 26831 => x"00000000", 26832 => x"00000000", 26833 => x"00000000", 26834 => x"00000000", 26835 => x"00000000", 26836 => x"00000000", 26837 => x"00000000", 26838 => x"00000000", 26839 => x"00000000", 26840 => x"00000000", 26841 => x"00000000", 26842 => x"00000000", 26843 => x"00000000", 26844 => x"00000000", 26845 => x"00000000", 26846 => x"00000000", 26847 => x"00000000", 26848 => x"00000000", 26849 => x"00000000", 26850 => x"00000000", 26851 => x"00000000", 26852 => x"00000000", 26853 => x"00000000", 26854 => x"00000000", 26855 => x"00000000", 26856 => x"00000000", 26857 => x"00000000", 26858 => x"00000000", 26859 => x"00000000", 26860 => x"00000000", 26861 => x"00000000", 26862 => x"00000000", 26863 => x"00000000", 26864 => x"00000000", 26865 => x"00000000", 26866 => x"00000000", 26867 => x"00000000", 26868 => x"00000000", 26869 => x"00000000", 26870 => x"00000000", 26871 => x"00000000", 26872 => x"00000000", 26873 => x"00000000", 26874 => x"00000000", 26875 => x"00000000", 26876 => x"00000000", 26877 => x"00000000", 26878 => x"00000000", 26879 => x"00000000", 26880 => x"00000000", 26881 => x"00000000", 26882 => x"00000000", 26883 => x"00000000", 26884 => x"00000000", 26885 => x"00000000", 26886 => x"00000000", 26887 => x"00000000", 26888 => x"00000000", 26889 => x"00000000", 26890 => x"00000000", 26891 => x"00000000", 26892 => x"00000000", 26893 => x"00000000", 26894 => x"00000000", 26895 => x"00000000", 26896 => x"00000000", 26897 => x"00000000", 26898 => x"00000000", 26899 => x"00000000", 26900 => x"00000000", 26901 => x"00000000", 26902 => x"00000000", 26903 => x"00000000", 26904 => x"00000000", 26905 => x"00000000", 26906 => x"00000000", 26907 => x"00000000", 26908 => x"00000000", 26909 => x"00000000", 26910 => x"00000000", 26911 => x"00000000", 26912 => x"00000000", 26913 => x"00000000", 26914 => x"00000000", 26915 => x"00000000", 26916 => x"00000000", 26917 => x"00000000", 26918 => x"00000000", 26919 => x"00000000", 26920 => x"00000000", 26921 => x"00000000", 26922 => x"00000000", 26923 => x"00000000", 26924 => x"00000000", 26925 => x"00000000", 26926 => x"00000000", 26927 => x"00000000", 26928 => x"00000000", 26929 => x"00000000", 26930 => x"00000000", 26931 => x"00000000", 26932 => x"00000000", 26933 => x"00000000", 26934 => x"00000000", 26935 => x"00000000", 26936 => x"00000000", 26937 => x"00000000", 26938 => x"00000000", 26939 => x"00000000", 26940 => x"00000000", 26941 => x"00000000", 26942 => x"00000000", 26943 => x"00000000", 26944 => x"00000000", 26945 => x"00000000", 26946 => x"00000000", 26947 => x"00000000", 26948 => x"00000000", 26949 => x"00000000", 26950 => x"00000000", 26951 => x"00000000", 26952 => x"00000000", 26953 => x"00000000", 26954 => x"00000000", 26955 => x"00000000", 26956 => x"00000000", 26957 => x"00000000", 26958 => x"00000000", 26959 => x"00000000", 26960 => x"00000000", 26961 => x"00000000", 26962 => x"00000000", 26963 => x"00000000", 26964 => x"00000000", 26965 => x"00000000", 26966 => x"00000000", 26967 => x"00000000", 26968 => x"00000000", 26969 => x"00000000", 26970 => x"00000000", 26971 => x"00000000", 26972 => x"00000000", 26973 => x"00000000", 26974 => x"00000000", 26975 => x"00000000", 26976 => x"00000000", 26977 => x"00000000", 26978 => x"00000000", 26979 => x"00000000", 26980 => x"00000000", 26981 => x"00000000", 26982 => x"00000000", 26983 => x"00000000", 26984 => x"00000000", 26985 => x"00000000", 26986 => x"00000000", 26987 => x"00000000", 26988 => x"00000000", 26989 => x"00000000", 26990 => x"00000000", 26991 => x"00000000", 26992 => x"00000000", 26993 => x"00000000", 26994 => x"00000000", 26995 => x"00000000", 26996 => x"00000000", 26997 => x"00000000", 26998 => x"00000000", 26999 => x"00000000", 27000 => x"00000000", 27001 => x"00000000", 27002 => x"00000000", 27003 => x"00000000", 27004 => x"00000000", 27005 => x"00000000", 27006 => x"00000000", 27007 => x"00000000", 27008 => x"00000000", 27009 => x"00000000", 27010 => x"00000000", 27011 => x"00000000", 27012 => x"00000000", 27013 => x"00000000", 27014 => x"00000000", 27015 => x"00000000", 27016 => x"00000000", 27017 => x"00000000", 27018 => x"00000000", 27019 => x"00000000", 27020 => x"00000000", 27021 => x"00000000", 27022 => x"00000000", 27023 => x"00000000", 27024 => x"00000000", 27025 => x"00000000", 27026 => x"00000000", 27027 => x"00000000", 27028 => x"00000000", 27029 => x"00000000", 27030 => x"00000000", 27031 => x"00000000", 27032 => x"00000000", 27033 => x"00000000", 27034 => x"00000000", 27035 => x"00000000", 27036 => x"00000000", 27037 => x"00000000", 27038 => x"00000000", 27039 => x"00000000", 27040 => x"00000000", 27041 => x"00000000", 27042 => x"00000000", 27043 => x"00000000", 27044 => x"00000000", 27045 => x"00000000", 27046 => x"00000000", 27047 => x"00000000", 27048 => x"00000000", 27049 => x"00000000", 27050 => x"00000000", 27051 => x"00000000", 27052 => x"00000000", 27053 => x"00000000", 27054 => x"00000000", 27055 => x"00000000", 27056 => x"00000000", 27057 => x"00000000", 27058 => x"00000000", 27059 => x"00000000", 27060 => x"00000000", 27061 => x"00000000", 27062 => x"00000000", 27063 => x"00000000", 27064 => x"00000000", 27065 => x"00000000", 27066 => x"00000000", 27067 => x"00000000", 27068 => x"00000000", 27069 => x"00000000", 27070 => x"00000000", 27071 => x"00000000", 27072 => x"00000000", 27073 => x"00000000", 27074 => x"00000000", 27075 => x"00000000", 27076 => x"00000000", 27077 => x"00000000", 27078 => x"00000000", 27079 => x"00000000", 27080 => x"00000000", 27081 => x"00000000", 27082 => x"00000000", 27083 => x"00000000", 27084 => x"00000000", 27085 => x"00000000", 27086 => x"00000000", 27087 => x"00000000", 27088 => x"00000000", 27089 => x"00000000", 27090 => x"00000000", 27091 => x"00000000", 27092 => x"00000000", 27093 => x"00000000", 27094 => x"00000000", 27095 => x"00000000", 27096 => x"00000000", 27097 => x"00000000", 27098 => x"00000000", 27099 => x"00000000", 27100 => x"00000000", 27101 => x"00000000", 27102 => x"00000000", 27103 => x"00000000", 27104 => x"00000000", 27105 => x"00000000", 27106 => x"00000000", 27107 => x"00000000", 27108 => x"00000000", 27109 => x"00000000", 27110 => x"00000000", 27111 => x"00000000", 27112 => x"00000000", 27113 => x"00000000", 27114 => x"00000000", 27115 => x"00000000", 27116 => x"00000000", 27117 => x"00000000", 27118 => x"00000000", 27119 => x"00000000", 27120 => x"00000000", 27121 => x"00000000", 27122 => x"00000000", 27123 => x"00000000", 27124 => x"00000000", 27125 => x"00000000", 27126 => x"00000000", 27127 => x"00000000", 27128 => x"00000000", 27129 => x"00000000", 27130 => x"00000000", 27131 => x"00000000", 27132 => x"00000000", 27133 => x"00000000", 27134 => x"00000000", 27135 => x"00000000", 27136 => x"00000000", 27137 => x"00000000", 27138 => x"00000000", 27139 => x"00000000", 27140 => x"00000000", 27141 => x"00000000", 27142 => x"00000000", 27143 => x"00000000", 27144 => x"00000000", 27145 => x"00000000", 27146 => x"00000000", 27147 => x"00000000", 27148 => x"00000000", 27149 => x"00000000", 27150 => x"00000000", 27151 => x"00000000", 27152 => x"00000000", 27153 => x"00000000", 27154 => x"00000000", 27155 => x"00000000", 27156 => x"00000000", 27157 => x"00000000", 27158 => x"00000000", 27159 => x"00000000", 27160 => x"00000000", 27161 => x"00000000", 27162 => x"00000000", 27163 => x"00000000", 27164 => x"00000000", 27165 => x"00000000", 27166 => x"00000000", 27167 => x"00000000", 27168 => x"00000000", 27169 => x"00000000", 27170 => x"00000000", 27171 => x"00000000", 27172 => x"00000000", 27173 => x"00000000", 27174 => x"00000000", 27175 => x"00000000", 27176 => x"00000000", 27177 => x"00000000", 27178 => x"00000000", 27179 => x"00000000", 27180 => x"00000000", 27181 => x"00000000", 27182 => x"00000000", 27183 => x"00000000", 27184 => x"00000000", 27185 => x"00000000", 27186 => x"00000000", 27187 => x"00000000", 27188 => x"00000000", 27189 => x"00000000", 27190 => x"00000000", 27191 => x"00000000", 27192 => x"00000000", 27193 => x"00000000", 27194 => x"00000000", 27195 => x"00000000", 27196 => x"00000000", 27197 => x"00000000", 27198 => x"00000000", 27199 => x"00000000", 27200 => x"00000000", 27201 => x"00000000", 27202 => x"00000000", 27203 => x"00000000", 27204 => x"00000000", 27205 => x"00000000", 27206 => x"00000000", 27207 => x"00000000", 27208 => x"00000000", 27209 => x"00000000", 27210 => x"00000000", 27211 => x"00000000", 27212 => x"00000000", 27213 => x"00000000", 27214 => x"00000000", 27215 => x"00000000", 27216 => x"00000000", 27217 => x"00000000", 27218 => x"00000000", 27219 => x"00000000", 27220 => x"00000000", 27221 => x"00000000", 27222 => x"00000000", 27223 => x"00000000", 27224 => x"00000000", 27225 => x"00000000", 27226 => x"00000000", 27227 => x"00000000", 27228 => x"00000000", 27229 => x"00000000", 27230 => x"00000000", 27231 => x"00000000", 27232 => x"00000000", 27233 => x"00000000", 27234 => x"00000000", 27235 => x"00000000", 27236 => x"00000000", 27237 => x"00000000", 27238 => x"00000000", 27239 => x"00000000", 27240 => x"00000000", 27241 => x"00000000", 27242 => x"00000000", 27243 => x"00000000", 27244 => x"00000000", 27245 => x"00000000", 27246 => x"00000000", 27247 => x"00000000", 27248 => x"00000000", 27249 => x"00000000", 27250 => x"00000000", 27251 => x"00000000", 27252 => x"00000000", 27253 => x"00000000", 27254 => x"00000000", 27255 => x"00000000", 27256 => x"00000000", 27257 => x"00000000", 27258 => x"00000000", 27259 => x"00000000", 27260 => x"00000000", 27261 => x"00000000", 27262 => x"00000000", 27263 => x"00000000", 27264 => x"00000000", 27265 => x"00000000", 27266 => x"00000000", 27267 => x"00000000", 27268 => x"00000000", 27269 => x"00000000", 27270 => x"00000000", 27271 => x"00000000", 27272 => x"00000000", 27273 => x"00000000", 27274 => x"00000000", 27275 => x"00000000", 27276 => x"00000000", 27277 => x"00000000", 27278 => x"00000000", 27279 => x"00000000", 27280 => x"00000000", 27281 => x"00000000", 27282 => x"00000000", 27283 => x"00000000", 27284 => x"00000000", 27285 => x"00000000", 27286 => x"00000000", 27287 => x"00000000", 27288 => x"00000000", 27289 => x"00000000", 27290 => x"00000000", 27291 => x"00000000", 27292 => x"00000000", 27293 => x"00000000", 27294 => x"00000000", 27295 => x"00000000", 27296 => x"00000000", 27297 => x"00000000", 27298 => x"00000000", 27299 => x"00000000", 27300 => x"00000000", 27301 => x"00000000", 27302 => x"00000000", 27303 => x"00000000", 27304 => x"00000000", 27305 => x"00000000", 27306 => x"00000000", 27307 => x"00000000", 27308 => x"00000000", 27309 => x"00000000", 27310 => x"00000000", 27311 => x"00000000", 27312 => x"00000000", 27313 => x"00000000", 27314 => x"00000000", 27315 => x"00000000", 27316 => x"00000000", 27317 => x"00000000", 27318 => x"00000000", 27319 => x"00000000", 27320 => x"00000000", 27321 => x"00000000", 27322 => x"00000000", 27323 => x"00000000", 27324 => x"00000000", 27325 => x"00000000", 27326 => x"00000000", 27327 => x"00000000", 27328 => x"00000000", 27329 => x"00000000", 27330 => x"00000000", 27331 => x"00000000", 27332 => x"00000000", 27333 => x"00000000", 27334 => x"00000000", 27335 => x"00000000", 27336 => x"00000000", 27337 => x"00000000", 27338 => x"00000000", 27339 => x"00000000", 27340 => x"00000000", 27341 => x"00000000", 27342 => x"00000000", 27343 => x"00000000", 27344 => x"00000000", 27345 => x"00000000", 27346 => x"00000000", 27347 => x"00000000", 27348 => x"00000000", 27349 => x"00000000", 27350 => x"00000000", 27351 => x"00000000", 27352 => x"00000000", 27353 => x"00000000", 27354 => x"00000000", 27355 => x"00000000", 27356 => x"00000000", 27357 => x"00000000", 27358 => x"00000000", 27359 => x"00000000", 27360 => x"00000000", 27361 => x"00000000", 27362 => x"00000000", 27363 => x"00000000", 27364 => x"00000000", 27365 => x"00000000", 27366 => x"00000000", 27367 => x"00000000", 27368 => x"00000000", 27369 => x"00000000", 27370 => x"00000000", 27371 => x"00000000", 27372 => x"00000000", 27373 => x"00000000", 27374 => x"00000000", 27375 => x"00000000", 27376 => x"00000000", 27377 => x"00000000", 27378 => x"00000000", 27379 => x"00000000", 27380 => x"00000000", 27381 => x"00000000", 27382 => x"00000000", 27383 => x"00000000", 27384 => x"00000000", 27385 => x"00000000", 27386 => x"00000000", 27387 => x"00000000", 27388 => x"00000000", 27389 => x"00000000", 27390 => x"00000000", 27391 => x"00000000", 27392 => x"00000000", 27393 => x"00000000", 27394 => x"00000000", 27395 => x"00000000", 27396 => x"00000000", 27397 => x"00000000", 27398 => x"00000000", 27399 => x"00000000", 27400 => x"00000000", 27401 => x"00000000", 27402 => x"00000000", 27403 => x"00000000", 27404 => x"00000000", 27405 => x"00000000", 27406 => x"00000000", 27407 => x"00000000", 27408 => x"00000000", 27409 => x"00000000", 27410 => x"00000000", 27411 => x"00000000", 27412 => x"00000000", 27413 => x"00000000", 27414 => x"00000000", 27415 => x"00000000", 27416 => x"00000000", 27417 => x"00000000", 27418 => x"00000000", 27419 => x"00000000", 27420 => x"00000000", 27421 => x"00000000", 27422 => x"00000000", 27423 => x"00000000", 27424 => x"00000000", 27425 => x"00000000", 27426 => x"00000000", 27427 => x"00000000", 27428 => x"00000000", 27429 => x"00000000", 27430 => x"00000000", 27431 => x"00000000", 27432 => x"00000000", 27433 => x"00000000", 27434 => x"00000000", 27435 => x"00000000", 27436 => x"00000000", 27437 => x"00000000", 27438 => x"00000000", 27439 => x"00000000", 27440 => x"00000000", 27441 => x"00000000", 27442 => x"00000000", 27443 => x"00000000", 27444 => x"00000000", 27445 => x"00000000", 27446 => x"00000000", 27447 => x"00000000", 27448 => x"00000000", 27449 => x"00000000", 27450 => x"00000000", 27451 => x"00000000", 27452 => x"00000000", 27453 => x"00000000", 27454 => x"00000000", 27455 => x"00000000", 27456 => x"00000000", 27457 => x"00000000", 27458 => x"00000000", 27459 => x"00000000", 27460 => x"00000000", 27461 => x"00000000", 27462 => x"00000000", 27463 => x"00000000", 27464 => x"00000000", 27465 => x"00000000", 27466 => x"00000000", 27467 => x"00000000", 27468 => x"00000000", 27469 => x"00000000", 27470 => x"00000000", 27471 => x"00000000", 27472 => x"00000000", 27473 => x"00000000", 27474 => x"00000000", 27475 => x"00000000", 27476 => x"00000000", 27477 => x"00000000", 27478 => x"00000000", 27479 => x"00000000", 27480 => x"00000000", 27481 => x"00000000", 27482 => x"00000000", 27483 => x"00000000", 27484 => x"00000000", 27485 => x"00000000", 27486 => x"00000000", 27487 => x"00000000", 27488 => x"00000000", 27489 => x"00000000", 27490 => x"00000000", 27491 => x"00000000", 27492 => x"00000000", 27493 => x"00000000", 27494 => x"00000000", 27495 => x"00000000", 27496 => x"00000000", 27497 => x"00000000", 27498 => x"00000000", 27499 => x"00000000", 27500 => x"00000000", 27501 => x"00000000", 27502 => x"00000000", 27503 => x"00000000", 27504 => x"00000000", 27505 => x"00000000", 27506 => x"00000000", 27507 => x"00000000", 27508 => x"00000000", 27509 => x"00000000", 27510 => x"00000000", 27511 => x"00000000", 27512 => x"00000000", 27513 => x"00000000", 27514 => x"00000000", 27515 => x"00000000", 27516 => x"00000000", 27517 => x"00000000", 27518 => x"00000000", 27519 => x"00000000", 27520 => x"00000000", 27521 => x"00000000", 27522 => x"00000000", 27523 => x"00000000", 27524 => x"00000000", 27525 => x"00000000", 27526 => x"00000000", 27527 => x"00000000", 27528 => x"00000000", 27529 => x"00000000", 27530 => x"00000000", 27531 => x"00000000", 27532 => x"00000000", 27533 => x"00000000", 27534 => x"00000000", 27535 => x"00000000", 27536 => x"00000000", 27537 => x"00000000", 27538 => x"00000000", 27539 => x"00000000", 27540 => x"00000000", 27541 => x"00000000", 27542 => x"00000000", 27543 => x"00000000", 27544 => x"00000000", 27545 => x"00000000", 27546 => x"00000000", 27547 => x"00000000", 27548 => x"00000000", 27549 => x"00000000", 27550 => x"00000000", 27551 => x"00000000", 27552 => x"00000000", 27553 => x"00000000", 27554 => x"00000000", 27555 => x"00000000", 27556 => x"00000000", 27557 => x"00000000", 27558 => x"00000000", 27559 => x"00000000", 27560 => x"00000000", 27561 => x"00000000", 27562 => x"00000000", 27563 => x"00000000", 27564 => x"00000000", 27565 => x"00000000", 27566 => x"00000000", 27567 => x"00000000", 27568 => x"00000000", 27569 => x"00000000", 27570 => x"00000000", 27571 => x"00000000", 27572 => x"00000000", 27573 => x"00000000", 27574 => x"00000000", 27575 => x"00000000", 27576 => x"00000000", 27577 => x"00000000", 27578 => x"00000000", 27579 => x"00000000", 27580 => x"00000000", 27581 => x"00000000", 27582 => x"00000000", 27583 => x"00000000", 27584 => x"00000000", 27585 => x"00000000", 27586 => x"00000000", 27587 => x"00000000", 27588 => x"00000000", 27589 => x"00000000", 27590 => x"00000000", 27591 => x"00000000", 27592 => x"00000000", 27593 => x"00000000", 27594 => x"00000000", 27595 => x"00000000", 27596 => x"00000000", 27597 => x"00000000", 27598 => x"00000000", 27599 => x"00000000", 27600 => x"00000000", 27601 => x"00000000", 27602 => x"00000000", 27603 => x"00000000", 27604 => x"00000000", 27605 => x"00000000", 27606 => x"00000000", 27607 => x"00000000", 27608 => x"00000000", 27609 => x"00000000", 27610 => x"00000000", 27611 => x"00000000", 27612 => x"00000000", 27613 => x"00000000", 27614 => x"00000000", 27615 => x"00000000", 27616 => x"00000000", 27617 => x"00000000", 27618 => x"00000000", 27619 => x"00000000", 27620 => x"00000000", 27621 => x"00000000", 27622 => x"00000000", 27623 => x"00000000", 27624 => x"00000000", 27625 => x"00000000", 27626 => x"00000000", 27627 => x"00000000", 27628 => x"00000000", 27629 => x"00000000", 27630 => x"00000000", 27631 => x"00000000", 27632 => x"00000000", 27633 => x"00000000", 27634 => x"00000000", 27635 => x"00000000", 27636 => x"00000000", 27637 => x"00000000", 27638 => x"00000000", 27639 => x"00000000", 27640 => x"00000000", 27641 => x"00000000", 27642 => x"00000000", 27643 => x"00000000", 27644 => x"00000000", 27645 => x"00000000", 27646 => x"00000000", 27647 => x"00000000", 27648 => x"00000000", 27649 => x"00000000", 27650 => x"00000000", 27651 => x"00000000", 27652 => x"00000000", 27653 => x"00000000", 27654 => x"00000000", 27655 => x"00000000", 27656 => x"00000000", 27657 => x"00000000", 27658 => x"00000000", 27659 => x"00000000", 27660 => x"00000000", 27661 => x"00000000", 27662 => x"00000000", 27663 => x"00000000", 27664 => x"00000000", 27665 => x"00000000", 27666 => x"00000000", 27667 => x"00000000", 27668 => x"00000000", 27669 => x"00000000", 27670 => x"00000000", 27671 => x"00000000", 27672 => x"00000000", 27673 => x"00000000", 27674 => x"00000000", 27675 => x"00000000", 27676 => x"00000000", 27677 => x"00000000", 27678 => x"00000000", 27679 => x"00000000", 27680 => x"00000000", 27681 => x"00000000", 27682 => x"00000000", 27683 => x"00000000", 27684 => x"00000000", 27685 => x"00000000", 27686 => x"00000000", 27687 => x"00000000", 27688 => x"00000000", 27689 => x"00000000", 27690 => x"00000000", 27691 => x"00000000", 27692 => x"00000000", 27693 => x"00000000", 27694 => x"00000000", 27695 => x"00000000", 27696 => x"00000000", 27697 => x"00000000", 27698 => x"00000000", 27699 => x"00000000", 27700 => x"00000000", 27701 => x"00000000", 27702 => x"00000000", 27703 => x"00000000", 27704 => x"00000000", 27705 => x"00000000", 27706 => x"00000000", 27707 => x"00000000", 27708 => x"00000000", 27709 => x"00000000", 27710 => x"00000000", 27711 => x"00000000", 27712 => x"00000000", 27713 => x"00000000", 27714 => x"00000000", 27715 => x"00000000", 27716 => x"00000000", 27717 => x"00000000", 27718 => x"00000000", 27719 => x"00000000", 27720 => x"00000000", 27721 => x"00000000", 27722 => x"00000000", 27723 => x"00000000", 27724 => x"00000000", 27725 => x"00000000", 27726 => x"00000000", 27727 => x"00000000", 27728 => x"00000000", 27729 => x"00000000", 27730 => x"00000000", 27731 => x"00000000", 27732 => x"00000000", 27733 => x"00000000", 27734 => x"00000000", 27735 => x"00000000", 27736 => x"00000000", 27737 => x"00000000", 27738 => x"00000000", 27739 => x"00000000", 27740 => x"00000000", 27741 => x"00000000", 27742 => x"00000000", 27743 => x"00000000", 27744 => x"00000000", 27745 => x"00000000", 27746 => x"00000000", 27747 => x"00000000", 27748 => x"00000000", 27749 => x"00000000", 27750 => x"00000000", 27751 => x"00000000", 27752 => x"00000000", 27753 => x"00000000", 27754 => x"00000000", 27755 => x"00000000", 27756 => x"00000000", 27757 => x"00000000", 27758 => x"00000000", 27759 => x"00000000", 27760 => x"00000000", 27761 => x"00000000", 27762 => x"00000000", 27763 => x"00000000", 27764 => x"00000000", 27765 => x"00000000", 27766 => x"00000000", 27767 => x"00000000", 27768 => x"00000000", 27769 => x"00000000", 27770 => x"00000000", 27771 => x"00000000", 27772 => x"00000000", 27773 => x"00000000", 27774 => x"00000000", 27775 => x"00000000", 27776 => x"00000000", 27777 => x"00000000", 27778 => x"00000000", 27779 => x"00000000", 27780 => x"00000000", 27781 => x"00000000", 27782 => x"00000000", 27783 => x"00000000", 27784 => x"00000000", 27785 => x"00000000", 27786 => x"00000000", 27787 => x"00000000", 27788 => x"00000000", 27789 => x"00000000", 27790 => x"00000000", 27791 => x"00000000", 27792 => x"00000000", 27793 => x"00000000", 27794 => x"00000000", 27795 => x"00000000", 27796 => x"00000000", 27797 => x"00000000", 27798 => x"00000000", 27799 => x"00000000", 27800 => x"00000000", 27801 => x"00000000", 27802 => x"00000000", 27803 => x"00000000", 27804 => x"00000000", 27805 => x"00000000", 27806 => x"00000000", 27807 => x"00000000", 27808 => x"00000000", 27809 => x"00000000", 27810 => x"00000000", 27811 => x"00000000", 27812 => x"00000000", 27813 => x"00000000", 27814 => x"00000000", 27815 => x"00000000", 27816 => x"00000000", 27817 => x"00000000", 27818 => x"00000000", 27819 => x"00000000", 27820 => x"00000000", 27821 => x"00000000", 27822 => x"00000000", 27823 => x"00000000", 27824 => x"00000000", 27825 => x"00000000", 27826 => x"00000000", 27827 => x"00000000", 27828 => x"00000000", 27829 => x"00000000", 27830 => x"00000000", 27831 => x"00000000", 27832 => x"00000000", 27833 => x"00000000", 27834 => x"00000000", 27835 => x"00000000", 27836 => x"00000000", 27837 => x"00000000", 27838 => x"00000000", 27839 => x"00000000", 27840 => x"00000000", 27841 => x"00000000", 27842 => x"00000000", 27843 => x"00000000", 27844 => x"00000000", 27845 => x"00000000", 27846 => x"00000000", 27847 => x"00000000", 27848 => x"00000000", 27849 => x"00000000", 27850 => x"00000000", 27851 => x"00000000", 27852 => x"00000000", 27853 => x"00000000", 27854 => x"00000000", 27855 => x"00000000", 27856 => x"00000000", 27857 => x"00000000", 27858 => x"00000000", 27859 => x"00000000", 27860 => x"00000000", 27861 => x"00000000", 27862 => x"00000000", 27863 => x"00000000", 27864 => x"00000000", 27865 => x"00000000", 27866 => x"00000000", 27867 => x"00000000", 27868 => x"00000000", 27869 => x"00000000", 27870 => x"00000000", 27871 => x"00000000", 27872 => x"00000000", 27873 => x"00000000", 27874 => x"00000000", 27875 => x"00000000", 27876 => x"00000000", 27877 => x"00000000", 27878 => x"00000000", 27879 => x"00000000", 27880 => x"00000000", 27881 => x"00000000", 27882 => x"00000000", 27883 => x"00000000", 27884 => x"00000000", 27885 => x"00000000", 27886 => x"00000000", 27887 => x"00000000", 27888 => x"00000000", 27889 => x"00000000", 27890 => x"00000000", 27891 => x"00000000", 27892 => x"00000000", 27893 => x"00000000", 27894 => x"00000000", 27895 => x"00000000", 27896 => x"00000000", 27897 => x"00000000", 27898 => x"00000000", 27899 => x"00000000", 27900 => x"00000000", 27901 => x"00000000", 27902 => x"00000000", 27903 => x"00000000", 27904 => x"00000000", 27905 => x"00000000", 27906 => x"00000000", 27907 => x"00000000", 27908 => x"00000000", 27909 => x"00000000", 27910 => x"00000000", 27911 => x"00000000", 27912 => x"00000000", 27913 => x"00000000", 27914 => x"00000000", 27915 => x"00000000", 27916 => x"00000000", 27917 => x"00000000", 27918 => x"00000000", 27919 => x"00000000", 27920 => x"00000000", 27921 => x"00000000", 27922 => x"00000000", 27923 => x"00000000", 27924 => x"00000000", 27925 => x"00000000", 27926 => x"00000000", 27927 => x"00000000", 27928 => x"00000000", 27929 => x"00000000", 27930 => x"00000000", 27931 => x"00000000", 27932 => x"00000000", 27933 => x"00000000", 27934 => x"00000000", 27935 => x"00000000", 27936 => x"00000000", 27937 => x"00000000", 27938 => x"00000000", 27939 => x"00000000", 27940 => x"00000000", 27941 => x"00000000", 27942 => x"00000000", 27943 => x"00000000", 27944 => x"00000000", 27945 => x"00000000", 27946 => x"00000000", 27947 => x"00000000", 27948 => x"00000000", 27949 => x"00000000", 27950 => x"00000000", 27951 => x"00000000", 27952 => x"00000000", 27953 => x"00000000", 27954 => x"00000000", 27955 => x"00000000", 27956 => x"00000000", 27957 => x"00000000", 27958 => x"00000000", 27959 => x"00000000", 27960 => x"00000000", 27961 => x"00000000", 27962 => x"00000000", 27963 => x"00000000", 27964 => x"00000000", 27965 => x"00000000", 27966 => x"00000000", 27967 => x"00000000", 27968 => x"00000000", 27969 => x"00000000", 27970 => x"00000000", 27971 => x"00000000", 27972 => x"00000000", 27973 => x"00000000", 27974 => x"00000000", 27975 => x"00000000", 27976 => x"00000000", 27977 => x"00000000", 27978 => x"00000000", 27979 => x"00000000", 27980 => x"00000000", 27981 => x"00000000", 27982 => x"00000000", 27983 => x"00000000", 27984 => x"00000000", 27985 => x"00000000", 27986 => x"00000000", 27987 => x"00000000", 27988 => x"00000000", 27989 => x"00000000", 27990 => x"00000000", 27991 => x"00000000", 27992 => x"00000000", 27993 => x"00000000", 27994 => x"00000000", 27995 => x"00000000", 27996 => x"00000000", 27997 => x"00000000", 27998 => x"00000000", 27999 => x"00000000", 28000 => x"00000000", 28001 => x"00000000", 28002 => x"00000000", 28003 => x"00000000", 28004 => x"00000000", 28005 => x"00000000", 28006 => x"00000000", 28007 => x"00000000", 28008 => x"00000000", 28009 => x"00000000", 28010 => x"00000000", 28011 => x"00000000", 28012 => x"00000000", 28013 => x"00000000", 28014 => x"00000000", 28015 => x"00000000", 28016 => x"00000000", 28017 => x"00000000", 28018 => x"00000000", 28019 => x"00000000", 28020 => x"00000000", 28021 => x"00000000", 28022 => x"00000000", 28023 => x"00000000", 28024 => x"00000000", 28025 => x"00000000", 28026 => x"00000000", 28027 => x"00000000", 28028 => x"00000000", 28029 => x"00000000", 28030 => x"00000000", 28031 => x"00000000", 28032 => x"00000000", 28033 => x"00000000", 28034 => x"00000000", 28035 => x"00000000", 28036 => x"00000000", 28037 => x"00000000", 28038 => x"00000000", 28039 => x"00000000", 28040 => x"00000000", 28041 => x"00000000", 28042 => x"00000000", 28043 => x"00000000", 28044 => x"00000000", 28045 => x"00000000", 28046 => x"00000000", 28047 => x"00000000", 28048 => x"00000000", 28049 => x"00000000", 28050 => x"00000000", 28051 => x"00000000", 28052 => x"00000000", 28053 => x"00000000", 28054 => x"00000000", 28055 => x"00000000", 28056 => x"00000000", 28057 => x"00000000", 28058 => x"00000000", 28059 => x"00000000", 28060 => x"00000000", 28061 => x"00000000", 28062 => x"00000000", 28063 => x"00000000", 28064 => x"00000000", 28065 => x"00000000", 28066 => x"00000000", 28067 => x"00000000", 28068 => x"00000000", 28069 => x"00000000", 28070 => x"00000000", 28071 => x"00000000", 28072 => x"00000000", 28073 => x"00000000", 28074 => x"00000000", 28075 => x"00000000", 28076 => x"00000000", 28077 => x"00000000", 28078 => x"00000000", 28079 => x"00000000", 28080 => x"00000000", 28081 => x"00000000", 28082 => x"00000000", 28083 => x"00000000", 28084 => x"00000000", 28085 => x"00000000", 28086 => x"00000000", 28087 => x"00000000", 28088 => x"00000000", 28089 => x"00000000", 28090 => x"00000000", 28091 => x"00000000", 28092 => x"00000000", 28093 => x"00000000", 28094 => x"00000000", 28095 => x"00000000", 28096 => x"00000000", 28097 => x"00000000", 28098 => x"00000000", 28099 => x"00000000", 28100 => x"00000000", 28101 => x"00000000", 28102 => x"00000000", 28103 => x"00000000", 28104 => x"00000000", 28105 => x"00000000", 28106 => x"00000000", 28107 => x"00000000", 28108 => x"00000000", 28109 => x"00000000", 28110 => x"00000000", 28111 => x"00000000", 28112 => x"00000000", 28113 => x"00000000", 28114 => x"00000000", 28115 => x"00000000", 28116 => x"00000000", 28117 => x"00000000", 28118 => x"00000000", 28119 => x"00000000", 28120 => x"00000000", 28121 => x"00000000", 28122 => x"00000000", 28123 => x"00000000", 28124 => x"00000000", 28125 => x"00000000", 28126 => x"00000000", 28127 => x"00000000", 28128 => x"00000000", 28129 => x"00000000", 28130 => x"00000000", 28131 => x"00000000", 28132 => x"00000000", 28133 => x"00000000", 28134 => x"00000000", 28135 => x"00000000", 28136 => x"00000000", 28137 => x"00000000", 28138 => x"00000000", 28139 => x"00000000", 28140 => x"00000000", 28141 => x"00000000", 28142 => x"00000000", 28143 => x"00000000", 28144 => x"00000000", 28145 => x"00000000", 28146 => x"00000000", 28147 => x"00000000", 28148 => x"00000000", 28149 => x"00000000", 28150 => x"00000000", 28151 => x"00000000", 28152 => x"00000000", 28153 => x"00000000", 28154 => x"00000000", 28155 => x"00000000", 28156 => x"00000000", 28157 => x"00000000", 28158 => x"00000000", 28159 => x"00000000", 28160 => x"00000000", 28161 => x"00000000", 28162 => x"00000000", 28163 => x"00000000", 28164 => x"00000000", 28165 => x"00000000", 28166 => x"00000000", 28167 => x"00000000", 28168 => x"00000000", 28169 => x"00000000", 28170 => x"00000000", 28171 => x"00000000", 28172 => x"00000000", 28173 => x"00000000", 28174 => x"00000000", 28175 => x"00000000", 28176 => x"00000000", 28177 => x"00000000", 28178 => x"00000000", 28179 => x"00000000", 28180 => x"00000000", 28181 => x"00000000", 28182 => x"00000000", 28183 => x"00000000", 28184 => x"00000000", 28185 => x"00000000", 28186 => x"00000000", 28187 => x"00000000", 28188 => x"00000000", 28189 => x"00000000", 28190 => x"00000000", 28191 => x"00000000", 28192 => x"00000000", 28193 => x"00000000", 28194 => x"00000000", 28195 => x"00000000", 28196 => x"00000000", 28197 => x"00000000", 28198 => x"00000000", 28199 => x"00000000", 28200 => x"00000000", 28201 => x"00000000", 28202 => x"00000000", 28203 => x"00000000", 28204 => x"00000000", 28205 => x"00000000", 28206 => x"00000000", 28207 => x"00000000", 28208 => x"00000000", 28209 => x"00000000", 28210 => x"00000000", 28211 => x"00000000", 28212 => x"00000000", 28213 => x"00000000", 28214 => x"00000000", 28215 => x"00000000", 28216 => x"00000000", 28217 => x"00000000", 28218 => x"00000000", 28219 => x"00000000", 28220 => x"00000000", 28221 => x"00000000", 28222 => x"00000000", 28223 => x"00000000", 28224 => x"00000000", 28225 => x"00000000", 28226 => x"00000000", 28227 => x"00000000", 28228 => x"00000000", 28229 => x"00000000", 28230 => x"00000000", 28231 => x"00000000", 28232 => x"00000000", 28233 => x"00000000", 28234 => x"00000000", 28235 => x"00000000", 28236 => x"00000000", 28237 => x"00000000", 28238 => x"00000000", 28239 => x"00000000", 28240 => x"00000000", 28241 => x"00000000", 28242 => x"00000000", 28243 => x"00000000", 28244 => x"00000000", 28245 => x"00000000", 28246 => x"00000000", 28247 => x"00000000", 28248 => x"00000000", 28249 => x"00000000", 28250 => x"00000000", 28251 => x"00000000", 28252 => x"00000000", 28253 => x"00000000", 28254 => x"00000000", 28255 => x"00000000", 28256 => x"00000000", 28257 => x"00000000", 28258 => x"00000000", 28259 => x"00000000", 28260 => x"00000000", 28261 => x"00000000", 28262 => x"00000000", 28263 => x"00000000", 28264 => x"00000000", 28265 => x"00000000", 28266 => x"00000000", 28267 => x"00000000", 28268 => x"00000000", 28269 => x"00000000", 28270 => x"00000000", 28271 => x"00000000", 28272 => x"00000000", 28273 => x"00000000", 28274 => x"00000000", 28275 => x"00000000", 28276 => x"00000000", 28277 => x"00000000", 28278 => x"00000000", 28279 => x"00000000", 28280 => x"00000000", 28281 => x"00000000", 28282 => x"00000000", 28283 => x"00000000", 28284 => x"00000000", 28285 => x"00000000", 28286 => x"00000000", 28287 => x"00000000", 28288 => x"00000000", 28289 => x"00000000", 28290 => x"00000000", 28291 => x"00000000", 28292 => x"00000000", 28293 => x"00000000", 28294 => x"00000000", 28295 => x"00000000", 28296 => x"00000000", 28297 => x"00000000", 28298 => x"00000000", 28299 => x"00000000", 28300 => x"00000000", 28301 => x"00000000", 28302 => x"00000000", 28303 => x"00000000", 28304 => x"00000000", 28305 => x"00000000", 28306 => x"00000000", 28307 => x"00000000", 28308 => x"00000000", 28309 => x"00000000", 28310 => x"00000000", 28311 => x"00000000", 28312 => x"00000000", 28313 => x"00000000", 28314 => x"00000000", 28315 => x"00000000", 28316 => x"00000000", 28317 => x"00000000", 28318 => x"00000000", 28319 => x"00000000", 28320 => x"00000000", 28321 => x"00000000", 28322 => x"00000000", 28323 => x"00000000", 28324 => x"00000000", 28325 => x"00000000", 28326 => x"00000000", 28327 => x"00000000", 28328 => x"00000000", 28329 => x"00000000", 28330 => x"00000000", 28331 => x"00000000", 28332 => x"00000000", 28333 => x"00000000", 28334 => x"00000000", 28335 => x"00000000", 28336 => x"00000000", 28337 => x"00000000", 28338 => x"00000000", 28339 => x"00000000", 28340 => x"00000000", 28341 => x"00000000", 28342 => x"00000000", 28343 => x"00000000", 28344 => x"00000000", 28345 => x"00000000", 28346 => x"00000000", 28347 => x"00000000", 28348 => x"00000000", 28349 => x"00000000", 28350 => x"00000000", 28351 => x"00000000", 28352 => x"00000000", 28353 => x"00000000", 28354 => x"00000000", 28355 => x"00000000", 28356 => x"00000000", 28357 => x"00000000", 28358 => x"00000000", 28359 => x"00000000", 28360 => x"00000000", 28361 => x"00000000", 28362 => x"00000000", 28363 => x"00000000", 28364 => x"00000000", 28365 => x"00000000", 28366 => x"00000000", 28367 => x"00000000", 28368 => x"00000000", 28369 => x"00000000", 28370 => x"00000000", 28371 => x"00000000", 28372 => x"00000000", 28373 => x"00000000", 28374 => x"00000000", 28375 => x"00000000", 28376 => x"00000000", 28377 => x"00000000", 28378 => x"00000000", 28379 => x"00000000", 28380 => x"00000000", 28381 => x"00000000", 28382 => x"00000000", 28383 => x"00000000", 28384 => x"00000000", 28385 => x"00000000", 28386 => x"00000000", 28387 => x"00000000", 28388 => x"00000000", 28389 => x"00000000", 28390 => x"00000000", 28391 => x"00000000", 28392 => x"00000000", 28393 => x"00000000", 28394 => x"00000000", 28395 => x"00000000", 28396 => x"00000000", 28397 => x"00000000", 28398 => x"00000000", 28399 => x"00000000", 28400 => x"00000000", 28401 => x"00000000", 28402 => x"00000000", 28403 => x"00000000", 28404 => x"00000000", 28405 => x"00000000", 28406 => x"00000000", 28407 => x"00000000", 28408 => x"00000000", 28409 => x"00000000", 28410 => x"00000000", 28411 => x"00000000", 28412 => x"00000000", 28413 => x"00000000", 28414 => x"00000000", 28415 => x"00000000", 28416 => x"00000000", 28417 => x"00000000", 28418 => x"00000000", 28419 => x"00000000", 28420 => x"00000000", 28421 => x"00000000", 28422 => x"00000000", 28423 => x"00000000", 28424 => x"00000000", 28425 => x"00000000", 28426 => x"00000000", 28427 => x"00000000", 28428 => x"00000000", 28429 => x"00000000", 28430 => x"00000000", 28431 => x"00000000", 28432 => x"00000000", 28433 => x"00000000", 28434 => x"00000000", 28435 => x"00000000", 28436 => x"00000000", 28437 => x"00000000", 28438 => x"00000000", 28439 => x"00000000", 28440 => x"00000000", 28441 => x"00000000", 28442 => x"00000000", 28443 => x"00000000", 28444 => x"00000000", 28445 => x"00000000", 28446 => x"00000000", 28447 => x"00000000", 28448 => x"00000000", 28449 => x"00000000", 28450 => x"00000000", 28451 => x"00000000", 28452 => x"00000000", 28453 => x"00000000", 28454 => x"00000000", 28455 => x"00000000", 28456 => x"00000000", 28457 => x"00000000", 28458 => x"00000000", 28459 => x"00000000", 28460 => x"00000000", 28461 => x"00000000", 28462 => x"00000000", 28463 => x"00000000", 28464 => x"00000000", 28465 => x"00000000", 28466 => x"00000000", 28467 => x"00000000", 28468 => x"00000000", 28469 => x"00000000", 28470 => x"00000000", 28471 => x"00000000", 28472 => x"00000000", 28473 => x"00000000", 28474 => x"00000000", 28475 => x"00000000", 28476 => x"00000000", 28477 => x"00000000", 28478 => x"00000000", 28479 => x"00000000", 28480 => x"00000000", 28481 => x"00000000", 28482 => x"00000000", 28483 => x"00000000", 28484 => x"00000000", 28485 => x"00000000", 28486 => x"00000000", 28487 => x"00000000", 28488 => x"00000000", 28489 => x"00000000", 28490 => x"00000000", 28491 => x"00000000", 28492 => x"00000000", 28493 => x"00000000", 28494 => x"00000000", 28495 => x"00000000", 28496 => x"00000000", 28497 => x"00000000", 28498 => x"00000000", 28499 => x"00000000", 28500 => x"00000000", 28501 => x"00000000", 28502 => x"00000000", 28503 => x"00000000", 28504 => x"00000000", 28505 => x"00000000", 28506 => x"00000000", 28507 => x"00000000", 28508 => x"00000000", 28509 => x"00000000", 28510 => x"00000000", 28511 => x"00000000", 28512 => x"00000000", 28513 => x"00000000", 28514 => x"00000000", 28515 => x"00000000", 28516 => x"00000000", 28517 => x"00000000", 28518 => x"00000000", 28519 => x"00000000", 28520 => x"00000000", 28521 => x"00000000", 28522 => x"00000000", 28523 => x"00000000", 28524 => x"00000000", 28525 => x"00000000", 28526 => x"00000000", 28527 => x"00000000", 28528 => x"00000000", 28529 => x"00000000", 28530 => x"00000000", 28531 => x"00000000", 28532 => x"00000000", 28533 => x"00000000", 28534 => x"00000000", 28535 => x"00000000", 28536 => x"00000000", 28537 => x"00000000", 28538 => x"00000000", 28539 => x"00000000", 28540 => x"00000000", 28541 => x"00000000", 28542 => x"00000000", 28543 => x"00000000", 28544 => x"00000000", 28545 => x"00000000", 28546 => x"00000000", 28547 => x"00000000", 28548 => x"00000000", 28549 => x"00000000", 28550 => x"00000000", 28551 => x"00000000", 28552 => x"00000000", 28553 => x"00000000", 28554 => x"00000000", 28555 => x"00000000", 28556 => x"00000000", 28557 => x"00000000", 28558 => x"00000000", 28559 => x"00000000", 28560 => x"00000000", 28561 => x"00000000", 28562 => x"00000000", 28563 => x"00000000", 28564 => x"00000000", 28565 => x"00000000", 28566 => x"00000000", 28567 => x"00000000", 28568 => x"00000000", 28569 => x"00000000", 28570 => x"00000000", 28571 => x"00000000", 28572 => x"00000000", 28573 => x"00000000", 28574 => x"00000000", 28575 => x"00000000", 28576 => x"00000000", 28577 => x"00000000", 28578 => x"00000000", 28579 => x"00000000", 28580 => x"00000000", 28581 => x"00000000", 28582 => x"00000000", 28583 => x"00000000", 28584 => x"00000000", 28585 => x"00000000", 28586 => x"00000000", 28587 => x"00000000", 28588 => x"00000000", 28589 => x"00000000", 28590 => x"00000000", 28591 => x"00000000", 28592 => x"00000000", 28593 => x"00000000", 28594 => x"00000000", 28595 => x"00000000", 28596 => x"00000000", 28597 => x"00000000", 28598 => x"00000000", 28599 => x"00000000", 28600 => x"00000000", 28601 => x"00000000", 28602 => x"00000000", 28603 => x"00000000", 28604 => x"00000000", 28605 => x"00000000", 28606 => x"00000000", 28607 => x"00000000", 28608 => x"00000000", 28609 => x"00000000", 28610 => x"00000000", 28611 => x"00000000", 28612 => x"00000000", 28613 => x"00000000", 28614 => x"00000000", 28615 => x"00000000", 28616 => x"00000000", 28617 => x"00000000", 28618 => x"00000000", 28619 => x"00000000", 28620 => x"00000000", 28621 => x"00000000", 28622 => x"00000000", 28623 => x"00000000", 28624 => x"00000000", 28625 => x"00000000", 28626 => x"00000000", 28627 => x"00000000", 28628 => x"00000000", 28629 => x"00000000", 28630 => x"00000000", 28631 => x"00000000", 28632 => x"00000000", 28633 => x"00000000", 28634 => x"00000000", 28635 => x"00000000", 28636 => x"00000000", 28637 => x"00000000", 28638 => x"00000000", 28639 => x"00000000", 28640 => x"00000000", 28641 => x"00000000", 28642 => x"00000000", 28643 => x"00000000", 28644 => x"00000000", 28645 => x"00000000", 28646 => x"00000000", 28647 => x"00000000", 28648 => x"00000000", 28649 => x"00000000", 28650 => x"00000000", 28651 => x"00000000", 28652 => x"00000000", 28653 => x"00000000", 28654 => x"00000000", 28655 => x"00000000", 28656 => x"00000000", 28657 => x"00000000", 28658 => x"00000000", 28659 => x"00000000", 28660 => x"00000000", 28661 => x"00000000", 28662 => x"00000000", 28663 => x"00000000", 28664 => x"00000000", 28665 => x"00000000", 28666 => x"00000000", 28667 => x"00000000", 28668 => x"00000000", 28669 => x"00000000", 28670 => x"00000000", 28671 => x"00000000", 28672 => x"00000000", 28673 => x"00000000", 28674 => x"00000000", 28675 => x"00000000", 28676 => x"00000000", 28677 => x"00000000", 28678 => x"00000000", 28679 => x"00000000", 28680 => x"00000000", 28681 => x"00000000", 28682 => x"00000000", 28683 => x"00000000", 28684 => x"00000000", 28685 => x"00000000", 28686 => x"00000000", 28687 => x"00000000", 28688 => x"00000000", 28689 => x"00000000", 28690 => x"00000000", 28691 => x"00000000", 28692 => x"00000000", 28693 => x"00000000", 28694 => x"00000000", 28695 => x"00000000", 28696 => x"00000000", 28697 => x"00000000", 28698 => x"00000000", 28699 => x"00000000", 28700 => x"00000000", 28701 => x"00000000", 28702 => x"00000000", 28703 => x"00000000", 28704 => x"00000000", 28705 => x"00000000", 28706 => x"00000000", 28707 => x"00000000", 28708 => x"00000000", 28709 => x"00000000", 28710 => x"00000000", 28711 => x"00000000", 28712 => x"00000000", 28713 => x"00000000", 28714 => x"00000000", 28715 => x"00000000", 28716 => x"00000000", 28717 => x"00000000", 28718 => x"00000000", 28719 => x"00000000", 28720 => x"00000000", 28721 => x"00000000", 28722 => x"00000000", 28723 => x"00000000", 28724 => x"00000000", 28725 => x"00000000", 28726 => x"00000000", 28727 => x"00000000", 28728 => x"00000000", 28729 => x"00000000", 28730 => x"00000000", 28731 => x"00000000", 28732 => x"00000000", 28733 => x"00000000", 28734 => x"00000000", 28735 => x"00000000", 28736 => x"00000000", 28737 => x"00000000", 28738 => x"00000000", 28739 => x"00000000", 28740 => x"00000000", 28741 => x"00000000", 28742 => x"00000000", 28743 => x"00000000", 28744 => x"00000000", 28745 => x"00000000", 28746 => x"00000000", 28747 => x"00000000", 28748 => x"00000000", 28749 => x"00000000", 28750 => x"00000000", 28751 => x"00000000", 28752 => x"00000000", 28753 => x"00000000", 28754 => x"00000000", 28755 => x"00000000", 28756 => x"00000000", 28757 => x"00000000", 28758 => x"00000000", 28759 => x"00000000", 28760 => x"00000000", 28761 => x"00000000", 28762 => x"00000000", 28763 => x"00000000", 28764 => x"00000000", 28765 => x"00000000", 28766 => x"00000000", 28767 => x"00000000", 28768 => x"00000000", 28769 => x"00000000", 28770 => x"00000000", 28771 => x"00000000", 28772 => x"00000000", 28773 => x"00000000", 28774 => x"00000000", 28775 => x"00000000", 28776 => x"00000000", 28777 => x"00000000", 28778 => x"00000000", 28779 => x"00000000", 28780 => x"00000000", 28781 => x"00000000", 28782 => x"00000000", 28783 => x"00000000", 28784 => x"00000000", 28785 => x"00000000", 28786 => x"00000000", 28787 => x"00000000", 28788 => x"00000000", 28789 => x"00000000", 28790 => x"00000000", 28791 => x"00000000", 28792 => x"00000000", 28793 => x"00000000", 28794 => x"00000000", 28795 => x"00000000", 28796 => x"00000000", 28797 => x"00000000", 28798 => x"00000000", 28799 => x"00000000", 28800 => x"00000000", 28801 => x"00000000", 28802 => x"00000000", 28803 => x"00000000", 28804 => x"00000000", 28805 => x"00000000", 28806 => x"00000000", 28807 => x"00000000", 28808 => x"00000000", 28809 => x"00000000", 28810 => x"00000000", 28811 => x"00000000", 28812 => x"00000000", 28813 => x"00000000", 28814 => x"00000000", 28815 => x"00000000", 28816 => x"00000000", 28817 => x"00000000", 28818 => x"00000000", 28819 => x"00000000", 28820 => x"00000000", 28821 => x"00000000", 28822 => x"00000000", 28823 => x"00000000", 28824 => x"00000000", 28825 => x"00000000", 28826 => x"00000000", 28827 => x"00000000", 28828 => x"00000000", 28829 => x"00000000", 28830 => x"00000000", 28831 => x"00000000", 28832 => x"00000000", 28833 => x"00000000", 28834 => x"00000000", 28835 => x"00000000", 28836 => x"00000000", 28837 => x"00000000", 28838 => x"00000000", 28839 => x"00000000", 28840 => x"00000000", 28841 => x"00000000", 28842 => x"00000000", 28843 => x"00000000", 28844 => x"00000000", 28845 => x"00000000", 28846 => x"00000000", 28847 => x"00000000", 28848 => x"00000000", 28849 => x"00000000", 28850 => x"00000000", 28851 => x"00000000", 28852 => x"00000000", 28853 => x"00000000", 28854 => x"00000000", 28855 => x"00000000", 28856 => x"00000000", 28857 => x"00000000", 28858 => x"00000000", 28859 => x"00000000", 28860 => x"00000000", 28861 => x"00000000", 28862 => x"00000000", 28863 => x"00000000", 28864 => x"00000000", 28865 => x"00000000", 28866 => x"00000000", 28867 => x"00000000", 28868 => x"00000000", 28869 => x"00000000", 28870 => x"00000000", 28871 => x"00000000", 28872 => x"00000000", 28873 => x"00000000", 28874 => x"00000000", 28875 => x"00000000", 28876 => x"00000000", 28877 => x"00000000", 28878 => x"00000000", 28879 => x"00000000", 28880 => x"00000000", 28881 => x"00000000", 28882 => x"00000000", 28883 => x"00000000", 28884 => x"00000000", 28885 => x"00000000", 28886 => x"00000000", 28887 => x"00000000", 28888 => x"00000000", 28889 => x"00000000", 28890 => x"00000000", 28891 => x"00000000", 28892 => x"00000000", 28893 => x"00000000", 28894 => x"00000000", 28895 => x"00000000", 28896 => x"00000000", 28897 => x"00000000", 28898 => x"00000000", 28899 => x"00000000", 28900 => x"00000000", 28901 => x"00000000", 28902 => x"00000000", 28903 => x"00000000", 28904 => x"00000000", 28905 => x"00000000", 28906 => x"00000000", 28907 => x"00000000", 28908 => x"00000000", 28909 => x"00000000", 28910 => x"00000000", 28911 => x"00000000", 28912 => x"00000000", 28913 => x"00000000", 28914 => x"00000000", 28915 => x"00000000", 28916 => x"00000000", 28917 => x"00000000", 28918 => x"00000000", 28919 => x"00000000", 28920 => x"00000000", 28921 => x"00000000", 28922 => x"00000000", 28923 => x"00000000", 28924 => x"00000000", 28925 => x"00000000", 28926 => x"00000000", 28927 => x"00000000", 28928 => x"00000000", 28929 => x"00000000", 28930 => x"00000000", 28931 => x"00000000", 28932 => x"00000000", 28933 => x"00000000", 28934 => x"00000000", 28935 => x"00000000", 28936 => x"00000000", 28937 => x"00000000", 28938 => x"00000000", 28939 => x"00000000", 28940 => x"00000000", 28941 => x"00000000", 28942 => x"00000000", 28943 => x"00000000", 28944 => x"00000000", 28945 => x"00000000", 28946 => x"00000000", 28947 => x"00000000", 28948 => x"00000000", 28949 => x"00000000", 28950 => x"00000000", 28951 => x"00000000", 28952 => x"00000000", 28953 => x"00000000", 28954 => x"00000000", 28955 => x"00000000", 28956 => x"00000000", 28957 => x"00000000", 28958 => x"00000000", 28959 => x"00000000", 28960 => x"00000000", 28961 => x"00000000", 28962 => x"00000000", 28963 => x"00000000", 28964 => x"00000000", 28965 => x"00000000", 28966 => x"00000000", 28967 => x"00000000", 28968 => x"00000000", 28969 => x"00000000", 28970 => x"00000000", 28971 => x"00000000", 28972 => x"00000000", 28973 => x"00000000", 28974 => x"00000000", 28975 => x"00000000", 28976 => x"00000000", 28977 => x"00000000", 28978 => x"00000000", 28979 => x"00000000", 28980 => x"00000000", 28981 => x"00000000", 28982 => x"00000000", 28983 => x"00000000", 28984 => x"00000000", 28985 => x"00000000", 28986 => x"00000000", 28987 => x"00000000", 28988 => x"00000000", 28989 => x"00000000", 28990 => x"00000000", 28991 => x"00000000", 28992 => x"00000000", 28993 => x"00000000", 28994 => x"00000000", 28995 => x"00000000", 28996 => x"00000000", 28997 => x"00000000", 28998 => x"00000000", 28999 => x"00000000", 29000 => x"00000000", 29001 => x"00000000", 29002 => x"00000000", 29003 => x"00000000", 29004 => x"00000000", 29005 => x"00000000", 29006 => x"00000000", 29007 => x"00000000", 29008 => x"00000000", 29009 => x"00000000", 29010 => x"00000000", 29011 => x"00000000", 29012 => x"00000000", 29013 => x"00000000", 29014 => x"00000000", 29015 => x"00000000", 29016 => x"00000000", 29017 => x"00000000", 29018 => x"00000000", 29019 => x"00000000", 29020 => x"00000000", 29021 => x"00000000", 29022 => x"00000000", 29023 => x"00000000", 29024 => x"00000000", 29025 => x"00000000", 29026 => x"00000000", 29027 => x"00000000", 29028 => x"00000000", 29029 => x"00000000", 29030 => x"00000000", 29031 => x"00000000", 29032 => x"00000000", 29033 => x"00000000", 29034 => x"00000000", 29035 => x"00000000", 29036 => x"00000000", 29037 => x"00000000", 29038 => x"00000000", 29039 => x"00000000", 29040 => x"00000000", 29041 => x"00000000", 29042 => x"00000000", 29043 => x"00000000", 29044 => x"00000000", 29045 => x"00000000", 29046 => x"00000000", 29047 => x"00000000", 29048 => x"00000000", 29049 => x"00000000", 29050 => x"00000000", 29051 => x"00000000", 29052 => x"00000000", 29053 => x"00000000", 29054 => x"00000000", 29055 => x"00000000", 29056 => x"00000000", 29057 => x"00000000", 29058 => x"00000000", 29059 => x"00000000", 29060 => x"00000000", 29061 => x"00000000", 29062 => x"00000000", 29063 => x"00000000", 29064 => x"00000000", 29065 => x"00000000", 29066 => x"00000000", 29067 => x"00000000", 29068 => x"00000000", 29069 => x"00000000", 29070 => x"00000000", 29071 => x"00000000", 29072 => x"00000000", 29073 => x"00000000", 29074 => x"00000000", 29075 => x"00000000", 29076 => x"00000000", 29077 => x"00000000", 29078 => x"00000000", 29079 => x"00000000", 29080 => x"00000000", 29081 => x"00000000", 29082 => x"00000000", 29083 => x"00000000", 29084 => x"00000000", 29085 => x"00000000", 29086 => x"00000000", 29087 => x"00000000", 29088 => x"00000000", 29089 => x"00000000", 29090 => x"00000000", 29091 => x"00000000", 29092 => x"00000000", 29093 => x"00000000", 29094 => x"00000000", 29095 => x"00000000", 29096 => x"00000000", 29097 => x"00000000", 29098 => x"00000000", 29099 => x"00000000", 29100 => x"00000000", 29101 => x"00000000", 29102 => x"00000000", 29103 => x"00000000", 29104 => x"00000000", 29105 => x"00000000", 29106 => x"00000000", 29107 => x"00000000", 29108 => x"00000000", 29109 => x"00000000", 29110 => x"00000000", 29111 => x"00000000", 29112 => x"00000000", 29113 => x"00000000", 29114 => x"00000000", 29115 => x"00000000", 29116 => x"00000000", 29117 => x"00000000", 29118 => x"00000000", 29119 => x"00000000", 29120 => x"00000000", 29121 => x"00000000", 29122 => x"00000000", 29123 => x"00000000", 29124 => x"00000000", 29125 => x"00000000", 29126 => x"00000000", 29127 => x"00000000", 29128 => x"00000000", 29129 => x"00000000", 29130 => x"00000000", 29131 => x"00000000", 29132 => x"00000000", 29133 => x"00000000", 29134 => x"00000000", 29135 => x"00000000", 29136 => x"00000000", 29137 => x"00000000", 29138 => x"00000000", 29139 => x"00000000", 29140 => x"00000000", 29141 => x"00000000", 29142 => x"00000000", 29143 => x"00000000", 29144 => x"00000000", 29145 => x"00000000", 29146 => x"00000000", 29147 => x"00000000", 29148 => x"00000000", 29149 => x"00000000", 29150 => x"00000000", 29151 => x"00000000", 29152 => x"00000000", 29153 => x"00000000", 29154 => x"00000000", 29155 => x"00000000", 29156 => x"00000000", 29157 => x"00000000", 29158 => x"00000000", 29159 => x"00000000", 29160 => x"00000000", 29161 => x"00000000", 29162 => x"00000000", 29163 => x"00000000", 29164 => x"00000000", 29165 => x"00000000", 29166 => x"00000000", 29167 => x"00000000", 29168 => x"00000000", 29169 => x"00000000", 29170 => x"00000000", 29171 => x"00000000", 29172 => x"00000000", 29173 => x"00000000", 29174 => x"00000000", 29175 => x"00000000", 29176 => x"00000000", 29177 => x"00000000", 29178 => x"00000000", 29179 => x"00000000", 29180 => x"00000000", 29181 => x"00000000", 29182 => x"00000000", 29183 => x"00000000", 29184 => x"00000000", 29185 => x"00000000", 29186 => x"00000000", 29187 => x"00000000", 29188 => x"00000000", 29189 => x"00000000", 29190 => x"00000000", 29191 => x"00000000", 29192 => x"00000000", 29193 => x"00000000", 29194 => x"00000000", 29195 => x"00000000", 29196 => x"00000000", 29197 => x"00000000", 29198 => x"00000000", 29199 => x"00000000", 29200 => x"00000000", 29201 => x"00000000", 29202 => x"00000000", 29203 => x"00000000", 29204 => x"00000000", 29205 => x"00000000", 29206 => x"00000000", 29207 => x"00000000", 29208 => x"00000000", 29209 => x"00000000", 29210 => x"00000000", 29211 => x"00000000", 29212 => x"00000000", 29213 => x"00000000", 29214 => x"00000000", 29215 => x"00000000", 29216 => x"00000000", 29217 => x"00000000", 29218 => x"00000000", 29219 => x"00000000", 29220 => x"00000000", 29221 => x"00000000", 29222 => x"00000000", 29223 => x"00000000", 29224 => x"00000000", 29225 => x"00000000", 29226 => x"00000000", 29227 => x"00000000", 29228 => x"00000000", 29229 => x"00000000", 29230 => x"00000000", 29231 => x"00000000", 29232 => x"00000000", 29233 => x"00000000", 29234 => x"00000000", 29235 => x"00000000", 29236 => x"00000000", 29237 => x"00000000", 29238 => x"00000000", 29239 => x"00000000", 29240 => x"00000000", 29241 => x"00000000", 29242 => x"00000000", 29243 => x"00000000", 29244 => x"00000000", 29245 => x"00000000", 29246 => x"00000000", 29247 => x"00000000", 29248 => x"00000000", 29249 => x"00000000", 29250 => x"00000000", 29251 => x"00000000", 29252 => x"00000000", 29253 => x"00000000", 29254 => x"00000000", 29255 => x"00000000", 29256 => x"00000000", 29257 => x"00000000", 29258 => x"00000000", 29259 => x"00000000", 29260 => x"00000000", 29261 => x"00000000", 29262 => x"00000000", 29263 => x"00000000", 29264 => x"00000000", 29265 => x"00000000", 29266 => x"00000000", 29267 => x"00000000", 29268 => x"00000000", 29269 => x"00000000", 29270 => x"00000000", 29271 => x"00000000", 29272 => x"00000000", 29273 => x"00000000", 29274 => x"00000000", 29275 => x"00000000", 29276 => x"00000000", 29277 => x"00000000", 29278 => x"00000000", 29279 => x"00000000", 29280 => x"00000000", 29281 => x"00000000", 29282 => x"00000000", 29283 => x"00000000", 29284 => x"00000000", 29285 => x"00000000", 29286 => x"00000000", 29287 => x"00000000", 29288 => x"00000000", 29289 => x"00000000", 29290 => x"00000000", 29291 => x"00000000", 29292 => x"00000000", 29293 => x"00000000", 29294 => x"00000000", 29295 => x"00000000", 29296 => x"00000000", 29297 => x"00000000", 29298 => x"00000000", 29299 => x"00000000", 29300 => x"00000000", 29301 => x"00000000", 29302 => x"00000000", 29303 => x"00000000", 29304 => x"00000000", 29305 => x"00000000", 29306 => x"00000000", 29307 => x"00000000", 29308 => x"00000000", 29309 => x"00000000", 29310 => x"00000000", 29311 => x"00000000", 29312 => x"00000000", 29313 => x"00000000", 29314 => x"00000000", 29315 => x"00000000", 29316 => x"00000000", 29317 => x"00000000", 29318 => x"00000000", 29319 => x"00000000", 29320 => x"00000000", 29321 => x"00000000", 29322 => x"00000000", 29323 => x"00000000", 29324 => x"00000000", 29325 => x"00000000", 29326 => x"00000000", 29327 => x"00000000", 29328 => x"00000000", 29329 => x"00000000", 29330 => x"00000000", 29331 => x"00000000", 29332 => x"00000000", 29333 => x"00000000", 29334 => x"00000000", 29335 => x"00000000", 29336 => x"00000000", 29337 => x"00000000", 29338 => x"00000000", 29339 => x"00000000", 29340 => x"00000000", 29341 => x"00000000", 29342 => x"00000000", 29343 => x"00000000", 29344 => x"00000000", 29345 => x"00000000", 29346 => x"00000000", 29347 => x"00000000", 29348 => x"00000000", 29349 => x"00000000", 29350 => x"00000000", 29351 => x"00000000", 29352 => x"00000000", 29353 => x"00000000", 29354 => x"00000000", 29355 => x"00000000", 29356 => x"00000000", 29357 => x"00000000", 29358 => x"00000000", 29359 => x"00000000", 29360 => x"00000000", 29361 => x"00000000", 29362 => x"00000000", 29363 => x"00000000", 29364 => x"00000000", 29365 => x"00000000", 29366 => x"00000000", 29367 => x"00000000", 29368 => x"00000000", 29369 => x"00000000", 29370 => x"00000000", 29371 => x"00000000", 29372 => x"00000000", 29373 => x"00000000", 29374 => x"00000000", 29375 => x"00000000", 29376 => x"00000000", 29377 => x"00000000", 29378 => x"00000000", 29379 => x"00000000", 29380 => x"00000000", 29381 => x"00000000", 29382 => x"00000000", 29383 => x"00000000", 29384 => x"00000000", 29385 => x"00000000", 29386 => x"00000000", 29387 => x"00000000", 29388 => x"00000000", 29389 => x"00000000", 29390 => x"00000000", 29391 => x"00000000", 29392 => x"00000000", 29393 => x"00000000", 29394 => x"00000000", 29395 => x"00000000", 29396 => x"00000000", 29397 => x"00000000", 29398 => x"00000000", 29399 => x"00000000", 29400 => x"00000000", 29401 => x"00000000", 29402 => x"00000000", 29403 => x"00000000", 29404 => x"00000000", 29405 => x"00000000", 29406 => x"00000000", 29407 => x"00000000", 29408 => x"00000000", 29409 => x"00000000", 29410 => x"00000000", 29411 => x"00000000", 29412 => x"00000000", 29413 => x"00000000", 29414 => x"00000000", 29415 => x"00000000", 29416 => x"00000000", 29417 => x"00000000", 29418 => x"00000000", 29419 => x"00000000", 29420 => x"00000000", 29421 => x"00000000", 29422 => x"00000000", 29423 => x"00000000", 29424 => x"00000000", 29425 => x"00000000", 29426 => x"00000000", 29427 => x"00000000", 29428 => x"00000000", 29429 => x"00000000", 29430 => x"00000000", 29431 => x"00000000", 29432 => x"00000000", 29433 => x"00000000", 29434 => x"00000000", 29435 => x"00000000", 29436 => x"00000000", 29437 => x"00000000", 29438 => x"00000000", 29439 => x"00000000", 29440 => x"00000000", 29441 => x"00000000", 29442 => x"00000000", 29443 => x"00000000", 29444 => x"00000000", 29445 => x"00000000", 29446 => x"00000000", 29447 => x"00000000", 29448 => x"00000000", 29449 => x"00000000", 29450 => x"00000000", 29451 => x"00000000", 29452 => x"00000000", 29453 => x"00000000", 29454 => x"00000000", 29455 => x"00000000", 29456 => x"00000000", 29457 => x"00000000", 29458 => x"00000000", 29459 => x"00000000", 29460 => x"00000000", 29461 => x"00000000", 29462 => x"00000000", 29463 => x"00000000", 29464 => x"00000000", 29465 => x"00000000", 29466 => x"00000000", 29467 => x"00000000", 29468 => x"00000000", 29469 => x"00000000", 29470 => x"00000000", 29471 => x"00000000", 29472 => x"00000000", 29473 => x"00000000", 29474 => x"00000000", 29475 => x"00000000", 29476 => x"00000000", 29477 => x"00000000", 29478 => x"00000000", 29479 => x"00000000", 29480 => x"00000000", 29481 => x"00000000", 29482 => x"00000000", 29483 => x"00000000", 29484 => x"00000000", 29485 => x"00000000", 29486 => x"00000000", 29487 => x"00000000", 29488 => x"00000000", 29489 => x"00000000", 29490 => x"00000000", 29491 => x"00000000", 29492 => x"00000000", 29493 => x"00000000", 29494 => x"00000000", 29495 => x"00000000", 29496 => x"00000000", 29497 => x"00000000", 29498 => x"00000000", 29499 => x"00000000", 29500 => x"00000000", 29501 => x"00000000", 29502 => x"00000000", 29503 => x"00000000", 29504 => x"00000000", 29505 => x"00000000", 29506 => x"00000000", 29507 => x"00000000", 29508 => x"00000000", 29509 => x"00000000", 29510 => x"00000000", 29511 => x"00000000", 29512 => x"00000000", 29513 => x"00000000", 29514 => x"00000000", 29515 => x"00000000", 29516 => x"00000000", 29517 => x"00000000", 29518 => x"00000000", 29519 => x"00000000", 29520 => x"00000000", 29521 => x"00000000", 29522 => x"00000000", 29523 => x"00000000", 29524 => x"00000000", 29525 => x"00000000", 29526 => x"00000000", 29527 => x"00000000", 29528 => x"00000000", 29529 => x"00000000", 29530 => x"00000000", 29531 => x"00000000", 29532 => x"00000000", 29533 => x"00000000", 29534 => x"00000000", 29535 => x"00000000", 29536 => x"00000000", 29537 => x"00000000", 29538 => x"00000000", 29539 => x"00000000", 29540 => x"00000000", 29541 => x"00000000", 29542 => x"00000000", 29543 => x"00000000", 29544 => x"00000000", 29545 => x"00000000", 29546 => x"00000000", 29547 => x"00000000", 29548 => x"00000000", 29549 => x"00000000", 29550 => x"00000000", 29551 => x"00000000", 29552 => x"00000000", 29553 => x"00000000", 29554 => x"00000000", 29555 => x"00000000", 29556 => x"00000000", 29557 => x"00000000", 29558 => x"00000000", 29559 => x"00000000", 29560 => x"00000000", 29561 => x"00000000", 29562 => x"00000000", 29563 => x"00000000", 29564 => x"00000000", 29565 => x"00000000", 29566 => x"00000000", 29567 => x"00000000", 29568 => x"00000000", 29569 => x"00000000", 29570 => x"00000000", 29571 => x"00000000", 29572 => x"00000000", 29573 => x"00000000", 29574 => x"00000000", 29575 => x"00000000", 29576 => x"00000000", 29577 => x"00000000", 29578 => x"00000000", 29579 => x"00000000", 29580 => x"00000000", 29581 => x"00000000", 29582 => x"00000000", 29583 => x"00000000", 29584 => x"00000000", 29585 => x"00000000", 29586 => x"00000000", 29587 => x"00000000", 29588 => x"00000000", 29589 => x"00000000", 29590 => x"00000000", 29591 => x"00000000", 29592 => x"00000000", 29593 => x"00000000", 29594 => x"00000000", 29595 => x"00000000", 29596 => x"00000000", 29597 => x"00000000", 29598 => x"00000000", 29599 => x"00000000", 29600 => x"00000000", 29601 => x"00000000", 29602 => x"00000000", 29603 => x"00000000", 29604 => x"00000000", 29605 => x"00000000", 29606 => x"00000000", 29607 => x"00000000", 29608 => x"00000000", 29609 => x"00000000", 29610 => x"00000000", 29611 => x"00000000", 29612 => x"00000000", 29613 => x"00000000", 29614 => x"00000000", 29615 => x"00000000", 29616 => x"00000000", 29617 => x"00000000", 29618 => x"00000000", 29619 => x"00000000", 29620 => x"00000000", 29621 => x"00000000", 29622 => x"00000000", 29623 => x"00000000", 29624 => x"00000000", 29625 => x"00000000", 29626 => x"00000000", 29627 => x"00000000", 29628 => x"00000000", 29629 => x"00000000", 29630 => x"00000000", 29631 => x"00000000", 29632 => x"00000000", 29633 => x"00000000", 29634 => x"00000000", 29635 => x"00000000", 29636 => x"00000000", 29637 => x"00000000", 29638 => x"00000000", 29639 => x"00000000", 29640 => x"00000000", 29641 => x"00000000", 29642 => x"00000000", 29643 => x"00000000", 29644 => x"00000000", 29645 => x"00000000", 29646 => x"00000000", 29647 => x"00000000", 29648 => x"00000000", 29649 => x"00000000", 29650 => x"00000000", 29651 => x"00000000", 29652 => x"00000000", 29653 => x"00000000", 29654 => x"00000000", 29655 => x"00000000", 29656 => x"00000000", 29657 => x"00000000", 29658 => x"00000000", 29659 => x"00000000", 29660 => x"00000000", 29661 => x"00000000", 29662 => x"00000000", 29663 => x"00000000", 29664 => x"00000000", 29665 => x"00000000", 29666 => x"00000000", 29667 => x"00000000", 29668 => x"00000000", 29669 => x"00000000", 29670 => x"00000000", 29671 => x"00000000", 29672 => x"00000000", 29673 => x"00000000", 29674 => x"00000000", 29675 => x"00000000", 29676 => x"00000000", 29677 => x"00000000", 29678 => x"00000000", 29679 => x"00000000", 29680 => x"00000000", 29681 => x"00000000", 29682 => x"00000000", 29683 => x"00000000", 29684 => x"00000000", 29685 => x"00000000", 29686 => x"00000000", 29687 => x"00000000", 29688 => x"00000000", 29689 => x"00000000", 29690 => x"00000000", 29691 => x"00000000", 29692 => x"00000000", 29693 => x"00000000", 29694 => x"00000000", 29695 => x"00000000", 29696 => x"00000000", 29697 => x"00000000", 29698 => x"00000000", 29699 => x"00000000", 29700 => x"00000000", 29701 => x"00000000", 29702 => x"00000000", 29703 => x"00000000", 29704 => x"00000000", 29705 => x"00000000", 29706 => x"00000000", 29707 => x"00000000", 29708 => x"00000000", 29709 => x"00000000", 29710 => x"00000000", 29711 => x"00000000", 29712 => x"00000000", 29713 => x"00000000", 29714 => x"00000000", 29715 => x"00000000", 29716 => x"00000000", 29717 => x"00000000", 29718 => x"00000000", 29719 => x"00000000", 29720 => x"00000000", 29721 => x"00000000", 29722 => x"00000000", 29723 => x"00000000", 29724 => x"00000000", 29725 => x"00000000", 29726 => x"00000000", 29727 => x"00000000", 29728 => x"00000000", 29729 => x"00000000", 29730 => x"00000000", 29731 => x"00000000", 29732 => x"00000000", 29733 => x"00000000", 29734 => x"00000000", 29735 => x"00000000", 29736 => x"00000000", 29737 => x"00000000", 29738 => x"00000000", 29739 => x"00000000", 29740 => x"00000000", 29741 => x"00000000", 29742 => x"00000000", 29743 => x"00000000", 29744 => x"00000000", 29745 => x"00000000", 29746 => x"00000000", 29747 => x"00000000", 29748 => x"00000000", 29749 => x"00000000", 29750 => x"00000000", 29751 => x"00000000", 29752 => x"00000000", 29753 => x"00000000", 29754 => x"00000000", 29755 => x"00000000", 29756 => x"00000000", 29757 => x"00000000", 29758 => x"00000000", 29759 => x"00000000", 29760 => x"00000000", 29761 => x"00000000", 29762 => x"00000000", 29763 => x"00000000", 29764 => x"00000000", 29765 => x"00000000", 29766 => x"00000000", 29767 => x"00000000", 29768 => x"00000000", 29769 => x"00000000", 29770 => x"00000000", 29771 => x"00000000", 29772 => x"00000000", 29773 => x"00000000", 29774 => x"00000000", 29775 => x"00000000", 29776 => x"00000000", 29777 => x"00000000", 29778 => x"00000000", 29779 => x"00000000", 29780 => x"00000000", 29781 => x"00000000", 29782 => x"00000000", 29783 => x"00000000", 29784 => x"00000000", 29785 => x"00000000", 29786 => x"00000000", 29787 => x"00000000", 29788 => x"00000000", 29789 => x"00000000", 29790 => x"00000000", 29791 => x"00000000", 29792 => x"00000000", 29793 => x"00000000", 29794 => x"00000000", 29795 => x"00000000", 29796 => x"00000000", 29797 => x"00000000", 29798 => x"00000000", 29799 => x"00000000", 29800 => x"00000000", 29801 => x"00000000", 29802 => x"00000000", 29803 => x"00000000", 29804 => x"00000000", 29805 => x"00000000", 29806 => x"00000000", 29807 => x"00000000", 29808 => x"00000000", 29809 => x"00000000", 29810 => x"00000000", 29811 => x"00000000", 29812 => x"00000000", 29813 => x"00000000", 29814 => x"00000000", 29815 => x"00000000", 29816 => x"00000000", 29817 => x"00000000", 29818 => x"00000000", 29819 => x"00000000", 29820 => x"00000000", 29821 => x"00000000", 29822 => x"00000000", 29823 => x"00000000", 29824 => x"00000000", 29825 => x"00000000", 29826 => x"00000000", 29827 => x"00000000", 29828 => x"00000000", 29829 => x"00000000", 29830 => x"00000000", 29831 => x"00000000", 29832 => x"00000000", 29833 => x"00000000", 29834 => x"00000000", 29835 => x"00000000", 29836 => x"00000000", 29837 => x"00000000", 29838 => x"00000000", 29839 => x"00000000", 29840 => x"00000000", 29841 => x"00000000", 29842 => x"00000000", 29843 => x"00000000", 29844 => x"00000000", 29845 => x"00000000", 29846 => x"00000000", 29847 => x"00000000", 29848 => x"00000000", 29849 => x"00000000", 29850 => x"00000000", 29851 => x"00000000", 29852 => x"00000000", 29853 => x"00000000", 29854 => x"00000000", 29855 => x"00000000", 29856 => x"00000000", 29857 => x"00000000", 29858 => x"00000000", 29859 => x"00000000", 29860 => x"00000000", 29861 => x"00000000", 29862 => x"00000000", 29863 => x"00000000", 29864 => x"00000000", 29865 => x"00000000", 29866 => x"00000000", 29867 => x"00000000", 29868 => x"00000000", 29869 => x"00000000", 29870 => x"00000000", 29871 => x"00000000", 29872 => x"00000000", 29873 => x"00000000", 29874 => x"00000000", 29875 => x"00000000", 29876 => x"00000000", 29877 => x"00000000", 29878 => x"00000000", 29879 => x"00000000", 29880 => x"00000000", 29881 => x"00000000", 29882 => x"00000000", 29883 => x"00000000", 29884 => x"00000000", 29885 => x"00000000", 29886 => x"00000000", 29887 => x"00000000", 29888 => x"00000000", 29889 => x"00000000", 29890 => x"00000000", 29891 => x"00000000", 29892 => x"00000000", 29893 => x"00000000", 29894 => x"00000000", 29895 => x"00000000", 29896 => x"00000000", 29897 => x"00000000", 29898 => x"00000000", 29899 => x"00000000", 29900 => x"00000000", 29901 => x"00000000", 29902 => x"00000000", 29903 => x"00000000", 29904 => x"00000000", 29905 => x"00000000", 29906 => x"00000000", 29907 => x"00000000", 29908 => x"00000000", 29909 => x"00000000", 29910 => x"00000000", 29911 => x"00000000", 29912 => x"00000000", 29913 => x"00000000", 29914 => x"00000000", 29915 => x"00000000", 29916 => x"00000000", 29917 => x"00000000", 29918 => x"00000000", 29919 => x"00000000", 29920 => x"00000000", 29921 => x"00000000", 29922 => x"00000000", 29923 => x"00000000", 29924 => x"00000000", 29925 => x"00000000", 29926 => x"00000000", 29927 => x"00000000", 29928 => x"00000000", 29929 => x"00000000", 29930 => x"00000000", 29931 => x"00000000", 29932 => x"00000000", 29933 => x"00000000", 29934 => x"00000000", 29935 => x"00000000", 29936 => x"00000000", 29937 => x"00000000", 29938 => x"00000000", 29939 => x"00000000", 29940 => x"00000000", 29941 => x"00000000", 29942 => x"00000000", 29943 => x"00000000", 29944 => x"00000000", 29945 => x"00000000", 29946 => x"00000000", 29947 => x"00000000", 29948 => x"00000000", 29949 => x"00000000", 29950 => x"00000000", 29951 => x"00000000", 29952 => x"00000000", 29953 => x"00000000", 29954 => x"00000000", 29955 => x"00000000", 29956 => x"00000000", 29957 => x"00000000", 29958 => x"00000000", 29959 => x"00000000", 29960 => x"00000000", 29961 => x"00000000", 29962 => x"00000000", 29963 => x"00000000", 29964 => x"00000000", 29965 => x"00000000", 29966 => x"00000000", 29967 => x"00000000", 29968 => x"00000000", 29969 => x"00000000", 29970 => x"00000000", 29971 => x"00000000", 29972 => x"00000000", 29973 => x"00000000", 29974 => x"00000000", 29975 => x"00000000", 29976 => x"00000000", 29977 => x"00000000", 29978 => x"00000000", 29979 => x"00000000", 29980 => x"00000000", 29981 => x"00000000", 29982 => x"00000000", 29983 => x"00000000", 29984 => x"00000000", 29985 => x"00000000", 29986 => x"00000000", 29987 => x"00000000", 29988 => x"00000000", 29989 => x"00000000", 29990 => x"00000000", 29991 => x"00000000", 29992 => x"00000000", 29993 => x"00000000", 29994 => x"00000000", 29995 => x"00000000", 29996 => x"00000000", 29997 => x"00000000", 29998 => x"00000000", 29999 => x"00000000", 30000 => x"00000000", 30001 => x"00000000", 30002 => x"00000000", 30003 => x"00000000", 30004 => x"00000000", 30005 => x"00000000", 30006 => x"00000000", 30007 => x"00000000", 30008 => x"00000000", 30009 => x"00000000", 30010 => x"00000000", 30011 => x"00000000", 30012 => x"00000000", 30013 => x"00000000", 30014 => x"00000000", 30015 => x"00000000", 30016 => x"00000000", 30017 => x"00000000", 30018 => x"00000000", 30019 => x"00000000", 30020 => x"00000000", 30021 => x"00000000", 30022 => x"00000000", 30023 => x"00000000", 30024 => x"00000000", 30025 => x"00000000", 30026 => x"00000000", 30027 => x"00000000", 30028 => x"00000000", 30029 => x"00000000", 30030 => x"00000000", 30031 => x"00000000", 30032 => x"00000000", 30033 => x"00000000", 30034 => x"00000000", 30035 => x"00000000", 30036 => x"00000000", 30037 => x"00000000", 30038 => x"00000000", 30039 => x"00000000", 30040 => x"00000000", 30041 => x"00000000", 30042 => x"00000000", 30043 => x"00000000", 30044 => x"00000000", 30045 => x"00000000", 30046 => x"00000000", 30047 => x"00000000", 30048 => x"00000000", 30049 => x"00000000", 30050 => x"00000000", 30051 => x"00000000", 30052 => x"00000000", 30053 => x"00000000", 30054 => x"00000000", 30055 => x"00000000", 30056 => x"00000000", 30057 => x"00000000", 30058 => x"00000000", 30059 => x"00000000", 30060 => x"00000000", 30061 => x"00000000", 30062 => x"00000000", 30063 => x"00000000", 30064 => x"00000000", 30065 => x"00000000", 30066 => x"00000000", 30067 => x"00000000", 30068 => x"00000000", 30069 => x"00000000", 30070 => x"00000000", 30071 => x"00000000", 30072 => x"00000000", 30073 => x"00000000", 30074 => x"00000000", 30075 => x"00000000", 30076 => x"00000000", 30077 => x"00000000", 30078 => x"00000000", 30079 => x"00000000", 30080 => x"00000000", 30081 => x"00000000", 30082 => x"00000000", 30083 => x"00000000", 30084 => x"00000000", 30085 => x"00000000", 30086 => x"00000000", 30087 => x"00000000", 30088 => x"00000000", 30089 => x"00000000", 30090 => x"00000000", 30091 => x"00000000", 30092 => x"00000000", 30093 => x"00000000", 30094 => x"00000000", 30095 => x"00000000", 30096 => x"00000000", 30097 => x"00000000", 30098 => x"00000000", 30099 => x"00000000", 30100 => x"00000000", 30101 => x"00000000", 30102 => x"00000000", 30103 => x"00000000", 30104 => x"00000000", 30105 => x"00000000", 30106 => x"00000000", 30107 => x"00000000", 30108 => x"00000000", 30109 => x"00000000", 30110 => x"00000000", 30111 => x"00000000", 30112 => x"00000000", 30113 => x"00000000", 30114 => x"00000000", 30115 => x"00000000", 30116 => x"00000000", 30117 => x"00000000", 30118 => x"00000000", 30119 => x"00000000", 30120 => x"00000000", 30121 => x"00000000", 30122 => x"00000000", 30123 => x"00000000", 30124 => x"00000000", 30125 => x"00000000", 30126 => x"00000000", 30127 => x"00000000", 30128 => x"00000000", 30129 => x"00000000", 30130 => x"00000000", 30131 => x"00000000", 30132 => x"00000000", 30133 => x"00000000", 30134 => x"00000000", 30135 => x"00000000", 30136 => x"00000000", 30137 => x"00000000", 30138 => x"00000000", 30139 => x"00000000", 30140 => x"00000000", 30141 => x"00000000", 30142 => x"00000000", 30143 => x"00000000", 30144 => x"00000000", 30145 => x"00000000", 30146 => x"00000000", 30147 => x"00000000", 30148 => x"00000000", 30149 => x"00000000", 30150 => x"00000000", 30151 => x"00000000", 30152 => x"00000000", 30153 => x"00000000", 30154 => x"00000000", 30155 => x"00000000", 30156 => x"00000000", 30157 => x"00000000", 30158 => x"00000000", 30159 => x"00000000", 30160 => x"00000000", 30161 => x"00000000", 30162 => x"00000000", 30163 => x"00000000", 30164 => x"00000000", 30165 => x"00000000", 30166 => x"00000000", 30167 => x"00000000", 30168 => x"00000000", 30169 => x"00000000", 30170 => x"00000000", 30171 => x"00000000", 30172 => x"00000000", 30173 => x"00000000", 30174 => x"00000000", 30175 => x"00000000", 30176 => x"00000000", 30177 => x"00000000", 30178 => x"00000000", 30179 => x"00000000", 30180 => x"00000000", 30181 => x"00000000", 30182 => x"00000000", 30183 => x"00000000", 30184 => x"00000000", 30185 => x"00000000", 30186 => x"00000000", 30187 => x"00000000", 30188 => x"00000000", 30189 => x"00000000", 30190 => x"00000000", 30191 => x"00000000", 30192 => x"00000000", 30193 => x"00000000", 30194 => x"00000000", 30195 => x"00000000", 30196 => x"00000000", 30197 => x"00000000", 30198 => x"00000000", 30199 => x"00000000", 30200 => x"00000000", 30201 => x"00000000", 30202 => x"00000000", 30203 => x"00000000", 30204 => x"00000000", 30205 => x"00000000", 30206 => x"00000000", 30207 => x"00000000", 30208 => x"00000000", 30209 => x"00000000", 30210 => x"00000000", 30211 => x"00000000", 30212 => x"00000000", 30213 => x"00000000", 30214 => x"00000000", 30215 => x"00000000", 30216 => x"00000000", 30217 => x"00000000", 30218 => x"00000000", 30219 => x"00000000", 30220 => x"00000000", 30221 => x"00000000", 30222 => x"00000000", 30223 => x"00000000", 30224 => x"00000000", 30225 => x"00000000", 30226 => x"00000000", 30227 => x"00000000", 30228 => x"00000000", 30229 => x"00000000", 30230 => x"00000000", 30231 => x"00000000", 30232 => x"00000000", 30233 => x"00000000", 30234 => x"00000000", 30235 => x"00000000", 30236 => x"00000000", 30237 => x"00000000", 30238 => x"00000000", 30239 => x"00000000", 30240 => x"00000000", 30241 => x"00000000", 30242 => x"00000000", 30243 => x"00000000", 30244 => x"00000000", 30245 => x"00000000", 30246 => x"00000000", 30247 => x"00000000", 30248 => x"00000000", 30249 => x"00000000", 30250 => x"00000000", 30251 => x"00000000", 30252 => x"00000000", 30253 => x"00000000", 30254 => x"00000000", 30255 => x"00000000", 30256 => x"00000000", 30257 => x"00000000", 30258 => x"00000000", 30259 => x"00000000", 30260 => x"00000000", 30261 => x"00000000", 30262 => x"00000000", 30263 => x"00000000", 30264 => x"00000000", 30265 => x"00000000", 30266 => x"00000000", 30267 => x"00000000", 30268 => x"00000000", 30269 => x"00000000", 30270 => x"00000000", 30271 => x"00000000", 30272 => x"00000000", 30273 => x"00000000", 30274 => x"00000000", 30275 => x"00000000", 30276 => x"00000000", 30277 => x"00000000", 30278 => x"00000000", 30279 => x"00000000", 30280 => x"00000000", 30281 => x"00000000", 30282 => x"00000000", 30283 => x"00000000", 30284 => x"00000000", 30285 => x"00000000", 30286 => x"00000000", 30287 => x"00000000", 30288 => x"00000000", 30289 => x"00000000", 30290 => x"00000000", 30291 => x"00000000", 30292 => x"00000000", 30293 => x"00000000", 30294 => x"00000000", 30295 => x"00000000", 30296 => x"00000000", 30297 => x"00000000", 30298 => x"00000000", 30299 => x"00000000", 30300 => x"00000000", 30301 => x"00000000", 30302 => x"00000000", 30303 => x"00000000", 30304 => x"00000000", 30305 => x"00000000", 30306 => x"00000000", 30307 => x"00000000", 30308 => x"00000000", 30309 => x"00000000", 30310 => x"00000000", 30311 => x"00000000", 30312 => x"00000000", 30313 => x"00000000", 30314 => x"00000000", 30315 => x"00000000", 30316 => x"00000000", 30317 => x"00000000", 30318 => x"00000000", 30319 => x"00000000", 30320 => x"00000000", 30321 => x"00000000", 30322 => x"00000000", 30323 => x"00000000", 30324 => x"00000000", 30325 => x"00000000", 30326 => x"00000000", 30327 => x"00000000", 30328 => x"00000000", 30329 => x"00000000", 30330 => x"00000000", 30331 => x"00000000", 30332 => x"00000000", 30333 => x"00000000", 30334 => x"00000000", 30335 => x"00000000", 30336 => x"00000000", 30337 => x"00000000", 30338 => x"00000000", 30339 => x"00000000", 30340 => x"00000000", 30341 => x"00000000", 30342 => x"00000000", 30343 => x"00000000", 30344 => x"00000000", 30345 => x"00000000", 30346 => x"00000000", 30347 => x"00000000", 30348 => x"00000000", 30349 => x"00000000", 30350 => x"00000000", 30351 => x"00000000", 30352 => x"00000000", 30353 => x"00000000", 30354 => x"00000000", 30355 => x"00000000", 30356 => x"00000000", 30357 => x"00000000", 30358 => x"00000000", 30359 => x"00000000", 30360 => x"00000000", 30361 => x"00000000", 30362 => x"00000000", 30363 => x"00000000", 30364 => x"00000000", 30365 => x"00000000", 30366 => x"00000000", 30367 => x"00000000", 30368 => x"00000000", 30369 => x"00000000", 30370 => x"00000000", 30371 => x"00000000", 30372 => x"00000000", 30373 => x"00000000", 30374 => x"00000000", 30375 => x"00000000", 30376 => x"00000000", 30377 => x"00000000", 30378 => x"00000000", 30379 => x"00000000", 30380 => x"00000000", 30381 => x"00000000", 30382 => x"00000000", 30383 => x"00000000", 30384 => x"00000000", 30385 => x"00000000", 30386 => x"00000000", 30387 => x"00000000", 30388 => x"00000000", 30389 => x"00000000", 30390 => x"00000000", 30391 => x"00000000", 30392 => x"00000000", 30393 => x"00000000", 30394 => x"00000000", 30395 => x"00000000", 30396 => x"00000000", 30397 => x"00000000", 30398 => x"00000000", 30399 => x"00000000", 30400 => x"00000000", 30401 => x"00000000", 30402 => x"00000000", 30403 => x"00000000", 30404 => x"00000000", 30405 => x"00000000", 30406 => x"00000000", 30407 => x"00000000", 30408 => x"00000000", 30409 => x"00000000", 30410 => x"00000000", 30411 => x"00000000", 30412 => x"00000000", 30413 => x"00000000", 30414 => x"00000000", 30415 => x"00000000", 30416 => x"00000000", 30417 => x"00000000", 30418 => x"00000000", 30419 => x"00000000", 30420 => x"00000000", 30421 => x"00000000", 30422 => x"00000000", 30423 => x"00000000", 30424 => x"00000000", 30425 => x"00000000", 30426 => x"00000000", 30427 => x"00000000", 30428 => x"00000000", 30429 => x"00000000", 30430 => x"00000000", 30431 => x"00000000", 30432 => x"00000000", 30433 => x"00000000", 30434 => x"00000000", 30435 => x"00000000", 30436 => x"00000000", 30437 => x"00000000", 30438 => x"00000000", 30439 => x"00000000", 30440 => x"00000000", 30441 => x"00000000", 30442 => x"00000000", 30443 => x"00000000", 30444 => x"00000000", 30445 => x"00000000", 30446 => x"00000000", 30447 => x"00000000", 30448 => x"00000000", 30449 => x"00000000", 30450 => x"00000000", 30451 => x"00000000", 30452 => x"00000000", 30453 => x"00000000", 30454 => x"00000000", 30455 => x"00000000", 30456 => x"00000000", 30457 => x"00000000", 30458 => x"00000000", 30459 => x"00000000", 30460 => x"00000000", 30461 => x"00000000", 30462 => x"00000000", 30463 => x"00000000", 30464 => x"00000000", 30465 => x"00000000", 30466 => x"00000000", 30467 => x"00000000", 30468 => x"00000000", 30469 => x"00000000", 30470 => x"00000000", 30471 => x"00000000", 30472 => x"00000000", 30473 => x"00000000", 30474 => x"00000000", 30475 => x"00000000", 30476 => x"00000000", 30477 => x"00000000", 30478 => x"00000000", 30479 => x"00000000", 30480 => x"00000000", 30481 => x"00000000", 30482 => x"00000000", 30483 => x"00000000", 30484 => x"00000000", 30485 => x"00000000", 30486 => x"00000000", 30487 => x"00000000", 30488 => x"00000000", 30489 => x"00000000", 30490 => x"00000000", 30491 => x"00000000", 30492 => x"00000000", 30493 => x"00000000", 30494 => x"00000000", 30495 => x"00000000", 30496 => x"00000000", 30497 => x"00000000", 30498 => x"00000000", 30499 => x"00000000", 30500 => x"00000000", 30501 => x"00000000", 30502 => x"00000000", 30503 => x"00000000", 30504 => x"00000000", 30505 => x"00000000", 30506 => x"00000000", 30507 => x"00000000", 30508 => x"00000000", 30509 => x"00000000", 30510 => x"00000000", 30511 => x"00000000", 30512 => x"00000000", 30513 => x"00000000", 30514 => x"00000000", 30515 => x"00000000", 30516 => x"00000000", 30517 => x"00000000", 30518 => x"00000000", 30519 => x"00000000", 30520 => x"00000000", 30521 => x"00000000", 30522 => x"00000000", 30523 => x"00000000", 30524 => x"00000000", 30525 => x"00000000", 30526 => x"00000000", 30527 => x"00000000", 30528 => x"00000000", 30529 => x"00000000", 30530 => x"00000000", 30531 => x"00000000", 30532 => x"00000000", 30533 => x"00000000", 30534 => x"00000000", 30535 => x"00000000", 30536 => x"00000000", 30537 => x"00000000", 30538 => x"00000000", 30539 => x"00000000", 30540 => x"00000000", 30541 => x"00000000", 30542 => x"00000000", 30543 => x"00000000", 30544 => x"00000000", 30545 => x"00000000", 30546 => x"00000000", 30547 => x"00000000", 30548 => x"00000000", 30549 => x"00000000", 30550 => x"00000000", 30551 => x"00000000", 30552 => x"00000000", 30553 => x"00000000", 30554 => x"00000000", 30555 => x"00000000", 30556 => x"00000000", 30557 => x"00000000", 30558 => x"00000000", 30559 => x"00000000", 30560 => x"00000000", 30561 => x"00000000", 30562 => x"00000000", 30563 => x"00000000", 30564 => x"00000000", 30565 => x"00000000", 30566 => x"00000000", 30567 => x"00000000", 30568 => x"00000000", 30569 => x"00000000", 30570 => x"00000000", 30571 => x"00000000", 30572 => x"00000000", 30573 => x"00000000", 30574 => x"00000000", 30575 => x"00000000", 30576 => x"00000000", 30577 => x"00000000", 30578 => x"00000000", 30579 => x"00000000", 30580 => x"00000000", 30581 => x"00000000", 30582 => x"00000000", 30583 => x"00000000", 30584 => x"00000000", 30585 => x"00000000", 30586 => x"00000000", 30587 => x"00000000", 30588 => x"00000000", 30589 => x"00000000", 30590 => x"00000000", 30591 => x"00000000", 30592 => x"00000000", 30593 => x"00000000", 30594 => x"00000000", 30595 => x"00000000", 30596 => x"00000000", 30597 => x"00000000", 30598 => x"00000000", 30599 => x"00000000", 30600 => x"00000000", 30601 => x"00000000", 30602 => x"00000000", 30603 => x"00000000", 30604 => x"00000000", 30605 => x"00000000", 30606 => x"00000000", 30607 => x"00000000", 30608 => x"00000000", 30609 => x"00000000", 30610 => x"00000000", 30611 => x"00000000", 30612 => x"00000000", 30613 => x"00000000", 30614 => x"00000000", 30615 => x"00000000", 30616 => x"00000000", 30617 => x"00000000", 30618 => x"00000000", 30619 => x"00000000", 30620 => x"00000000", 30621 => x"00000000", 30622 => x"00000000", 30623 => x"00000000", 30624 => x"00000000", 30625 => x"00000000", 30626 => x"00000000", 30627 => x"00000000", 30628 => x"00000000", 30629 => x"00000000", 30630 => x"00000000", 30631 => x"00000000", 30632 => x"00000000", 30633 => x"00000000", 30634 => x"00000000", 30635 => x"00000000", 30636 => x"00000000", 30637 => x"00000000", 30638 => x"00000000", 30639 => x"00000000", 30640 => x"00000000", 30641 => x"00000000", 30642 => x"00000000", 30643 => x"00000000", 30644 => x"00000000", 30645 => x"00000000", 30646 => x"00000000", 30647 => x"00000000", 30648 => x"00000000", 30649 => x"00000000", 30650 => x"00000000", 30651 => x"00000000", 30652 => x"00000000", 30653 => x"00000000", 30654 => x"00000000", 30655 => x"00000000", 30656 => x"00000000", 30657 => x"00000000", 30658 => x"00000000", 30659 => x"00000000", 30660 => x"00000000", 30661 => x"00000000", 30662 => x"00000000", 30663 => x"00000000", 30664 => x"00000000", 30665 => x"00000000", 30666 => x"00000000", 30667 => x"00000000", 30668 => x"00000000", 30669 => x"00000000", 30670 => x"00000000", 30671 => x"00000000", 30672 => x"00000000", 30673 => x"00000000", 30674 => x"00000000", 30675 => x"00000000", 30676 => x"00000000", 30677 => x"00000000", 30678 => x"00000000", 30679 => x"00000000", 30680 => x"00000000", 30681 => x"00000000", 30682 => x"00000000", 30683 => x"00000000", 30684 => x"00000000", 30685 => x"00000000", 30686 => x"00000000", 30687 => x"00000000", 30688 => x"00000000", 30689 => x"00000000", 30690 => x"00000000", 30691 => x"00000000", 30692 => x"00000000", 30693 => x"00000000", 30694 => x"00000000", 30695 => x"00000000", 30696 => x"00000000", 30697 => x"00000000", 30698 => x"00000000", 30699 => x"00000000", 30700 => x"00000000", 30701 => x"00000000", 30702 => x"00000000", 30703 => x"00000000", 30704 => x"00000000", 30705 => x"00000000", 30706 => x"00000000", 30707 => x"00000000", 30708 => x"00000000", 30709 => x"00000000", 30710 => x"00000000", 30711 => x"00000000", 30712 => x"00000000", 30713 => x"00000000", 30714 => x"00000000", 30715 => x"00000000", 30716 => x"00000000", 30717 => x"00000000", 30718 => x"00000000", 30719 => x"00000000", 30720 => x"00000000", 30721 => x"00000000", 30722 => x"00000000", 30723 => x"00000000", 30724 => x"00000000", 30725 => x"00000000", 30726 => x"00000000", 30727 => x"00000000", 30728 => x"00000000", 30729 => x"00000000", 30730 => x"00000000", 30731 => x"00000000", 30732 => x"00000000", 30733 => x"00000000", 30734 => x"00000000", 30735 => x"00000000", 30736 => x"00000000", 30737 => x"00000000", 30738 => x"00000000", 30739 => x"00000000", 30740 => x"00000000", 30741 => x"00000000", 30742 => x"00000000", 30743 => x"00000000", 30744 => x"00000000", 30745 => x"00000000", 30746 => x"00000000", 30747 => x"00000000", 30748 => x"00000000", 30749 => x"00000000", 30750 => x"00000000", 30751 => x"00000000", 30752 => x"00000000", 30753 => x"00000000", 30754 => x"00000000", 30755 => x"00000000", 30756 => x"00000000", 30757 => x"00000000", 30758 => x"00000000", 30759 => x"00000000", 30760 => x"00000000", 30761 => x"00000000", 30762 => x"00000000", 30763 => x"00000000", 30764 => x"00000000", 30765 => x"00000000", 30766 => x"00000000", 30767 => x"00000000", 30768 => x"00000000", 30769 => x"00000000", 30770 => x"00000000", 30771 => x"00000000", 30772 => x"00000000", 30773 => x"00000000", 30774 => x"00000000", 30775 => x"00000000", 30776 => x"00000000", 30777 => x"00000000", 30778 => x"00000000", 30779 => x"00000000", 30780 => x"00000000", 30781 => x"00000000", 30782 => x"00000000", 30783 => x"00000000", 30784 => x"00000000", 30785 => x"00000000", 30786 => x"00000000", 30787 => x"00000000", 30788 => x"00000000", 30789 => x"00000000", 30790 => x"00000000", 30791 => x"00000000", 30792 => x"00000000", 30793 => x"00000000", 30794 => x"00000000", 30795 => x"00000000", 30796 => x"00000000", 30797 => x"00000000", 30798 => x"00000000", 30799 => x"00000000", 30800 => x"00000000", 30801 => x"00000000", 30802 => x"00000000", 30803 => x"00000000", 30804 => x"00000000", 30805 => x"00000000", 30806 => x"00000000", 30807 => x"00000000", 30808 => x"00000000", 30809 => x"00000000", 30810 => x"00000000", 30811 => x"00000000", 30812 => x"00000000", 30813 => x"00000000", 30814 => x"00000000", 30815 => x"00000000", 30816 => x"00000000", 30817 => x"00000000", 30818 => x"00000000", 30819 => x"00000000", 30820 => x"00000000", 30821 => x"00000000", 30822 => x"00000000", 30823 => x"00000000", 30824 => x"00000000", 30825 => x"00000000", 30826 => x"00000000", 30827 => x"00000000", 30828 => x"00000000", 30829 => x"00000000", 30830 => x"00000000", 30831 => x"00000000", 30832 => x"00000000", 30833 => x"00000000", 30834 => x"00000000", 30835 => x"00000000", 30836 => x"00000000", 30837 => x"00000000", 30838 => x"00000000", 30839 => x"00000000", 30840 => x"00000000", 30841 => x"00000000", 30842 => x"00000000", 30843 => x"00000000", 30844 => x"00000000", 30845 => x"00000000", 30846 => x"00000000", 30847 => x"00000000", 30848 => x"00000000", 30849 => x"00000000", 30850 => x"00000000", 30851 => x"00000000", 30852 => x"00000000", 30853 => x"00000000", 30854 => x"00000000", 30855 => x"00000000", 30856 => x"00000000", 30857 => x"00000000", 30858 => x"00000000", 30859 => x"00000000", 30860 => x"00000000", 30861 => x"00000000", 30862 => x"00000000", 30863 => x"00000000", 30864 => x"00000000", 30865 => x"00000000", 30866 => x"00000000", 30867 => x"00000000", 30868 => x"00000000", 30869 => x"00000000", 30870 => x"00000000", 30871 => x"00000000", 30872 => x"00000000", 30873 => x"00000000", 30874 => x"00000000", 30875 => x"00000000", 30876 => x"00000000", 30877 => x"00000000", 30878 => x"00000000", 30879 => x"00000000", 30880 => x"00000000", 30881 => x"00000000", 30882 => x"00000000", 30883 => x"00000000", 30884 => x"00000000", 30885 => x"00000000", 30886 => x"00000000", 30887 => x"00000000", 30888 => x"00000000", 30889 => x"00000000", 30890 => x"00000000", 30891 => x"00000000", 30892 => x"00000000", 30893 => x"00000000", 30894 => x"00000000", 30895 => x"00000000", 30896 => x"00000000", 30897 => x"00000000", 30898 => x"00000000", 30899 => x"00000000", 30900 => x"00000000", 30901 => x"00000000", 30902 => x"00000000", 30903 => x"00000000", 30904 => x"00000000", 30905 => x"00000000", 30906 => x"00000000", 30907 => x"00000000", 30908 => x"00000000", 30909 => x"00000000", 30910 => x"00000000", 30911 => x"00000000", 30912 => x"00000000", 30913 => x"00000000", 30914 => x"00000000", 30915 => x"00000000", 30916 => x"00000000", 30917 => x"00000000", 30918 => x"00000000", 30919 => x"00000000", 30920 => x"00000000", 30921 => x"00000000", 30922 => x"00000000", 30923 => x"00000000", 30924 => x"00000000", 30925 => x"00000000", 30926 => x"00000000", 30927 => x"00000000", 30928 => x"00000000", 30929 => x"00000000", 30930 => x"00000000", 30931 => x"00000000", 30932 => x"00000000", 30933 => x"00000000", 30934 => x"00000000", 30935 => x"00000000", 30936 => x"00000000", 30937 => x"00000000", 30938 => x"00000000", 30939 => x"00000000", 30940 => x"00000000", 30941 => x"00000000", 30942 => x"00000000", 30943 => x"00000000", 30944 => x"00000000", 30945 => x"00000000", 30946 => x"00000000", 30947 => x"00000000", 30948 => x"00000000", 30949 => x"00000000", 30950 => x"00000000", 30951 => x"00000000", 30952 => x"00000000", 30953 => x"00000000", 30954 => x"00000000", 30955 => x"00000000", 30956 => x"00000000", 30957 => x"00000000", 30958 => x"00000000", 30959 => x"00000000", 30960 => x"00000000", 30961 => x"00000000", 30962 => x"00000000", 30963 => x"00000000", 30964 => x"00000000", 30965 => x"00000000", 30966 => x"00000000", 30967 => x"00000000", 30968 => x"00000000", 30969 => x"00000000", 30970 => x"00000000", 30971 => x"00000000", 30972 => x"00000000", 30973 => x"00000000", 30974 => x"00000000", 30975 => x"00000000", 30976 => x"00000000", 30977 => x"00000000", 30978 => x"00000000", 30979 => x"00000000", 30980 => x"00000000", 30981 => x"00000000", 30982 => x"00000000", 30983 => x"00000000", 30984 => x"00000000", 30985 => x"00000000", 30986 => x"00000000", 30987 => x"00000000", 30988 => x"00000000", 30989 => x"00000000", 30990 => x"00000000", 30991 => x"00000000", 30992 => x"00000000", 30993 => x"00000000", 30994 => x"00000000", 30995 => x"00000000", 30996 => x"00000000", 30997 => x"00000000", 30998 => x"00000000", 30999 => x"00000000", 31000 => x"00000000", 31001 => x"00000000", 31002 => x"00000000", 31003 => x"00000000", 31004 => x"00000000", 31005 => x"00000000", 31006 => x"00000000", 31007 => x"00000000", 31008 => x"00000000", 31009 => x"00000000", 31010 => x"00000000", 31011 => x"00000000", 31012 => x"00000000", 31013 => x"00000000", 31014 => x"00000000", 31015 => x"00000000", 31016 => x"00000000", 31017 => x"00000000", 31018 => x"00000000", 31019 => x"00000000", 31020 => x"00000000", 31021 => x"00000000", 31022 => x"00000000", 31023 => x"00000000", 31024 => x"00000000", 31025 => x"00000000", 31026 => x"00000000", 31027 => x"00000000", 31028 => x"00000000", 31029 => x"00000000", 31030 => x"00000000", 31031 => x"00000000", 31032 => x"00000000", 31033 => x"00000000", 31034 => x"00000000", 31035 => x"00000000", 31036 => x"00000000", 31037 => x"00000000", 31038 => x"00000000", 31039 => x"00000000", 31040 => x"00000000", 31041 => x"00000000", 31042 => x"00000000", 31043 => x"00000000", 31044 => x"00000000", 31045 => x"00000000", 31046 => x"00000000", 31047 => x"00000000", 31048 => x"00000000", 31049 => x"00000000", 31050 => x"00000000", 31051 => x"00000000", 31052 => x"00000000", 31053 => x"00000000", 31054 => x"00000000", 31055 => x"00000000", 31056 => x"00000000", 31057 => x"00000000", 31058 => x"00000000", 31059 => x"00000000", 31060 => x"00000000", 31061 => x"00000000", 31062 => x"00000000", 31063 => x"00000000", 31064 => x"00000000", 31065 => x"00000000", 31066 => x"00000000", 31067 => x"00000000", 31068 => x"00000000", 31069 => x"00000000", 31070 => x"00000000", 31071 => x"00000000", 31072 => x"00000000", 31073 => x"00000000", 31074 => x"00000000", 31075 => x"00000000", 31076 => x"00000000", 31077 => x"00000000", 31078 => x"00000000", 31079 => x"00000000", 31080 => x"00000000", 31081 => x"00000000", 31082 => x"00000000", 31083 => x"00000000", 31084 => x"00000000", 31085 => x"00000000", 31086 => x"00000000", 31087 => x"00000000", 31088 => x"00000000", 31089 => x"00000000", 31090 => x"00000000", 31091 => x"00000000", 31092 => x"00000000", 31093 => x"00000000", 31094 => x"00000000", 31095 => x"00000000", 31096 => x"00000000", 31097 => x"00000000", 31098 => x"00000000", 31099 => x"00000000", 31100 => x"00000000", 31101 => x"00000000", 31102 => x"00000000", 31103 => x"00000000", 31104 => x"00000000", 31105 => x"00000000", 31106 => x"00000000", 31107 => x"00000000", 31108 => x"00000000", 31109 => x"00000000", 31110 => x"00000000", 31111 => x"00000000", 31112 => x"00000000", 31113 => x"00000000", 31114 => x"00000000", 31115 => x"00000000", 31116 => x"00000000", 31117 => x"00000000", 31118 => x"00000000", 31119 => x"00000000", 31120 => x"00000000", 31121 => x"00000000", 31122 => x"00000000", 31123 => x"00000000", 31124 => x"00000000", 31125 => x"00000000", 31126 => x"00000000", 31127 => x"00000000", 31128 => x"00000000", 31129 => x"00000000", 31130 => x"00000000", 31131 => x"00000000", 31132 => x"00000000", 31133 => x"00000000", 31134 => x"00000000", 31135 => x"00000000", 31136 => x"00000000", 31137 => x"00000000", 31138 => x"00000000", 31139 => x"00000000", 31140 => x"00000000", 31141 => x"00000000", 31142 => x"00000000", 31143 => x"00000000", 31144 => x"00000000", 31145 => x"00000000", 31146 => x"00000000", 31147 => x"00000000", 31148 => x"00000000", 31149 => x"00000000", 31150 => x"00000000", 31151 => x"00000000", 31152 => x"00000000", 31153 => x"00000000", 31154 => x"00000000", 31155 => x"00000000", 31156 => x"00000000", 31157 => x"00000000", 31158 => x"00000000", 31159 => x"00000000", 31160 => x"00000000", 31161 => x"00000000", 31162 => x"00000000", 31163 => x"00000000", 31164 => x"00000000", 31165 => x"00000000", 31166 => x"00000000", 31167 => x"00000000", 31168 => x"00000000", 31169 => x"00000000", 31170 => x"00000000", 31171 => x"00000000", 31172 => x"00000000", 31173 => x"00000000", 31174 => x"00000000", 31175 => x"00000000", 31176 => x"00000000", 31177 => x"00000000", 31178 => x"00000000", 31179 => x"00000000", 31180 => x"00000000", 31181 => x"00000000", 31182 => x"00000000", 31183 => x"00000000", 31184 => x"00000000", 31185 => x"00000000", 31186 => x"00000000", 31187 => x"00000000", 31188 => x"00000000", 31189 => x"00000000", 31190 => x"00000000", 31191 => x"00000000", 31192 => x"00000000", 31193 => x"00000000", 31194 => x"00000000", 31195 => x"00000000", 31196 => x"00000000", 31197 => x"00000000", 31198 => x"00000000", 31199 => x"00000000", 31200 => x"00000000", 31201 => x"00000000", 31202 => x"00000000", 31203 => x"00000000", 31204 => x"00000000", 31205 => x"00000000", 31206 => x"00000000", 31207 => x"00000000", 31208 => x"00000000", 31209 => x"00000000", 31210 => x"00000000", 31211 => x"00000000", 31212 => x"00000000", 31213 => x"00000000", 31214 => x"00000000", 31215 => x"00000000", 31216 => x"00000000", 31217 => x"00000000", 31218 => x"00000000", 31219 => x"00000000", 31220 => x"00000000", 31221 => x"00000000", 31222 => x"00000000", 31223 => x"00000000", 31224 => x"00000000", 31225 => x"00000000", 31226 => x"00000000", 31227 => x"00000000", 31228 => x"00000000", 31229 => x"00000000", 31230 => x"00000000", 31231 => x"00000000", 31232 => x"00000000", 31233 => x"00000000", 31234 => x"00000000", 31235 => x"00000000", 31236 => x"00000000", 31237 => x"00000000", 31238 => x"00000000", 31239 => x"00000000", 31240 => x"00000000", 31241 => x"00000000", 31242 => x"00000000", 31243 => x"00000000", 31244 => x"00000000", 31245 => x"00000000", 31246 => x"00000000", 31247 => x"00000000", 31248 => x"00000000", 31249 => x"00000000", 31250 => x"00000000", 31251 => x"00000000", 31252 => x"00000000", 31253 => x"00000000", 31254 => x"00000000", 31255 => x"00000000", 31256 => x"00000000", 31257 => x"00000000", 31258 => x"00000000", 31259 => x"00000000", 31260 => x"00000000", 31261 => x"00000000", 31262 => x"00000000", 31263 => x"00000000", 31264 => x"00000000", 31265 => x"00000000", 31266 => x"00000000", 31267 => x"00000000", 31268 => x"00000000", 31269 => x"00000000", 31270 => x"00000000", 31271 => x"00000000", 31272 => x"00000000", 31273 => x"00000000", 31274 => x"00000000", 31275 => x"00000000", 31276 => x"00000000", 31277 => x"00000000", 31278 => x"00000000", 31279 => x"00000000", 31280 => x"00000000", 31281 => x"00000000", 31282 => x"00000000", 31283 => x"00000000", 31284 => x"00000000", 31285 => x"00000000", 31286 => x"00000000", 31287 => x"00000000", 31288 => x"00000000", 31289 => x"00000000", 31290 => x"00000000", 31291 => x"00000000", 31292 => x"00000000", 31293 => x"00000000", 31294 => x"00000000", 31295 => x"00000000", 31296 => x"00000000", 31297 => x"00000000", 31298 => x"00000000", 31299 => x"00000000", 31300 => x"00000000", 31301 => x"00000000", 31302 => x"00000000", 31303 => x"00000000", 31304 => x"00000000", 31305 => x"00000000", 31306 => x"00000000", 31307 => x"00000000", 31308 => x"00000000", 31309 => x"00000000", 31310 => x"00000000", 31311 => x"00000000", 31312 => x"00000000", 31313 => x"00000000", 31314 => x"00000000", 31315 => x"00000000", 31316 => x"00000000", 31317 => x"00000000", 31318 => x"00000000", 31319 => x"00000000", 31320 => x"00000000", 31321 => x"00000000", 31322 => x"00000000", 31323 => x"00000000", 31324 => x"00000000", 31325 => x"00000000", 31326 => x"00000000", 31327 => x"00000000", 31328 => x"00000000", 31329 => x"00000000", 31330 => x"00000000", 31331 => x"00000000", 31332 => x"00000000", 31333 => x"00000000", 31334 => x"00000000", 31335 => x"00000000", 31336 => x"00000000", 31337 => x"00000000", 31338 => x"00000000", 31339 => x"00000000", 31340 => x"00000000", 31341 => x"00000000", 31342 => x"00000000", 31343 => x"00000000", 31344 => x"00000000", 31345 => x"00000000", 31346 => x"00000000", 31347 => x"00000000", 31348 => x"00000000", 31349 => x"00000000", 31350 => x"00000000", 31351 => x"00000000", 31352 => x"00000000", 31353 => x"00000000", 31354 => x"00000000", 31355 => x"00000000", 31356 => x"00000000", 31357 => x"00000000", 31358 => x"00000000", 31359 => x"00000000", 31360 => x"00000000", 31361 => x"00000000", 31362 => x"00000000", 31363 => x"00000000", 31364 => x"00000000", 31365 => x"00000000", 31366 => x"00000000", 31367 => x"00000000", 31368 => x"00000000", 31369 => x"00000000", 31370 => x"00000000", 31371 => x"00000000", 31372 => x"00000000", 31373 => x"00000000", 31374 => x"00000000", 31375 => x"00000000", 31376 => x"00000000", 31377 => x"00000000", 31378 => x"00000000", 31379 => x"00000000", 31380 => x"00000000", 31381 => x"00000000", 31382 => x"00000000", 31383 => x"00000000", 31384 => x"00000000", 31385 => x"00000000", 31386 => x"00000000", 31387 => x"00000000", 31388 => x"00000000", 31389 => x"00000000", 31390 => x"00000000", 31391 => x"00000000", 31392 => x"00000000", 31393 => x"00000000", 31394 => x"00000000", 31395 => x"00000000", 31396 => x"00000000", 31397 => x"00000000", 31398 => x"00000000", 31399 => x"00000000", 31400 => x"00000000", 31401 => x"00000000", 31402 => x"00000000", 31403 => x"00000000", 31404 => x"00000000", 31405 => x"00000000", 31406 => x"00000000", 31407 => x"00000000", 31408 => x"00000000", 31409 => x"00000000", 31410 => x"00000000", 31411 => x"00000000", 31412 => x"00000000", 31413 => x"00000000", 31414 => x"00000000", 31415 => x"00000000", 31416 => x"00000000", 31417 => x"00000000", 31418 => x"00000000", 31419 => x"00000000", 31420 => x"00000000", 31421 => x"00000000", 31422 => x"00000000", 31423 => x"00000000", 31424 => x"00000000", 31425 => x"00000000", 31426 => x"00000000", 31427 => x"00000000", 31428 => x"00000000", 31429 => x"00000000", 31430 => x"00000000", 31431 => x"00000000", 31432 => x"00000000", 31433 => x"00000000", 31434 => x"00000000", 31435 => x"00000000", 31436 => x"00000000", 31437 => x"00000000", 31438 => x"00000000", 31439 => x"00000000", 31440 => x"00000000", 31441 => x"00000000", 31442 => x"00000000", 31443 => x"00000000", 31444 => x"00000000", 31445 => x"00000000", 31446 => x"00000000", 31447 => x"00000000", 31448 => x"00000000", 31449 => x"00000000", 31450 => x"00000000", 31451 => x"00000000", 31452 => x"00000000", 31453 => x"00000000", 31454 => x"00000000", 31455 => x"00000000", 31456 => x"00000000", 31457 => x"00000000", 31458 => x"00000000", 31459 => x"00000000", 31460 => x"00000000", 31461 => x"00000000", 31462 => x"00000000", 31463 => x"00000000", 31464 => x"00000000", 31465 => x"00000000", 31466 => x"00000000", 31467 => x"00000000", 31468 => x"00000000", 31469 => x"00000000", 31470 => x"00000000", 31471 => x"00000000", 31472 => x"00000000", 31473 => x"00000000", 31474 => x"00000000", 31475 => x"00000000", 31476 => x"00000000", 31477 => x"00000000", 31478 => x"00000000", 31479 => x"00000000", 31480 => x"00000000", 31481 => x"00000000", 31482 => x"00000000", 31483 => x"00000000", 31484 => x"00000000", 31485 => x"00000000", 31486 => x"00000000", 31487 => x"00000000", 31488 => x"00000000", 31489 => x"00000000", 31490 => x"00000000", 31491 => x"00000000", 31492 => x"00000000", 31493 => x"00000000", 31494 => x"00000000", 31495 => x"00000000", 31496 => x"00000000", 31497 => x"00000000", 31498 => x"00000000", 31499 => x"00000000", 31500 => x"00000000", 31501 => x"00000000", 31502 => x"00000000", 31503 => x"00000000", 31504 => x"00000000", 31505 => x"00000000", 31506 => x"00000000", 31507 => x"00000000", 31508 => x"00000000", 31509 => x"00000000", 31510 => x"00000000", 31511 => x"00000000", 31512 => x"00000000", 31513 => x"00000000", 31514 => x"00000000", 31515 => x"00000000", 31516 => x"00000000", 31517 => x"00000000", 31518 => x"00000000", 31519 => x"00000000", 31520 => x"00000000", 31521 => x"00000000", 31522 => x"00000000", 31523 => x"00000000", 31524 => x"00000000", 31525 => x"00000000", 31526 => x"00000000", 31527 => x"00000000", 31528 => x"00000000", 31529 => x"00000000", 31530 => x"00000000", 31531 => x"00000000", 31532 => x"00000000", 31533 => x"00000000", 31534 => x"00000000", 31535 => x"00000000", 31536 => x"00000000", 31537 => x"00000000", 31538 => x"00000000", 31539 => x"00000000", 31540 => x"00000000", 31541 => x"00000000", 31542 => x"00000000", 31543 => x"00000000", 31544 => x"00000000", 31545 => x"00000000", 31546 => x"00000000", 31547 => x"00000000", 31548 => x"00000000", 31549 => x"00000000", 31550 => x"00000000", 31551 => x"00000000", 31552 => x"00000000", 31553 => x"00000000", 31554 => x"00000000", 31555 => x"00000000", 31556 => x"00000000", 31557 => x"00000000", 31558 => x"00000000", 31559 => x"00000000", 31560 => x"00000000", 31561 => x"00000000", 31562 => x"00000000", 31563 => x"00000000", 31564 => x"00000000", 31565 => x"00000000", 31566 => x"00000000", 31567 => x"00000000", 31568 => x"00000000", 31569 => x"00000000", 31570 => x"00000000", 31571 => x"00000000", 31572 => x"00000000", 31573 => x"00000000", 31574 => x"00000000", 31575 => x"00000000", 31576 => x"00000000", 31577 => x"00000000", 31578 => x"00000000", 31579 => x"00000000", 31580 => x"00000000", 31581 => x"00000000", 31582 => x"00000000", 31583 => x"00000000", 31584 => x"00000000", 31585 => x"00000000", 31586 => x"00000000", 31587 => x"00000000", 31588 => x"00000000", 31589 => x"00000000", 31590 => x"00000000", 31591 => x"00000000", 31592 => x"00000000", 31593 => x"00000000", 31594 => x"00000000", 31595 => x"00000000", 31596 => x"00000000", 31597 => x"00000000", 31598 => x"00000000", 31599 => x"00000000", 31600 => x"00000000", 31601 => x"00000000", 31602 => x"00000000", 31603 => x"00000000", 31604 => x"00000000", 31605 => x"00000000", 31606 => x"00000000", 31607 => x"00000000", 31608 => x"00000000", 31609 => x"00000000", 31610 => x"00000000", 31611 => x"00000000", 31612 => x"00000000", 31613 => x"00000000", 31614 => x"00000000", 31615 => x"00000000", 31616 => x"00000000", 31617 => x"00000000", 31618 => x"00000000", 31619 => x"00000000", 31620 => x"00000000", 31621 => x"00000000", 31622 => x"00000000", 31623 => x"00000000", 31624 => x"00000000", 31625 => x"00000000", 31626 => x"00000000", 31627 => x"00000000", 31628 => x"00000000", 31629 => x"00000000", 31630 => x"00000000", 31631 => x"00000000", 31632 => x"00000000", 31633 => x"00000000", 31634 => x"00000000", 31635 => x"00000000", 31636 => x"00000000", 31637 => x"00000000", 31638 => x"00000000", 31639 => x"00000000", 31640 => x"00000000", 31641 => x"00000000", 31642 => x"00000000", 31643 => x"00000000", 31644 => x"00000000", 31645 => x"00000000", 31646 => x"00000000", 31647 => x"00000000", 31648 => x"00000000", 31649 => x"00000000", 31650 => x"00000000", 31651 => x"00000000", 31652 => x"00000000", 31653 => x"00000000", 31654 => x"00000000", 31655 => x"00000000", 31656 => x"00000000", 31657 => x"00000000", 31658 => x"00000000", 31659 => x"00000000", 31660 => x"00000000", 31661 => x"00000000", 31662 => x"00000000", 31663 => x"00000000", 31664 => x"00000000", 31665 => x"00000000", 31666 => x"00000000", 31667 => x"00000000", 31668 => x"00000000", 31669 => x"00000000", 31670 => x"00000000", 31671 => x"00000000", 31672 => x"00000000", 31673 => x"00000000", 31674 => x"00000000", 31675 => x"00000000", 31676 => x"00000000", 31677 => x"00000000", 31678 => x"00000000", 31679 => x"00000000", 31680 => x"00000000", 31681 => x"00000000", 31682 => x"00000000", 31683 => x"00000000", 31684 => x"00000000", 31685 => x"00000000", 31686 => x"00000000", 31687 => x"00000000", 31688 => x"00000000", 31689 => x"00000000", 31690 => x"00000000", 31691 => x"00000000", 31692 => x"00000000", 31693 => x"00000000", 31694 => x"00000000", 31695 => x"00000000", 31696 => x"00000000", 31697 => x"00000000", 31698 => x"00000000", 31699 => x"00000000", 31700 => x"00000000", 31701 => x"00000000", 31702 => x"00000000", 31703 => x"00000000", 31704 => x"00000000", 31705 => x"00000000", 31706 => x"00000000", 31707 => x"00000000", 31708 => x"00000000", 31709 => x"00000000", 31710 => x"00000000", 31711 => x"00000000", 31712 => x"00000000", 31713 => x"00000000", 31714 => x"00000000", 31715 => x"00000000", 31716 => x"00000000", 31717 => x"00000000", 31718 => x"00000000", 31719 => x"00000000", 31720 => x"00000000", 31721 => x"00000000", 31722 => x"00000000", 31723 => x"00000000", 31724 => x"00000000", 31725 => x"00000000", 31726 => x"00000000", 31727 => x"00000000", 31728 => x"00000000", 31729 => x"00000000", 31730 => x"00000000", 31731 => x"00000000", 31732 => x"00000000", 31733 => x"00000000", 31734 => x"00000000", 31735 => x"00000000", 31736 => x"00000000", 31737 => x"00000000", 31738 => x"00000000", 31739 => x"00000000", 31740 => x"00000000", 31741 => x"00000000", 31742 => x"00000000", 31743 => x"00000000", 31744 => x"00000000", 31745 => x"00000000", 31746 => x"00000000", 31747 => x"00000000", 31748 => x"00000000", 31749 => x"00000000", 31750 => x"00000000", 31751 => x"00000000", 31752 => x"00000000", 31753 => x"00000000", 31754 => x"00000000", 31755 => x"00000000", 31756 => x"00000000", 31757 => x"00000000", 31758 => x"00000000", 31759 => x"00000000", 31760 => x"00000000", 31761 => x"00000000", 31762 => x"00000000", 31763 => x"00000000", 31764 => x"00000000", 31765 => x"00000000", 31766 => x"00000000", 31767 => x"00000000", 31768 => x"00000000", 31769 => x"00000000", 31770 => x"00000000", 31771 => x"00000000", 31772 => x"00000000", 31773 => x"00000000", 31774 => x"00000000", 31775 => x"00000000", 31776 => x"00000000", 31777 => x"00000000", 31778 => x"00000000", 31779 => x"00000000", 31780 => x"00000000", 31781 => x"00000000", 31782 => x"00000000", 31783 => x"00000000", 31784 => x"00000000", 31785 => x"00000000", 31786 => x"00000000", 31787 => x"00000000", 31788 => x"00000000", 31789 => x"00000000", 31790 => x"00000000", 31791 => x"00000000", 31792 => x"00000000", 31793 => x"00000000", 31794 => x"00000000", 31795 => x"00000000", 31796 => x"00000000", 31797 => x"00000000", 31798 => x"00000000", 31799 => x"00000000", 31800 => x"00000000", 31801 => x"00000000", 31802 => x"00000000", 31803 => x"00000000", 31804 => x"00000000", 31805 => x"00000000", 31806 => x"00000000", 31807 => x"00000000", 31808 => x"00000000", 31809 => x"00000000", 31810 => x"00000000", 31811 => x"00000000", 31812 => x"00000000", 31813 => x"00000000", 31814 => x"00000000", 31815 => x"00000000", 31816 => x"00000000", 31817 => x"00000000", 31818 => x"00000000", 31819 => x"00000000", 31820 => x"00000000", 31821 => x"00000000", 31822 => x"00000000", 31823 => x"00000000", 31824 => x"00000000", 31825 => x"00000000", 31826 => x"00000000", 31827 => x"00000000", 31828 => x"00000000", 31829 => x"00000000", 31830 => x"00000000", 31831 => x"00000000", 31832 => x"00000000", 31833 => x"00000000", 31834 => x"00000000", 31835 => x"00000000", 31836 => x"00000000", 31837 => x"00000000", 31838 => x"00000000", 31839 => x"00000000", 31840 => x"00000000", 31841 => x"00000000", 31842 => x"00000000", 31843 => x"00000000", 31844 => x"00000000", 31845 => x"00000000", 31846 => x"00000000", 31847 => x"00000000", 31848 => x"00000000", 31849 => x"00000000", 31850 => x"00000000", 31851 => x"00000000", 31852 => x"00000000", 31853 => x"00000000", 31854 => x"00000000", 31855 => x"00000000", 31856 => x"00000000", 31857 => x"00000000", 31858 => x"00000000", 31859 => x"00000000", 31860 => x"00000000", 31861 => x"00000000", 31862 => x"00000000", 31863 => x"00000000", 31864 => x"00000000", 31865 => x"00000000", 31866 => x"00000000", 31867 => x"00000000", 31868 => x"00000000", 31869 => x"00000000", 31870 => x"00000000", 31871 => x"00000000", 31872 => x"00000000", 31873 => x"00000000", 31874 => x"00000000", 31875 => x"00000000", 31876 => x"00000000", 31877 => x"00000000", 31878 => x"00000000", 31879 => x"00000000", 31880 => x"00000000", 31881 => x"00000000", 31882 => x"00000000", 31883 => x"00000000", 31884 => x"00000000", 31885 => x"00000000", 31886 => x"00000000", 31887 => x"00000000", 31888 => x"00000000", 31889 => x"00000000", 31890 => x"00000000", 31891 => x"00000000", 31892 => x"00000000", 31893 => x"00000000", 31894 => x"00000000", 31895 => x"00000000", 31896 => x"00000000", 31897 => x"00000000", 31898 => x"00000000", 31899 => x"00000000", 31900 => x"00000000", 31901 => x"00000000", 31902 => x"00000000", 31903 => x"00000000", 31904 => x"00000000", 31905 => x"00000000", 31906 => x"00000000", 31907 => x"00000000", 31908 => x"00000000", 31909 => x"00000000", 31910 => x"00000000", 31911 => x"00000000", 31912 => x"00000000", 31913 => x"00000000", 31914 => x"00000000", 31915 => x"00000000", 31916 => x"00000000", 31917 => x"00000000", 31918 => x"00000000", 31919 => x"00000000", 31920 => x"00000000", 31921 => x"00000000", 31922 => x"00000000", 31923 => x"00000000", 31924 => x"00000000", 31925 => x"00000000", 31926 => x"00000000", 31927 => x"00000000", 31928 => x"00000000", 31929 => x"00000000", 31930 => x"00000000", 31931 => x"00000000", 31932 => x"00000000", 31933 => x"00000000", 31934 => x"00000000", 31935 => x"00000000", 31936 => x"00000000", 31937 => x"00000000", 31938 => x"00000000", 31939 => x"00000000", 31940 => x"00000000", 31941 => x"00000000", 31942 => x"00000000", 31943 => x"00000000", 31944 => x"00000000", 31945 => x"00000000", 31946 => x"00000000", 31947 => x"00000000", 31948 => x"00000000", 31949 => x"00000000", 31950 => x"00000000", 31951 => x"00000000", 31952 => x"00000000", 31953 => x"00000000", 31954 => x"00000000", 31955 => x"00000000", 31956 => x"00000000", 31957 => x"00000000", 31958 => x"00000000", 31959 => x"00000000", 31960 => x"00000000", 31961 => x"00000000", 31962 => x"00000000", 31963 => x"00000000", 31964 => x"00000000", 31965 => x"00000000", 31966 => x"00000000", 31967 => x"00000000", 31968 => x"00000000", 31969 => x"00000000", 31970 => x"00000000", 31971 => x"00000000", 31972 => x"00000000", 31973 => x"00000000", 31974 => x"00000000", 31975 => x"00000000", 31976 => x"00000000", 31977 => x"00000000", 31978 => x"00000000", 31979 => x"00000000", 31980 => x"00000000", 31981 => x"00000000", 31982 => x"00000000", 31983 => x"00000000", 31984 => x"00000000", 31985 => x"00000000", 31986 => x"00000000", 31987 => x"00000000", 31988 => x"00000000", 31989 => x"00000000", 31990 => x"00000000", 31991 => x"00000000", 31992 => x"00000000", 31993 => x"00000000", 31994 => x"00000000", 31995 => x"00000000", 31996 => x"00000000", 31997 => x"00000000", 31998 => x"00000000", 31999 => x"00000000", 32000 => x"00000000", 32001 => x"00000000", 32002 => x"00000000", 32003 => x"00000000", 32004 => x"00000000", 32005 => x"00000000", 32006 => x"00000000", 32007 => x"00000000", 32008 => x"00000000", 32009 => x"00000000", 32010 => x"00000000", 32011 => x"00000000", 32012 => x"00000000", 32013 => x"00000000", 32014 => x"00000000", 32015 => x"00000000", 32016 => x"00000000", 32017 => x"00000000", 32018 => x"00000000", 32019 => x"00000000", 32020 => x"00000000", 32021 => x"00000000", 32022 => x"00000000", 32023 => x"00000000", 32024 => x"00000000", 32025 => x"00000000", 32026 => x"00000000", 32027 => x"00000000", 32028 => x"00000000", 32029 => x"00000000", 32030 => x"00000000", 32031 => x"00000000", 32032 => x"00000000", 32033 => x"00000000", 32034 => x"00000000", 32035 => x"00000000", 32036 => x"00000000", 32037 => x"00000000", 32038 => x"00000000", 32039 => x"00000000", 32040 => x"00000000", 32041 => x"00000000", 32042 => x"00000000", 32043 => x"00000000", 32044 => x"00000000", 32045 => x"00000000", 32046 => x"00000000", 32047 => x"00000000", 32048 => x"00000000", 32049 => x"00000000", 32050 => x"00000000", 32051 => x"00000000", 32052 => x"00000000", 32053 => x"00000000", 32054 => x"00000000", 32055 => x"00000000", 32056 => x"00000000", 32057 => x"00000000", 32058 => x"00000000", 32059 => x"00000000", 32060 => x"00000000", 32061 => x"00000000", 32062 => x"00000000", 32063 => x"00000000", 32064 => x"00000000", 32065 => x"00000000", 32066 => x"00000000", 32067 => x"00000000", 32068 => x"00000000", 32069 => x"00000000", 32070 => x"00000000", 32071 => x"00000000", 32072 => x"00000000", 32073 => x"00000000", 32074 => x"00000000", 32075 => x"00000000", 32076 => x"00000000", 32077 => x"00000000", 32078 => x"00000000", 32079 => x"00000000", 32080 => x"00000000", 32081 => x"00000000", 32082 => x"00000000", 32083 => x"00000000", 32084 => x"00000000", 32085 => x"00000000", 32086 => x"00000000", 32087 => x"00000000", 32088 => x"00000000", 32089 => x"00000000", 32090 => x"00000000", 32091 => x"00000000", 32092 => x"00000000", 32093 => x"00000000", 32094 => x"00000000", 32095 => x"00000000", 32096 => x"00000000", 32097 => x"00000000", 32098 => x"00000000", 32099 => x"00000000", 32100 => x"00000000", 32101 => x"00000000", 32102 => x"00000000", 32103 => x"00000000", 32104 => x"00000000", 32105 => x"00000000", 32106 => x"00000000", 32107 => x"00000000", 32108 => x"00000000", 32109 => x"00000000", 32110 => x"00000000", 32111 => x"00000000", 32112 => x"00000000", 32113 => x"00000000", 32114 => x"00000000", 32115 => x"00000000", 32116 => x"00000000", 32117 => x"00000000", 32118 => x"00000000", 32119 => x"00000000", 32120 => x"00000000", 32121 => x"00000000", 32122 => x"00000000", 32123 => x"00000000", 32124 => x"00000000", 32125 => x"00000000", 32126 => x"00000000", 32127 => x"00000000", 32128 => x"00000000", 32129 => x"00000000", 32130 => x"00000000", 32131 => x"00000000", 32132 => x"00000000", 32133 => x"00000000", 32134 => x"00000000", 32135 => x"00000000", 32136 => x"00000000", 32137 => x"00000000", 32138 => x"00000000", 32139 => x"00000000", 32140 => x"00000000", 32141 => x"00000000", 32142 => x"00000000", 32143 => x"00000000", 32144 => x"00000000", 32145 => x"00000000", 32146 => x"00000000", 32147 => x"00000000", 32148 => x"00000000", 32149 => x"00000000", 32150 => x"00000000", 32151 => x"00000000", 32152 => x"00000000", 32153 => x"00000000", 32154 => x"00000000", 32155 => x"00000000", 32156 => x"00000000", 32157 => x"00000000", 32158 => x"00000000", 32159 => x"00000000", 32160 => x"00000000", 32161 => x"00000000", 32162 => x"00000000", 32163 => x"00000000", 32164 => x"00000000", 32165 => x"00000000", 32166 => x"00000000", 32167 => x"00000000", 32168 => x"00000000", 32169 => x"00000000", 32170 => x"00000000", 32171 => x"00000000", 32172 => x"00000000", 32173 => x"00000000", 32174 => x"00000000", 32175 => x"00000000", 32176 => x"00000000", 32177 => x"00000000", 32178 => x"00000000", 32179 => x"00000000", 32180 => x"00000000", 32181 => x"00000000", 32182 => x"00000000", 32183 => x"00000000", 32184 => x"00000000", 32185 => x"00000000", 32186 => x"00000000", 32187 => x"00000000", 32188 => x"00000000", 32189 => x"00000000", 32190 => x"00000000", 32191 => x"00000000", 32192 => x"00000000", 32193 => x"00000000", 32194 => x"00000000", 32195 => x"00000000", 32196 => x"00000000", 32197 => x"00000000", 32198 => x"00000000", 32199 => x"00000000", 32200 => x"00000000", 32201 => x"00000000", 32202 => x"00000000", 32203 => x"00000000", 32204 => x"00000000", 32205 => x"00000000", 32206 => x"00000000", 32207 => x"00000000", 32208 => x"00000000", 32209 => x"00000000", 32210 => x"00000000", 32211 => x"00000000", 32212 => x"00000000", 32213 => x"00000000", 32214 => x"00000000", 32215 => x"00000000", 32216 => x"00000000", 32217 => x"00000000", 32218 => x"00000000", 32219 => x"00000000", 32220 => x"00000000", 32221 => x"00000000", 32222 => x"00000000", 32223 => x"00000000", 32224 => x"00000000", 32225 => x"00000000", 32226 => x"00000000", 32227 => x"00000000", 32228 => x"00000000", 32229 => x"00000000", 32230 => x"00000000", 32231 => x"00000000", 32232 => x"00000000", 32233 => x"00000000", 32234 => x"00000000", 32235 => x"00000000", 32236 => x"00000000", 32237 => x"00000000", 32238 => x"00000000", 32239 => x"00000000", 32240 => x"00000000", 32241 => x"00000000", 32242 => x"00000000", 32243 => x"00000000", 32244 => x"00000000", 32245 => x"00000000", 32246 => x"00000000", 32247 => x"00000000", 32248 => x"00000000", 32249 => x"00000000", 32250 => x"00000000", 32251 => x"00000000", 32252 => x"00000000", 32253 => x"00000000", 32254 => x"00000000", 32255 => x"00000000", 32256 => x"00000000", 32257 => x"00000000", 32258 => x"00000000", 32259 => x"00000000", 32260 => x"00000000", 32261 => x"00000000", 32262 => x"00000000", 32263 => x"00000000", 32264 => x"00000000", 32265 => x"00000000", 32266 => x"00000000", 32267 => x"00000000", 32268 => x"00000000", 32269 => x"00000000", 32270 => x"00000000", 32271 => x"00000000", 32272 => x"00000000", 32273 => x"00000000", 32274 => x"00000000", 32275 => x"00000000", 32276 => x"00000000", 32277 => x"00000000", 32278 => x"00000000", 32279 => x"00000000", 32280 => x"00000000", 32281 => x"00000000", 32282 => x"00000000", 32283 => x"00000000", 32284 => x"00000000", 32285 => x"00000000", 32286 => x"00000000", 32287 => x"00000000", 32288 => x"00000000", 32289 => x"00000000", 32290 => x"00000000", 32291 => x"00000000", 32292 => x"00000000", 32293 => x"00000000", 32294 => x"00000000", 32295 => x"00000000", 32296 => x"00000000", 32297 => x"00000000", 32298 => x"00000000", 32299 => x"00000000", 32300 => x"00000000", 32301 => x"00000000", 32302 => x"00000000", 32303 => x"00000000", 32304 => x"00000000", 32305 => x"00000000", 32306 => x"00000000", 32307 => x"00000000", 32308 => x"00000000", 32309 => x"00000000", 32310 => x"00000000", 32311 => x"00000000", 32312 => x"00000000", 32313 => x"00000000", 32314 => x"00000000", 32315 => x"00000000", 32316 => x"00000000", 32317 => x"00000000", 32318 => x"00000000", 32319 => x"00000000", 32320 => x"00000000", 32321 => x"00000000", 32322 => x"00000000", 32323 => x"00000000", 32324 => x"00000000", 32325 => x"00000000", 32326 => x"00000000", 32327 => x"00000000", 32328 => x"00000000", 32329 => x"00000000", 32330 => x"00000000", 32331 => x"00000000", 32332 => x"00000000", 32333 => x"00000000", 32334 => x"00000000", 32335 => x"00000000", 32336 => x"00000000", 32337 => x"00000000", 32338 => x"00000000", 32339 => x"00000000", 32340 => x"00000000", 32341 => x"00000000", 32342 => x"00000000", 32343 => x"00000000", 32344 => x"00000000", 32345 => x"00000000", 32346 => x"00000000", 32347 => x"00000000", 32348 => x"00000000", 32349 => x"00000000", 32350 => x"00000000", 32351 => x"00000000", 32352 => x"00000000", 32353 => x"00000000", 32354 => x"00000000", 32355 => x"00000000", 32356 => x"00000000", 32357 => x"00000000", 32358 => x"00000000", 32359 => x"00000000", 32360 => x"00000000", 32361 => x"00000000", 32362 => x"00000000", 32363 => x"00000000", 32364 => x"00000000", 32365 => x"00000000", 32366 => x"00000000", 32367 => x"00000000", 32368 => x"00000000", 32369 => x"00000000", 32370 => x"00000000", 32371 => x"00000000", 32372 => x"00000000", 32373 => x"00000000", 32374 => x"00000000", 32375 => x"00000000", 32376 => x"00000000", 32377 => x"00000000", 32378 => x"00000000", 32379 => x"00000000", 32380 => x"00000000", 32381 => x"00000000", 32382 => x"00000000", 32383 => x"00000000", 32384 => x"00000000", 32385 => x"00000000", 32386 => x"00000000", 32387 => x"00000000", 32388 => x"00000000", 32389 => x"00000000", 32390 => x"00000000", 32391 => x"00000000", 32392 => x"00000000", 32393 => x"00000000", 32394 => x"00000000", 32395 => x"00000000", 32396 => x"00000000", 32397 => x"00000000", 32398 => x"00000000", 32399 => x"00000000", 32400 => x"00000000", 32401 => x"00000000", 32402 => x"00000000", 32403 => x"00000000", 32404 => x"00000000", 32405 => x"00000000", 32406 => x"00000000", 32407 => x"00000000", 32408 => x"00000000", 32409 => x"00000000", 32410 => x"00000000", 32411 => x"00000000", 32412 => x"00000000", 32413 => x"00000000", 32414 => x"00000000", 32415 => x"00000000", 32416 => x"00000000", 32417 => x"00000000", 32418 => x"00000000", 32419 => x"00000000", 32420 => x"00000000", 32421 => x"00000000", 32422 => x"00000000", 32423 => x"00000000", 32424 => x"00000000", 32425 => x"00000000", 32426 => x"00000000", 32427 => x"00000000", 32428 => x"00000000", 32429 => x"00000000", 32430 => x"00000000", 32431 => x"00000000", 32432 => x"00000000", 32433 => x"00000000", 32434 => x"00000000", 32435 => x"00000000", 32436 => x"00000000", 32437 => x"00000000", 32438 => x"00000000", 32439 => x"00000000", 32440 => x"00000000", 32441 => x"00000000", 32442 => x"00000000", 32443 => x"00000000", 32444 => x"00000000", 32445 => x"00000000", 32446 => x"00000000", 32447 => x"00000000", 32448 => x"00000000", 32449 => x"00000000", 32450 => x"00000000", 32451 => x"00000000", 32452 => x"00000000", 32453 => x"00000000", 32454 => x"00000000", 32455 => x"00000000", 32456 => x"00000000", 32457 => x"00000000", 32458 => x"00000000", 32459 => x"00000000", 32460 => x"00000000", 32461 => x"00000000", 32462 => x"00000000", 32463 => x"00000000", 32464 => x"00000000", 32465 => x"00000000", 32466 => x"00000000", 32467 => x"00000000", 32468 => x"00000000", 32469 => x"00000000", 32470 => x"00000000", 32471 => x"00000000", 32472 => x"00000000", 32473 => x"00000000", 32474 => x"00000000", 32475 => x"00000000", 32476 => x"00000000", 32477 => x"00000000", 32478 => x"00000000", 32479 => x"00000000", 32480 => x"00000000", 32481 => x"00000000", 32482 => x"00000000", 32483 => x"00000000", 32484 => x"00000000", 32485 => x"00000000", 32486 => x"00000000", 32487 => x"00000000", 32488 => x"00000000", 32489 => x"00000000", 32490 => x"00000000", 32491 => x"00000000", 32492 => x"00000000", 32493 => x"00000000", 32494 => x"00000000", 32495 => x"00000000", 32496 => x"00000000", 32497 => x"00000000", 32498 => x"00000000", 32499 => x"00000000", 32500 => x"00000000", 32501 => x"00000000", 32502 => x"00000000", 32503 => x"00000000", 32504 => x"00000000", 32505 => x"00000000", 32506 => x"00000000", 32507 => x"00000000", 32508 => x"00000000", 32509 => x"00000000", 32510 => x"00000000", 32511 => x"00000000", 32512 => x"00000000", 32513 => x"00000000", 32514 => x"00000000", 32515 => x"00000000", 32516 => x"00000000", 32517 => x"00000000", 32518 => x"00000000", 32519 => x"00000000", 32520 => x"00000000", 32521 => x"00000000", 32522 => x"00000000", 32523 => x"00000000", 32524 => x"00000000", 32525 => x"00000000", 32526 => x"00000000", 32527 => x"00000000", 32528 => x"00000000", 32529 => x"00000000", 32530 => x"00000000", 32531 => x"00000000", 32532 => x"00000000", 32533 => x"00000000", 32534 => x"00000000", 32535 => x"00000000", 32536 => x"00000000", 32537 => x"00000000", 32538 => x"00000000", 32539 => x"00000000", 32540 => x"00000000", 32541 => x"00000000", 32542 => x"00000000", 32543 => x"00000000", 32544 => x"00000000", 32545 => x"00000000", 32546 => x"00000000", 32547 => x"00000000", 32548 => x"00000000", 32549 => x"00000000", 32550 => x"00000000", 32551 => x"00000000", 32552 => x"00000000", 32553 => x"00000000", 32554 => x"00000000", 32555 => x"00000000", 32556 => x"00000000", 32557 => x"00000000", 32558 => x"00000000", 32559 => x"00000000", 32560 => x"00000000", 32561 => x"00000000", 32562 => x"00000000", 32563 => x"00000000", 32564 => x"00000000", 32565 => x"00000000", 32566 => x"00000000", 32567 => x"00000000", 32568 => x"00000000", 32569 => x"00000000", 32570 => x"00000000", 32571 => x"00000000", 32572 => x"00000000", 32573 => x"00000000", 32574 => x"00000000", 32575 => x"00000000", 32576 => x"00000000", 32577 => x"00000000", 32578 => x"00000000", 32579 => x"00000000", 32580 => x"00000000", 32581 => x"00000000", 32582 => x"00000000", 32583 => x"00000000", 32584 => x"00000000", 32585 => x"00000000", 32586 => x"00000000", 32587 => x"00000000", 32588 => x"00000000", 32589 => x"00000000", 32590 => x"00000000", 32591 => x"00000000", 32592 => x"00000000", 32593 => x"00000000", 32594 => x"00000000", 32595 => x"00000000", 32596 => x"00000000", 32597 => x"00000000", 32598 => x"00000000", 32599 => x"00000000", 32600 => x"00000000", 32601 => x"00000000", 32602 => x"00000000", 32603 => x"00000000", 32604 => x"00000000", 32605 => x"00000000", 32606 => x"00000000", 32607 => x"00000000", 32608 => x"00000000", 32609 => x"00000000", 32610 => x"00000000", 32611 => x"00000000", 32612 => x"00000000", 32613 => x"00000000", 32614 => x"00000000", 32615 => x"00000000", 32616 => x"00000000", 32617 => x"00000000", 32618 => x"00000000", 32619 => x"00000000", 32620 => x"00000000", 32621 => x"00000000", 32622 => x"00000000", 32623 => x"00000000", 32624 => x"00000000", 32625 => x"00000000", 32626 => x"00000000", 32627 => x"00000000", 32628 => x"00000000", 32629 => x"00000000", 32630 => x"00000000", 32631 => x"00000000", 32632 => x"00000000", 32633 => x"00000000", 32634 => x"00000000", 32635 => x"00000000", 32636 => x"00000000", 32637 => x"00000000", 32638 => x"00000000", 32639 => x"00000000", 32640 => x"00000000", 32641 => x"00000000", 32642 => x"00000000", 32643 => x"00000000", 32644 => x"00000000", 32645 => x"00000000", 32646 => x"00000000", 32647 => x"00000000", 32648 => x"00000000", 32649 => x"00000000", 32650 => x"00000000", 32651 => x"00000000", 32652 => x"00000000", 32653 => x"00000000", 32654 => x"00000000", 32655 => x"00000000", 32656 => x"00000000", 32657 => x"00000000", 32658 => x"00000000", 32659 => x"00000000", 32660 => x"00000000", 32661 => x"00000000", 32662 => x"00000000", 32663 => x"00000000", 32664 => x"00000000", 32665 => x"00000000", 32666 => x"00000000", 32667 => x"00000000", 32668 => x"00000000", 32669 => x"00000000", 32670 => x"00000000", 32671 => x"00000000", 32672 => x"00000000", 32673 => x"00000000", 32674 => x"00000000", 32675 => x"00000000", 32676 => x"00000000", 32677 => x"00000000", 32678 => x"00000000", 32679 => x"00000000", 32680 => x"00000000", 32681 => x"00000000", 32682 => x"00000000", 32683 => x"00000000", 32684 => x"00000000", 32685 => x"00000000", 32686 => x"00000000", 32687 => x"00000000", 32688 => x"00000000", 32689 => x"00000000", 32690 => x"00000000", 32691 => x"00000000", 32692 => x"00000000", 32693 => x"00000000", 32694 => x"00000000", 32695 => x"00000000", 32696 => x"00000000", 32697 => x"00000000", 32698 => x"00000000", 32699 => x"00000000", 32700 => x"00000000", 32701 => x"00000000", 32702 => x"00000000", 32703 => x"00000000", 32704 => x"00000000", 32705 => x"00000000", 32706 => x"00000000", 32707 => x"00000000", 32708 => x"00000000", 32709 => x"00000000", 32710 => x"00000000", 32711 => x"00000000", 32712 => x"00000000", 32713 => x"00000000", 32714 => x"00000000", 32715 => x"00000000", 32716 => x"00000000", 32717 => x"00000000", 32718 => x"00000000", 32719 => x"00000000", 32720 => x"00000000", 32721 => x"00000000", 32722 => x"00000000", 32723 => x"00000000", 32724 => x"00000000", 32725 => x"00000000", 32726 => x"00000000", 32727 => x"00000000", 32728 => x"00000000", 32729 => x"00000000", 32730 => x"00000000", 32731 => x"00000000", 32732 => x"00000000", 32733 => x"00000000", 32734 => x"00000000", 32735 => x"00000000", 32736 => x"00000000", 32737 => x"00000000", 32738 => x"00000000", 32739 => x"00000000", 32740 => x"00000000", 32741 => x"00000000", 32742 => x"00000000", 32743 => x"00000000", 32744 => x"00000000", 32745 => x"00000000", 32746 => x"00000000", 32747 => x"00000000", 32748 => x"00000000", 32749 => x"00000000", 32750 => x"00000000", 32751 => x"00000000", 32752 => x"00000000", 32753 => x"00000000", 32754 => x"00000000", 32755 => x"00000000", 32756 => x"00000000", 32757 => x"00000000", 32758 => x"00000000", 32759 => x"00000000", 32760 => x"00000000", 32761 => x"00000000", 32762 => x"00000000", 32763 => x"00000000", 32764 => x"00000000", 32765 => x"00000000", 32766 => x"00000000", 32767 => x"00000000"); end dbe_lwip_bin_pkg;
lgpl-3.0
lerwys/bpm-sw-old-backup
hdl/ip_cores/pcie/ml605/ddr_v6/user_design/rtl/ecc/ecc_gen.vhd
1
7366
---------------------------------------------------------------------------------------------- -- -- Generated by X-HDL Verilog Translator - Version 4.0.0 Apr. 30, 2006 -- Wed Jun 17 2009 01:03:24 -- -- Input file : /home/samsonn/SandBox_LBranch_11.2/env/Databases/ip/src2/L/mig_v3_2/data/dlib/virtex6/ddr3_sdram/verilog/rtl/ecc/ecc_gen.v -- Component name : ecc_gen -- Author : -- Company : -- -- Description : -- -- ---------------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; -- Generate the ecc code. Note that the synthesizer should -- generate this as a static logic. Code in this block should -- never run during simulation phase, or directly impact timing. -- -- The code generated is a single correct, double detect code. -- It is the classic Hamming code. Instead, the code is -- optimized for minimal/balanced tree depth and size. See -- Hsiao IBM Technial Journal 1970. -- -- The code is returned as a single bit vector, h_rows. This was -- the only way to "subroutinize" this with the restrictions of -- disallowed include files and that matrices cannot be passed -- in ports. -- -- Factorial and the combos functions are defined. Combos -- simply computes the number of combinations from the set -- size and elements at a time. -- -- The function next_combo computes the next combination in -- lexicographical order given the "current" combination. Its -- output is undefined if given the last combination in the -- lexicographical order. -- -- next_combo is insensitive to the number of elements in the -- combinations. -- -- An H transpose matrix is generated because that's the easiest -- way to do it. The H transpose matrix is generated by taking -- the one at a time combinations, then the 3 at a time, then -- the 5 at a time. The number combinations used is equal to -- the width of the code (CODE_WIDTH). The boundaries between -- the 1, 3 and 5 groups are hardcoded in the for loop. -- -- At the same time the h_rows vector is generated from the -- H transpose matrix. entity ecc_gen is generic ( CODE_WIDTH : integer := 72; ECC_WIDTH : integer := 8; DATA_WIDTH : integer := 64 ); port ( -- Outputs -- function next_combo -- Given a combination, return the next combo in lexicographical -- order. Scans from right to left. Assumes the first combination -- is k ones all of the way to the left. -- -- Upon entry, initialize seen0, trig1, and ones. "seen0" means -- that a zero has been observed while scanning from right to left. -- "trig1" means that a one have been observed _after_ seen0 is set. -- "ones" counts the number of ones observed while scanning the input. -- -- If trig1 is one, just copy the input bit to the output and increment -- to the next bit. Otherwise set the the output bit to zero, if the -- input is a one, increment ones. If the input bit is a one and seen0 -- is true, dump out the accumulated ones. Set seen0 to the complement -- of the input bit. Note that seen0 is not used subsequent to trig1 -- getting set. -- The stuff above leads to excessive XST execution times. For now, hardwire to 72/64 bit. h_rows : out std_logic_vector(CODE_WIDTH * ECC_WIDTH - 1 downto 0) ); end entity ecc_gen; architecture trans of ecc_gen is function factorial (ivar: integer) return integer is variable tmp : integer; begin if (ivar = 1) then return 1; else tmp := 1; for i in ivar downto 2 loop tmp := tmp * i; end loop; end if; return tmp; end function factorial; function combos ( n, k: integer) return integer is begin return factorial(n)/(factorial(k)*factorial(n-k)); end function combos; function next_combo (i: std_logic_vector) return std_logic_vector is variable seen0: std_logic; variable trig1: std_logic; variable ones: std_logic_vector (ECC_WIDTH-1 downto 0); variable tmp: std_logic_vector (ECC_WIDTH-1 downto 0); variable tmp_index : integer; begin seen0 := '0'; trig1 := '0'; ones := (others => '0'); for index in ECC_WIDTH -1 downto 0 loop tmp_index := ECC_WIDTH -1 - index; if (trig1 = '1') then tmp(tmp_index) := i(tmp_index); else tmp(tmp_index) := '0'; ones := ones + i(tmp_index); if ((i(tmp_index) = '1') and (seen0 = '1')) then trig1 := '1'; for dump_index in tmp_index-1 downto 0 loop if (dump_index >= (tmp_index- conv_integer(ones)) ) then tmp(dump_index) := '1'; end if; end loop; end if; seen0 := not(i(tmp_index)); end if; end loop; return tmp; end function next_combo; constant COMBOS_3 : integer := combos(ECC_WIDTH, 3); constant COMBOS_5 : integer := combos(ECC_WIDTH, 5); type twoDarray is array (CODE_WIDTH -1 downto 0) of std_logic_vector (ECC_WIDTH-1 downto 0); signal ht_matrix : twoDarray; begin columns: for n in CODE_WIDTH - 1 downto 0 generate column0: if (n = 0) generate ht_matrix(n) <= "111" & conv_std_logic_vector(0,ECC_WIDTH-3); end generate; column_combos3: if ((n = COMBOS_3) and ( n < DATA_WIDTH) ) generate ht_matrix(n) <= "11111" & conv_std_logic_vector(0,ECC_WIDTH-5); end generate; column_combos5: if ((n = COMBOS_3 + COMBOS_5) and ( n < DATA_WIDTH) ) generate ht_matrix(n) <= "1111111" & conv_std_logic_vector(0,ECC_WIDTH-7); end generate; column_datawidth: if (n = DATA_WIDTH) generate ht_matrix(n) <= "1" & conv_std_logic_vector(0,ECC_WIDTH-1); end generate; column_gen: if ( (n /= 0 ) and ((n /= COMBOS_3) or (n > DATA_WIDTH)) and ((n /= COMBOS_3+COMBOS_5) or (n > DATA_WIDTH)) and (n /= DATA_WIDTH) ) generate ht_matrix(n) <= next_combo(ht_matrix(n-1)); end generate; out_assign: for s in ECC_WIDTH-1 downto 0 generate h_rows(s*CODE_WIDTH+n) <= ht_matrix(n)(s); end generate; end generate; --h_row0 <= "100000000100100011101101001101001000110100100010000110100100010000100000"; --h_row1 <= "010000001010010011011010101010100100101010010001000101010010001000010000"; --h_row2 <= "001000001001001010110110010110010010011001001000100011001001000100001000"; --h_row3 <= "000100000111000101110001110001110001000111000100010000111000100010000100"; --h_row4 <= "000010000000111100001111110000001111000000111100001000000111100001000010"; --h_row5 <= "000001001111111100000000001111111111000000000011111000000000011111000001"; --h_row6 <= "000000101111111100000000000000000000111111111111111000000000000000111111"; --h_row7 <= "000000011111111100000000000000000000000000000000000111111111111111111111"; --h_rows <= (h_row7 & h_row6 & h_row5 & h_row4 & h_row3 & h_row2 & h_row1 & h_row0); end architecture trans;
lgpl-3.0
lerwys/bpm-sw-old-backup
hdl/modules/dbe_wishbone/wb_fmc150/fmc150/ads62p49_ctrl.vhd
1
16066
------------------------------------------------------------------------------------- -- FILE NAME : ads62p49_ctrl.vhd -- -- AUTHOR : Peter Kortekaas -- -- COMPANY : 4DSP -- -- ITEM : 1 -- -- UNITS : Entity - ads62p49_ctrl -- architecture - ads62p49_ctrl_syn -- -- LANGUAGE : VHDL -- ------------------------------------------------------------------------------------- -- ------------------------------------------------------------------------------------- -- DESCRIPTION -- =========== -- -- This file initialises the internal registers in the ADS62P49 from FPGA ROM -- through SPI communication bus. -- ------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_misc.all; use ieee.std_logic_unsigned.all; -- Memoryies NGC library UNISIM; use UNISIM.vcomponents.all; entity ads62p49_ctrl is generic ( START_ADDR : std_logic_vector(27 downto 0) := x"0000000"; STOP_ADDR : std_logic_vector(27 downto 0) := x"00000FF"; g_sim : integer := 0 ); port ( rst : in std_logic; clk : in std_logic; -- Sequence interface init_ena : in std_logic; init_done : out std_logic; -- Command Interface clk_cmd : in std_logic; in_cmd_val : in std_logic; in_cmd : in std_logic_vector(63 downto 0); out_cmd_val : out std_logic; out_cmd : out std_logic_vector(63 downto 0); in_cmd_busy : out std_logic; -- Direct control adc_reset : out std_logic; -- SPI control spi_n_oe : out std_logic; spi_n_cs : out std_logic; spi_sclk : out std_logic; spi_sdo : out std_logic; spi_sdi : in std_logic ); end ads62p49_ctrl; architecture ads62p49_ctrl_syn of ads62p49_ctrl is component fmc150_stellar_cmd is generic ( START_ADDR : std_logic_vector(27 downto 0) := x"0000000"; STOP_ADDR : std_logic_vector(27 downto 0) := x"00000FF" ); port ( reset : in std_logic; -- Command Interface clk_cmd : in std_logic; --cmd_in and cmd_out are synchronous to this clock; out_cmd : out std_logic_vector(63 downto 0); out_cmd_val : out std_logic; in_cmd : in std_logic_vector(63 downto 0); in_cmd_val : in std_logic; -- Register interface clk_reg : in std_logic; --register interface is synchronous to this clock out_reg : out std_logic_vector(31 downto 0); --caries the out register data out_reg_val : out std_logic; --the out_reg has valid data (pulse) out_reg_addr : out std_logic_vector(27 downto 0); --out register address in_reg : in std_logic_vector(31 downto 0); --requested register data is placed on this bus in_reg_val : in std_logic; --pulse to indicate requested register is valid in_reg_req : out std_logic; --pulse to request data in_reg_addr : out std_logic_vector(27 downto 0); --requested address --mailbox interface mbx_in_reg : in std_logic_vector(31 downto 0); --value of the mailbox to send mbx_in_val : in std_logic --pulse to indicate mailbox is valid ); end component fmc150_stellar_cmd; component pulse2pulse port ( rst : in std_logic; in_clk : in std_logic; out_clk : in std_logic; pulsein : in std_logic; pulseout : out std_logic; inbusy : out std_logic ); end component; component ads62p49_init_mem is port ( clka : in std_logic; addra : in std_logic_vector(4 downto 0); douta : out std_logic_vector(15 downto 0) ); end component; constant ADDR_GLOBAL : std_logic_vector(27 downto 0) := x"0000077"; constant ADDR_MAX_WR : std_logic_vector(27 downto 0) := x"0000076"; constant ADDR_MAX_RD : std_logic_vector(27 downto 0) := x"0000076"; type sh_states is (idle, instruct, data_io, data_valid); signal sh_state : sh_states; signal serial_clk : std_logic; signal sclk_ext : std_logic; signal out_reg_val : std_logic; signal out_reg_addr : std_logic_vector(27 downto 0); signal out_reg : std_logic_vector(31 downto 0); signal in_reg_req : std_logic; signal in_reg_addr : std_logic_vector(27 downto 0); signal in_reg_val : std_logic; signal in_reg : std_logic_vector(31 downto 0); signal done_sclk : std_logic; signal init_done_sclk : std_logic; signal init_done_tmp : std_logic; signal init_done_prev : std_logic; signal init : std_logic; signal init_tmp : std_logic; signal init_reg : std_logic; signal reset : std_logic; signal inst_val : std_logic; signal inst_reg_val : std_logic; signal inst_rw : std_logic; signal inst_reg : std_logic_vector(7 downto 0); signal data_reg : std_logic_vector(7 downto 0); signal sh_counter : integer; signal sh_counter_gen : integer; signal shifting : std_logic; signal read_n_write : std_logic; signal ncs_int : std_logic; signal busy : std_logic; signal sdi : std_logic; signal shift_reg : std_logic_vector(15 downto 0); signal init_address : std_logic_vector(4 downto 0); signal init_data : std_logic_vector(15 downto 0); signal read_byte_val : std_logic; signal data_read_val : std_logic; signal data_read : std_logic_vector(7 downto 0); begin ---------------------------------------------------------------------------------------------------- -- Generate serial clock (max 20MHz) ---------------------------------------------------------------------------------------------------- gen_serial_clk : if (g_sim = 0) generate process (clk) -- Divide by 2^4 = 16, CLKmax = 16 x 20MHz = 320MHz variable clk_div : std_logic_vector(3 downto 0) := (others => '0'); begin if (rising_edge(clk)) then clk_div := clk_div + '1'; -- The slave samples the data on the rising edge of SCLK. -- therefore we make sure the external clock is slightly -- after the internal clock. serial_clk <= clk_div(clk_div'length-1); sclk_ext <= serial_clk; end if; end process; end generate; -- Do not divide clock. Improve simulation speed. gen_serial_clk_sim : if (g_sim = 1) generate serial_clk <= clk; end generate; ---------------------------------------------------------------------------------------------------- -- Stellar Command Interface ---------------------------------------------------------------------------------------------------- fmc150_stellar_cmd_inst : fmc150_stellar_cmd generic map ( START_ADDR => START_ADDR, STOP_ADDR => STOP_ADDR ) port map ( reset => rst, clk_cmd => clk_cmd, in_cmd_val => in_cmd_val, in_cmd => in_cmd, out_cmd_val => out_cmd_val, out_cmd => out_cmd, clk_reg => clk, out_reg_val => out_reg_val, out_reg_addr => out_reg_addr, out_reg => out_reg, in_reg_req => in_reg_req, in_reg_addr => in_reg_addr, in_reg_val => in_reg_val, in_reg => in_reg, mbx_in_val => '0', mbx_in_reg => (others => '0') ); ---------------------------------------------------------------------------------------------------- -- Shoot commands to the state machine ---------------------------------------------------------------------------------------------------- process (rst, clk) begin if (rst = '1') then init_done <= '0'; init_done_tmp <= '0'; init_done_prev <= '0'; init <= '0'; reset <= '1'; in_reg_val <= '0'; in_reg <= (others => '0'); inst_val <= '0'; inst_rw <= '0'; inst_reg <= (others=> '0'); data_reg <= (others=> '0'); elsif (rising_edge(clk)) then init_done <= init_done_sclk; init_done_tmp <= done_sclk; init_done_prev <= init_done_tmp; -- Release the init flag on rising edge init done if (init_done_tmp = '1' and init_done_prev = '0') then init <= '0'; -- Enable the init flag when enable flag is high, but done flag is low elsif (init_ena = '1' and init_done_tmp = '0') then init <= '1'; -- There is one additional status and control register available elsif (out_reg_val = '1' and out_reg_addr = ADDR_GLOBAL) then init <= out_reg(0); end if; --Write if (out_reg_val = '1' and out_reg_addr = ADDR_GLOBAL) then reset <= out_reg(1); else reset <= '0'; end if; -- There is one additional status and control register available if (in_reg_req = '1' and in_reg_addr = ADDR_GLOBAL) then in_reg_val <= '1'; in_reg <= conv_std_logic_vector(0, 27) & '0' & busy & '0' & reset & init_done_prev; -- read from serial if when address is within device range elsif (in_reg_addr <= ADDR_MAX_RD) then in_reg_val <= data_read_val; in_reg <= conv_std_logic_vector(0, 24) & data_read; else in_reg_val <= '0'; in_reg <= in_reg; end if; -- Write instruction, only when address is within device range if (out_reg_val = '1' and out_reg_addr <= ADDR_MAX_WR) then inst_val <= '1'; inst_rw <= '0'; -- write inst_reg <= out_reg_addr(7 downto 0); data_reg <= out_reg(7 downto 0); -- Read instruction, only when address is within device range elsif (in_reg_req = '1' and in_reg_addr <= ADDR_MAX_RD) then inst_val <= '1'; inst_rw <= '1'; -- read inst_reg <= in_reg_addr(7 downto 0); data_reg <= data_reg; -- No instruction else inst_val <= '0'; inst_rw <= inst_rw; inst_reg <= inst_reg; data_reg <= data_reg; end if; end if; end process; -- Intruction pulse pulse2pulse_inst0 : pulse2pulse port map ( rst => rst, in_clk => clk, out_clk => serial_clk, pulsein => inst_val, pulseout => inst_reg_val, inbusy => open ); ---------------------------------------------------------------------------------------------------- -- Serial interface state-machine ---------------------------------------------------------------------------------------------------- --gen_sh_counter : if (g_sim = 0) generate sh_counter_gen <= shift_reg'length-data_reg'length-1; --total length minus data bytes; --end generate; --gen_sh_counter_sim : if (g_sim = 1) generate -- sh_counter_gen <= 1; --end generate; process (rst, serial_clk) begin if (rst = '1') then init_tmp <= '0'; init_reg <= '0'; sh_state <= idle; sh_counter <= 0; shifting <= '0'; read_n_write <= '0'; ncs_int <= '1'; elsif (rising_edge(serial_clk)) then -- Double synchonise flag from other clock domain init_tmp <= init; init_reg <= init_tmp; -- Main state machine case sh_state is when idle => sh_counter <= sh_counter_gen; -- Accept every instruction if (inst_reg_val = '1' or init_reg = '1') then shifting <= '1'; read_n_write <= inst_rw and not init_reg; -- force write during init ncs_int <= '0'; sh_state <= instruct; else shifting <= '0'; ncs_int <= '1'; end if; when instruct => if (sh_counter = 0) then sh_counter <= data_reg'length-1; sh_state <= data_io; else sh_counter <= sh_counter - 1; end if; when data_io => if (sh_counter = 0) then sh_counter <= shift_reg'length-data_reg'length-1; --total length minus data bytes; shifting <= '0'; ncs_int <= '1'; if (read_n_write = '1') then sh_state <= data_valid; else sh_state <= idle; end if; else sh_counter <= sh_counter - 1; end if; when data_valid => sh_state <= idle; when others => sh_state <= idle; end case; end if; end process; busy <= '0' when (sh_state = idle and init_reg = '0') else '1'; ---------------------------------------------------------------------------------------------------- -- Instruction & data shift register ---------------------------------------------------------------------------------------------------- process (rst, serial_clk) begin if (rst = '1') then shift_reg <= (others => '0'); init_address <= (others => '0'); done_sclk <= '0'; init_done_sclk <= '0'; read_byte_val <= '0'; data_read <= (others => '0'); elsif (rising_edge(serial_clk)) then if (init_reg = '1' and shifting = '0') then shift_reg <= init_data; -- Stop when update instruction is reveived (= last instruction) if (init_data(15 downto 8) = ADDR_MAX_WR) then init_address <= (others => '0'); done_sclk <= '1'; else init_address <= init_address + 1; done_sclk <= '0'; end if; elsif (inst_reg_val = '1' and init_reg = '0') then shift_reg <= inst_reg & data_reg; elsif (shifting = '1') then shift_reg <= shift_reg(shift_reg'length - 2 downto 0) & sdi; end if; if (done_sclk = '0') then init_done_sclk <= '0'; elsif (sh_state = idle) then init_done_sclk <= '1'; end if; -- Data read from device if (sh_state = data_valid) then read_byte_val <= '1'; data_read <= shift_reg(7 downto 0); else read_byte_val <= '0'; data_read <= data_read; end if; end if; end process; -- Transfer data valid pulse to other clock domain pulse2pulse_inst1 : pulse2pulse port map ( rst => rst, in_clk => serial_clk, out_clk => clk, pulsein => read_byte_val, pulseout => data_read_val, inbusy => open ); ---------------------------------------------------------------------------------------------------- -- Initialization memory ---------------------------------------------------------------------------------------------------- ads62p49_init_mem_inst : ads62p49_init_mem port map ( clka => serial_clk, addra => init_address, douta => init_data ); ---------------------------------------------------------------------------------------------------- -- Capture data in on rising edge SCLK -- therefore freeze the signal on the falling edge of serial clock. ---------------------------------------------------------------------------------------------------- process (serial_clk) begin if (falling_edge(serial_clk)) then sdi <= spi_sdi; end if; end process; ---------------------------------------------------------------------------------------------------- -- Connect entity ---------------------------------------------------------------------------------------------------- in_cmd_busy <= busy; -- serial interface busy spi_n_oe <= '1' when (sh_state = data_io and read_n_write = '1') else ncs_int; spi_n_cs <= ncs_int; spi_sclk <= sclk_ext when ncs_int = '0' else '0'; spi_sdo <= 'Z' when (sh_state = data_io and read_n_write = '1') else shift_reg(shift_reg'length - 1); adc_reset <= reset; ---------------------------------------------------------------------------------------------------- -- End ---------------------------------------------------------------------------------------------------- end ads62p49_ctrl_syn;
lgpl-3.0
lerwys/bpm-sw-old-backup
hdl/ip_cores/pcie/ml605/ddr_v6/user_design/rtl/ui/ui_rd_data.vhd
1
24774
--***************************************************************************** -- (c) Copyright 2008-2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor : Xilinx -- \ \ \/ Version : 3.92 -- \ \ Application : MIG -- / / Filename : ui_rd_data.v -- /___/ /\ Date Last Modified : $date$ -- \ \ / \ Date Created : Tue Jun 30 2009 -- \___\/\___\ -- --Device : Virtex-6 --Design Name : DDR3 SDRAM --Purpose : --Reference : --Revision History : --***************************************************************************** -- User interface read buffer. Re orders read data returned from the -- memory controller back to the request order. -- -- Consists of a large buffer for the data, a status RAM and two counters. -- -- The large buffer is implemented with distributed RAM in 6 bit wide, -- 1 read, 1 write mode. The status RAM is implemented with a distributed -- RAM configured as 2 bits wide 1 read/write, 1 read mode. -- -- As read requests are received from the application, the data_buf_addr -- counter supplies the data_buf_addr sent into the memory controller. -- With each read request, the counter is incremented, eventually rolling -- over. This mechanism labels each read request with an incrementing number. -- -- When the memory controller returns read data, it echos the original -- data_buf_addr with the read data. -- -- The status RAM is indexed with the same address as the data buffer -- RAM. Each word of the data buffer RAM has an associated status bit -- and "end" bit. Requests of size 1 return a data burst on two consecutive -- states. Requests of size zero return with a single assertion of rd_data_en. -- -- Upon returning data, the status and end bits are updated for each -- corresponding location in the status RAM indexed by the data_buf_addr -- echoed on the rd_data_addr field. -- -- The other side of the status and data RAMs is indexed by the rd_buf_indx. -- The rd_buf_indx constantly monitors the status bit it is currently -- pointing to. When the status becomes set to the proper state (more on -- this later) read data is returned to the application, and the rd_buf_indx -- is incremented. -- -- At rst the rd_buf_indx is initialized to zero. Data will not have been -- returned from the memory controller yet, so there is nothing to return -- to the application. Evenutally, read requests will be made, and the -- memory controller will return the corresponding data. The memory -- controller may not return this data in the request order. In which -- case, the status bit at location zero, will not indicate -- the data for request zero is ready. Eventually, the memory controller -- will return data for request zero. The data is forwarded on to the -- application, and rd_buf_indx is incremented to point to the next status -- bits and data in the buffers. The status bit will be examined, and if -- data is valid, this data will be returned as well. This process -- continues until the status bit indexed by rd_buf_indx indicates data -- is not ready. This may be because the rd_data_buf -- is empty, or that some data was returned out of order. Since rd_buf_indx -- always increments sequentially, data is always returned to the application -- in request order. -- -- Some further discussion of the status bit is in order. The rd_data_buf -- is a circular buffer. The status bit is a single bit. Distributed RAM -- supports only a single write port. The write port is consumed by -- memory controller read data updates. If a simple '1' were used to -- indicate the status, when rd_data_indx rolled over it would immediately -- encounter a one for a request that may not be ready. -- -- This problem is solved by causing read data returns to flip the -- status bit, and adding hi order bit beyond the size required to -- index the rd_data_buf. Data is considered ready when the status bit -- and this hi order bit are equal. -- -- The status RAM needs to be initialized to zero after reset. This is -- accomplished by cycling through all rd_buf_indx valus and writing a -- zero to the status bits directly following deassertion of reset. This -- mechanism is used for similar purposes -- for the wr_data_buf. -- -- When ORDERING == "STRICT", read data reordering is unnecessary. For thi -- case, most of the logic in the block is not generated. -- User interface read data. LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.all; LIBRARY unisim; USE unisim.VCOMPONENTS.all; ENTITY ui_rd_data IS GENERIC ( TCQ : INTEGER := 100; APP_DATA_WIDTH : INTEGER := 256; ECC : STRING := "OFF"; ORDERING : STRING := "NORM" ); PORT ( ram_init_done_r : OUT STD_LOGIC; ram_init_addr : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); app_rd_data_valid : OUT STD_LOGIC; app_rd_data_end : OUT STD_LOGIC; app_rd_data : OUT STD_LOGIC_VECTOR(APP_DATA_WIDTH - 1 DOWNTO 0); app_ecc_multiple_err : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); rd_buf_full : OUT STD_LOGIC; rd_data_buf_addr_r : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); rst : IN STD_LOGIC; clk : IN STD_LOGIC; rd_data_en : IN STD_LOGIC; rd_data_addr : IN STD_LOGIC_VECTOR(3 DOWNTO 0); rd_data_offset : IN STD_LOGIC; rd_data_end : IN STD_LOGIC; rd_data : IN STD_LOGIC_VECTOR(APP_DATA_WIDTH - 1 DOWNTO 0); ecc_multiple : IN STD_LOGIC_VECTOR(3 DOWNTO 0); rd_accepted : IN STD_LOGIC ); END ENTITY ui_rd_data; ARCHITECTURE trans OF ui_rd_data IS SIGNAL rd_buf_indx_r : STD_LOGIC_VECTOR(5 DOWNTO 0); SIGNAL ram_init_done_r_lcl : STD_LOGIC; SIGNAL app_rd_data_valid_ns : STD_LOGIC; SIGNAL app_rd_data_valid_copy : STD_LOGIC; SIGNAL single_data : STD_LOGIC; SIGNAL app_ecc_multiple_err_r : STD_LOGIC_VECTOR(3 DOWNTO 0) := "0000"; FUNCTION CALC_RD_BUF_WIDTH ( APP_DATA_WIDTH : integer; ECC : string) RETURN integer is BEGIN IF ( ECC = "OFF" ) THEN RETURN APP_DATA_WIDTH; ELSE RETURN APP_DATA_WIDTH + 4; END IF; END FUNCTION CALC_RD_BUF_WIDTH; FUNCTION CALC_RAM_CNT ( FULL_RAM_CNT,REMAINDER: integer) RETURN integer is BEGIN IF ( REMAINDER = 0 ) THEN RETURN FULL_RAM_CNT; ELSE RETURN FULL_RAM_CNT + 1; END IF; END FUNCTION CALC_RAM_CNT; -- Compute dimensions of read data buffer. Depending on width of -- DQ bus and DRAM CK -- to fabric ratio, number of RAM32Ms is variable. RAM32Ms are used in -- single write, single read, 6 bit wide mode. CONSTANT RD_BUF_WIDTH : INTEGER := CALC_RD_BUF_WIDTH(APP_DATA_WIDTH,ECC); CONSTANT FULL_RAM_CNT : INTEGER := (RD_BUF_WIDTH/6); CONSTANT REMAINDER : INTEGER := (RD_BUF_WIDTH mod 6); CONSTANT RAM_CNT : INTEGER := CALC_RAM_CNT(FULL_RAM_CNT,REMAINDER); CONSTANT RAM_WIDTH : INTEGER := (RAM_CNT * 6); -- X-HDL generated signals SIGNAL xhdl11 : STD_LOGIC_VECTOR(1 DOWNTO 0); SIGNAL upd_rd_buf_indx : STD_LOGIC; SIGNAL ram_init_done_ns : STD_LOGIC; SIGNAL rd_buf_indx_ns : STD_LOGIC_VECTOR (5 DOWNTO 0); SIGNAL rd_data_buf_addr_ns : STD_LOGIC_VECTOR (3 DOWNTO 0); SIGNAL rd_data_buf_addr_r_lcl : STD_LOGIC_VECTOR ( 3 DOWNTO 0); SIGNAL rd_buf_wr_addr : STD_LOGIC_VECTOR (4 DOWNTO 0); SIGNAL rd_status : STD_LOGIC_VECTOR (1 DOWNTO 0); SIGNAL status_ram_wr_addr_ns : STD_LOGIC_VECTOR (4 DOWNTO 0); SIGNAL status_ram_wr_addr_r : STD_LOGIC_VECTOR (4 DOWNTO 0); SIGNAL wr_status : STD_LOGIC_VECTOR (1 DOWNTO 0); SIGNAL wr_status_r1 : STD_LOGIC; SIGNAL status_ram_wr_data_ns : STD_LOGIC_VECTOR (1 DOWNTO 0); SIGNAL status_ram_wr_data_r : STD_LOGIC_VECTOR (1 DOWNTO 0); SIGNAL rd_buf_we_r1 : STD_LOGIC; SIGNAL rd_buf_out_data : STD_LOGIC_VECTOR (RAM_WIDTH-1 DOWNTO 0); SIGNAL rd_buf_indx_copy_r : STD_LOGIC_VECTOR ( 4 DOWNTO 0 ); SIGNAL rd_buf_in_data : STD_LOGIC_VECTOR (RAM_WIDTH-1 DOWNTO 0); SIGNAL rd_data_rdy : STD_LOGIC; SIGNAL bypass : STD_LOGIC; SIGNAL app_rd_data_end_ns : STD_LOGIC; SIGNAL app_rd_data_ns : STD_LOGIC_VECTOR (APP_DATA_WIDTH-1 DOWNTO 0); SIGNAL app_ecc_multiple_err_ns : STD_LOGIC_VECTOR (3 DOWNTO 0); SIGNAL free_rd_buf : STD_LOGIC; SIGNAL occ_cnt_r : STD_LOGIC_VECTOR (4 DOWNTO 0); SIGNAL occ_minus_one : STD_LOGIC_VECTOR (4 DOWNTO 0); SIGNAL occ_plus_one : STD_LOGIC_VECTOR (4 DOWNTO 0); SIGNAL occ_cnt_ns : STD_LOGIC_VECTOR (4 DOWNTO 0); SIGNAL rd_buf_we : STD_LOGIC; SIGNAL app_rd_data_end_int : STD_LOGIC; ATTRIBUTE equivalent_register_removal : string; ATTRIBUTE equivalent_register_removal of rd_buf_indx_copy_r : signal is "no"; ATTRIBUTE equivalent_register_removal of app_rd_data_valid_copy : SIGNAL IS "no"; BEGIN --This signal is added have the internal usage of the port --app_rd_data_end app_rd_data_end <= app_rd_data_end_int; -- rd_buf_indx points to the status and data storage rams for -- reading data out to the app. ram_init_done_r <= ram_init_done_r_lcl; upd_rd_buf_indx <= NOT(ram_init_done_r_lcl) OR app_rd_data_valid_ns; -- Loop through all status write addresses once after rst. Initializes -- the status and pointer RAMs. ram_init_done_ns <= NOT(rst) when (rd_buf_indx_r(4 DOWNTO 0) = "11111") else NOT(rst) AND ram_init_done_r_lcl ; PROCESS (clk) BEGIN IF (clk'EVENT AND clk = '1') THEN ram_init_done_r_lcl <= ram_init_done_ns AFTER (TCQ)*1 ps; END IF; END PROCESS; PROCESS (rd_buf_indx_r, rst, single_data, upd_rd_buf_indx) BEGIN rd_buf_indx_ns <= rd_buf_indx_r; IF (rst = '1') THEN rd_buf_indx_ns <= "000000"; ELSIF (upd_rd_buf_indx = '1') THEN rd_buf_indx_ns <= rd_buf_indx_r + "000001" + ("00000" & single_data); END IF; END PROCESS; PROCESS (clk) BEGIN IF (clk'EVENT AND clk = '1') THEN rd_buf_indx_r <= rd_buf_indx_ns AFTER (TCQ)*1 ps; END IF; END PROCESS; ram_init_addr <= rd_buf_indx_r(3 DOWNTO 0); app_ecc_multiple_err <= app_ecc_multiple_err_r; xhdl0 : IF (ORDERING = "STRICT") GENERATE app_rd_data_valid_ns <= '0'; single_data <= '0'; rd_buf_full <= '0'; rd_data_buf_addr_ns <= "0000" WHEN (rst = '1') ELSE rd_data_buf_addr_r_lcl + ("000" & rd_accepted); PROCESS (clk) BEGIN IF (clk'EVENT AND clk = '1') THEN rd_data_buf_addr_r_lcl <= rd_data_buf_addr_ns AFTER (TCQ)*1 ps; END IF; END PROCESS; rd_data_buf_addr_r <= rd_data_buf_addr_ns; -- app_* signals required to be registered. xhdl1 : IF (ECC = "OFF") GENERATE PROCESS (rd_data) BEGIN app_rd_data <= rd_data; END PROCESS; PROCESS (rd_data_en) BEGIN app_rd_data_valid <= rd_data_en; END PROCESS; PROCESS (rd_data_end) BEGIN app_rd_data_end_int <= rd_data_end; END PROCESS; END GENERATE; xhdl2 : IF (NOT(ECC = "OFF")) GENERATE PROCESS (clk) BEGIN IF (clk'EVENT AND clk = '1') THEN app_rd_data <= rd_data AFTER (TCQ)*1 ps; END IF; END PROCESS; PROCESS (clk) BEGIN IF (clk'EVENT AND clk = '1') THEN app_rd_data_valid <= rd_data_en AFTER (TCQ)*1 ps; END IF; END PROCESS; PROCESS (clk) BEGIN IF (clk'EVENT AND clk = '1') THEN app_rd_data_end_int <= rd_data_end AFTER (TCQ)*1 ps; END IF; END PROCESS; PROCESS (clk) BEGIN IF (clk'EVENT AND clk = '1') THEN app_ecc_multiple_err_r <= ecc_multiple AFTER (TCQ)*1 ps; END IF; END PROCESS; END GENERATE; END GENERATE; xhdl3 : IF (NOT(ORDERING = "STRICT")) GENERATE rd_buf_we <= NOT(ram_init_done_r_lcl) OR rd_data_en; rd_buf_wr_addr <= (rd_data_addr & rd_data_offset); -- Instantiate status RAM. One bit for status and one for "end". -- Turns out read to write back status is a timing path. Update -- the status in the ram on the state following the read. Bypass -- the write data into the status read path. status_ram_wr_addr_ns <= rd_buf_wr_addr WHEN (ram_init_done_r_lcl = '1') ELSE rd_buf_indx_r(4 DOWNTO 0); PROCESS (clk) BEGIN IF (clk'EVENT AND clk = '1') THEN status_ram_wr_addr_r <= status_ram_wr_addr_ns AFTER (TCQ)*1 ps; END IF; END PROCESS; -- Not guaranteed to write second status bit. If it is written, always -- copy in the first status bit. PROCESS (clk) BEGIN IF (clk'EVENT AND clk = '1') THEN wr_status_r1 <= wr_status(0) AFTER (TCQ)*1 ps; END IF; END PROCESS; status_ram_wr_data_ns <= "00" WHEN ( ram_init_done_r_lcl = '0') ELSE (rd_data_end & NOT( wr_status_r1 )) WHEN (rd_data_offset = '1') ELSE (rd_data_end & NOT( wr_status(0) )); PROCESS (clk) BEGIN IF (clk'EVENT AND clk = '1') THEN status_ram_wr_data_r <= status_ram_wr_data_ns AFTER (TCQ)*1 ps; END IF; END PROCESS; PROCESS (clk) BEGIN IF (clk'EVENT AND clk = '1') THEN rd_buf_we_r1 <= rd_buf_we AFTER (TCQ)*1 ps; END IF; END PROCESS; RAM32M0 : RAM32M GENERIC MAP ( init_a => "0000000000000000000000000000000000000000000000000000000000000000", init_b => "0000000000000000000000000000000000000000000000000000000000000000", init_c => "0000000000000000000000000000000000000000000000000000000000000000", init_d => "0000000000000000000000000000000000000000000000000000000000000000" ) PORT MAP ( doa => rd_status, dob => open, doc => wr_status, dod => open, dia => status_ram_wr_data_r, dib => "00", dic => status_ram_wr_data_r, did => status_ram_wr_data_r, addra => rd_buf_indx_r(4 DOWNTO 0), addrb => "00000", addrc => status_ram_wr_addr_ns, addrd => status_ram_wr_addr_r, we => rd_buf_we_r1, wclk => clk ); -- block: status_ram xhdl4 : IF (REMAINDER = 0) GENERATE xhdl5 : IF (ECC = "OFF") GENERATE rd_buf_in_data <= rd_data; END GENERATE; xhdl6 : IF (NOT(ECC = "OFF")) GENERATE SIGNAL ecc_multiple_rd_data : STD_LOGIC_VECTOR ( APP_DATA_WIDTH + 3 DOWNTO 0 ); BEGIN ecc_multiple_rd_data <= (ecc_multiple & rd_data); rd_buf_in_data <= ecc_multiple_rd_data(RAM_WIDTH - 1 DOWNTO 0); END GENERATE; END GENERATE; xhdl7 : IF (NOT(REMAINDER = 0)) GENERATE xhdl8 : IF (ECC = "OFF") GENERATE SIGNAL zero_rd_data : STD_LOGIC_VECTOR ( 6-REMAINDER+APP_DATA_WIDTH-1 DOWNTO 0); BEGIN zero_rd_data <= (std_logic_vector(to_unsigned(0,6-REMAINDER)) & rd_data); rd_buf_in_data <= zero_rd_data (RAM_WIDTH-1 DOWNTO 0); END GENERATE; xhdl9 : IF (NOT(ECC = "OFF")) GENERATE SIGNAL zero_ecc_multiple_rd_data : STD_LOGIC_VECTOR ( 6-REMAINDER+APP_DATA_WIDTH+3 DOWNTO 0); BEGIN zero_ecc_multiple_rd_data <= (std_logic_vector(to_unsigned(0,6-REMAINDER)) & ecc_multiple & rd_data); rd_buf_in_data <= zero_ecc_multiple_rd_data(RAM_WIDTH - 1 DOWNTO 0); END GENERATE; END GENERATE; PROCESS (clk) BEGIN IF (clk'EVENT AND clk = '1') THEN rd_buf_indx_copy_r <= rd_buf_indx_ns (4 DOWNTO 0); END IF; END PROCESS; rd_buffer_ram : FOR i IN 0 TO RAM_CNT - 1 GENERATE RAM32M0 : RAM32M GENERIC MAP ( init_a => "0000000000000000000000000000000000000000000000000000000000000000", init_b => "0000000000000000000000000000000000000000000000000000000000000000", init_c => "0000000000000000000000000000000000000000000000000000000000000000", init_d => "0000000000000000000000000000000000000000000000000000000000000000" ) PORT MAP ( doa => rd_buf_out_data(((i * 6) + 4) + 1 DOWNTO ((i * 6) + 4)), dob => rd_buf_out_data(((i * 6) + 2) + 1 DOWNTO ((i * 6) + 2)), doc => rd_buf_out_data(((i * 6) + 0) + 1 DOWNTO ((i * 6) + 0)), dod => open, dia => rd_buf_in_data(((i * 6) + 4) + 1 DOWNTO ((i * 6) + 4)), dib => rd_buf_in_data(((i * 6) + 2) + 1 DOWNTO ((i * 6) + 2)), dic => rd_buf_in_data(((i * 6) + 0) + 1 DOWNTO ((i * 6) + 0)), did => "00", addra => rd_buf_indx_copy_r(4 DOWNTO 0), addrb => rd_buf_indx_copy_r(4 DOWNTO 0), addrc => rd_buf_indx_copy_r(4 DOWNTO 0), addrd => rd_buf_wr_addr, we => rd_buf_we, wclk => clk ); -- block: rd_buffer_ram END GENERATE; rd_data_rdy <= '1' when (rd_status(0) = rd_buf_indx_r(5)) else '0'; bypass <= rd_data_en when (rd_buf_wr_addr(4 DOWNTO 0) = rd_buf_indx_r(4 DOWNTO 0)) else '0'; app_rd_data_valid_ns <= ram_init_done_r_lcl AND (bypass OR rd_data_rdy); app_rd_data_end_ns <= rd_data_end WHEN (bypass = '1') ELSE rd_status(1); PROCESS (clk) BEGIN IF (clk'EVENT AND clk = '1') THEN app_rd_data_valid <= app_rd_data_valid_ns AFTER (TCQ)*1 ps; END IF; END PROCESS; PROCESS (clk) BEGIN IF (clk'EVENT AND clk = '1') THEN app_rd_data_end_int <= app_rd_data_end_ns AFTER (TCQ)*1 ps; END IF; END PROCESS; single_data <= app_rd_data_valid_ns AND app_rd_data_end_ns AND NOT(rd_buf_indx_r(0)); app_rd_data_ns <= rd_data WHEN (bypass = '1') ELSE rd_buf_out_data(APP_DATA_WIDTH - 1 DOWNTO 0); PROCESS (clk) BEGIN IF (clk'EVENT AND clk = '1') THEN app_rd_data <= app_rd_data_ns AFTER (TCQ)*1 ps; END IF; END PROCESS; xhdl10 : IF ( NOT(ECC = "OFF")) GENERATE app_ecc_multiple_err_ns <= ecc_multiple WHEN (bypass = '1') ELSE rd_buf_out_data(APP_DATA_WIDTH + 3 DOWNTO APP_DATA_WIDTH); PROCESS (clk) BEGIN IF (clk'EVENT AND clk = '1') THEN app_ecc_multiple_err_r <= app_ecc_multiple_err_ns AFTER (TCQ)*1 ps; END IF; END PROCESS; -- Keep track of how many entries in the queue hold data. END GENERATE; --Added to fix timing. The signal app_rd_data_valid has --a very high fanout. So making a dedicated copy for usage --with the occ_cnt counter. PROCESS (clk) BEGIN IF (clk'EVENT AND clk = '1') THEN app_rd_data_valid_copy <= app_rd_data_valid_ns AFTER (TCQ)*1 ps; END IF; END PROCESS; free_rd_buf <= app_rd_data_valid_copy AND app_rd_data_end_int; --changed to use registered version --of the signals in ordered to fix timing occ_minus_one <= occ_cnt_r - "00001"; occ_plus_one <= occ_cnt_r + "00001"; xhdl11 <= rd_accepted & free_rd_buf; PROCESS (free_rd_buf, occ_cnt_r, rd_accepted, rst, occ_minus_one, occ_plus_one,xhdl11) BEGIN occ_cnt_ns <= occ_cnt_r; IF (rst = '1') THEN occ_cnt_ns <= "00000"; ELSE CASE xhdl11 IS WHEN "01" => occ_cnt_ns <= occ_minus_one; WHEN "10" => -- case ({wr_data_end, new_rd_data}) occ_cnt_ns <= occ_plus_one; WHEN OTHERS => occ_cnt_ns <= occ_cnt_r; END CASE; END IF; END PROCESS; PROCESS (clk) BEGIN IF (clk'EVENT AND clk = '1') THEN occ_cnt_r <= occ_cnt_ns AFTER (TCQ)*1 ps; END IF; END PROCESS; rd_buf_full <= occ_cnt_ns(4); -- block: occupied_counter -- Generate the data_buf_address written into the memory controller -- for reads. Increment with each accepted read, and rollover at 0xf. rd_data_buf_addr_r <= rd_data_buf_addr_r_lcl; PROCESS (rd_accepted, rd_data_buf_addr_r_lcl, rst) BEGIN rd_data_buf_addr_ns <= rd_data_buf_addr_r_lcl; IF (rst = '1') THEN rd_data_buf_addr_ns <= "0000"; ELSIF (rd_accepted = '1') THEN rd_data_buf_addr_ns <= rd_data_buf_addr_r_lcl + "0001"; END IF; END PROCESS; PROCESS (clk) BEGIN IF (clk'EVENT AND clk = '1') THEN rd_data_buf_addr_r_lcl <= rd_data_buf_addr_ns AFTER (TCQ)*1 ps; -- block: data_buf_addr END IF; END PROCESS; -- block: not_strict_mode END GENERATE; -- ui_rd_data END ARCHITECTURE trans;
lgpl-3.0
AnttiLukats/opl3_fpga
fpga/modules/clks/ip/clk_gen/clk_gen_stub.vhdl
2
1113
-- Copyright 1986-2014 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2014.4 (lin64) Build 1071353 Tue Nov 18 16:47:07 MST 2014 -- Date : Sun Mar 8 22:11:52 2015 -- Host : edinburgh running 64-bit Ubuntu 14.10 -- Command : write_vhdl -force -mode synth_stub -- /media/sf_D_DRIVE/Users/Greg/git/opl3_fpga/fpga/modules/clks/ip/clk_gen/clk_gen_stub.vhdl -- Design : clk_gen -- Purpose : Stub declaration of top-level module interface -- Device : xc7z010clg400-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity clk_gen is Port ( clk125 : in STD_LOGIC; clk : out STD_LOGIC; clk_locked : out STD_LOGIC ); end clk_gen; architecture stub of clk_gen is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "clk125,clk,clk_locked"; begin end;
lgpl-3.0
Ttl/bf_cpu
testbenches/cpu_tb.vhd
1
2101
-- TestBench Template LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; USE std.textio.all; ENTITY cpu_tb IS END cpu_tb; ARCHITECTURE behavior OF cpu_tb IS signal clk, reset, tx, rx : std_logic; -- Clock period definitions constant clk_period : time := 10 ns; signal uart_tx_req, uart_tx_end, uart_rx_ready : std_logic; signal uart_tx_data, uart_rx_data : std_logic_vector(7 downto 0); BEGIN -- Component Instantiation uut: entity work.cpu Generic map ( INSTRUCTIONS => "scripts/branch.mif" ) Port map(clk => clk, reset => reset, tx => rx, rx => tx ); uart1 : entity work.uart Generic map( CLK_FREQ => 100, SER_FREQ => 2000000, PARITY_BIT => false ) Port map ( clk => clk, rst => reset, rx => rx, tx => tx, tx_req => uart_tx_req, tx_end => uart_tx_end, tx_data => uart_tx_data, rx_ready => uart_rx_ready, rx_data => uart_rx_data ); -- Print received bytes uart_process : process begin wait until uart_rx_ready = '1'; wait for clk_period; if to_integer(unsigned(uart_rx_data)) > 31 and to_integer(unsigned(uart_rx_data)) < 127 then report "Received ASCII: "&character'image(character'val(to_integer(unsigned(uart_rx_data)))); else report "Received Dec: "&integer'image(to_integer(unsigned(uart_rx_data))); end if; end process; -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; -- Test Bench Statements tb : PROCESS BEGIN reset <= '1'; uart_tx_req <= '0'; wait for 100 ns; -- wait until global set/reset completes reset <= '0'; -- Send character uart_tx_req <= '1'; uart_tx_data <= x"41"; -- A wait for clk_period; uart_tx_req <= '0'; wait until uart_tx_end = '1'; wait; -- will wait forever END PROCESS tb; -- End Test Bench END;
lgpl-3.0
CogPy/cog
xUnit/vhdl/somedir/Cents.vhd
1
1889
------------------------------------------------------------------------------- -- Title : Cents -- Project : ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; ------------------------------------------------------------------------------- entity Cents is port ( Clk : in std_logic; Clr : in std_logic; Cents_A : in std_logic_vector(3 downto 0); Cents_B : in std_logic_vector(3 downto 0); Cents_AB : out std_logic_vector(4 downto 0) ); end entity Cents; ------------------------------------------------------------------------------- architecture str of Cents is ----------------------------------------------------------------------------- -- Internal signal declarations ----------------------------------------------------------------------------- signal AB : unsigned(Cents_AB'range); begin -- architecture str ----------------------------------------------------------------------------- -- Output assignments ----------------------------------------------------------------------------- Cents_AB <= std_logic_vector(AB); ----------------------------------------------------------------------------- -- Component instantiations ----------------------------------------------------------------------------- p_addAandB: process (Clk) is begin -- process p_addAandB if Clk'event and Clk = '1' then -- rising clock edge if Clr = '1' then AB <= to_unsigned(0, AB'length); else AB <= resize(unsigned(Cents_A), AB'length) + resize(unsigned(Cents_B), AB'length); end if; end if; end process p_addAandB; end architecture str; -------------------------------------------------------------------------------
lgpl-3.0
CogPy/cog
xUnit/vhdl/A.vhd
1
3141
------------------------------------------------------------------------------- -- Title : test1 -- Project : ------------------------------------------------------------------------------- -- File : test1.vhd -- Author : <kristoffer.nordstrom@HELVNB0100> -- Company : -- Created : 2015-04-27 -- Last update: 2015-05-12 -- Platform : -- Standard : VHDL'93/02 ------------------------------------------------------------------------------- -- Description: ------------------------------------------------------------------------------- -- Copyright (c) 2015 ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2015-04-27 1.0 kn Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; ------------------------------------------------------------------------------- entity A is port ( Clk : in std_logic; Clr : in std_logic; A_A : in std_logic_vector(3 downto 0); A_B : in std_logic_vector(3 downto 0); A_AB : out std_logic_vector(4 downto 0) ); end entity A; ------------------------------------------------------------------------------- architecture str of A is signal Cents_A : std_logic_vector(3 downto 0); signal Cents_B : std_logic_vector(3 downto 0); signal Cents_AB : std_logic_vector(4 downto 0); signal B_A : std_logic_vector(3 downto 0); signal B_B : std_logic_vector(3 downto 0); signal B_AB : std_logic_vector(4 downto 0); ----------------------------------------------------------------------------- -- Internal signal declarations ----------------------------------------------------------------------------- signal AB : unsigned(A_AB'range); begin -- architecture str ----------------------------------------------------------------------------- -- Output assignments ----------------------------------------------------------------------------- A_AB <= std_logic_vector(AB); ----------------------------------------------------------------------------- -- Component instantiations ----------------------------------------------------------------------------- p_addAandB: process (Clk) is begin -- process p_addAandB if Clk'event and Clk = '1' then -- rising clock edge if Clr = '1' then AB <= to_unsigned(0, AB'length); else AB <= resize(unsigned(A_A), AB'length) + resize(unsigned(A_B), AB'length); end if; end if; end process p_addAandB; i_B_1: entity work.B port map ( Clk => Clk, Clr => Clr, B_A => B_A, B_B => B_B, B_AB => B_AB); i_Cents_1: entity work.Cents port map ( Clk => Clk, Clr => Clr, Cents_A => Cents_A, Cents_B => Cents_B, Cents_AB => Cents_AB); end architecture str; -------------------------------------------------------------------------------
lgpl-3.0
8l/luz-cpu
experimental/luz_uc/luz_uc_testbench/cpu/controller/controller_tb.vhd
2
6387
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.cpu_defs.all; use work.utils_pak.all; entity controller_tb is end; architecture controller_tb_arc of controller_tb is signal clk: std_logic := '0'; signal reset_n: std_logic; signal mem_read: std_logic; signal mem_write: std_logic; signal mem_bytesel: std_logic_vector(3 downto 0); signal mem_addr: word; signal mem_data_out: word; signal mem_ack: std_logic; signal mem_data_in: word; signal reg_sel_a: std_logic_vector(4 downto 0); signal reg_a_out: word; signal reg_sel_b: std_logic_vector(4 downto 0); signal reg_b_out: word; signal reg_sel_c: std_logic_vector(4 downto 0); signal reg_c_out: word; signal reg_sel_y: std_logic_vector(4 downto 0); signal reg_write_y: std_logic; signal reg_y_in: word; signal reg_sel_z: std_logic_vector(4 downto 0); signal reg_write_z: std_logic; signal reg_z_in: word; signal alu_op: cpu_opcode; signal alu_rs_in: word; signal alu_rt_in: word; signal alu_rd_in: word; signal alu_imm_in: word; signal alu_output_a: word; signal alu_output_b: word; signal pc_in: word; signal pc_write: std_logic; signal pc_out: word; signal dummy: std_logic; begin clk <= not clk after 14 ns; reset_n <= '0', '1' after 100 ns; -- Providing the controller with data from the memory. -- Simulating a synchronous memory read access -- proc_mem_data_in: process(clk, reset_n) begin if (reset_n = '0') then mem_data_in <= (others => '0'); elsif (rising_edge(clk)) then if mem_read = '1' then -- The reset address, provide first instruction if mem_addr = x"00100000" then -- -- sub $r5, $r6, $r9 -- -- generated by luz_asm_sim test_assembler.py -- mem_data_in <= x"04a64800"; elsif mem_addr = x"00100004" then -- -- mulu $r20, $r2, $r3 -- mem_data_in <= x"0A821800"; end if; end if; end if; end process; -- Simulate the way the acknowledge signal from memory behaves -- mem_ack <= '1' when mem_read = '1' or mem_write = '1' else '0'; -- Simulate reading from register A (Rd) -- proc_reg_a_out: process(clk, reset_n) begin if (reset_n = '0') then reg_a_out <= (others => '0'); elsif (rising_edge(clk)) then if to_integer(unsigned(reg_sel_a)) = 6 then reg_a_out <= x"0034AABB"; else reg_a_out <= (others => '0'); end if; end if; end process; -- Simulate reading from register B (Rs) -- proc_reg_b_out: process(clk, reset_n) begin if (reset_n = '0') then reg_b_out <= (others => '0'); elsif (rising_edge(clk)) then if to_integer(unsigned(reg_sel_b)) = 6 then reg_b_out <= x"0034AABB"; elsif to_integer(unsigned(reg_sel_b)) = 2 then reg_b_out <= x"00400000"; else reg_b_out <= (others => '0'); end if; end if; end process; -- Simulate reading from register C (Rt) -- proc_reg_c_out: process(clk, reset_n) begin if (reset_n = '0') then reg_c_out <= (others => '0'); elsif (rising_edge(clk)) then if to_integer(unsigned(reg_sel_c)) = 9 then reg_c_out <= x"00126789"; elsif to_integer(unsigned(reg_sel_c)) = 3 then reg_c_out <= x"00AB0000"; else reg_c_out <= (others => '0'); end if; end if; end process; process begin wait; end process; dut: entity work.controller(controller_arc) port map ( clk => clk, reset_n => reset_n, mem_read => mem_read, mem_write => mem_write, mem_bytesel => mem_bytesel, mem_addr => mem_addr, mem_data_out => mem_data_out, mem_ack => mem_ack, mem_data_in => mem_data_in, reg_sel_a => reg_sel_a, reg_a_out => reg_a_out, reg_sel_b => reg_sel_b, reg_b_out => reg_b_out, reg_sel_c => reg_sel_c, reg_c_out => reg_c_out, reg_sel_y => reg_sel_y, reg_write_y => reg_write_y, reg_y_in => reg_y_in, reg_sel_z => reg_sel_z, reg_write_z => reg_write_z, reg_z_in => reg_z_in, alu_op => alu_op, alu_rs_in => alu_rs_in, alu_rt_in => alu_rt_in, alu_rd_in => alu_rd_in, alu_imm_in => alu_imm_in, alu_output_a => alu_output_a, alu_output_b => alu_output_b, pc_in => pc_in, pc_write => pc_write, pc_out => pc_out, dummy => dummy ); pc_map: entity work.program_counter(program_counter_arc) generic map ( INIT => x"00100000" ) port map ( clk => clk, reset_n => reset_n, pc_in => pc_in, pc_out => pc_out, pc_write => pc_write ); alu_map: entity work.alu(alu_arc) port map ( clk => clk, reset_n => reset_n, op => alu_op, rd_in => alu_rd_in, rt_in => alu_rt_in, rs_in => alu_rs_in, imm_in => alu_imm_in, output_a => alu_output_a, output_b => alu_output_b ); end;
unlicense
8l/luz-cpu
experimental/luz_uc/luz_uc_rtl/cpu/controller.vhd
2
12296
-- CPU controller. -- Main controller code of the CPU - fetching, decoding and -- executing instructions. -- -- Luz micro-controller implementation -- Eli Bendersky (C) 2008-2010 -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.cpu_defs.all; use work.utils_pak.all; -- The main CPU controller module. -- entity controller is port ( clk: in std_logic; reset_n: in std_logic; -- memory interface -- mem_read: out std_logic; mem_write: out std_logic; mem_bytesel: out std_logic_vector(3 downto 0); mem_addr: out word; mem_data_out: out word; mem_ack: in std_logic; mem_data_in: in word; -- interface to the register file -- reg_sel_a: out std_logic_vector(4 downto 0); reg_a_out: in word; reg_sel_b: out std_logic_vector(4 downto 0); reg_b_out: in word; reg_sel_c: out std_logic_vector(4 downto 0); reg_c_out: in word; reg_sel_y: out std_logic_vector(4 downto 0); reg_write_y: out std_logic; reg_y_in: out word; reg_sel_z: out std_logic_vector(4 downto 0); reg_write_z: out std_logic; reg_z_in: out word; -- interface to the ALU -- alu_op: out cpu_opcode; alu_rs_in: out word; alu_rt_in: out word; alu_rd_in: out word; alu_imm_in: out word; alu_output_a: in word; alu_output_b: in word; -- interface to the program counter -- pc_in: out word; pc_write: out std_logic; pc_out: in word; dummy: out std_logic ); end controller; architecture controller_arc of controller is signal PC_ff, NPC_ff: word; signal IR_ff: word; signal Rs_ff, Rt_ff, Rd_ff: word; signal Imm_unsigned_ff: word; signal Imm_signed_ff: word; signal ALU_out_a_ff: word; signal ALU_out_b_ff: word; signal LMD_ff: word; signal OP_ff: cpu_opcode; signal load_addr_ff: word; signal store_addr_ff: word; signal branch_addr_ff: word; signal reg_rs_sel: std_logic_vector(4 downto 0); signal reg_rt_sel: std_logic_vector(4 downto 0); signal reg_rd_sel: std_logic_vector(4 downto 0); -- CPU execution cycles: -- -- out_of_reset: -- type cycle_type is ( halted, out_of_reset, fetch, decode, execution, memory_access, write_back ); signal cycle: cycle_type; signal instr_fetch: boolean; signal instr_is_load: boolean; signal instr_is_store: boolean; signal instr_is_branch: boolean; signal instr_writes_back: boolean; signal instr_result_dword: boolean; signal branch_is_taken: boolean; begin -- The main state machine process -- proc_cycle: process(clk, reset_n) begin if (reset_n = '0') then cycle <= out_of_reset; elsif (rising_edge(clk)) then case (cycle) is when out_of_reset => cycle <= fetch; -- When data is ready in mem_data_in, move to -- the decoding cycle. -- when fetch => if mem_ack = '1' then cycle <= decode; end if; -- During the decoding cycle the instruction is -- taken from IR and is decoded into its -- constituents. -- when decode => cycle <= execution; -- During the execution cycle, the ALU does its -- work on the arguments taken from registers. -- when execution => cycle <= memory_access; -- The memory access is for memory loads/stores. -- when memory_access => if ( not (instr_is_store or instr_is_load) or mem_ack = '1') then cycle <= write_back; end if; when write_back => cycle <= fetch; when halted => cycle <= halted; when others => end case; end if; end process; -- Updating the value of the program counter when in memory -- access cycle. The value is either changed to a branch -- address for branch instructions, or just advanced by 4 for -- other instructions. ZZZ: what about JR?! -- pc_write <= '1' when cycle = memory_access else '0'; pc_in <= branch_addr_ff when instr_is_branch and branch_is_taken else std_logic_vector(unsigned(PC_ff) + 4); -- Next PC -- NPC_ff <= pc_out; -- Stores the program counter for later usage -- proc_PC_ff: process(clk, reset_n) begin if (reset_n = '0') then PC_ff <= NPC_ff; elsif (rising_edge(clk)) then if cycle = fetch then PC_ff <= NPC_ff; end if; end if; end process; -- Instruction fetch -- instr_fetch <= cycle = fetch; -- Helper signals for identifying instruction types -- with OP_ff select instr_is_load <= true when OP_LB | OP_LBU | OP_LH | OP_LHU | OP_LW, false when others; with OP_ff select instr_is_store <= true when OP_SB | OP_SH | OP_SW, false when others; with OP_ff select instr_is_branch <= true when OP_BEQ | OP_BNE | OP_BGE | OP_BGT | OP_BLE | OP_BLT | OP_BGEU | OP_BGTU | OP_BLEU | OP_BLTU, false when others; -- instr_writes_back: an instruction that stores a result in -- a register -- with OP_ff select instr_writes_back <= true when OP_ADD | OP_ADDI | OP_SUB | OP_SUBI | OP_MULU | OP_MUL | OP_DIVU | OP_DIV | OP_LUI | OP_SLL | OP_SLLI | OP_SRL | OP_SRLI | OP_AND | OP_ANDI | OP_OR | OP_ORI | OP_NOR | OP_XOR | OP_LB | OP_LBU | OP_LH | OP_LHU | OP_LW | OP_CALL, false when others; -- instr_result_dword: an instruction that produces a 64-bit -- result -- with OP_ff select instr_result_dword <= true when OP_MUL | OP_MULU | OP_DIV | OP_DIVU, false when others; branch_is_taken <= instr_is_branch and ALU_out_a_ff(0) = '1'; -- Read from memory when: -- * fetching an instruction -- * executing a load instruction -- mem_read <= '1' when instr_fetch or (cycle = memory_access and instr_is_load) else '0'; -- Write to memory when executing a store instruction -- mem_write <= '1' when cycle = memory_access and instr_is_store else '0'; -- Byte select lines depend on the width of the load/store -- access. For instructions, words are fetched. -- mem_bytesel <= "1111" when instr_fetch else "0001" when OP_ff = OP_SB or OP_ff = OP_LB or OP_ff = OP_LBU else "0011" when OP_ff = OP_SH or OP_ff = OP_LH or OP_ff = OP_LHU else "1111" when OP_ff = OP_LW or OP_ff = OP_SW else "0000"; -- Memory address -- mem_addr <= NPC_ff when instr_fetch else load_addr_ff when cycle = memory_access and instr_is_load else store_addr_ff when cycle = memory_access and instr_is_store else (others => '0'); -- Memory data in is taken from Rs in store instructions -- mem_data_out <= Rs_ff; -- The instruction register holds the current instruction -- read from the memory. -- Since the memory outputs are synchronous, IR_ff is just an -- alias. It will be read only on rising_edge(clk), so it -- really represents a register. -- IR_ff <= mem_data_in; -- The opcode -- proc_OP_ff: process(clk, reset_n) begin if (reset_n = '0') then OP_ff <= (others => '0'); elsif (rising_edge(clk)) then OP_ff <= IR_ff(31 downto 26); end if; end process; reg_rd_sel <= IR_ff(25 downto 21); reg_rs_sel <= IR_ff(20 downto 16); reg_rt_sel <= IR_ff(15 downto 11); reg_sel_a <= reg_rd_sel; reg_sel_b <= reg_rs_sel; reg_sel_c <= reg_rt_sel; -- The unsigned (zero-extended) and signed (sign-extended) -- interpretations of the immediate value. -- Both are delayed by a clock cycle to be ready in the same -- cycle with the values of registers. -- proc_Imm_ff: process(clk, reset_n) begin if (reset_n = '0') then Imm_unsigned_ff <= (others => '0'); Imm_signed_ff <= (others => '0'); elsif (rising_edge(clk)) then Imm_unsigned_ff <= std_logic_vector(resize(unsigned(IR_ff(15 downto 0)), 32)); Imm_signed_ff <= std_logic_vector(resize(signed(IR_ff(15 downto 0)), 32)); end if; end process; -- Contents of registers. -- Rd_ff <= reg_a_out; Rs_ff <= reg_b_out; Rt_ff <= reg_c_out; -- ALU arguments and outputs -- alu_op <= OP_ff; alu_rs_in <= Rs_ff; alu_rt_in <= Rt_ff; alu_rd_in <= Rd_ff; alu_imm_in <= Imm_unsigned_ff; ALU_out_a_ff <= alu_output_a; ALU_out_b_ff <= alu_output_b; -- load and store addresses, computed during the execution -- cycle. -- proc_load_addr_ff: process(clk, reset_n) begin if (reset_n = '0') then load_addr_ff <= (others => '0'); elsif (rising_edge(clk)) then load_addr_ff <= std_logic_vector(signed(Rs_ff) + signed(Imm_signed_ff)); end if; end process; proc_store_addr_ff: process(clk, reset_n) begin if (reset_n = '0') then store_addr_ff <= (others => '0'); elsif (rising_edge(clk)) then store_addr_ff <= std_logic_vector(signed(Rd_ff) + signed(Imm_signed_ff)); end if; end process; -- Loaded memory data -- LMD_ff <= mem_data_in; -- branch address, computed during the execution cycle. -- proc_branch_addr_ff: process(clk, reset_n) begin if (reset_n = '0') then branch_addr_ff <= (others => '0'); elsif (rising_edge(clk)) then if cycle = execution then branch_addr_ff <= std_logic_vector(signed(PC_ff) + shift_left(signed(Imm_signed_ff), 2)); end if; end if; end process; -- writing to registers -- reg_y_in <= ALU_out_a_ff; reg_z_in <= ALU_out_b_ff; -- Port y is Rd -- Port z is R(d+1) unless d is 31 -- reg_sel_y <= reg_rd_sel; reg_sel_z <= (others => '0') when unsigned(reg_rd_sel) = 31 else std_logic_vector(unsigned(reg_rd_sel) + 1); reg_write_y <= '1' when (cycle = write_back and instr_writes_back and unsigned(reg_rd_sel) /= 0) else '0'; reg_write_z <= '1' when (cycle = write_back and instr_result_dword and unsigned(reg_rd_sel) /= 31) else '0'; end;
unlicense
EJDomi/pixel-dtb-firmware-readout-chain-master
dtb/ram_dq_PHASE_n.vhd
2
7072
-- megafunction wizard: %RAM: 1-PORT% -- GENERATION: STANDARD -- VERSION: WM1.0 -- MODULE: altsyncram -- ============================================================ -- File Name: ram_dq_PHASE_n.vhd -- Megafunction Name(s): -- altsyncram -- -- Simulation Library Files(s): -- altera_mf -- ============================================================ -- ************************************************************ -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -- -- 12.1 Build 243 01/31/2013 SP 1 SJ Full Version -- ************************************************************ --Copyright (C) 1991-2012 Altera Corporation --Your use of Altera Corporation's design tools, logic functions --and other software and tools, and its AMPP partner logic --functions, and any output files from any of the foregoing --(including device programming or simulation files), and any --associated documentation or information are expressly subject --to the terms and conditions of the Altera Program License --Subscription Agreement, Altera MegaCore Function License --Agreement, or other applicable license agreement, including, --without limitation, that your use is for the sole purpose of --programming logic devices manufactured by Altera and sold by --Altera or its authorized distributors. Please refer to the --applicable agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY altera_mf; USE altera_mf.all; ENTITY ram_dq_PHASE_n IS PORT ( address : IN STD_LOGIC_VECTOR (4 DOWNTO 0); clock : IN STD_LOGIC := '1'; data : IN STD_LOGIC_VECTOR (7 DOWNTO 0); wren : IN STD_LOGIC ; q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0) ); END ram_dq_PHASE_n; ARCHITECTURE SYN OF ram_dq_phase_n IS SIGNAL sub_wire0 : STD_LOGIC_VECTOR (7 DOWNTO 0); COMPONENT altsyncram GENERIC ( clock_enable_input_a : STRING; clock_enable_output_a : STRING; intended_device_family : STRING; lpm_hint : STRING; lpm_type : STRING; numwords_a : NATURAL; operation_mode : STRING; outdata_aclr_a : STRING; outdata_reg_a : STRING; power_up_uninitialized : STRING; read_during_write_mode_port_a : STRING; widthad_a : NATURAL; width_a : NATURAL; width_byteena_a : NATURAL ); PORT ( address_a : IN STD_LOGIC_VECTOR (4 DOWNTO 0); clock0 : IN STD_LOGIC ; data_a : IN STD_LOGIC_VECTOR (7 DOWNTO 0); wren_a : IN STD_LOGIC ; q_a : OUT STD_LOGIC_VECTOR (7 DOWNTO 0) ); END COMPONENT; BEGIN q <= sub_wire0(7 DOWNTO 0); altsyncram_component : altsyncram GENERIC MAP ( clock_enable_input_a => "BYPASS", clock_enable_output_a => "BYPASS", intended_device_family => "Cyclone III", lpm_hint => "ENABLE_RUNTIME_MOD=YES,INSTANCE_NAME=PH_n", lpm_type => "altsyncram", numwords_a => 32, operation_mode => "SINGLE_PORT", outdata_aclr_a => "NONE", outdata_reg_a => "CLOCK0", power_up_uninitialized => "FALSE", read_during_write_mode_port_a => "NEW_DATA_NO_NBE_READ", widthad_a => 5, width_a => 8, width_byteena_a => 1 ) PORT MAP ( address_a => address, clock0 => clock, data_a => data, wren_a => wren, q_a => sub_wire0 ); END SYN; -- ============================================================ -- CNX file retrieval info -- ============================================================ -- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0" -- Retrieval info: PRIVATE: AclrAddr NUMERIC "0" -- Retrieval info: PRIVATE: AclrByte NUMERIC "0" -- Retrieval info: PRIVATE: AclrData NUMERIC "0" -- Retrieval info: PRIVATE: AclrOutput NUMERIC "0" -- Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "0" -- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8" -- Retrieval info: PRIVATE: BlankMemory NUMERIC "1" -- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0" -- Retrieval info: PRIVATE: Clken NUMERIC "0" -- Retrieval info: PRIVATE: DataBusSeparated NUMERIC "1" -- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0" -- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A" -- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0" -- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III" -- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "1" -- Retrieval info: PRIVATE: JTAG_ID STRING "PH_n" -- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0" -- Retrieval info: PRIVATE: MIFfilename STRING "" -- Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "32" -- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0" -- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "3" -- Retrieval info: PRIVATE: RegAddr NUMERIC "1" -- Retrieval info: PRIVATE: RegData NUMERIC "1" -- Retrieval info: PRIVATE: RegOutput NUMERIC "1" -- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" -- Retrieval info: PRIVATE: SingleClock NUMERIC "1" -- Retrieval info: PRIVATE: UseDQRAM NUMERIC "1" -- Retrieval info: PRIVATE: WRCONTROL_ACLR_A NUMERIC "0" -- Retrieval info: PRIVATE: WidthAddr NUMERIC "5" -- Retrieval info: PRIVATE: WidthData NUMERIC "8" -- Retrieval info: PRIVATE: rden NUMERIC "0" -- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS" -- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS" -- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone III" -- Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=YES,INSTANCE_NAME=PH_n" -- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram" -- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "32" -- Retrieval info: CONSTANT: OPERATION_MODE STRING "SINGLE_PORT" -- Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE" -- Retrieval info: CONSTANT: OUTDATA_REG_A STRING "CLOCK0" -- Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE" -- Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_PORT_A STRING "NEW_DATA_NO_NBE_READ" -- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "5" -- Retrieval info: CONSTANT: WIDTH_A NUMERIC "8" -- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1" -- Retrieval info: USED_PORT: address 0 0 5 0 INPUT NODEFVAL "address[4..0]" -- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock" -- Retrieval info: USED_PORT: data 0 0 8 0 INPUT NODEFVAL "data[7..0]" -- Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL "q[7..0]" -- Retrieval info: USED_PORT: wren 0 0 0 0 INPUT NODEFVAL "wren" -- Retrieval info: CONNECT: @address_a 0 0 5 0 address 0 0 5 0 -- Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0 -- Retrieval info: CONNECT: @data_a 0 0 8 0 data 0 0 8 0 -- Retrieval info: CONNECT: @wren_a 0 0 0 0 wren 0 0 0 0 -- Retrieval info: CONNECT: q 0 0 8 0 @q_a 0 0 8 0 -- Retrieval info: GEN_FILE: TYPE_NORMAL ram_dq_PHASE_n.vhd TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL ram_dq_PHASE_n.inc FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL ram_dq_PHASE_n.cmp TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL ram_dq_PHASE_n.bsf TRUE FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL ram_dq_PHASE_n_inst.vhd FALSE -- Retrieval info: LIB_FILE: altera_mf
unlicense
EJDomi/pixel-dtb-firmware-readout-chain-master
dtb/lpm_rom0.vhd
2
6146
-- megafunction wizard: %LPM_ROM% -- GENERATION: STANDARD -- VERSION: WM1.0 -- MODULE: altsyncram -- ============================================================ -- File Name: lpm_rom0.vhd -- Megafunction Name(s): -- altsyncram -- -- Simulation Library Files(s): -- altera_mf -- ============================================================ -- ************************************************************ -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -- -- 9.1 Build 222 10/21/2009 SJ Full Version -- ************************************************************ --Copyright (C) 1991-2009 Altera Corporation --Your use of Altera Corporation's design tools, logic functions --and other software and tools, and its AMPP partner logic --functions, and any output files from any of the foregoing --(including device programming or simulation files), and any --associated documentation or information are expressly subject --to the terms and conditions of the Altera Program License --Subscription Agreement, Altera MegaCore Function License --Agreement, or other applicable license agreement, including, --without limitation, that your use is for the sole purpose of --programming logic devices manufactured by Altera and sold by --Altera or its authorized distributors. Please refer to the --applicable agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY altera_mf; USE altera_mf.all; ENTITY lpm_rom0 IS PORT ( address : IN STD_LOGIC_VECTOR (7 DOWNTO 0); clock : IN STD_LOGIC ; q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0) ); END lpm_rom0; ARCHITECTURE SYN OF lpm_rom0 IS SIGNAL sub_wire0 : STD_LOGIC_VECTOR (7 DOWNTO 0); COMPONENT altsyncram GENERIC ( clock_enable_input_a : STRING; clock_enable_output_a : STRING; init_file : STRING; intended_device_family : STRING; lpm_hint : STRING; lpm_type : STRING; numwords_a : NATURAL; operation_mode : STRING; outdata_aclr_a : STRING; outdata_reg_a : STRING; widthad_a : NATURAL; width_a : NATURAL; width_byteena_a : NATURAL ); PORT ( clock0 : IN STD_LOGIC ; address_a : IN STD_LOGIC_VECTOR (7 DOWNTO 0); q_a : OUT STD_LOGIC_VECTOR (7 DOWNTO 0) ); END COMPONENT; BEGIN q <= sub_wire0(7 DOWNTO 0); altsyncram_component : altsyncram GENERIC MAP ( clock_enable_input_a => "BYPASS", clock_enable_output_a => "BYPASS", init_file => "UDP.mif", intended_device_family => "Arria GX", lpm_hint => "ENABLE_RUNTIME_MOD=NO", lpm_type => "altsyncram", numwords_a => 256, operation_mode => "ROM", outdata_aclr_a => "NONE", outdata_reg_a => "CLOCK0", widthad_a => 8, width_a => 8, width_byteena_a => 1 ) PORT MAP ( clock0 => clock, address_a => address, q_a => sub_wire0 ); END SYN; -- ============================================================ -- CNX file retrieval info -- ============================================================ -- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0" -- Retrieval info: PRIVATE: AclrAddr NUMERIC "0" -- Retrieval info: PRIVATE: AclrByte NUMERIC "0" -- Retrieval info: PRIVATE: AclrOutput NUMERIC "0" -- Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "0" -- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8" -- Retrieval info: PRIVATE: BlankMemory NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0" -- Retrieval info: PRIVATE: Clken NUMERIC "0" -- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0" -- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A" -- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0" -- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Arria GX" -- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0" -- Retrieval info: PRIVATE: JTAG_ID STRING "NONE" -- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0" -- Retrieval info: PRIVATE: MIFfilename STRING "UDP.mif" -- Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "256" -- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0" -- Retrieval info: PRIVATE: RegAddr NUMERIC "1" -- Retrieval info: PRIVATE: RegOutput NUMERIC "1" -- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" -- Retrieval info: PRIVATE: SingleClock NUMERIC "1" -- Retrieval info: PRIVATE: UseDQRAM NUMERIC "0" -- Retrieval info: PRIVATE: WidthAddr NUMERIC "8" -- Retrieval info: PRIVATE: WidthData NUMERIC "8" -- Retrieval info: PRIVATE: rden NUMERIC "0" -- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS" -- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS" -- Retrieval info: CONSTANT: INIT_FILE STRING "UDP.mif" -- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Arria GX" -- Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO" -- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram" -- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "256" -- Retrieval info: CONSTANT: OPERATION_MODE STRING "ROM" -- Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE" -- Retrieval info: CONSTANT: OUTDATA_REG_A STRING "CLOCK0" -- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "8" -- Retrieval info: CONSTANT: WIDTH_A NUMERIC "8" -- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1" -- Retrieval info: USED_PORT: address 0 0 8 0 INPUT NODEFVAL address[7..0] -- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL clock -- Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL q[7..0] -- Retrieval info: CONNECT: @address_a 0 0 8 0 address 0 0 8 0 -- Retrieval info: CONNECT: q 0 0 8 0 @q_a 0 0 8 0 -- Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0 -- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_rom0.vhd TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_rom0.inc TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_rom0.cmp FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_rom0.bsf TRUE FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_rom0_inst.vhd FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_rom0_waveforms.html TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_rom0_wave*.jpg FALSE -- Retrieval info: LIB_FILE: altera_mf
unlicense
TWW12/lzw
ip_repo/axi_compression_1.0/src/output_fifo/synth/output_fifo.vhd
2
38780
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:fifo_generator:13.1 -- IP Revision: 3 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY fifo_generator_v13_1_3; USE fifo_generator_v13_1_3.fifo_generator_v13_1_3; ENTITY output_fifo IS PORT ( clk : IN STD_LOGIC; srst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(11 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(11 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC ); END output_fifo; ARCHITECTURE output_fifo_arch OF output_fifo IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF output_fifo_arch: ARCHITECTURE IS "yes"; COMPONENT fifo_generator_v13_1_3 IS GENERIC ( C_COMMON_CLOCK : INTEGER; C_SELECT_XPM : INTEGER; C_COUNT_TYPE : INTEGER; C_DATA_COUNT_WIDTH : INTEGER; C_DEFAULT_VALUE : STRING; C_DIN_WIDTH : INTEGER; C_DOUT_RST_VAL : STRING; C_DOUT_WIDTH : INTEGER; C_ENABLE_RLOCS : INTEGER; C_FAMILY : STRING; C_FULL_FLAGS_RST_VAL : INTEGER; C_HAS_ALMOST_EMPTY : INTEGER; C_HAS_ALMOST_FULL : INTEGER; C_HAS_BACKUP : INTEGER; C_HAS_DATA_COUNT : INTEGER; C_HAS_INT_CLK : INTEGER; C_HAS_MEMINIT_FILE : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_RD_DATA_COUNT : INTEGER; C_HAS_RD_RST : INTEGER; C_HAS_RST : INTEGER; C_HAS_SRST : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_VALID : INTEGER; C_HAS_WR_ACK : INTEGER; C_HAS_WR_DATA_COUNT : INTEGER; C_HAS_WR_RST : INTEGER; C_IMPLEMENTATION_TYPE : INTEGER; C_INIT_WR_PNTR_VAL : INTEGER; C_MEMORY_TYPE : INTEGER; C_MIF_FILE_NAME : STRING; C_OPTIMIZATION_MODE : INTEGER; C_OVERFLOW_LOW : INTEGER; C_PRELOAD_LATENCY : INTEGER; C_PRELOAD_REGS : INTEGER; C_PRIM_FIFO_TYPE : STRING; C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER; C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER; C_PROG_EMPTY_TYPE : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER; C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER; C_PROG_FULL_TYPE : INTEGER; C_RD_DATA_COUNT_WIDTH : INTEGER; C_RD_DEPTH : INTEGER; C_RD_FREQ : INTEGER; C_RD_PNTR_WIDTH : INTEGER; C_UNDERFLOW_LOW : INTEGER; C_USE_DOUT_RST : INTEGER; C_USE_ECC : INTEGER; C_USE_EMBEDDED_REG : INTEGER; C_USE_PIPELINE_REG : INTEGER; C_POWER_SAVING_MODE : INTEGER; C_USE_FIFO16_FLAGS : INTEGER; C_USE_FWFT_DATA_COUNT : INTEGER; C_VALID_LOW : INTEGER; C_WR_ACK_LOW : INTEGER; C_WR_DATA_COUNT_WIDTH : INTEGER; C_WR_DEPTH : INTEGER; C_WR_FREQ : INTEGER; C_WR_PNTR_WIDTH : INTEGER; C_WR_RESPONSE_LATENCY : INTEGER; C_MSGON_VAL : INTEGER; C_ENABLE_RST_SYNC : INTEGER; C_EN_SAFETY_CKT : INTEGER; C_ERROR_INJECTION_TYPE : INTEGER; C_SYNCHRONIZER_STAGE : INTEGER; C_INTERFACE_TYPE : INTEGER; C_AXI_TYPE : INTEGER; C_HAS_AXI_WR_CHANNEL : INTEGER; C_HAS_AXI_RD_CHANNEL : INTEGER; C_HAS_SLAVE_CE : INTEGER; C_HAS_MASTER_CE : INTEGER; C_ADD_NGC_CONSTRAINT : INTEGER; C_USE_COMMON_OVERFLOW : INTEGER; C_USE_COMMON_UNDERFLOW : INTEGER; C_USE_DEFAULT_SETTINGS : INTEGER; C_AXI_ID_WIDTH : INTEGER; C_AXI_ADDR_WIDTH : INTEGER; C_AXI_DATA_WIDTH : INTEGER; C_AXI_LEN_WIDTH : INTEGER; C_AXI_LOCK_WIDTH : INTEGER; C_HAS_AXI_ID : INTEGER; C_HAS_AXI_AWUSER : INTEGER; C_HAS_AXI_WUSER : INTEGER; C_HAS_AXI_BUSER : INTEGER; C_HAS_AXI_ARUSER : INTEGER; C_HAS_AXI_RUSER : INTEGER; C_AXI_ARUSER_WIDTH : INTEGER; C_AXI_AWUSER_WIDTH : INTEGER; C_AXI_WUSER_WIDTH : INTEGER; C_AXI_BUSER_WIDTH : INTEGER; C_AXI_RUSER_WIDTH : INTEGER; C_HAS_AXIS_TDATA : INTEGER; C_HAS_AXIS_TID : INTEGER; C_HAS_AXIS_TDEST : INTEGER; C_HAS_AXIS_TUSER : INTEGER; C_HAS_AXIS_TREADY : INTEGER; C_HAS_AXIS_TLAST : INTEGER; C_HAS_AXIS_TSTRB : INTEGER; C_HAS_AXIS_TKEEP : INTEGER; C_AXIS_TDATA_WIDTH : INTEGER; C_AXIS_TID_WIDTH : INTEGER; C_AXIS_TDEST_WIDTH : INTEGER; C_AXIS_TUSER_WIDTH : INTEGER; C_AXIS_TSTRB_WIDTH : INTEGER; C_AXIS_TKEEP_WIDTH : INTEGER; C_WACH_TYPE : INTEGER; C_WDCH_TYPE : INTEGER; C_WRCH_TYPE : INTEGER; C_RACH_TYPE : INTEGER; C_RDCH_TYPE : INTEGER; C_AXIS_TYPE : INTEGER; C_IMPLEMENTATION_TYPE_WACH : INTEGER; C_IMPLEMENTATION_TYPE_WDCH : INTEGER; C_IMPLEMENTATION_TYPE_WRCH : INTEGER; C_IMPLEMENTATION_TYPE_RACH : INTEGER; C_IMPLEMENTATION_TYPE_RDCH : INTEGER; C_IMPLEMENTATION_TYPE_AXIS : INTEGER; C_APPLICATION_TYPE_WACH : INTEGER; C_APPLICATION_TYPE_WDCH : INTEGER; C_APPLICATION_TYPE_WRCH : INTEGER; C_APPLICATION_TYPE_RACH : INTEGER; C_APPLICATION_TYPE_RDCH : INTEGER; C_APPLICATION_TYPE_AXIS : INTEGER; C_PRIM_FIFO_TYPE_WACH : STRING; C_PRIM_FIFO_TYPE_WDCH : STRING; C_PRIM_FIFO_TYPE_WRCH : STRING; C_PRIM_FIFO_TYPE_RACH : STRING; C_PRIM_FIFO_TYPE_RDCH : STRING; C_PRIM_FIFO_TYPE_AXIS : STRING; C_USE_ECC_WACH : INTEGER; C_USE_ECC_WDCH : INTEGER; C_USE_ECC_WRCH : INTEGER; C_USE_ECC_RACH : INTEGER; C_USE_ECC_RDCH : INTEGER; C_USE_ECC_AXIS : INTEGER; C_ERROR_INJECTION_TYPE_WACH : INTEGER; C_ERROR_INJECTION_TYPE_WDCH : INTEGER; C_ERROR_INJECTION_TYPE_WRCH : INTEGER; C_ERROR_INJECTION_TYPE_RACH : INTEGER; C_ERROR_INJECTION_TYPE_RDCH : INTEGER; C_ERROR_INJECTION_TYPE_AXIS : INTEGER; C_DIN_WIDTH_WACH : INTEGER; C_DIN_WIDTH_WDCH : INTEGER; C_DIN_WIDTH_WRCH : INTEGER; C_DIN_WIDTH_RACH : INTEGER; C_DIN_WIDTH_RDCH : INTEGER; C_DIN_WIDTH_AXIS : INTEGER; C_WR_DEPTH_WACH : INTEGER; C_WR_DEPTH_WDCH : INTEGER; C_WR_DEPTH_WRCH : INTEGER; C_WR_DEPTH_RACH : INTEGER; C_WR_DEPTH_RDCH : INTEGER; C_WR_DEPTH_AXIS : INTEGER; C_WR_PNTR_WIDTH_WACH : INTEGER; C_WR_PNTR_WIDTH_WDCH : INTEGER; C_WR_PNTR_WIDTH_WRCH : INTEGER; C_WR_PNTR_WIDTH_RACH : INTEGER; C_WR_PNTR_WIDTH_RDCH : INTEGER; C_WR_PNTR_WIDTH_AXIS : INTEGER; C_HAS_DATA_COUNTS_WACH : INTEGER; C_HAS_DATA_COUNTS_WDCH : INTEGER; C_HAS_DATA_COUNTS_WRCH : INTEGER; C_HAS_DATA_COUNTS_RACH : INTEGER; C_HAS_DATA_COUNTS_RDCH : INTEGER; C_HAS_DATA_COUNTS_AXIS : INTEGER; C_HAS_PROG_FLAGS_WACH : INTEGER; C_HAS_PROG_FLAGS_WDCH : INTEGER; C_HAS_PROG_FLAGS_WRCH : INTEGER; C_HAS_PROG_FLAGS_RACH : INTEGER; C_HAS_PROG_FLAGS_RDCH : INTEGER; C_HAS_PROG_FLAGS_AXIS : INTEGER; C_PROG_FULL_TYPE_WACH : INTEGER; C_PROG_FULL_TYPE_WDCH : INTEGER; C_PROG_FULL_TYPE_WRCH : INTEGER; C_PROG_FULL_TYPE_RACH : INTEGER; C_PROG_FULL_TYPE_RDCH : INTEGER; C_PROG_FULL_TYPE_AXIS : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER; C_PROG_EMPTY_TYPE_WACH : INTEGER; C_PROG_EMPTY_TYPE_WDCH : INTEGER; C_PROG_EMPTY_TYPE_WRCH : INTEGER; C_PROG_EMPTY_TYPE_RACH : INTEGER; C_PROG_EMPTY_TYPE_RDCH : INTEGER; C_PROG_EMPTY_TYPE_AXIS : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER; C_REG_SLICE_MODE_WACH : INTEGER; C_REG_SLICE_MODE_WDCH : INTEGER; C_REG_SLICE_MODE_WRCH : INTEGER; C_REG_SLICE_MODE_RACH : INTEGER; C_REG_SLICE_MODE_RDCH : INTEGER; C_REG_SLICE_MODE_AXIS : INTEGER ); PORT ( backup : IN STD_LOGIC; backup_marker : IN STD_LOGIC; clk : IN STD_LOGIC; rst : IN STD_LOGIC; srst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; wr_rst : IN STD_LOGIC; rd_clk : IN STD_LOGIC; rd_rst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(11 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(9 DOWNTO 0); prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(9 DOWNTO 0); prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); prog_full_thresh_assert : IN STD_LOGIC_VECTOR(9 DOWNTO 0); prog_full_thresh_negate : IN STD_LOGIC_VECTOR(9 DOWNTO 0); int_clk : IN STD_LOGIC; injectdbiterr : IN STD_LOGIC; injectsbiterr : IN STD_LOGIC; sleep : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(11 DOWNTO 0); full : OUT STD_LOGIC; almost_full : OUT STD_LOGIC; wr_ack : OUT STD_LOGIC; overflow : OUT STD_LOGIC; empty : OUT STD_LOGIC; almost_empty : OUT STD_LOGIC; valid : OUT STD_LOGIC; underflow : OUT STD_LOGIC; data_count : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); rd_data_count : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); wr_data_count : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); prog_full : OUT STD_LOGIC; prog_empty : OUT STD_LOGIC; sbiterr : OUT STD_LOGIC; dbiterr : OUT STD_LOGIC; wr_rst_busy : OUT STD_LOGIC; rd_rst_busy : OUT STD_LOGIC; m_aclk : IN STD_LOGIC; s_aclk : IN STD_LOGIC; s_aresetn : IN STD_LOGIC; m_aclk_en : IN STD_LOGIC; s_aclk_en : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awvalid : OUT STD_LOGIC; m_axi_awready : IN STD_LOGIC; m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_wlast : OUT STD_LOGIC; m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wvalid : OUT STD_LOGIC; m_axi_wready : IN STD_LOGIC; m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bvalid : IN STD_LOGIC; m_axi_bready : OUT STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arvalid : OUT STD_LOGIC; m_axi_arready : IN STD_LOGIC; m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_rlast : IN STD_LOGIC; m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rvalid : IN STD_LOGIC; m_axi_rready : OUT STD_LOGIC; s_axis_tvalid : IN STD_LOGIC; s_axis_tready : OUT STD_LOGIC; s_axis_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_tstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tlast : IN STD_LOGIC; s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_tvalid : OUT STD_LOGIC; m_axis_tready : IN STD_LOGIC; m_axis_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_tstrb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tlast : OUT STD_LOGIC; m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_injectsbiterr : IN STD_LOGIC; axi_aw_injectdbiterr : IN STD_LOGIC; axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_sbiterr : OUT STD_LOGIC; axi_aw_dbiterr : OUT STD_LOGIC; axi_aw_overflow : OUT STD_LOGIC; axi_aw_underflow : OUT STD_LOGIC; axi_aw_prog_full : OUT STD_LOGIC; axi_aw_prog_empty : OUT STD_LOGIC; axi_w_injectsbiterr : IN STD_LOGIC; axi_w_injectdbiterr : IN STD_LOGIC; axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_sbiterr : OUT STD_LOGIC; axi_w_dbiterr : OUT STD_LOGIC; axi_w_overflow : OUT STD_LOGIC; axi_w_underflow : OUT STD_LOGIC; axi_w_prog_full : OUT STD_LOGIC; axi_w_prog_empty : OUT STD_LOGIC; axi_b_injectsbiterr : IN STD_LOGIC; axi_b_injectdbiterr : IN STD_LOGIC; axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_sbiterr : OUT STD_LOGIC; axi_b_dbiterr : OUT STD_LOGIC; axi_b_overflow : OUT STD_LOGIC; axi_b_underflow : OUT STD_LOGIC; axi_b_prog_full : OUT STD_LOGIC; axi_b_prog_empty : OUT STD_LOGIC; axi_ar_injectsbiterr : IN STD_LOGIC; axi_ar_injectdbiterr : IN STD_LOGIC; axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_sbiterr : OUT STD_LOGIC; axi_ar_dbiterr : OUT STD_LOGIC; axi_ar_overflow : OUT STD_LOGIC; axi_ar_underflow : OUT STD_LOGIC; axi_ar_prog_full : OUT STD_LOGIC; axi_ar_prog_empty : OUT STD_LOGIC; axi_r_injectsbiterr : IN STD_LOGIC; axi_r_injectdbiterr : IN STD_LOGIC; axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_sbiterr : OUT STD_LOGIC; axi_r_dbiterr : OUT STD_LOGIC; axi_r_overflow : OUT STD_LOGIC; axi_r_underflow : OUT STD_LOGIC; axi_r_prog_full : OUT STD_LOGIC; axi_r_prog_empty : OUT STD_LOGIC; axis_injectsbiterr : IN STD_LOGIC; axis_injectdbiterr : IN STD_LOGIC; axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_sbiterr : OUT STD_LOGIC; axis_dbiterr : OUT STD_LOGIC; axis_overflow : OUT STD_LOGIC; axis_underflow : OUT STD_LOGIC; axis_prog_full : OUT STD_LOGIC; axis_prog_empty : OUT STD_LOGIC ); END COMPONENT fifo_generator_v13_1_3; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF output_fifo_arch: ARCHITECTURE IS "fifo_generator_v13_1_3,Vivado 2016.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF output_fifo_arch : ARCHITECTURE IS "output_fifo,fifo_generator_v13_1_3,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF output_fifo_arch: ARCHITECTURE IS "output_fifo,fifo_generator_v13_1_3,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=13.1,x_ipCoreRevision=3,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=1,C_SELECT_XPM=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=10,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=12,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=12,C_ENABLE_RLOCS=0,C_FAMILY=zynq,C_FULL_FLAGS_RST_VAL=0,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMIN" & "IT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=0,C_HAS_SRST=1,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=0,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=1,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=2,C_PRELOAD_REGS=1,C_PRIM_FIFO_TYPE=1kx18,C_PROG_EMPTY_THRESH_ASSERT_VAL=2,C_PROG_EMPTY_THRESH_NEGATE_VAL=3,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=1022,C_PROG_FULL_THRESH" & "_NEGATE_VAL=1021,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=10,C_RD_DEPTH=1024,C_RD_FREQ=1,C_RD_PNTR_WIDTH=10,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=1,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=10,C_WR_DEPTH=1024,C_WR_FREQ=1,C_WR_PNTR_WIDTH=10,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_EN_SAFETY_CKT=0,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE" & "_TYPE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=" & "1,C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_R" & "DCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERRO" & "R_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=1,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_" & "WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023," & "C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_" & "VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF clk: SIGNAL IS "xilinx.com:signal:clock:1.0 core_clk CLK"; ATTRIBUTE X_INTERFACE_INFO OF din: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA"; ATTRIBUTE X_INTERFACE_INFO OF wr_en: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN"; ATTRIBUTE X_INTERFACE_INFO OF rd_en: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN"; ATTRIBUTE X_INTERFACE_INFO OF dout: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA"; ATTRIBUTE X_INTERFACE_INFO OF full: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL"; ATTRIBUTE X_INTERFACE_INFO OF empty: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY"; BEGIN U0 : fifo_generator_v13_1_3 GENERIC MAP ( C_COMMON_CLOCK => 1, C_SELECT_XPM => 0, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => 10, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => 12, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => 12, C_ENABLE_RLOCS => 0, C_FAMILY => "zynq", C_FULL_FLAGS_RST_VAL => 0, C_HAS_ALMOST_EMPTY => 0, C_HAS_ALMOST_FULL => 0, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => 0, C_HAS_RD_DATA_COUNT => 0, C_HAS_RD_RST => 0, C_HAS_RST => 0, C_HAS_SRST => 1, C_HAS_UNDERFLOW => 0, C_HAS_VALID => 0, C_HAS_WR_ACK => 0, C_HAS_WR_DATA_COUNT => 0, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => 0, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => 1, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => 0, C_PRELOAD_LATENCY => 2, C_PRELOAD_REGS => 1, C_PRIM_FIFO_TYPE => "1kx18", C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => 1022, C_PROG_FULL_THRESH_NEGATE_VAL => 1021, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => 10, C_RD_DEPTH => 1024, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => 10, C_UNDERFLOW_LOW => 0, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => 1, C_USE_PIPELINE_REG => 0, C_POWER_SAVING_MODE => 0, C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => 0, C_WR_DATA_COUNT_WIDTH => 10, C_WR_DEPTH => 1024, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => 10, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_EN_SAFETY_CKT => 0, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => 2, C_INTERFACE_TYPE => 0, C_AXI_TYPE => 1, C_HAS_AXI_WR_CHANNEL => 1, C_HAS_AXI_RD_CHANNEL => 1, C_HAS_SLAVE_CE => 0, C_HAS_MASTER_CE => 0, C_ADD_NGC_CONSTRAINT => 0, C_USE_COMMON_OVERFLOW => 0, C_USE_COMMON_UNDERFLOW => 0, C_USE_DEFAULT_SETTINGS => 0, C_AXI_ID_WIDTH => 1, C_AXI_ADDR_WIDTH => 32, C_AXI_DATA_WIDTH => 64, C_AXI_LEN_WIDTH => 8, C_AXI_LOCK_WIDTH => 1, C_HAS_AXI_ID => 0, C_HAS_AXI_AWUSER => 0, C_HAS_AXI_WUSER => 0, C_HAS_AXI_BUSER => 0, C_HAS_AXI_ARUSER => 0, C_HAS_AXI_RUSER => 0, C_AXI_ARUSER_WIDTH => 1, C_AXI_AWUSER_WIDTH => 1, C_AXI_WUSER_WIDTH => 1, C_AXI_BUSER_WIDTH => 1, C_AXI_RUSER_WIDTH => 1, C_HAS_AXIS_TDATA => 1, C_HAS_AXIS_TID => 0, C_HAS_AXIS_TDEST => 0, C_HAS_AXIS_TUSER => 1, C_HAS_AXIS_TREADY => 1, C_HAS_AXIS_TLAST => 0, C_HAS_AXIS_TSTRB => 0, C_HAS_AXIS_TKEEP => 0, C_AXIS_TDATA_WIDTH => 8, C_AXIS_TID_WIDTH => 1, C_AXIS_TDEST_WIDTH => 1, C_AXIS_TUSER_WIDTH => 4, C_AXIS_TSTRB_WIDTH => 1, C_AXIS_TKEEP_WIDTH => 1, C_WACH_TYPE => 0, C_WDCH_TYPE => 0, C_WRCH_TYPE => 0, C_RACH_TYPE => 0, C_RDCH_TYPE => 0, C_AXIS_TYPE => 0, C_IMPLEMENTATION_TYPE_WACH => 1, C_IMPLEMENTATION_TYPE_WDCH => 1, C_IMPLEMENTATION_TYPE_WRCH => 1, C_IMPLEMENTATION_TYPE_RACH => 1, C_IMPLEMENTATION_TYPE_RDCH => 1, C_IMPLEMENTATION_TYPE_AXIS => 1, C_APPLICATION_TYPE_WACH => 0, C_APPLICATION_TYPE_WDCH => 0, C_APPLICATION_TYPE_WRCH => 0, C_APPLICATION_TYPE_RACH => 0, C_APPLICATION_TYPE_RDCH => 0, C_APPLICATION_TYPE_AXIS => 0, C_PRIM_FIFO_TYPE_WACH => "512x36", C_PRIM_FIFO_TYPE_WDCH => "1kx36", C_PRIM_FIFO_TYPE_WRCH => "512x36", C_PRIM_FIFO_TYPE_RACH => "512x36", C_PRIM_FIFO_TYPE_RDCH => "1kx36", C_PRIM_FIFO_TYPE_AXIS => "1kx18", C_USE_ECC_WACH => 0, C_USE_ECC_WDCH => 0, C_USE_ECC_WRCH => 0, C_USE_ECC_RACH => 0, C_USE_ECC_RDCH => 0, C_USE_ECC_AXIS => 0, C_ERROR_INJECTION_TYPE_WACH => 0, C_ERROR_INJECTION_TYPE_WDCH => 0, C_ERROR_INJECTION_TYPE_WRCH => 0, C_ERROR_INJECTION_TYPE_RACH => 0, C_ERROR_INJECTION_TYPE_RDCH => 0, C_ERROR_INJECTION_TYPE_AXIS => 0, C_DIN_WIDTH_WACH => 1, C_DIN_WIDTH_WDCH => 64, C_DIN_WIDTH_WRCH => 2, C_DIN_WIDTH_RACH => 32, C_DIN_WIDTH_RDCH => 64, C_DIN_WIDTH_AXIS => 1, C_WR_DEPTH_WACH => 16, C_WR_DEPTH_WDCH => 1024, C_WR_DEPTH_WRCH => 16, C_WR_DEPTH_RACH => 16, C_WR_DEPTH_RDCH => 1024, C_WR_DEPTH_AXIS => 1024, C_WR_PNTR_WIDTH_WACH => 4, C_WR_PNTR_WIDTH_WDCH => 10, C_WR_PNTR_WIDTH_WRCH => 4, C_WR_PNTR_WIDTH_RACH => 4, C_WR_PNTR_WIDTH_RDCH => 10, C_WR_PNTR_WIDTH_AXIS => 10, C_HAS_DATA_COUNTS_WACH => 0, C_HAS_DATA_COUNTS_WDCH => 0, C_HAS_DATA_COUNTS_WRCH => 0, C_HAS_DATA_COUNTS_RACH => 0, C_HAS_DATA_COUNTS_RDCH => 0, C_HAS_DATA_COUNTS_AXIS => 0, C_HAS_PROG_FLAGS_WACH => 0, C_HAS_PROG_FLAGS_WDCH => 0, C_HAS_PROG_FLAGS_WRCH => 0, C_HAS_PROG_FLAGS_RACH => 0, C_HAS_PROG_FLAGS_RDCH => 0, C_HAS_PROG_FLAGS_AXIS => 0, C_PROG_FULL_TYPE_WACH => 0, C_PROG_FULL_TYPE_WDCH => 0, C_PROG_FULL_TYPE_WRCH => 0, C_PROG_FULL_TYPE_RACH => 0, C_PROG_FULL_TYPE_RDCH => 0, C_PROG_FULL_TYPE_AXIS => 0, C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, C_PROG_EMPTY_TYPE_WACH => 0, C_PROG_EMPTY_TYPE_WDCH => 0, C_PROG_EMPTY_TYPE_WRCH => 0, C_PROG_EMPTY_TYPE_RACH => 0, C_PROG_EMPTY_TYPE_RDCH => 0, C_PROG_EMPTY_TYPE_AXIS => 0, C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, C_REG_SLICE_MODE_WACH => 0, C_REG_SLICE_MODE_WDCH => 0, C_REG_SLICE_MODE_WRCH => 0, C_REG_SLICE_MODE_RACH => 0, C_REG_SLICE_MODE_RDCH => 0, C_REG_SLICE_MODE_AXIS => 0 ) PORT MAP ( backup => '0', backup_marker => '0', clk => clk, rst => '0', srst => srst, wr_clk => '0', wr_rst => '0', rd_clk => '0', rd_rst => '0', din => din, wr_en => wr_en, rd_en => rd_en, prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), int_clk => '0', injectdbiterr => '0', injectsbiterr => '0', sleep => '0', dout => dout, full => full, empty => empty, m_aclk => '0', s_aclk => '0', s_aresetn => '0', m_aclk_en => '0', s_aclk_en => '0', s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awvalid => '0', s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_wlast => '0', s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wvalid => '0', s_axi_bready => '0', m_axi_awready => '0', m_axi_wready => '0', m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bvalid => '0', s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arvalid => '0', s_axi_rready => '0', m_axi_arready => '0', m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_rlast => '0', m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rvalid => '0', s_axis_tvalid => '0', s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tlast => '0', s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), m_axis_tready => '0', axi_aw_injectsbiterr => '0', axi_aw_injectdbiterr => '0', axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_w_injectsbiterr => '0', axi_w_injectdbiterr => '0', axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_b_injectsbiterr => '0', axi_b_injectdbiterr => '0', axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_injectsbiterr => '0', axi_ar_injectdbiterr => '0', axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_r_injectsbiterr => '0', axi_r_injectdbiterr => '0', axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_injectsbiterr => '0', axis_injectdbiterr => '0', axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)) ); END output_fifo_arch;
unlicense
TWW12/lzw
final_project_sim/lzw/lzw.cache/ip/1ef49ae738cde1e4/bram_2048_0_stub.vhdl
1
1613
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1756540 Mon Jan 23 19:11:23 MST 2017 -- Date : Tue Apr 18 23:15:16 2017 -- Host : DESKTOP-I9J3TQJ running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix -- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ bram_2048_0_stub.vhdl -- Design : bram_2048_0 -- Purpose : Stub declaration of top-level module interface -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is Port ( clka : in STD_LOGIC; ena : in STD_LOGIC; wea : in STD_LOGIC_VECTOR ( 0 to 0 ); addra : in STD_LOGIC_VECTOR ( 10 downto 0 ); dina : in STD_LOGIC_VECTOR ( 19 downto 0 ); douta : out STD_LOGIC_VECTOR ( 19 downto 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix; architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "clka,ena,wea[0:0],addra[10:0],dina[19:0],douta[19:0]"; attribute x_core_info : string; attribute x_core_info of stub : architecture is "blk_mem_gen_v8_3_5,Vivado 2016.4"; begin end;
unlicense
Lyrositor/insa
3if/ac/tp-ac_1/register_n.vhdl
1
785
-- register_1 -- An N-bit register. library ieee; use ieee.std_logic_1164.all; library work; entity register_n is generic(n: integer); port( clk, enable, reset: in std_logic; d: in std_logic_vector(n-1 downto 0); q: out std_logic_vector(n-1 downto 0) ); end entity; architecture rtl of register_n is component register_1 is port( enable, clk, d, reset: in std_logic; q: out std_logic ); end component; begin addloop: for i in 0 to n-1 generate begin register_1_instance: register_1 port map( clk => clk, d => d(i), reset => reset, enable => enable, q => q(i) ); end generate; end architecture;
unlicense
Lyrositor/insa
3if/ac/tp-ac_2/testbench_passage_a_niveau.vhdl
1
2019
library ieee; use ieee.std_logic_1164.all; library work; entity testbench_passage_a_niveau is end entity; architecture behaviorial of testbench_passage_a_niveau is component passage_a_niveau is port( clock: in std_logic; reset: in std_logic; capteur_droite: in std_logic; capteur_gauche: in std_logic; ampoule: out std_logic; alert: out std_logic ); end component; signal clock, reset, capteur_droite, capteur_gauche, ampoule, alert: std_logic; begin -- Instantiate the Unit Under Test (UUT) uut: passage_a_niveau port map( clock => clock, reset => reset, capteur_droite => capteur_droite, capteur_gauche => capteur_gauche, ampoule => ampoule, alert => alert ); -- a clock process clock_process: process begin clock <= '1'; wait for 0.5 ns; clock <= '0'; wait for 0.5 ns; end process; -- A test process test_process: process begin reset <= '1'; wait for 2.3 ns; reset <= '0'; capteur_gauche <= '0'; capteur_droite <= '0'; wait for 12.3 ns; -- un train court vient de droite capteur_droite <= '1'; wait for 10 ns; capteur_droite <= '0'; wait for 5 ns; capteur_gauche <= '1'; wait for 10 ns; capteur_gauche <= '0'; wait for 20 ns; -- un train long vient de droite capteur_droite <= '1'; wait for 20 ns; capteur_gauche <= '1'; wait for 10 ns; capteur_droite <= '0'; wait for 20 ns; capteur_gauche <= '0'; wait for 20 ns; -- deux trains rentrent en collision capteur_droite <= '1'; capteur_gauche <= '1'; wait for 10 ns; capteur_droite <= '0'; capteur_gauche <= '0'; wait for 20 ns; end process; end behaviorial;
unlicense
frznchckn/polarbear
hw/cores/uart/hdl/vhdl/uart_bhv.vhd
1
8562
-------------------------------------------------------------------------------- --| --| Filename : uart_bhv --| Author : Russell L Friesenhahn --| Origin Date : 20130828 --| -------------------------------------------------------------------------------- --| --| Abstract --| --| Behavorial architecture of UART core --| -------------------------------------------------------------------------------- --| --| Modification History --| --| --| -------------------------------------------------------------------------------- --| --| References --| --| --| -------------------------------------------------------------------------------- architecture bhv of uart is ----------------------------- -- Component Declarations ----------------------------- component cntr is generic ( CntrWidth : integer := 8 ); port ( Clk : in std_ulogic; Rst : in std_ulogic; En : in std_ulogic; Clr : in std_ulogic; CritValue : in std_ulogic_vector(CntrWidth-1 downto 0); CntrValue : out std_ulogic_vector(CntrWidth-1 downto 0); CntReached : out std_ulogic ); end component; ----------------------------- -- Constant Declarations ----------------------------- -- constant clk16Gen : integer := SysClkRate / BaudRate / 16; ----------------------------- -- Type Declarations ----------------------------- type rxst is ( IDLE, START, RX, PARITYCK, STOP, ERR ); type txst is ( IDLE, START, TX, TXPARITY, STOP ); ----------------------------- -- Signal Declarations ----------------------------- signal clkCntr : unsigned(19 downto 0); signal clkTxCntr : unsigned(23 downto 0); -- signal clkTxGen : unsigned(23 downto 0); signal clkTxGen : std_ulogic_vector(23 downto 0); signal ClkTxPulse : std_ulogic; signal startTxCntr : std_ulogic; signal clk16Pulse : std_ulogic; signal rxcs : rxst; signal din_d0 : std_ulogic; signal din_d1 : std_ulogic; signal din_d2 : std_ulogic; signal clk16Cnt : unsigned(3 downto 0); -- signal dout_int : std_ulogic_vector(7 downto 0); signal rxCnt : unsigned(3 downto 0); signal dout_i : std_ulogic_vector(7 downto 0); signal parity : std_ulogic; signal txcs : txst; signal bitTxParity : std_ulogic; signal txCnt : unsigned(2 downto 0); signal byteRx_d1 : std_ulogic_vector(7 downto 0); signal byteRx_d2 : std_ulogic_vector(7 downto 0); signal byteRxValid_d1 : std_ulogic; signal txBusy_i : std_ulogic; begin ByteTx <= dout_i; TxBusy <= txBusy_i; clkTxGen <= BaudRateGen & X"0"; cntr_tx : cntr generic map ( CntrWidth => 24 ) port map ( Clk => Clk, Rst => Rst, En => '1', Clr => startTxCntr, CritValue => clkTxGen, CntrValue => open, CntReached => ClkTxPulse ); P_TX : process (Clk) begin if Clk'event and Clk = '1' then if Rst = '1' then BitTx <= '1'; txBusy_i <= '1'; clkTxCntr <= (others => '0'); byteRxValid_d1 <= '0'; startTxCntr <= '0'; else if ByteRxValid = '1' and txBusy_i = '0' then byteRx_d1 <= ByteRx; byteRxValid_d1 <= ByteRxValid; txBusy_i <= '1'; end if; C_TX : case txcs is when IDLE => txBusy_i <= '0'; BitTx <= '1'; bitTxParity <= ParityType; txCnt <= (others => '0'); if byteRxValid_d1 = '1' then byteRx_d2 <= byteRx_d1; startTxCntr <= '1'; txBusy_i <= '1'; txcs <= START; byteRxValid_d1 <= '0'; end if; when START => startTxCntr <= '0'; BitTx <= '0'; if ClkTxPulse = '1' then txcs <= TX; BitTx <= byteRx_d1(0); bitTxParity <= bitTxParity xor byteRx_d1(0); txCnt <= txCnt + 1; end if; when TX => if ClkTxPulse = '1' then if txCnt = to_unsigned(0, txCnt'length) then BitTx <= bitTxParity; txcs <= TXPARITY; else BitTx <= byteRx_d1(to_integer(txCnt)); bitTxParity <= bitTxParity xor byteRx_d1(to_integer(txCnt)); txCnt <= txCnt + 1; end if; end if; when TXPARITY => if ClkTxPulse = '1' then BitTx <= '1'; txcs <= STOP; end if; when STOP => txBusy_i <= '0'; if ClkTxPulse = '1' then BitTx <= '1'; txcs <= IDLE; end if; when others => null; end case C_TX; end if; end if; end process P_TX; CLK16_PULSE_GEN : process (Clk) begin if Clk'event and Clk = '1' then if Rst = '1' then clkCntr <= (others => '0'); clk16Pulse <= '0'; else -- if clkCntr = to_unsigned(clk16Gen, clkCntr'length) if clkCntr = unsigned(BaudRateGen) then clkCntr <= (others => '0'); clk16Pulse <= '1'; else clkCntr <= clkCntr + 1; clk16Pulse <= '0'; end if; end if; end if; end process CLK16_PULSE_GEN; P_STABLE_DATA : process (Clk) begin if Clk'event and Clk = '1' then if Rst = '1' then din_d0 <= '0'; din_d1 <= '0'; din_d2 <= '0'; else din_d2 <= din_d1; din_d1 <= din_d0; din_d0 <= BitRx; end if; end if; end process P_STABLE_DATA; P_CLK16_CNTR : process (Clk) begin if Clk'event and Clk = '1' then if Rst = '1' then clk16Cnt <= (others => '0'); else if clk16Pulse = '1' then clk16Cnt <= clk16Cnt + 1; end if; if din_d1 /= din_d2 then clk16Cnt <= (others => '0'); end if; end if; end if; end process P_CLK16_CNTR; P_RX : process (Clk) begin if Clk'event and Clk = '1' then if Rst = '1' then rxcs <= IDLE; dout_i <= (others => '0'); ParErr <= '0'; StopErr <= '0'; else CO_RX_SM : case rxcs is when IDLE => ByteTxValid <= '0'; rxCnt <= (others => '0'); parity <= ParityType; if din_d1 = '0' and din_d2 = '1' then rxcs <= START; end if; when START => if clk16Pulse = '1' and clk16Cnt = to_unsigned(7, 4) then if din_d2 = '0' then rxcs <= RX; else rxcs <= IDLE; end if; end if; when RX => if clk16Pulse = '1' and clk16Cnt = to_unsigned(7, 4) then rxCnt <= rxCnt + 1; end if; if clk16Pulse = '1' and clk16Cnt = to_unsigned(7, 4) then dout_i <= din_d2 & dout_i(7 downto 1); parity <= parity xor din_d2; end if; if rxCnt = to_unsigned(8, 4) then if UseParity = '1' then rxcs <= PARITYCK; else rxcs <= STOP; end if; end if; when PARITYCK => if clk16Pulse = '1' and clk16Cnt = to_unsigned(7, 4) then if parity /= din_d2 then ParErr <= '1'; rxcs <= ERR; assert false report "ERROR: parity incorrect" severity error; else rxcs <= STOP; end if; end if; when STOP => if clk16Pulse = '1' and clk16Cnt = to_unsigned(7, 4) then if din_d2 = '1' then ByteTxValid <= '1'; rxcs <= IDLE; else StopErr <= '1'; rxcs <= ERR; end if; end if; when ERR => ParErr <= '0'; StopErr <= '0'; rxcs <= IDLE; end case; end if; end if; end process P_RX; end architecture bhv;
unlicense
jza00425/SingleCycleARM
lab2/work/register/_primary.vhd
3
425
library verilog; use verilog.vl_types.all; entity \register\ is generic( width : integer := 32; reset_value : integer := 0 ); port( q : out vl_logic_vector; d : in vl_logic_vector; clk : in vl_logic; enable : in vl_logic; rst_b : in vl_logic ); end \register\;
unlicense
mike7c2/befunge_processor
befunge_alu.vhd
1
4106
library ieee; use ieee.std_logic_1164.all; use IEEE.numeric_std.all; entity befunge_alu is generic( word_size : integer := 8 ); port( clk : in std_logic; reset : in std_logic; a : in std_logic_vector(word_size-1 downto 0); b : in std_logic_vector(word_size-1 downto 0); result : out std_logic_vector(word_size-1 downto 0); op : in std_logic_vector(2 downto 0); en : in std_logic; working : out std_logic ); end befunge_alu; architecture alu_v1 of befunge_alu is constant zero : std_logic_vector(word_size - 1 downto 0) := (others => '0'); signal en_shadow : std_logic; signal div_rfd : std_logic; signal div_quotient : std_logic_vector(word_size-1 downto 0); signal div_remainder : std_logic_vector(word_size-1 downto 0); component div_gen_v3_0 is port ( rfd : out STD_LOGIC; clk : in STD_LOGIC := 'X'; dividend : in STD_LOGIC_VECTOR ( 7 downto 0 ); quotient : out STD_LOGIC_VECTOR ( 7 downto 0 ); divisor : in STD_LOGIC_VECTOR ( 7 downto 0 ); fractional : out STD_LOGIC_VECTOR ( 7 downto 0 ) ); end component; begin divider : div_gen_v3_0 port map ( div_rfd, clk, b, div_quotient, a, div_remainder ); process(reset,clk) variable result_int : std_logic_vector((word_size * 2) -1 downto 0); variable div_wait : integer range 0 to 12; variable div_or_mod : std_logic; begin if(reset = '1') then result <= (others => '0'); en_shadow <= '0'; working <= '0';--This flag should be used to stall the cpu for multi cycle instructions (mod and div) div_wait := 0; div_or_mod := '0'; else if rising_edge(clk) then en_shadow <= en; if ( en = '1' and en_shadow = '0' ) then working <= '1'; if ( op = "000" ) then -- + add result <= std_logic_vector(Unsigned(a) + Unsigned(b)); elsif ( op = "001" ) then -- - subract result <= std_logic_vector(Unsigned(a) - Unsigned(b)); elsif ( op = "010" ) then -- * multiply result_int := std_logic_vector(Unsigned(a) * Unsigned(b)); result <= result_int(word_size-1 downto 0); elsif ( op = "011" ) then -- / divide (hard!) div_wait := 12; div_or_mod := '0'; -- result <= std_logic_vector(Unsigned(a) / Unsigned(b)); elsif ( op = "100" ) then -- % modulue (hard!) div_wait := 12; div_or_mod := '1'; --result <= std_logic_vector(Unsigned(a) % Unsigned(b)); elsif ( op = "101" ) then -- ! not if (a /= zero) then result <= (others => '0'); else result <= "00000001"; end if; elsif ( op = "110" ) then -- ' greater than result <= (others => '0'); end if; elsif (div_wait = 1) then if (div_or_mod = '0') then result <= div_quotient; else result <= div_remainder; end if; div_wait := div_wait - 1; elsif (div_wait > 0) then div_wait := div_wait -1; elsif (div_wait = 0) then working <= '0'; end if; end if; end if; end process; end alu_v1;
unlicense
sahandKashani/TRDB_D5M
DE1-SoC/hw/hdl/cmos_sensor_input/hdl/cmos_sensor_input_avalon_st_source.vhd
5
3540
library ieee; use ieee.std_logic_1164.all; entity cmos_sensor_input_avalon_st_source is generic( DATA_WIDTH : positive ); port( clk : in std_logic; reset : in std_logic; -- avalon_mm_slave stop_and_reset : in std_logic; -- Avalon-ST Source ready : in std_logic; valid : out std_logic; data : out std_logic_vector(DATA_WIDTH - 1 downto 0); -- fifo fifo_read : out std_logic; fifo_empty : in std_logic; fifo_data : in std_logic_vector(DATA_WIDTH - 1 downto 0); fifo_end_of_frame : in std_logic; fifo_overflow : in std_logic; -- sampler end_of_frame_out : out std_logic; end_of_frame_out_ack : in std_logic ); end entity cmos_sensor_input_avalon_st_source; architecture rtl of cmos_sensor_input_avalon_st_source is type state_type is (STATE_IDLE, STATE_READY_CYCLE, STATE_WAIT_END_OF_FRAME_ACK); signal reg_state, next_reg_state : state_type; signal data_little_endian : std_logic_vector(data'range); signal data_big_endian : std_logic_vector(data'range); begin STATE_LOGIC : process(clk, reset) begin if reset = '1' then reg_state <= STATE_IDLE; elsif rising_edge(clk) then if stop_and_reset = '1' then reg_state <= STATE_IDLE; else reg_state <= next_reg_state; end if; end if; end process; NEXT_STATE_LOGIC : process(data_big_endian, end_of_frame_out_ack, fifo_empty, fifo_end_of_frame, fifo_overflow, ready, reg_state) begin fifo_read <= '0'; valid <= '0'; data <= (others => '0'); end_of_frame_out <= '0'; next_reg_state <= reg_state; case reg_state is when STATE_IDLE => if ready = '1' then next_reg_state <= STATE_READY_CYCLE; end if; when STATE_READY_CYCLE => if ready = '0' then next_reg_state <= STATE_IDLE; end if; if fifo_empty = '0' and fifo_overflow = '0' then fifo_read <= '1'; valid <= '1'; data <= data_big_endian; if fifo_end_of_frame = '1' then next_reg_state <= STATE_WAIT_END_OF_FRAME_ACK; end if; end if; when STATE_WAIT_END_OF_FRAME_ACK => end_of_frame_out <= '1'; if end_of_frame_out_ack = '1' then next_reg_state <= STATE_IDLE; end if; end case; end process; data_little_endian <= fifo_data; NETWORK_ORDER : process(data_little_endian) begin -- data is an Avalon-ST interface, so it needs to arrange data in -- network order (a.k.a big-endian). -- This component is specified to support 8 bits per symbol for its -- Avalon-ST interface, so data is flipped on 8-bit boundaries. for i in 0 to (DATA_WIDTH / 8) - 1 loop data_big_endian(8 * (i + 1) - 1 downto 8 * i) <= data_little_endian(data_little_endian'length - 8 * i - 1 downto data_little_endian'length - 8 * (i + 1)); end loop; end process; end architecture rtl;
unlicense
sahandKashani/TRDB_D5M
DE0-Nano/hw/hdl/cmos_sensor_input/hdl/cmos_sensor_input_sampler.vhd
5
13162
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.cmos_sensor_input_constants.all; entity cmos_sensor_input_sampler is generic( PIX_DEPTH : positive; MAX_WIDTH : positive; MAX_HEIGHT : positive ); port( clk : in std_logic; reset : in std_logic; -- avalon_mm_slave stop_and_reset : in std_logic; idle : out std_logic; wait_irq_ack : out std_logic; irq_en : in std_logic; irq_ack : in std_logic; snapshot : in std_logic; get_frame_info : in std_logic; frame_width : out std_logic_vector(bit_width(max(MAX_WIDTH, MAX_HEIGHT)) - 1 downto 0); frame_height : out std_logic_vector(bit_width(max(MAX_WIDTH, MAX_HEIGHT)) - 1 downto 0); -- synchronizer frame_valid : in std_logic; line_valid : in std_logic; data_in : in std_logic_vector(PIX_DEPTH - 1 downto 0); -- debayer / packer / fifo valid_out : out std_logic; data_out : out std_logic_vector(PIX_DEPTH - 1 downto 0); start_of_frame_out : out std_logic; end_of_frame_out : out std_logic; -- fifo fifo_overflow : in std_logic; -- st_source end_of_frame_in : in std_logic; end_of_frame_in_ack : out std_logic ); end entity cmos_sensor_input_sampler; architecture rtl of cmos_sensor_input_sampler is -- GFI = get_frame_info -- SNPSHT = snapshot type state_type is (STATE_IDLE, STATE_WAIT_END_FRAME_GFI, STATE_WAIT_START_FRAME_GFI, STATE_DATA_SKIP, STATE_LINE_LINE_BLANK_OR_LINE_FRAME_BLANK_GFI, STATE_WAIT_END_FRAME_SNPSHT, STATE_WAIT_START_FRAME_SNPSHT, STATE_START_OF_FRAME_OUT, STATE_DATA_VALID, STATE_LINE_LINE_BLANK_SNPSHT, STATE_END_OF_FRAME_OUT, STATE_WAIT_END_OF_FRAME_IN, STATE_END_OF_FRAME_IN_ACK, STATE_WAIT_IRQ_ACK); signal reg_state, next_reg_state : state_type; signal reg_frame_width_config, next_reg_frame_width_config : unsigned(frame_width'range); signal reg_frame_height_config, next_reg_frame_height_config : unsigned(frame_height'range); signal reg_frame_width_counter, next_reg_frame_width_counter : unsigned(frame_width'range); signal reg_frame_height_counter, next_reg_frame_height_counter : unsigned(frame_height'range); signal reg_data_in, next_reg_data_in : std_logic_vector(data_in'range); begin process(clk, reset) begin if reset = '1' then reg_state <= STATE_IDLE; reg_frame_width_config <= (others => '0'); reg_frame_height_config <= (others => '0'); reg_frame_width_counter <= (others => '0'); reg_frame_height_counter <= (others => '0'); reg_data_in <= (others => '0'); elsif rising_edge(clk) then if stop_and_reset = '1' then reg_state <= STATE_IDLE; reg_frame_width_config <= (others => '0'); reg_frame_height_config <= (others => '0'); reg_frame_width_counter <= (others => '0'); reg_frame_height_counter <= (others => '0'); reg_data_in <= (others => '0'); else reg_state <= next_reg_state; reg_frame_width_config <= next_reg_frame_width_config; reg_frame_height_config <= next_reg_frame_height_config; reg_frame_width_counter <= next_reg_frame_width_counter; reg_frame_height_counter <= next_reg_frame_height_counter; reg_data_in <= next_reg_data_in; end if; end if; end process; process(data_in, end_of_frame_in, fifo_overflow, frame_valid, get_frame_info, irq_ack, irq_en, line_valid, reg_data_in, reg_frame_height_config, reg_frame_height_counter, reg_frame_width_config, reg_frame_width_counter, reg_state, snapshot) begin idle <= '0'; wait_irq_ack <= '0'; frame_width <= std_logic_vector(reg_frame_width_config); frame_height <= std_logic_vector(reg_frame_height_config); valid_out <= '0'; data_out <= (others => '0'); start_of_frame_out <= '0'; end_of_frame_out <= '0'; end_of_frame_in_ack <= '0'; next_reg_state <= reg_state; next_reg_frame_width_config <= reg_frame_width_config; next_reg_frame_height_config <= reg_frame_height_config; next_reg_frame_width_counter <= reg_frame_width_counter; next_reg_frame_height_counter <= reg_frame_height_counter; next_reg_data_in <= data_in; case reg_state is when STATE_IDLE => idle <= '1'; if get_frame_info = '1' then if frame_valid = '0' then next_reg_state <= STATE_WAIT_START_FRAME_GFI; elsif frame_valid = '1' then next_reg_state <= STATE_WAIT_END_FRAME_GFI; end if; elsif snapshot = '1' then if frame_valid = '0' then next_reg_state <= STATE_WAIT_START_FRAME_SNPSHT; elsif frame_valid = '1' then next_reg_state <= STATE_WAIT_END_FRAME_SNPSHT; end if; end if; when STATE_WAIT_END_FRAME_GFI => if frame_valid = '0' then next_reg_state <= STATE_WAIT_START_FRAME_GFI; end if; when STATE_WAIT_START_FRAME_GFI => if frame_valid = '1' and line_valid = '1' then next_reg_state <= STATE_DATA_SKIP; next_reg_frame_width_config <= to_unsigned(1, next_reg_frame_width_config'length); next_reg_frame_height_config <= to_unsigned(1, next_reg_frame_height_config'length); end if; when STATE_DATA_SKIP => if frame_valid = '0' then if line_valid = '0' then if irq_en = '0' then next_reg_state <= STATE_IDLE; elsif irq_en = '1' then next_reg_state <= STATE_WAIT_IRQ_ACK; end if; end if; elsif frame_valid = '1' then if line_valid = '0' then next_reg_state <= STATE_LINE_LINE_BLANK_OR_LINE_FRAME_BLANK_GFI; elsif line_valid = '1' then next_reg_frame_width_config <= reg_frame_width_config + 1; end if; end if; when STATE_LINE_LINE_BLANK_OR_LINE_FRAME_BLANK_GFI => if frame_valid = '0' and line_valid = '0' then if irq_en = '0' then next_reg_state <= STATE_IDLE; elsif irq_en = '1' then next_reg_state <= STATE_WAIT_IRQ_ACK; end if; elsif frame_valid = '1' and line_valid = '1' then next_reg_state <= STATE_DATA_SKIP; next_reg_frame_width_config <= to_unsigned(1, next_reg_frame_width_config'length); next_reg_frame_height_config <= reg_frame_height_config + 1; end if; when STATE_WAIT_END_FRAME_SNPSHT => if frame_valid = '0' then next_reg_state <= STATE_WAIT_START_FRAME_SNPSHT; end if; when STATE_WAIT_START_FRAME_SNPSHT => if frame_valid = '1' and line_valid = '1' then next_reg_state <= STATE_START_OF_FRAME_OUT; next_reg_frame_width_counter <= to_unsigned(1, next_reg_frame_width_counter'length); next_reg_frame_height_counter <= to_unsigned(1, next_reg_frame_height_counter'length); end if; when STATE_START_OF_FRAME_OUT => if fifo_overflow = '1' then if irq_en = '0' then next_reg_state <= STATE_IDLE; elsif irq_en = '1' then next_reg_state <= STATE_WAIT_IRQ_ACK; end if; elsif fifo_overflow = '0' then valid_out <= '1'; data_out <= reg_data_in; start_of_frame_out <= '1'; if reg_frame_width_counter < reg_frame_width_config - 1 then next_reg_state <= STATE_DATA_VALID; next_reg_frame_width_counter <= reg_frame_width_counter + 1; elsif reg_frame_width_counter = reg_frame_width_config - 1 then if reg_frame_height_counter = reg_frame_height_config then next_reg_state <= STATE_END_OF_FRAME_OUT; end if; end if; end if; when STATE_DATA_VALID => if fifo_overflow = '1' then if irq_en = '0' then next_reg_state <= STATE_IDLE; elsif irq_en = '1' then next_reg_state <= STATE_WAIT_IRQ_ACK; end if; elsif fifo_overflow = '0' then valid_out <= '1'; data_out <= reg_data_in; next_reg_frame_width_counter <= reg_frame_width_counter + 1; if reg_frame_height_counter < reg_frame_height_config then if reg_frame_width_counter = reg_frame_width_config then next_reg_state <= STATE_LINE_LINE_BLANK_SNPSHT; end if; elsif reg_frame_height_counter = reg_frame_height_config then if reg_frame_width_counter = reg_frame_width_config - 1 then next_reg_state <= STATE_END_OF_FRAME_OUT; next_reg_frame_width_counter <= reg_frame_width_counter + 1; end if; end if; end if; when STATE_LINE_LINE_BLANK_SNPSHT => if fifo_overflow = '1' then if irq_en = '0' then next_reg_state <= STATE_IDLE; elsif irq_en = '1' then next_reg_state <= STATE_WAIT_IRQ_ACK; end if; elsif fifo_overflow = '0' then if frame_valid = '1' and line_valid = '1' then next_reg_state <= STATE_DATA_VALID; next_reg_frame_width_counter <= to_unsigned(1, next_reg_frame_width_counter'length); next_reg_frame_height_counter <= reg_frame_height_counter + 1; end if; end if; when STATE_END_OF_FRAME_OUT => if fifo_overflow = '1' then if irq_en = '0' then next_reg_state <= STATE_IDLE; elsif irq_en = '1' then next_reg_state <= STATE_WAIT_IRQ_ACK; end if; elsif fifo_overflow = '0' then valid_out <= '1'; data_out <= reg_data_in; end_of_frame_out <= '1'; next_reg_state <= STATE_WAIT_END_OF_FRAME_IN; end if; when STATE_WAIT_END_OF_FRAME_IN => if fifo_overflow = '1' then if irq_en = '0' then next_reg_state <= STATE_IDLE; elsif irq_en = '1' then next_reg_state <= STATE_WAIT_IRQ_ACK; end if; elsif fifo_overflow = '0' then if end_of_frame_in = '1' then next_reg_state <= STATE_END_OF_FRAME_IN_ACK; end if; end if; when STATE_END_OF_FRAME_IN_ACK => end_of_frame_in_ack <= '1'; if irq_en = '0' then next_reg_state <= STATE_IDLE; elsif irq_en = '1' then next_reg_state <= STATE_WAIT_IRQ_ACK; end if; when STATE_WAIT_IRQ_ACK => wait_irq_ack <= '1'; if irq_ack = '1' then next_reg_state <= STATE_IDLE; end if; end case; end process; end architecture rtl;
unlicense
sahandKashani/TRDB_D5M
DE0-Nano/hw/hdl/cmos_sensor_input/hdl/cmos_sensor_input_packer.vhd
5
3601
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.cmos_sensor_input_constants.all; entity cmos_sensor_input_packer is generic( PIX_DEPTH : positive; PACK_WIDTH : positive ); port( clk : in std_logic; reset : in std_logic; -- avalon_mm_slave stop_and_reset : in std_logic; -- sampler / debayer valid_in : in std_logic; data_in : in std_logic_vector(PIX_DEPTH - 1 downto 0); start_of_frame_in : in std_logic; end_of_frame_in : in std_logic; -- fifo valid_out : out std_logic; data_out : out std_logic_vector(PACK_WIDTH - 1 downto 0); end_of_frame_out : out std_logic ); end entity cmos_sensor_input_packer; architecture rtl of cmos_sensor_input_packer is constant COMPRESSED_PIX_COUNT : positive := floor_div(data_out'length, PIX_DEPTH); signal reg_count : unsigned(bit_width(COMPRESSED_PIX_COUNT) - 1 downto 0); signal reg_data_out : std_logic_vector((COMPRESSED_PIX_COUNT - 1) * PIX_DEPTH - 1 downto 0); begin process(clk, reset) begin if reset = '1' then reg_count <= (others => '0'); reg_data_out <= (others => '0'); elsif rising_edge(clk) then valid_out <= '0'; data_out <= (others => '0'); end_of_frame_out <= '0'; if stop_and_reset = '1' then reg_count <= to_unsigned(0, reg_count'length); reg_data_out <= (others => '0'); else if valid_in = '1' then if start_of_frame_in = '1' then reg_count <= to_unsigned(1, reg_count'length); reg_data_out(PIX_DEPTH - 1 downto 0) <= data_in; reg_data_out(reg_data_out'length - 1 downto PIX_DEPTH) <= (others => '0'); elsif end_of_frame_in = '1' then valid_out <= '1'; data_out(PIX_DEPTH - 1 downto 0) <= data_in; data_out(reg_data_out'length + PIX_DEPTH - 1 downto PIX_DEPTH) <= reg_data_out; end_of_frame_out <= '1'; reg_count <= to_unsigned(0, reg_count'length); elsif reg_count < COMPRESSED_PIX_COUNT - 1 then reg_count <= reg_count + 1; reg_data_out(PIX_DEPTH - 1 downto 0) <= data_in; reg_data_out(reg_data_out'length - 1 downto PIX_DEPTH) <= reg_data_out(reg_data_out'length - PIX_DEPTH - 1 downto 0); elsif reg_count = COMPRESSED_PIX_COUNT - 1 then valid_out <= '1'; data_out(PIX_DEPTH - 1 downto 0) <= data_in; data_out(reg_data_out'length + PIX_DEPTH - 1 downto PIX_DEPTH) <= reg_data_out; reg_count <= to_unsigned(0, reg_count'length); reg_data_out <= (others => '0'); end if; end if; end if; end if; end process; end architecture rtl;
unlicense