repo_name
stringlengths 6
79
| path
stringlengths 6
236
| copies
int64 1
472
| size
int64 137
1.04M
| content
stringlengths 137
1.04M
| license
stringclasses 15
values | hash
stringlengths 32
32
| alpha_frac
float64 0.25
0.96
| ratio
float64 1.51
17.5
| autogenerated
bool 1
class | config_or_test
bool 2
classes | has_no_keywords
bool 1
class | has_few_assignments
bool 1
class |
---|---|---|---|---|---|---|---|---|---|---|---|---|
hanw/Open-Source-FPGA-Bitcoin-Miner | projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/builtin/fifo_generator_v10_0_builtin.vhd | 9 | 47,892 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
md88uGwpOUc7xkzTOG21UwyBT5yLWWNy5ThiuApHrwAf6J6544Fo/rmc1p7muwkETVeTwapBoQFR
KNh9GNnXOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
hDC036l6c01Vy0gF4G9VyhPzY+xAubOlsgV8hpjJ/d6369y8Q58ETjxkOlp6nmdox5wn5PI0Y/wK
KogzdI9mlOHKTIbRzLuJMAbwEMAchOp1ugv/zFoYc90y0ipxnWKQTRBdebCQga/IogMz+OSosjYU
Somfev0c33NpzC8bHEo=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
SrqqNdEjmZnG4of+1QlgquAzhkcHTCZc52k1SGi+kE93Uf+fKR9Ip+i/7fLaUjw9yXYu8dzGC3Nb
1S/uGQ+EY+ROfcOrF7dK57pFiID+ty4VwVMuDYhAf7i7A/9eRcjKShXwYtrB6+bWH5J+divWvVFG
R2TtSoPUSFSIDtmLXJRGG4YydpOf14XCfvxhU4T7xCXm8cNpBIwCj7I/2rQjBEwBE6WvHqTbS4CX
vLygn3AxWZQ5SHhnrscuVufYJu00bF5QFWn9YwPUE47F/GNh/IEeklvy+oHm4GgOg4+QqWyuey23
l/3w9WBFfLxOATLayULQ6KvE7PyS0ZaW9dn2Vw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
rhMWlwBDKAQblIhi3Ogi4YhCqllnNK/WV6nXJDxASLLAtwTPPQVPDi5xtS908eq6s7ESIvFFLT/I
/A0+ISDzElhk0o5S6uwHL+vPWpu60GXE1Xx49Ot1RxfHs0X/h1UsK6q1zIf3jzBl/A0n6F6ugI2L
j8kte5A6W5aWt4/Sg7E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
KwiTRGlwTbyLwwN9chLQ+c6MNo2dacKp02HRc/hH9qsT/oMSi69TcZ8AvW64vH0KtPDVF1NnY5j9
FLa0BtPVWoc8+oZEY+q/6W0egoa1i2f7JS7HKLm/I2ofHetw/1kC7H9z/tn0Iec7QAfYZoG0VPxl
mT6fPc0+j8gqxqnB60MnlcMWYdTelNZBN3PjvZn04p5Uh8UEfX6fkKkMCJwqk0D6bI1FaSkw9TRh
cdnXwGTwXCdXSjOHQw7c3WL4NsDlLl3PCbh9XAKryIU+PNftLJ3+dExN3+3Dy43XWabUrPj8shHd
j/Ow/mHw7r0pRMFkKgcU8tC3swSfJeVQqkCIeg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 33712)
`protect data_block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`protect end_protected
| gpl-3.0 | cd3d8b8b8dced6f3681c0fa57a530d25 | 0.949282 | 1.842992 | false | false | false | false |
HighlandersFRC/fpga | oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/proc_common_v4_0/hdl/src/vhdl/basic_sfifo_fg.vhd | 12 | 55,233 | -------------------------------------------------------------------------------
-- basic_sfifo_fg.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: basic_sfifo_fg.vhd
--
-- Description:
-- This HDL file implements a basic synchronous (single clock) fifo using the
-- FIFO Generator tool. It is intended to offer a simple interface to the user
-- with the complexity of the FIFO Generator interface hidden from the user.
--
-- Note that in normal op mode (not First Word Fall Through FWFT) the data count
-- output goes to zero when the FIFO goes full. This the way FIFO Generator works.
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- basic_sfifo_fg.vhd
-- |
-- |-- fifo_generator_v8_2
--
-------------------------------------------------------------------------------
-- Revision History:
--
--
-- Author: DET
-- Revision: $Revision: 1.0 $
-- Date: $3/07/2011$
--
-- History:
-- DET 3/07/2011 Initial Version
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library fifo_generator_v11_0;
use fifo_generator_v11_0.all;
library proc_common_v4_0;
use proc_common_v4_0.proc_common_pkg.all;
use proc_common_v4_0.proc_common_pkg.log2;
--use proc_common_v4_0.coregen_comp_defs.all;
-- synopsys translate_off
--library XilinxCoreLib;
--use XilinxCoreLib.all;
-- synopsys translate_on
-------------------------------------------------------------------------------
entity basic_sfifo_fg is
generic (
C_DWIDTH : Integer := 32 ;
-- FIFO data Width (Read and write data ports are symetric)
C_DEPTH : Integer := 512 ;
-- FIFO Depth (set to power of 2)
C_HAS_DATA_COUNT : integer := 1 ;
-- 0 = Data Count output not needed
-- 1 = Data Count output needed
C_DATA_COUNT_WIDTH : integer := 10 ;
-- Data Count bit width (Max value is log2(C_DEPTH))
C_IMPLEMENTATION_TYPE : integer range 0 to 1 := 0;
-- 0 = Common Clock BRAM / Distributed RAM (Synchronous FIFO)
-- 1 = Common Clock Shift Register (Synchronous FIFO)
C_MEMORY_TYPE : integer := 1;
-- 0 = Any
-- 1 = BRAM
-- 2 = Distributed Memory
-- 3 = Shift Registers
C_PRELOAD_REGS : integer := 1;
-- 0 = normal
-- 1 = FWFT
C_PRELOAD_LATENCY : integer := 0;
-- 0 = FWFT
-- 1 = normal
C_USE_FWFT_DATA_COUNT : integer := 0;
-- 0 = normal
-- 1 for FWFT
C_SYNCHRONIZER_STAGE : integer := 2; -- valid values are 0 to 8;
C_FAMILY : string := "virtex6"
);
port (
CLK : IN std_logic := '0';
DIN : IN std_logic_vector(C_DWIDTH-1 DOWNTO 0) := (OTHERS => '0');
RD_EN : IN std_logic := '0';
SRST : IN std_logic := '0';
WR_EN : IN std_logic := '0';
DATA_COUNT : OUT std_logic_vector(C_DATA_COUNT_WIDTH-1 DOWNTO 0);
DOUT : OUT std_logic_vector(C_DWIDTH-1 DOWNTO 0);
EMPTY : OUT std_logic;
FULL : OUT std_logic
);
end entity basic_sfifo_fg;
architecture implementation of basic_sfifo_fg is
-- Constant Declarations ----------------------------------------------
Constant POINTER_WIDTH : integer := log2(C_DEPTH);
-- Constant zeros for programmable threshold inputs
signal PROG_RDTHRESH_ZEROS : std_logic_vector(POINTER_WIDTH-1
DOWNTO 0) := (OTHERS => '0');
signal PROG_WRTHRESH_ZEROS : std_logic_vector(POINTER_WIDTH-1
DOWNTO 0) := (OTHERS => '0');
-- Signals
--Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE"
signal ALMOST_FULL : std_logic;
signal WR_ACK : std_logic;
signal OVERFLOW : std_logic;
signal VALID : std_logic;
signal UNDERFLOW : std_logic;
signal ALMOST_EMPTY : std_logic;
signal RD_DATA_COUNT : std_logic_vector(C_DATA_COUNT_WIDTH-1 downto 0);
signal WR_DATA_COUNT : std_logic_vector(C_DATA_COUNT_WIDTH-1 downto 0);
signal PROG_FULL : std_logic;
signal PROG_EMPTY : std_logic;
signal SBITERR : std_logic;
signal DBITERR : std_logic;
signal S_AXI_AWREADY : std_logic;
signal S_AXI_WREADY : std_logic;
signal S_AXI_BID : std_logic_vector(3 DOWNTO 0);
signal S_AXI_BRESP : std_logic_vector(2-1 DOWNTO 0);
signal S_AXI_BUSER : std_logic_vector(0 downto 0);
signal S_AXI_BVALID : std_logic;
-- AXI Full/Lite Master Write Channel (Read side)
signal M_AXI_AWID : std_logic_vector(3 DOWNTO 0);
signal M_AXI_AWADDR : std_logic_vector(31 DOWNTO 0);
signal M_AXI_AWLEN : std_logic_vector(8-1 DOWNTO 0);
signal M_AXI_AWSIZE : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_AWBURST : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_AWLOCK : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_AWCACHE : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_AWPROT : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_AWQOS : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_AWREGION : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_AWUSER : std_logic_vector(0 downto 0);
signal M_AXI_AWVALID : std_logic;
signal M_AXI_WID : std_logic_vector(3 DOWNTO 0);
signal M_AXI_WDATA : std_logic_vector(63 DOWNTO 0);
signal M_AXI_WSTRB : std_logic_vector(7 DOWNTO 0);
signal M_AXI_WLAST : std_logic;
signal M_AXI_WUSER : std_logic_vector(0 downto 0);
signal M_AXI_WVALID : std_logic;
signal M_AXI_BREADY : std_logic;
-- AXI Full/Lite Slave Read Channel (Write side)
signal S_AXI_ARREADY : std_logic;
signal S_AXI_RID : std_logic_vector(3 DOWNTO 0);
signal S_AXI_RDATA : std_logic_vector(63 DOWNTO 0);
signal S_AXI_RRESP : std_logic_vector(2-1 DOWNTO 0);
signal S_AXI_RLAST : std_logic;
signal S_AXI_RUSER : std_logic_vector(0 downto 0);
signal S_AXI_RVALID : std_logic;
-- AXI Full/Lite Master Read Channel (Read side)
signal M_AXI_ARID : std_logic_vector(3 DOWNTO 0);
signal M_AXI_ARADDR : std_logic_vector(31 DOWNTO 0);
signal M_AXI_ARLEN : std_logic_vector(8-1 DOWNTO 0);
signal M_AXI_ARSIZE : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_ARBURST : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_ARLOCK : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_ARCACHE : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_ARPROT : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_ARQOS : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_ARREGION : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_ARUSER : std_logic_vector(0 downto 0);
signal M_AXI_ARVALID : std_logic;
signal M_AXI_RREADY : std_logic;
-- AXI Streaming Slave Signals (Write side)
signal S_AXIS_TREADY : std_logic;
-- AXI Streaming Master Signals (Read side)
signal M_AXIS_TVALID : std_logic;
signal M_AXIS_TDATA : std_logic_vector(63 DOWNTO 0);
signal M_AXIS_TSTRB : std_logic_vector(3 DOWNTO 0);
signal M_AXIS_TKEEP : std_logic_vector(3 DOWNTO 0);
signal M_AXIS_TLAST : std_logic;
signal M_AXIS_TID : std_logic_vector(7 DOWNTO 0);
signal M_AXIS_TDEST : std_logic_vector(3 DOWNTO 0);
signal M_AXIS_TUSER : std_logic_vector(3 DOWNTO 0);
-- AXI Full/Lite Write Address Channel Signals
signal AXI_AW_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AW_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AW_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AW_SBITERR : std_logic;
signal AXI_AW_DBITERR : std_logic;
signal AXI_AW_OVERFLOW : std_logic;
signal AXI_AW_UNDERFLOW : std_logic;
signal AXI_AW_PROG_FULL : STD_LOGIC;
signal AXI_AW_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Write Data Channel Signals
signal AXI_W_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_W_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_W_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_W_SBITERR : std_logic;
signal AXI_W_DBITERR : std_logic;
signal AXI_W_OVERFLOW : std_logic;
signal AXI_W_UNDERFLOW : std_logic;
signal AXI_W_PROG_FULL : STD_LOGIC;
signal AXI_W_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Write Response Channel Signals
signal AXI_B_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_B_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_B_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_B_SBITERR : std_logic;
signal AXI_B_DBITERR : std_logic;
signal AXI_B_OVERFLOW : std_logic;
signal AXI_B_UNDERFLOW : std_logic;
signal AXI_B_PROG_FULL : STD_LOGIC;
signal AXI_B_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Read Address Channel Signals
signal AXI_AR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AR_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AR_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AR_SBITERR : std_logic;
signal AXI_AR_DBITERR : std_logic;
signal AXI_AR_OVERFLOW : std_logic;
signal AXI_AR_UNDERFLOW : std_logic;
signal AXI_AR_PROG_FULL : STD_LOGIC;
signal AXI_AR_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Read Data Channel Signals
signal AXI_R_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_R_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_R_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_R_SBITERR : std_logic;
signal AXI_R_DBITERR : std_logic;
signal AXI_R_OVERFLOW : std_logic;
signal AXI_R_UNDERFLOW : std_logic;
signal AXI_R_PROG_FULL : STD_LOGIC;
signal AXI_R_PROG_EMPTY : STD_LOGIC;
-- AXI Streaming FIFO Related Signals
signal AXIS_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXIS_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXIS_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXIS_SBITERR : std_logic;
signal AXIS_DBITERR : std_logic;
signal AXIS_OVERFLOW : std_logic;
signal AXIS_UNDERFLOW : std_logic;
signal AXIS_PROG_FULL : STD_LOGIC;
signal AXIS_PROG_EMPTY : STD_LOGIC;
begin --(architecture implementation)
-------------------------------------------------------------------------------
-- Instantiate the generalized FIFO Generator instance
--
-- NOTE:
-- DO NOT CHANGE TO DIRECT ENTITY INSTANTIATION!!!
-- This is a Coregen FIFO Generator Call module for
-- BRAM implementations of a basic Sync FIFO
--
-------------------------------------------------------------------------------
I_BASIC_SFIFO : entity fifo_generator_v11_0.fifo_generator_v11_0
generic map(
C_COMMON_CLOCK => 1,
C_COUNT_TYPE => 0,
C_DATA_COUNT_WIDTH => C_DATA_COUNT_WIDTH,
C_DEFAULT_VALUE => "BlankString",
C_DIN_WIDTH => C_DWIDTH,
C_DOUT_RST_VAL => "0",
C_DOUT_WIDTH => C_DWIDTH,
C_ENABLE_RLOCS => 0, -- n0
C_FAMILY => C_FAMILY,
C_HAS_ALMOST_EMPTY => 0, -- n0
C_HAS_ALMOST_FULL => 0, -- n0
C_HAS_BACKUP => 0, -- n0
C_HAS_DATA_COUNT => C_HAS_DATA_COUNT,
C_HAS_MEMINIT_FILE => 0, -- n0
C_HAS_OVERFLOW => 0, -- n0
C_HAS_RD_DATA_COUNT => 0, -- n0
C_HAS_RD_RST => 0, -- n0
C_HAS_RST => 0, -- n0
C_HAS_SRST => 1, -- yes
C_HAS_UNDERFLOW => 0, -- n0
C_HAS_VALID => 0, -- n0
C_HAS_WR_ACK => 0, -- n0
C_HAS_WR_DATA_COUNT => 0, -- n0
C_HAS_WR_RST => 0, -- n0
C_IMPLEMENTATION_TYPE => 0, -- Common clock BRAM
C_INIT_WR_PNTR_VAL => 0,
C_MEMORY_TYPE => C_MEMORY_TYPE,
C_MIF_FILE_NAME => "BlankString",
C_OPTIMIZATION_MODE => 0,
C_OVERFLOW_LOW => 0,
C_PRELOAD_LATENCY => C_PRELOAD_LATENCY,
C_PRELOAD_REGS => C_PRELOAD_REGS,
C_PRIM_FIFO_TYPE => "512x36",
C_PROG_EMPTY_THRESH_ASSERT_VAL => 0,
C_PROG_EMPTY_THRESH_NEGATE_VAL => 0,
C_PROG_EMPTY_TYPE => 0,
C_PROG_FULL_THRESH_ASSERT_VAL => 0,
C_PROG_FULL_THRESH_NEGATE_VAL => 0,
C_PROG_FULL_TYPE => 0,
C_RD_DATA_COUNT_WIDTH => C_DATA_COUNT_WIDTH,
C_RD_DEPTH => C_DEPTH,
C_RD_FREQ => 1,
C_RD_PNTR_WIDTH => POINTER_WIDTH,
C_UNDERFLOW_LOW => 0,
C_USE_DOUT_RST => 1,
C_USE_EMBEDDED_REG => 0,
C_USE_FIFO16_FLAGS => 0,
C_USE_FWFT_DATA_COUNT => C_USE_FWFT_DATA_COUNT,
C_VALID_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_DATA_COUNT_WIDTH => C_DATA_COUNT_WIDTH,
C_WR_DEPTH => C_DEPTH,
C_WR_FREQ => 1,
C_WR_PNTR_WIDTH => POINTER_WIDTH,
C_WR_RESPONSE_LATENCY => 1,
C_USE_ECC => 0,
C_FULL_FLAGS_RST_VAL => 0,
C_ENABLE_RST_SYNC => 1,
C_ERROR_INJECTION_TYPE => 0,
C_SYNCHRONIZER_STAGE => C_SYNCHRONIZER_STAGE,
C_HAS_INT_CLK => 0,
C_MSGON_VAL => 1,
-- AXI Interface related parameters start here
C_INTERFACE_TYPE => 0, -- : integer := 0; -- 0: Native Interface; 1: AXI Interface
C_AXI_TYPE => 0, -- : integer := 0; -- 0: AXI Stream; 1: AXI Full; 2: AXI Lite
C_HAS_AXI_WR_CHANNEL => 0, -- : integer := 0;
C_HAS_AXI_RD_CHANNEL => 0, -- : integer := 0;
C_HAS_SLAVE_CE => 0, -- : integer := 0;
C_HAS_MASTER_CE => 0, -- : integer := 0;
C_ADD_NGC_CONSTRAINT => 0, -- : integer := 0;
C_USE_COMMON_OVERFLOW => 0, -- : integer := 0;
C_USE_COMMON_UNDERFLOW => 0, -- : integer := 0;
C_USE_DEFAULT_SETTINGS => 0, -- : integer := 0;
-- AXI Full/Lite
C_AXI_ID_WIDTH => 4 , -- : integer := 0;
C_AXI_ADDR_WIDTH => 32, -- : integer := 0;
C_AXI_DATA_WIDTH => 64, -- : integer := 0;
C_AXI_LEN_WIDTH => 8, -- : integer := 8;
C_AXI_LOCK_WIDTH => 2, -- : integer := 2;
C_HAS_AXI_ID => 0, -- : integer := 0;
C_HAS_AXI_AWUSER => 0 , -- : integer := 0;
C_HAS_AXI_WUSER => 0 , -- : integer := 0;
C_HAS_AXI_BUSER => 0 , -- : integer := 0;
C_HAS_AXI_ARUSER => 0 , -- : integer := 0;
C_HAS_AXI_RUSER => 0 , -- : integer := 0;
C_AXI_ARUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_AWUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_WUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_BUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_RUSER_WIDTH => 1 , -- : integer := 0;
-- AXI Streaming
C_HAS_AXIS_TDATA => 0 , -- : integer := 0;
C_HAS_AXIS_TID => 0 , -- : integer := 0;
C_HAS_AXIS_TDEST => 0 , -- : integer := 0;
C_HAS_AXIS_TUSER => 0 , -- : integer := 0;
C_HAS_AXIS_TREADY => 1 , -- : integer := 0;
C_HAS_AXIS_TLAST => 0 , -- : integer := 0;
C_HAS_AXIS_TSTRB => 0 , -- : integer := 0;
C_HAS_AXIS_TKEEP => 0 , -- : integer := 0;
C_AXIS_TDATA_WIDTH => 64, -- : integer := 1;
C_AXIS_TID_WIDTH => 8 , -- : integer := 1;
C_AXIS_TDEST_WIDTH => 4 , -- : integer := 1;
C_AXIS_TUSER_WIDTH => 4 , -- : integer := 1;
C_AXIS_TSTRB_WIDTH => 4 , -- : integer := 1;
C_AXIS_TKEEP_WIDTH => 4 , -- : integer := 1;
-- AXI Channel Type
-- WACH --> Write Address Channel
-- WDCH --> Write Data Channel
-- WRCH --> Write Response Channel
-- RACH --> Read Address Channel
-- RDCH --> Read Data Channel
-- AXIS --> AXI Streaming
C_WACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic
C_WDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_WRCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_RACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_RDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_AXIS_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
-- AXI Implementation Type
-- 1 = Common Clock Block RAM FIFO
-- 2 = Common Clock Distributed RAM FIFO
-- 11 = Independent Clock Block RAM FIFO
-- 12 = Independent Clock Distributed RAM FIFO
C_IMPLEMENTATION_TYPE_WACH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_WDCH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_WRCH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_RACH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_RDCH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_AXIS => 1, -- : integer := 0;
-- AXI FIFO Type
-- 0 = Data FIFO
-- 1 = Packet FIFO
-- 2 = Low Latency Data FIFO
C_APPLICATION_TYPE_WACH => 0, -- : integer := 0;
C_APPLICATION_TYPE_WDCH => 0, -- : integer := 0;
C_APPLICATION_TYPE_WRCH => 0, -- : integer := 0;
C_APPLICATION_TYPE_RACH => 0, -- : integer := 0;
C_APPLICATION_TYPE_RDCH => 0, -- : integer := 0;
C_APPLICATION_TYPE_AXIS => 0, -- : integer := 0;
-- Enable ECC
-- 0 = ECC disabled
-- 1 = ECC enabled
C_USE_ECC_WACH => 0, -- : integer := 0;
C_USE_ECC_WDCH => 0, -- : integer := 0;
C_USE_ECC_WRCH => 0, -- : integer := 0;
C_USE_ECC_RACH => 0, -- : integer := 0;
C_USE_ECC_RDCH => 0, -- : integer := 0;
C_USE_ECC_AXIS => 0, -- : integer := 0;
-- ECC Error Injection Type
-- 0 = No Error Injection
-- 1 = Single Bit Error Injection
-- 2 = Double Bit Error Injection
-- 3 = Single Bit and Double Bit Error Injection
C_ERROR_INJECTION_TYPE_WACH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_WDCH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_WRCH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_RACH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_RDCH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_AXIS => 0, -- : integer := 0;
-- Input Data Width
-- Accumulation of all AXI input signal's width
C_DIN_WIDTH_WACH => 32, -- : integer := 1;
C_DIN_WIDTH_WDCH => 64, -- : integer := 1;
C_DIN_WIDTH_WRCH => 2 , -- : integer := 1;
C_DIN_WIDTH_RACH => 32, -- : integer := 1;
C_DIN_WIDTH_RDCH => 64, -- : integer := 1;
C_DIN_WIDTH_AXIS => 1 , -- : integer := 1;
C_WR_DEPTH_WACH => 16 , -- : integer := 16;
C_WR_DEPTH_WDCH => 1024, -- : integer := 16;
C_WR_DEPTH_WRCH => 16 , -- : integer := 16;
C_WR_DEPTH_RACH => 16 , -- : integer := 16;
C_WR_DEPTH_RDCH => 1024, -- : integer := 16;
C_WR_DEPTH_AXIS => 1024, -- : integer := 16;
C_WR_PNTR_WIDTH_WACH => 4 , -- : integer := 4;
C_WR_PNTR_WIDTH_WDCH => 10, -- : integer := 4;
C_WR_PNTR_WIDTH_WRCH => 4 , -- : integer := 4;
C_WR_PNTR_WIDTH_RACH => 4 , -- : integer := 4;
C_WR_PNTR_WIDTH_RDCH => 10, -- : integer := 4;
C_WR_PNTR_WIDTH_AXIS => 10, -- : integer := 4;
C_HAS_DATA_COUNTS_WACH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_WDCH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_WRCH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_RACH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_RDCH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_AXIS => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_WACH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_WDCH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_WRCH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_RACH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_RDCH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_AXIS => 0, -- : integer := 0;
C_PROG_FULL_TYPE_WACH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_WDCH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_WRCH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_RACH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_RDCH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_AXIS => 5 , -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, -- : integer := 0;
C_PROG_EMPTY_TYPE_WACH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_WDCH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_WRCH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_RACH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_RDCH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_AXIS => 5 , -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, -- : integer := 0;
C_REG_SLICE_MODE_WACH => 0, -- : integer := 0;
C_REG_SLICE_MODE_WDCH => 0, -- : integer := 0;
C_REG_SLICE_MODE_WRCH => 0, -- : integer := 0;
C_REG_SLICE_MODE_RACH => 0, -- : integer := 0;
C_REG_SLICE_MODE_RDCH => 0, -- : integer := 0;
C_REG_SLICE_MODE_AXIS => 0 -- : integer := 0
)
port map(
backup => '0',
backup_marker => '0',
clk => CLK,
rst => '0',
srst => SRST,
wr_clk => '0',
wr_rst => '0',
rd_clk => '0',
rd_rst => '0',
din => DIN, -- uses this one
wr_en => WR_EN, -- uses this one
rd_en => RD_EN, -- uses this one
prog_empty_thresh => PROG_RDTHRESH_ZEROS,
prog_empty_thresh_assert => PROG_RDTHRESH_ZEROS,
prog_empty_thresh_negate => PROG_RDTHRESH_ZEROS,
prog_full_thresh => PROG_WRTHRESH_ZEROS,
prog_full_thresh_assert => PROG_WRTHRESH_ZEROS,
prog_full_thresh_negate => PROG_WRTHRESH_ZEROS,
int_clk => '0',
injectdbiterr => '0',
injectsbiterr => '0',
dout => DOUT, -- uses this one
full => FULL, -- uses this one
almost_full => ALMOST_FULL,
wr_ack => WR_ACK,
overflow => OVERFLOW,
empty => EMPTY, -- uses this one
almost_empty => ALMOST_EMPTY,
valid => VALID,
underflow => UNDERFLOW,
data_count => DATA_COUNT, -- uses this one
rd_data_count => RD_DATA_COUNT,
wr_data_count => WR_DATA_COUNT,
prog_full => PROG_FULL,
prog_empty => PROG_EMPTY,
sbiterr => SBITERR,
dbiterr => DBITERR,
-- AXI Global Signal
m_aclk => '0', -- : IN std_logic := '0';
s_aclk => '0', -- : IN std_logic := '0';
s_aresetn => '0', -- : IN std_logic := '0';
m_aclk_en => '0', -- : IN std_logic := '0';
s_aclk_en => '0', -- : IN std_logic := '0';
-- AXI Full/Lite Slave Write Channel (write side)
s_axi_awid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awaddr => "00000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awlen => "00000000", --(others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awsize => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awburst => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awlock => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awcache => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awprot => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awqos => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awregion => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awvalid => '0', -- : IN std_logic := '0';
s_axi_awready => S_AXI_AWREADY, -- : OUT std_logic;
s_axi_wid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wstrb => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wlast => '0', -- : IN std_logic := '0';
s_axi_wuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wvalid => '0', -- : IN std_logic := '0';
s_axi_wready => S_AXI_WREADY, -- : OUT std_logic;
s_axi_bid => S_AXI_BID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_bresp => S_AXI_BRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0);
s_axi_buser => S_AXI_BUSER, -- : OUT std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0);
s_axi_bvalid => S_AXI_BVALID, -- : OUT std_logic;
s_axi_bready => '0', -- : IN std_logic := '0';
-- AXI Full/Lite Master Write Channel (Read side)
m_axi_awid => M_AXI_AWID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
m_axi_awaddr => M_AXI_AWADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0);
m_axi_awlen => M_AXI_AWLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0);
m_axi_awsize => M_AXI_AWSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_awburst => M_AXI_AWBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_awlock => M_AXI_AWLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_awcache => M_AXI_AWCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_awprot => M_AXI_AWPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_awqos => M_AXI_AWQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_awregion => M_AXI_AWREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_awuser => M_AXI_AWUSER, -- : OUT std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0);
m_axi_awvalid => M_AXI_AWVALID, -- : OUT std_logic;
m_axi_awready => '0', -- : IN std_logic := '0';
m_axi_wid => M_AXI_WID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
m_axi_wdata => M_AXI_WDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0);
m_axi_wstrb => M_AXI_WSTRB, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0);
m_axi_wlast => M_AXI_WLAST, -- : OUT std_logic;
m_axi_wuser => M_AXI_WUSER, -- : OUT std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0);
m_axi_wvalid => M_AXI_WVALID, -- : OUT std_logic;
m_axi_wready => '0', -- : IN std_logic := '0';
m_axi_bid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_bresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
m_axi_buser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_bvalid => '0', -- : IN std_logic := '0';
m_axi_bready => M_AXI_BREADY, -- : OUT std_logic;
-- AXI Full/Lite Slave Read Channel (Write side)
s_axi_arid => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_araddr => "00000000000000000000000000000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arlen => "00000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arsize => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arburst => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arlock => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arcache => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arprot => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arqos => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arregion => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_aruser => "0", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arvalid => '0', -- : IN std_logic := '0';
s_axi_arready => S_AXI_ARREADY, -- : OUT std_logic;
s_axi_rid => S_AXI_RID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
s_axi_rdata => S_AXI_RDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0);
s_axi_rresp => S_AXI_RRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0);
s_axi_rlast => S_AXI_RLAST, -- : OUT std_logic;
s_axi_ruser => S_AXI_RUSER, -- : OUT std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0);
s_axi_rvalid => S_AXI_RVALID, -- : OUT std_logic;
s_axi_rready => '0', -- : IN std_logic := '0';
-- AXI Full/Lite Master Read Channel (Read side)
m_axi_arid => M_AXI_ARID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
m_axi_araddr => M_AXI_ARADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0);
m_axi_arlen => M_AXI_ARLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0);
m_axi_arsize => M_AXI_ARSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_arburst => M_AXI_ARBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_arlock => M_AXI_ARLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_arcache => M_AXI_ARCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_arprot => M_AXI_ARPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_arqos => M_AXI_ARQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_arregion => M_AXI_ARREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_aruser => M_AXI_ARUSER, -- : OUT std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0);
m_axi_arvalid => M_AXI_ARVALID, -- : OUT std_logic;
m_axi_arready => '0', -- : IN std_logic := '0';
m_axi_rid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rlast => '0', -- : IN std_logic := '0';
m_axi_ruser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rvalid => '0', -- : IN std_logic := '0';
m_axi_rready => M_AXI_RREADY, -- : OUT std_logic;
-- AXI Streaming Slave Signals (Write side)
s_axis_tvalid => '0', -- : IN std_logic := '0';
s_axis_tready => S_AXIS_TREADY, -- : OUT std_logic;
s_axis_tdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tstrb => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tkeep => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tlast => '0', -- : IN std_logic := '0';
s_axis_tid => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tdest => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tuser => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
-- AXI Streaming Master Signals (Read side)
m_axis_tvalid => M_AXIS_TVALID, -- : OUT std_logic;
m_axis_tready => '0', -- : IN std_logic := '0';
m_axis_tdata => M_AXIS_TDATA, -- : OUT std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0);
m_axis_tstrb => M_AXIS_TSTRB, -- : OUT std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0);
m_axis_tkeep => M_AXIS_TKEEP, -- : OUT std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0);
m_axis_tlast => M_AXIS_TLAST, -- : OUT std_logic;
m_axis_tid => M_AXIS_TID, -- : OUT std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0);
m_axis_tdest => M_AXIS_TDEST, -- : OUT std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0);
m_axis_tuser => M_AXIS_TUSER, -- : OUT std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0);
-- AXI Full/Lite Write Address Channel Signals
axi_aw_injectsbiterr => '0', -- : IN std_logic := '0';
axi_aw_injectdbiterr => '0', -- : IN std_logic := '0';
axi_aw_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0');
axi_aw_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0');
axi_aw_data_count => AXI_AW_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0);
axi_aw_wr_data_count => AXI_AW_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0);
axi_aw_rd_data_count => AXI_AW_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0);
axi_aw_sbiterr => AXI_AW_SBITERR, -- : OUT std_logic;
axi_aw_dbiterr => AXI_AW_DBITERR, -- : OUT std_logic;
axi_aw_overflow => AXI_AW_OVERFLOW, -- : OUT std_logic;
axi_aw_underflow => AXI_AW_UNDERFLOW, -- : OUT std_logic;
axi_aw_prog_full => AXI_AW_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_aw_prog_empty => AXI_AW_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Write Data Channel Signals
axi_w_injectsbiterr => '0', -- : IN std_logic := '0';
axi_w_injectdbiterr => '0', -- : IN std_logic := '0';
axi_w_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_w_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_w_data_count => AXI_W_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0);
axi_w_wr_data_count => AXI_W_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0);
axi_w_rd_data_count => AXI_W_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0);
axi_w_sbiterr => AXI_W_SBITERR, -- : OUT std_logic;
axi_w_dbiterr => AXI_W_DBITERR, -- : OUT std_logic;
axi_w_overflow => AXI_W_OVERFLOW, -- : OUT std_logic;
axi_w_underflow => AXI_W_UNDERFLOW, -- : OUT std_logic;
axi_w_prog_full => AXI_W_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_w_prog_empty => AXI_W_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Write Response Channel Signals
axi_b_injectsbiterr => '0', -- : IN std_logic := '0';
axi_b_injectdbiterr => '0', -- : IN std_logic := '0';
axi_b_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0');
axi_b_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0');
axi_b_data_count => AXI_B_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0);
axi_b_wr_data_count => AXI_B_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0);
axi_b_rd_data_count => AXI_B_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0);
axi_b_sbiterr => AXI_B_SBITERR, -- : OUT std_logic;
axi_b_dbiterr => AXI_B_DBITERR, -- : OUT std_logic;
axi_b_overflow => AXI_B_OVERFLOW, -- : OUT std_logic;
axi_b_underflow => AXI_B_UNDERFLOW, -- : OUT std_logic;
axi_b_prog_full => AXI_B_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_b_prog_empty => AXI_B_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Read Address Channel Signals
axi_ar_injectsbiterr => '0', -- : IN std_logic := '0';
axi_ar_injectdbiterr => '0', -- : IN std_logic := '0';
axi_ar_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0');
axi_ar_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0');
axi_ar_data_count => AXI_AR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0);
axi_ar_wr_data_count => AXI_AR_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0);
axi_ar_rd_data_count => AXI_AR_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0);
axi_ar_sbiterr => AXI_AR_SBITERR, -- : OUT std_logic;
axi_ar_dbiterr => AXI_AR_DBITERR, -- : OUT std_logic;
axi_ar_overflow => AXI_AR_OVERFLOW, -- : OUT std_logic;
axi_ar_underflow => AXI_AR_UNDERFLOW, -- : OUT std_logic;
axi_ar_prog_full => AXI_AR_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_ar_prog_empty => AXI_AR_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Read Data Channel Signals
axi_r_injectsbiterr => '0', -- : IN std_logic := '0';
axi_r_injectdbiterr => '0', -- : IN std_logic := '0';
axi_r_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_r_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_r_data_count => AXI_R_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0);
axi_r_wr_data_count => AXI_R_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0);
axi_r_rd_data_count => AXI_R_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0);
axi_r_sbiterr => AXI_R_SBITERR, -- : OUT std_logic;
axi_r_dbiterr => AXI_R_DBITERR, -- : OUT std_logic;
axi_r_overflow => AXI_R_OVERFLOW, -- : OUT std_logic;
axi_r_underflow => AXI_R_UNDERFLOW, -- : OUT std_logic;
axi_r_prog_full => AXI_R_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_r_prog_empty => AXI_R_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Streaming FIFO Related Signals
axis_injectsbiterr => '0', -- : IN std_logic := '0';
axis_injectdbiterr => '0', -- : IN std_logic := '0';
axis_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0');
axis_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0');
axis_data_count => AXIS_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0);
axis_wr_data_count => AXIS_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0);
axis_rd_data_count => AXIS_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0);
axis_sbiterr => AXIS_SBITERR, -- : OUT std_logic;
axis_dbiterr => AXIS_DBITERR, -- : OUT std_logic;
axis_overflow => AXIS_OVERFLOW, -- : OUT std_logic;
axis_underflow => AXIS_UNDERFLOW, -- : OUT std_logic
axis_prog_full => AXIS_PROG_FULL, -- : OUT STD_LOGIC := '0';
axis_prog_empty => AXIS_PROG_EMPTY -- : OUT STD_LOGIC := '1';
);
end implementation;
| mit | 84c5209bb4d5af334a6eba1e888bfc51 | 0.436605 | 3.635903 | false | false | false | false |
Project-Bonfire/EHA | RTL/Processor_NI/ram.vhd | 6 | 3,151 | ---------------------------------------------------------------------
-- TITLE: Random Access Memory
-- AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 4/21/01
-- FILENAME: ram.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- Implements the RAM, reads the executable from either "code.txt",
-- or for Altera "code[0-3].hex".
-- Modified from "The Designer's Guide to VHDL" by Peter J. Ashenden
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_misc.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_textio.all;
use std.textio.all;
use work.mlite_pack.all;
entity ram is
generic(memory_type : string := "DEFAULT";
stim_file: string :="code.txt");
port(clk : in std_logic;
reset : in std_logic;
enable : in std_logic;
write_byte_enable : in std_logic_vector(3 downto 0);
address : in std_logic_vector(31 downto 2);
data_write : in std_logic_vector(31 downto 0);
data_read : out std_logic_vector(31 downto 0));
end; --entity ram
architecture logic of ram is
constant ADDRESS_WIDTH : natural := 15;
subtype word is std_logic_vector(data_write'length-1 downto 0);
type storage_array is
array(natural range 0 to (2 ** ADDRESS_WIDTH)/4 - 1) of word;
signal storage : storage_array;
begin
ram_proc: process(clk, enable, write_byte_enable,
address, data_write) --mem_write, mem_sel
variable data : std_logic_vector(31 downto 0);
variable index : natural := 0;
file load_file : text open read_mode is stim_file;
variable hex_file_line : line;
begin
--Load in the ram executable image
if index = 0 then
while not endfile(load_file) loop
--The following two lines had to be commented out for synthesis
readline(load_file, hex_file_line);
hread(hex_file_line, data);
storage(index) <= data;
index := index + 1;
end loop;
end if;
if rising_edge(clk) then
index := conv_integer(address(ADDRESS_WIDTH-1 downto 2));
data := storage(index);
if enable = '1' then
if write_byte_enable(0) = '1' then
data(7 downto 0) := data_write(7 downto 0);
end if;
if write_byte_enable(1) = '1' then
data(15 downto 8) := data_write(15 downto 8);
end if;
if write_byte_enable(2) = '1' then
data(23 downto 16) := data_write(23 downto 16);
end if;
if write_byte_enable(3) = '1' then
data(31 downto 24) := data_write(31 downto 24);
end if;
end if;
if write_byte_enable /= "0000" then
storage(index) <= data;
end if;
end if;
data_read <= data;
end process;
end; --architecture logic
| gpl-3.0 | a2b06f3f458aef549eff86bcbdc06cab | 0.563313 | 3.842683 | false | false | false | false |
hanw/Open-Source-FPGA-Bitcoin-Miner | projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/blk_mem_gen_v8_0/blk_mem_min_area_pkg.vhd | 9 | 20,310 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
jxN/oW/orWDYrGNDeYaaKEfCi7IXDST1H7kY+pfwSjXvFzUhtXa/ESY+6frcDMqbRJ1eo2luDAox
cWJLXqxRWQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NZD6/M1Wq3T+MPBYrb0rDgrvmzcSpEqPprXNMZQAf3T4mm+X9Ef8JfmMdGwzW1fDI+bcoBs4Eah8
gD+UMQccGE7pIxC7a91GCCgw9vpTrIr9SQUnzhQbD3owkpRPynslE1YF/XZYoUoa082bN+xXE15P
ImVuzsmrTkxRD/JJG08=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
vR/Q0JJeUd2kEPcvu4ju+/rFqkQ5fDmgFNNLxZ7X3nN/tkuY7Qs6+/1hEXTND8ziK2UidBS0UY0u
H/z78ddslzJCv7kqzg6xCL+Ygdi1ZwSW9rZi+tfEBCIQLbBaVUYQL3FPlZRt/lh1RY6FErJi0snk
IMq1yDglEuWt6Q34KgYIH1I6irx+e46R5ExKwUZlwibQKHUE4l0yuDl6RPhw4WYB+orJR+9vXdNK
JnmkBOPpqrYA5d07YnxMml8T8hb/3mw7EXkXzy1VgpnnHy0CaFADnvgw/R9vCTh61MyaY50Lj3zI
a8a7L4EIViXk12cPU5S4++9tEs0jyrzcP/z17A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
39tQL0Za6jjNqIvtD/N2Isj/AbmvTpiEDvV2yV4gNTIgtn2ywUxRwdi82J+iXGb2X8Qi2vaTas6h
D8PZWOKolKHMKDbtENScUbSroO4cF0i9EZtr0XCoLbcP8JkqsZF+7wTDQEC0qgMIM8KW/Cfgi5Nl
aVDejqr875l9nR7hxTU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
gyWJmjn2Bg9mr2KRiiHGcjoq9/I7waI8FM6NTjpDznS4xmeV5mm57x6YBsM++FudbEa5y5HoVdR1
mdsxpnmAaYOrnHnc2gmUuppkGYAcS4ymSin/RhDyIj5rIMJRrfo1y7OOyrqG5FkS/hBWK207BVUe
S2sC0QS+rzoO/BWfkL5Ju7eXSombyki8nRgfm5IYzeSMnv5GGoVJ0n2F53dEjthndfYjDCEYb0Fk
1t2Qo1VfcXCNjmmO71sgtFvKwBILSdQfo4pBmquD+Zj0ATxi6+2aiL42NKumj9b19HUMnQ0aAu7x
bCDJa+nqjQa4ICaWct8YlWg/r4iEVfmWag6Bmw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13296)
`protect data_block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`protect end_protected
| gpl-3.0 | 6fdfd22f5d625ae32724760aba18652e | 0.937371 | 1.8712 | false | false | false | false |
HighlandersFRC/fpga | oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/axi_gpio_v2_0/hdl/src/vhdl/gpio_core.vhd | 5 | 35,427 | -------------------------------------------------------------------------------
-- gpio_core - entity/architecture pair
-------------------------------------------------------------------------------
-- ***************************************************************************
-- DISCLAIMER OF LIABILITY
--
-- This file contains proprietary and confidential information of
-- Xilinx, Inc. ("Xilinx"), that is distributed under a license
-- from Xilinx, and may be used, copied and/or disclosed only
-- pursuant to the terms of a valid license agreement with Xilinx.
--
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION
-- ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER
-- EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT
-- LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT,
-- MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx
-- does not warrant that functions included in the Materials will
-- meet the requirements of Licensee, or that the operation of the
-- Materials will be uninterrupted or error-free, or that defects
-- in the Materials will be corrected. Furthermore, Xilinx does
-- not warrant or make any representations regarding use, or the
-- results of the use, of the Materials in terms of correctness,
-- accuracy, reliability or otherwise.
--
-- Xilinx products are not designed or intended to be fail-safe,
-- or for use in any application requiring fail-safe performance,
-- such as life-support or safety devices or systems, Class III
-- medical devices, nuclear facilities, applications related to
-- the deployment of airbags, or any other applications that could
-- lead to death, personal injury or severe property or
-- environmental damage (individually and collectively, "critical
-- applications"). Customer assumes the sole risk and liability
-- of any use of Xilinx products in critical applications,
-- subject only to applicable laws and regulations governing
-- limitations on product liability.
--
-- Copyright 2009 Xilinx, Inc.
-- All rights reserved.
--
-- This disclaimer and copyright notice must be retained as part
-- of this file at all times.
-- ***************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: gpio_core.vhd
-- Version: v1.01a
-- Description: General Purpose I/O for AXI Interface
--
-------------------------------------------------------------------------------
-- Structure:
-- axi_gpio.vhd
-- -- axi_lite_ipif.vhd
-- -- interrupt_control.vhd
-- -- gpio_core.vhd
--
-------------------------------------------------------------------------------
--
-- Author: KSB
-- History:
-- ~~~~~~~~~~~~~~
-- KSB 09/15/09
-- ^^^^^^^^^^^^^^
-- ~~~~~~~~~~~~~~
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
library proc_common_v4_0;
-------------------------------------------------------------------------------
-- Definition of Generics : --
-------------------------------------------------------------------------------
-- C_DW -- Data width of PLB BUS.
-- C_AW -- Address width of PLB BUS.
-- C_GPIO_WIDTH -- GPIO Data Bus width.
-- C_GPIO2_WIDTH -- GPIO2 Data Bus width.
-- C_INTERRUPT_PRESENT -- GPIO Interrupt.
-- C_DOUT_DEFAULT -- GPIO_DATA Register reset value.
-- C_TRI_DEFAULT -- GPIO_TRI Register reset value.
-- C_IS_DUAL -- Dual Channel GPIO.
-- C_DOUT_DEFAULT_2 -- GPIO2_DATA Register reset value.
-- C_TRI_DEFAULT_2 -- GPIO2_TRI Register reset value.
-- C_FAMILY -- XILINX FPGA family
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Definition of Ports --
-------------------------------------------------------------------------------
-- Clk -- Input clock
-- Rst -- Reset
-- ABus_Reg -- Bus to IP address
-- BE_Reg -- Bus to IP byte enables
-- DBus_Reg -- Bus to IP data bus
-- RNW_Reg -- Bus to IP read write control
-- GPIO_DBus -- IP to Bus data bus
-- GPIO_xferAck -- GPIO transfer acknowledge
-- GPIO_intr -- GPIO channel 1 interrupt to IPIC
-- GPIO2_intr -- GPIO channel 2 interrupt to IPIC
-- GPIO_Select -- GPIO select
--
-- GPIO_IO_I -- Channel 1 General purpose I/O in port
-- GPIO_IO_O -- Channel 1 General purpose I/O out port
-- GPIO_IO_T -- Channel 1 General purpose I/O TRI-STATE control port
-- GPIO2_IO_I -- Channel 2 General purpose I/O in port
-- GPIO2_IO_O -- Channel 2 General purpose I/O out port
-- GPIO2_IO_T -- Channel 2 General purpose I/O TRI-STATE control port
-------------------------------------------------------------------------------
entity GPIO_Core is
generic
(
C_DW : integer := 32;
C_AW : integer := 32;
C_GPIO_WIDTH : integer := 32;
C_GPIO2_WIDTH : integer := 32;
C_MAX_GPIO_WIDTH : integer := 32;
C_INTERRUPT_PRESENT : integer := 0;
C_DOUT_DEFAULT : std_logic_vector (0 to 31) := X"0000_0000";
C_TRI_DEFAULT : std_logic_vector (0 to 31) := X"FFFF_FFFF";
C_IS_DUAL : integer := 0;
C_DOUT_DEFAULT_2 : std_logic_vector (0 to 31) := X"0000_0000";
C_TRI_DEFAULT_2 : std_logic_vector (0 to 31) := X"FFFF_FFFF";
C_FAMILY : string := "virtex7"
);
port
(
Clk : in std_logic;
Rst : in std_logic;
ABus_Reg : in std_logic_vector(0 to C_AW-1);
BE_Reg : in std_logic_vector(0 to C_DW/8-1);
DBus_Reg : in std_logic_vector(0 to C_MAX_GPIO_WIDTH-1);
RNW_Reg : in std_logic;
GPIO_DBus : out std_logic_vector(0 to C_DW-1);
GPIO_xferAck : out std_logic;
GPIO_intr : out std_logic;
GPIO2_intr : out std_logic;
GPIO_Select : in std_logic;
GPIO_IO_I : in std_logic_vector(0 to C_GPIO_WIDTH-1);
GPIO_IO_O : out std_logic_vector(0 to C_GPIO_WIDTH-1);
GPIO_IO_T : out std_logic_vector(0 to C_GPIO_WIDTH-1);
GPIO2_IO_I : in std_logic_vector(0 to C_GPIO2_WIDTH-1);
GPIO2_IO_O : out std_logic_vector(0 to C_GPIO2_WIDTH-1);
GPIO2_IO_T : out std_logic_vector(0 to C_GPIO2_WIDTH-1)
);
end entity GPIO_Core;
-------------------------------------------------------------------------------
-- Architecture section
-------------------------------------------------------------------------------
architecture IMP of GPIO_Core is
-- Pragma Added to supress synth warnings
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of IMP : architecture is "yes";
----------------------------------------------------------------------
-- Function for Reduction OR
----------------------------------------------------------------------
function or_reduce(l : std_logic_vector) return std_logic is
variable v : std_logic := '0';
begin
for i in l'range loop
v := v or l(i);
end loop;
return v;
end;
---------------------------------------------------------------------
-- End of Function
-------------------------------------------------------------------
signal gpio_Data_Select : std_logic_vector(0 to C_IS_DUAL);
signal gpio_OE_Select : std_logic_vector(0 to C_IS_DUAL);
signal Read_Reg_Rst : STD_LOGIC;
signal Read_Reg_In : std_logic_vector(0 to C_GPIO_WIDTH-1);
signal Read_Reg_CE : std_logic_vector(0 to C_GPIO_WIDTH-1);
signal gpio_Data_Out : std_logic_vector(0 to C_GPIO_WIDTH-1) := C_DOUT_DEFAULT(C_DW-C_GPIO_WIDTH to C_DW-1);
signal gpio_Data_In : std_logic_vector(0 to C_GPIO_WIDTH-1);
signal gpio_in_d1 : std_logic_vector(0 to C_GPIO_WIDTH-1);
signal gpio_in_d2 : std_logic_vector(0 to C_GPIO_WIDTH-1);
signal gpio_io_i_d1 : std_logic_vector(0 to C_GPIO_WIDTH-1);
signal gpio_io_i_d2 : std_logic_vector(0 to C_GPIO_WIDTH-1);
signal gpio_OE : std_logic_vector(0 to C_GPIO_WIDTH-1) := C_TRI_DEFAULT(C_DW-C_GPIO_WIDTH to C_DW-1);
signal GPIO_DBus_i : std_logic_vector(0 to C_DW-1);
signal gpio_data_in_xor : std_logic_vector(0 to C_GPIO_WIDTH-1);
signal gpio_data_in_xor_reg : std_logic_vector(0 to C_GPIO_WIDTH-1);
signal or_ints : std_logic_vector(0 to 0);
signal or_ints2 : std_logic_vector(0 to 0);
signal iGPIO_xferAck : STD_LOGIC;
signal gpio_xferAck_Reg : STD_LOGIC;
signal dout_default_i : std_logic_vector(0 to C_GPIO_WIDTH-1);
signal tri_default_i : std_logic_vector(0 to C_GPIO_WIDTH-1);
signal reset_zeros : std_logic_vector(0 to C_GPIO_WIDTH-1);
signal dout2_default_i : std_logic_vector(0 to C_GPIO2_WIDTH-1);
signal tri2_default_i : std_logic_vector(0 to C_GPIO2_WIDTH-1);
signal reset2_zeros : std_logic_vector(0 to C_GPIO2_WIDTH-1);
signal gpio_reg_en : std_logic;
begin -- architecture IMP
reset_zeros <= (others => '0');
reset2_zeros <= (others => '0');
TIE_DEFAULTS_GENERATE : if C_DW >= C_GPIO_WIDTH generate
SELECT_BITS_GENERATE : for i in 0 to C_GPIO_WIDTH-1 generate
dout_default_i(i) <= C_DOUT_DEFAULT(i-C_GPIO_WIDTH+C_DW);
tri_default_i(i) <= C_TRI_DEFAULT(i-C_GPIO_WIDTH+C_DW);
end generate SELECT_BITS_GENERATE;
end generate TIE_DEFAULTS_GENERATE;
TIE_DEFAULTS_2_GENERATE : if C_DW >= C_GPIO2_WIDTH generate
SELECT_BITS_2_GENERATE : for i in 0 to C_GPIO2_WIDTH-1 generate
dout2_default_i(i) <= C_DOUT_DEFAULT_2(i-C_GPIO2_WIDTH+C_DW);
tri2_default_i(i) <= C_TRI_DEFAULT_2(i-C_GPIO2_WIDTH+C_DW);
end generate SELECT_BITS_2_GENERATE;
end generate TIE_DEFAULTS_2_GENERATE;
Read_Reg_Rst <= iGPIO_xferAck or gpio_xferAck_Reg or (not GPIO_Select) or
(GPIO_Select and not RNW_Reg);
gpio_reg_en <= GPIO_Select when (ABus_Reg(0) = '0') else '0';
-----------------------------------------------------------------------------
-- XFER_ACK_PROCESS
-----------------------------------------------------------------------------
-- Generation of Transfer Ack signal for one clock pulse
-----------------------------------------------------------------------------
XFER_ACK_PROCESS : process (Clk) is
begin
if (Clk'EVENT and Clk = '1') then
if (Rst = '1') then
iGPIO_xferAck <= '0';
else
iGPIO_xferAck <= GPIO_Select and not gpio_xferAck_Reg;
if iGPIO_xferAck = '1' then
iGPIO_xferAck <= '0';
end if;
end if;
end if;
end process XFER_ACK_PROCESS;
-----------------------------------------------------------------------------
-- DELAYED_XFER_ACK_PROCESS
-----------------------------------------------------------------------------
-- Single Reg stage to make Transfer Ack period one clock pulse wide
-----------------------------------------------------------------------------
DELAYED_XFER_ACK_PROCESS : process (Clk) is
begin
if (Clk'EVENT and Clk = '1') then
if (Rst = '1') then
gpio_xferAck_Reg <= '0';
else
gpio_xferAck_Reg <= iGPIO_xferAck;
end if;
end if;
end process DELAYED_XFER_ACK_PROCESS;
GPIO_xferAck <= iGPIO_xferAck;
-----------------------------------------------------------------------------
-- Drive GPIO interrupts to '0' when interrupt not present
-----------------------------------------------------------------------------
DONT_GEN_INTERRUPT : if (C_INTERRUPT_PRESENT = 0) generate
gpio_intr <= '0';
gpio2_intr <= '0';
end generate DONT_GEN_INTERRUPT;
----------------------------------------------------------------------------
-- When only one channel is used, the additional logic for the second
-- channel ports is not present
-----------------------------------------------------------------------------
Not_Dual : if (C_IS_DUAL = 0) generate
GPIO2_IO_O <= C_DOUT_DEFAULT(0 to C_GPIO2_WIDTH-1);
GPIO2_IO_T <= C_TRI_DEFAULT_2(0 to C_GPIO2_WIDTH-1);
READ_REG_GEN : for i in 0 to C_GPIO_WIDTH-1 generate
----------------------------------------------------------------------------
-- XFER_ACK_PROCESS
----------------------------------------------------------------------------
-- Generation of Transfer Ack signal for one clock pulse
----------------------------------------------------------------------------
GPIO_DBUS_I_PROC : process(Clk)
begin
if Clk'event and Clk = '1' then
if Read_Reg_Rst = '1' then
GPIO_DBus_i(i-C_GPIO_WIDTH+C_DW) <= '0';
else
GPIO_DBus_i(i-C_GPIO_WIDTH+C_DW) <= Read_Reg_In(i);
end if;
end if;
end process;
end generate READ_REG_GEN;
TIE_DBUS_GENERATE : if C_DW > C_GPIO_WIDTH generate
GPIO_DBus_i(0 to C_DW-C_GPIO_WIDTH-1) <= (others => '0');
end generate TIE_DBUS_GENERATE;
-----------------------------------------------------------------------------
-- GPIO_DBUS_PROCESS
-----------------------------------------------------------------------------
-- This process generates the GPIO DATA BUS from the GPIO_DBUS_I based on
-- the channel select signals
-----------------------------------------------------------------------------
GPIO_DBus <= GPIO_DBus_i;
-----------------------------------------------------------------------------
-- REG_SELECT_PROCESS
-----------------------------------------------------------------------------
-- GPIO REGISTER selection decoder for single channel configuration
-----------------------------------------------------------------------------
--REG_SELECT_PROCESS : process (GPIO_Select, ABus_Reg) is
REG_SELECT_PROCESS : process (gpio_reg_en, ABus_Reg) is
begin
gpio_Data_Select(0) <= '0';
gpio_OE_Select(0) <= '0';
--if GPIO_Select = '1' then
if gpio_reg_en = '1' then
if (ABus_Reg(5) = '0') then
case ABus_Reg(6) is -- bit A29
when '0' => gpio_Data_Select(0) <= '1';
when '1' => gpio_OE_Select(0) <= '1';
-- coverage off
when others => null;
-- coverage on
end case;
end if;
end if;
end process REG_SELECT_PROCESS;
INPUT_DOUBLE_REGS3 : entity proc_common_v4_0.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 0,
C_VECTOR_WIDTH => C_GPIO_WIDTH,
C_MTBF_STAGES => 4
)
port map (
prmry_aclk => '0',
prmry_resetn => '0',
prmry_in => '0',
prmry_vect_in => GPIO_IO_I,
scndry_aclk => Clk,
scndry_resetn => '0',
scndry_out => open,
scndry_vect_out => gpio_io_i_d2
);
---------------------------------------------------------------------------
-- GPIO_INDATA_BIRDIR_PROCESS
---------------------------------------------------------------------------
-- Reading of channel 1 data from Bidirectional GPIO port
-- to GPIO_DATA REGISTER
---------------------------------------------------------------------------
GPIO_INDATA_BIRDIR_PROCESS : process(Clk) is
begin
if Clk = '1' and Clk'EVENT then
-- gpio_io_i_d1 <= GPIO_IO_I;
-- gpio_io_i_d2 <= gpio_io_i_d1;
gpio_Data_In <= gpio_io_i_d2;
end if;
end process GPIO_INDATA_BIRDIR_PROCESS;
---------------------------------------------------------------------------
-- READ_MUX_PROCESS
---------------------------------------------------------------------------
-- Selects GPIO_TRI control or GPIO_DATA Register to be read
---------------------------------------------------------------------------
READ_MUX_PROCESS : process (gpio_Data_In, gpio_Data_Select, gpio_OE,
gpio_OE_Select) is
begin
Read_Reg_In <= (others => '0');
if gpio_Data_Select(0) = '1' then
Read_Reg_In <= gpio_Data_In;
elsif gpio_OE_Select(0) = '1' then
Read_Reg_In <= gpio_OE;
end if;
end process READ_MUX_PROCESS;
---------------------------------------------------------------------------
-- GPIO_OUTDATA_PROCESS
---------------------------------------------------------------------------
-- Writing to Channel 1 GPIO_DATA REGISTER
---------------------------------------------------------------------------
GPIO_OUTDATA_PROCESS : process(Clk) is
begin
if Clk = '1' and Clk'EVENT then
if (Rst = '1') then
gpio_Data_Out <= dout_default_i;
elsif gpio_Data_Select(0) = '1' and RNW_Reg = '0' then
for i in 0 to C_GPIO_WIDTH-1 loop
gpio_Data_Out(i) <= DBus_Reg(i);
end loop;
end if;
end if;
end process GPIO_OUTDATA_PROCESS;
---------------------------------------------------------------------------
-- GPIO_OE_PROCESS
---------------------------------------------------------------------------
-- Writing to Channel 1 GPIO_TRI Control REGISTER
---------------------------------------------------------------------------
GPIO_OE_PROCESS : process(Clk) is
begin
if Clk = '1' and Clk'EVENT then
if (Rst = '1') then
gpio_OE <= tri_default_i;
elsif gpio_OE_Select(0) = '1' and RNW_Reg = '0' then
for i in 0 to C_GPIO_WIDTH-1 loop
gpio_OE(i) <= DBus_Reg(i);
end loop;
end if;
end if;
end process GPIO_OE_PROCESS;
GPIO_IO_O <= gpio_Data_Out;
GPIO_IO_T <= gpio_OE;
----------------------------------------------------------------------------
-- INTERRUPT IS PRESENT
----------------------------------------------------------------------------
-- When the C_INTERRUPT_PRESENT=1, the interrupt is driven based on whether
-- there is a change in the data coming in at the GPIO_IO_I port or GPIO_In
-- port
----------------------------------------------------------------------------
GEN_INTERRUPT : if (C_INTERRUPT_PRESENT = 1) generate
gpio_data_in_xor <= gpio_Data_In xor gpio_io_i_d2;
-------------------------------------------------------------------------
-- An interrupt conditon exists if there is a change on any bit.
-------------------------------------------------------------------------
or_ints(0) <= or_reduce(gpio_data_in_xor_reg);
-------------------------------------------------------------------------
-- Registering Interrupt condition
-------------------------------------------------------------------------
REGISTER_XOR_INTR : process (Clk) is
begin
if (Clk'EVENT and Clk = '1') then
if (Rst = '1') then
gpio_data_in_xor_reg <= reset_zeros;
GPIO_intr <= '0';
else
gpio_data_in_xor_reg <= gpio_data_in_xor;
GPIO_intr <= or_ints(0);
end if;
end if;
end process REGISTER_XOR_INTR;
gpio2_intr <= '0'; -- Channel 2 interrupt is driven low
end generate GEN_INTERRUPT;
end generate Not_Dual;
---)(------------------------------------------------------------------------
-- When both the channels are used, the additional logic for the second
-- channel ports
-----------------------------------------------------------------------------
Dual : if (C_IS_DUAL = 1) generate
signal gpio2_Data_In : std_logic_vector(0 to C_GPIO2_WIDTH-1);
signal gpio2_in_d1 : std_logic_vector(0 to C_GPIO2_WIDTH-1);
signal gpio2_in_d2 : std_logic_vector(0 to C_GPIO2_WIDTH-1);
signal gpio2_io_i_d1 : std_logic_vector(0 to C_GPIO2_WIDTH-1);
signal gpio2_io_i_d2 : std_logic_vector(0 to C_GPIO2_WIDTH-1);
signal gpio2_data_in_xor : std_logic_vector(0 to C_GPIO2_WIDTH-1);
signal gpio2_data_in_xor_reg : std_logic_vector(0 to C_GPIO2_WIDTH-1);
signal gpio2_Data_Out : std_logic_vector(0 to C_GPIO2_WIDTH-1) := C_DOUT_DEFAULT_2(C_DW-C_GPIO2_WIDTH to C_DW-1);
signal gpio2_OE : std_logic_vector(0 to C_GPIO2_WIDTH-1) := C_TRI_DEFAULT_2(C_DW-C_GPIO2_WIDTH to C_DW-1);
signal Read_Reg2_In : std_logic_vector(0 to C_GPIO2_WIDTH-1);
signal Read_Reg2_CE : std_logic_vector(0 to C_GPIO2_WIDTH-1);
signal GPIO2_DBus_i : std_logic_vector(0 to C_DW-1);
begin
READ_REG_GEN : for i in 0 to C_GPIO_WIDTH-1 generate
begin
--------------------------------------------------------------------------
-- GPIO_DBUS_I_PROCESS
--------------------------------------------------------------------------
-- This process generates the GPIO CHANNEL1 DATA BUS
--------------------------------------------------------------------------
GPIO_DBUS_I_PROC : process(Clk)
begin
if Clk'event and Clk = '1' then
if Read_Reg_Rst = '1' then
GPIO_DBus_i(i-C_GPIO_WIDTH+C_DW) <= '0';
else
GPIO_DBus_i(i-C_GPIO_WIDTH+C_DW) <= Read_Reg_In(i);
end if;
end if;
end process;
end generate READ_REG_GEN;
TIE_DBUS_GENERATE : if C_DW > C_GPIO_WIDTH generate
GPIO_DBus_i(0 to C_DW-C_GPIO_WIDTH-1) <= (others => '0');
end generate TIE_DBUS_GENERATE;
READ_REG2_GEN : for i in 0 to C_GPIO2_WIDTH-1 generate
--------------------------------------------------------------------------
-- GPIO2_DBUS_I_PROCESS
--------------------------------------------------------------------------
-- This process generates the GPIO CHANNEL2 DATA BUS
--------------------------------------------------------------------------
GPIO2_DBUS_I_PROC : process(Clk)
begin
if Clk'event and Clk = '1' then
if Read_Reg_Rst = '1' then
GPIO2_DBus_i(i-C_GPIO2_WIDTH+C_DW) <= '0';
else
GPIO2_DBus_i(i-C_GPIO2_WIDTH+C_DW) <= Read_Reg2_In(i);
end if;
end if;
end process;
end generate READ_REG2_GEN;
TIE_DBUS2_GENERATE : if C_DW > C_GPIO2_WIDTH generate
GPIO2_DBus_i(0 to C_DW-C_GPIO2_WIDTH-1) <= (others => '0');
end generate TIE_DBUS2_GENERATE;
---------------------------------------------------------------------------
-- GPIO_DBUS_PROCESS
---------------------------------------------------------------------------
-- This process generates the GPIO DATA BUS from the GPIO_DBUS_I and
-- GPIO2_DBUS_I based on which channel is selected
---------------------------------------------------------------------------
GPIO_DBus <= GPIO_DBus_i when (((gpio_Data_Select(0) = '1') or
(gpio_OE_Select(0) = '1')) and (RNW_Reg = '1'))
else GPIO2_DBus_i;
-----------------------------------------------------------------------------
-- DUAL_REG_SELECT_PROCESS
-----------------------------------------------------------------------------
-- GPIO REGISTER selection decoder for Dual channel configuration
-----------------------------------------------------------------------------
--DUAL_REG_SELECT_PROCESS : process (GPIO_Select, ABus_Reg) is
DUAL_REG_SELECT_PROCESS : process (gpio_reg_en, ABus_Reg) is
variable ABus_reg_select : std_logic_vector(0 to 1);
begin
ABus_reg_select := ABus_Reg(5 to 6);
gpio_Data_Select <= (others => '0');
gpio_OE_Select <= (others => '0');
--if GPIO_Select = '1' then
if gpio_reg_en = '1' then
-- case ABus_Reg(28 to 29) is -- bit A28,A29 for dual
case ABus_reg_select is -- bit A28,A29 for dual
when "00" => gpio_Data_Select(0) <= '1';
when "01" => gpio_OE_Select(0) <= '1';
when "10" => gpio_Data_Select(1) <= '1';
when "11" => gpio_OE_Select(1) <= '1';
-- coverage off
when others => null;
-- coverage on
end case;
end if;
end process DUAL_REG_SELECT_PROCESS;
---------------------------------------------------------------------------
-- GPIO_INDATA_BIRDIR_PROCESS
---------------------------------------------------------------------------
-- Reading of channel 1 data from Bidirectional GPIO port
-- to GPIO_DATA REGISTER
---------------------------------------------------------------------------
INPUT_DOUBLE_REGS4 : entity proc_common_v4_0.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 0,
C_VECTOR_WIDTH => C_GPIO_WIDTH,
C_MTBF_STAGES => 4
)
port map (
prmry_aclk => '0',
prmry_resetn => '0',
prmry_in => '0',
prmry_vect_in => GPIO_IO_I,
scndry_aclk => Clk,
scndry_resetn => '0',
scndry_out => open,
scndry_vect_out => gpio_io_i_d2
);
GPIO_INDATA_BIRDIR_PROCESS : process(Clk) is
begin
if Clk = '1' and Clk'EVENT then
-- gpio_io_i_d1 <= GPIO_IO_I;
-- gpio_io_i_d2 <= gpio_io_i_d1;
gpio_Data_In <= gpio_io_i_d2;
end if;
end process GPIO_INDATA_BIRDIR_PROCESS;
INPUT_DOUBLE_REGS5 : entity proc_common_v4_0.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 0,
C_VECTOR_WIDTH => C_GPIO2_WIDTH,
C_MTBF_STAGES => 4
)
port map (
prmry_aclk => '0',
prmry_resetn => '0',
prmry_in => '0',
prmry_vect_in => GPIO2_IO_I,
scndry_aclk => Clk,
scndry_resetn => '0',
scndry_out => open,
scndry_vect_out => gpio2_io_i_d2
);
---------------------------------------------------------------------------
-- GPIO2_INDATA_BIRDIR_PROCESS
---------------------------------------------------------------------------
-- Reading of channel 2 data from Bidirectional GPIO2 port
-- to GPIO2_DATA REGISTER
---------------------------------------------------------------------------
GPIO2_INDATA_BIRDIR_PROCESS : process(Clk) is
begin
if Clk = '1' and Clk'EVENT then
-- gpio2_io_i_d1 <= GPIO2_IO_I;
-- gpio2_io_i_d2 <= gpio2_io_i_d1;
gpio2_Data_In <= gpio2_io_i_d2;
end if;
end process GPIO2_INDATA_BIRDIR_PROCESS;
---------------------------------------------------------------------------
-- READ_MUX_PROCESS_0_0
---------------------------------------------------------------------------
-- Selects among Channel 1 GPIO_DATA ,GPIO_TRI and Channel 2 GPIO2_DATA
-- GPIO2_TRI REGISTERS for reading
---------------------------------------------------------------------------
READ_MUX_PROCESS_0_0 : process (gpio2_Data_In, gpio2_OE, gpio_Data_In,
gpio_Data_Select, gpio_OE,
gpio_OE_Select) is
begin
Read_Reg_In <= (others => '0');
Read_Reg2_In <= (others => '0');
if gpio_Data_Select(0) = '1' then
Read_Reg_In <= gpio_Data_In;
elsif gpio_OE_Select(0) = '1' then
Read_Reg_In <= gpio_OE;
elsif gpio_Data_Select(1) = '1' then
Read_Reg2_In <= gpio2_Data_In;
elsif gpio_OE_Select(1) = '1' then
Read_Reg2_In <= gpio2_OE;
end if;
end process READ_MUX_PROCESS_0_0;
---------------------------------------------------------------------------
-- GPIO_OUTDATA_PROCESS_0_0
---------------------------------------------------------------------------
-- Writing to Channel 1 GPIO_DATA REGISTER
---------------------------------------------------------------------------
GPIO_OUTDATA_PROCESS_0_0 : process(Clk) is
begin
if Clk = '1' and Clk'EVENT then
if (Rst = '1') then
gpio_Data_Out <= dout_default_i;
elsif gpio_Data_Select(0) = '1' and RNW_Reg = '0' then
for i in 0 to C_GPIO_WIDTH-1 loop
gpio_Data_Out(i) <= DBus_Reg(i);
end loop;
end if;
end if;
end process GPIO_OUTDATA_PROCESS_0_0;
---------------------------------------------------------------------------
-- GPIO_OE_PROCESS_0_0
---------------------------------------------------------------------------
-- Writing to Channel 1 GPIO_TRI Control REGISTER
---------------------------------------------------------------------------
GPIO_OE_PROCESS : process(Clk) is
begin
if Clk = '1' and Clk'EVENT then
if (Rst = '1') then
gpio_OE <= tri_default_i;
elsif gpio_OE_Select(0) = '1' and RNW_Reg = '0' then
for i in 0 to C_GPIO_WIDTH-1 loop
gpio_OE(i) <= DBus_Reg(i);
-- end if;
end loop;
end if;
end if;
end process GPIO_OE_PROCESS;
---------------------------------------------------------------------------
-- GPIO2_OUTDATA_PROCESS_0_0
---------------------------------------------------------------------------
-- Writing to Channel 2 GPIO2_DATA REGISTER
---------------------------------------------------------------------------
GPIO2_OUTDATA_PROCESS_0_0 : process(Clk) is
begin
if Clk = '1' and Clk'EVENT then
if (Rst = '1') then
gpio2_Data_Out <= dout2_default_i;
elsif gpio_Data_Select(1) = '1' and RNW_Reg = '0' then
for i in 0 to C_GPIO2_WIDTH-1 loop
gpio2_Data_Out(i) <= DBus_Reg(i);
-- end if;
end loop;
end if;
end if;
end process GPIO2_OUTDATA_PROCESS_0_0;
---------------------------------------------------------------------------
-- GPIO2_OE_PROCESS_0_0
---------------------------------------------------------------------------
-- Writing to Channel 2 GPIO2_TRI Control REGISTER
---------------------------------------------------------------------------
GPIO2_OE_PROCESS_0_0 : process(Clk) is
begin
if Clk = '1' and Clk'EVENT then
if (Rst = '1') then
gpio2_OE <= tri2_default_i;
elsif gpio_OE_Select(1) = '1' and RNW_Reg = '0' then
for i in 0 to C_GPIO2_WIDTH-1 loop
gpio2_OE(i) <= DBus_Reg(i);
end loop;
end if;
end if;
end process GPIO2_OE_PROCESS_0_0;
GPIO_IO_O <= gpio_Data_Out;
GPIO_IO_T <= gpio_OE;
GPIO2_IO_O <= gpio2_Data_Out;
GPIO2_IO_T <= gpio2_OE;
---------------------------------------------------------------------------
-- INTERRUPT IS PRESENT
---------------------------------------------------------------------------
gen_interrupt_dual : if (C_INTERRUPT_PRESENT = 1) generate
gpio_data_in_xor <= gpio_Data_In xor gpio_io_i_d2;
gpio2_data_in_xor <= gpio2_Data_In xor gpio2_io_i_d2;
-------------------------------------------------------------------------
-- An interrupt conditon exists if there is a change any bit.
-------------------------------------------------------------------------
or_ints(0) <= or_reduce(gpio_data_in_xor_reg);
or_ints2(0) <= or_reduce(gpio2_data_in_xor_reg);
-------------------------------------------------------------------------
-- Registering Interrupt condition
-------------------------------------------------------------------------
REGISTER_XORs_INTRs : process (Clk) is
begin
if (Clk'EVENT and Clk = '1') then
if (Rst = '1') then
gpio_data_in_xor_reg <= reset_zeros;
gpio2_data_in_xor_reg <= reset2_zeros;
GPIO_intr <= '0';
GPIO2_intr <= '0';
else
gpio_data_in_xor_reg <= gpio_data_in_xor;
gpio2_data_in_xor_reg <= gpio2_data_in_xor;
GPIO_intr <= or_ints(0);
GPIO2_intr <= or_ints2(0);
end if;
end if;
end process REGISTER_XORs_INTRs;
end generate gen_interrupt_dual;
end generate Dual;
end architecture IMP;
| mit | 07791ce79c4561c646ed4a512f7eab3b | 0.41172 | 4.436694 | false | false | false | false |
zzhou007/161lab | lab6/cell.vhd | 1 | 3,365 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity cam_cell is
Port (clk : in STD_LOGIC;
rst : in STD_LOGIC;
we : in STD_LOGIC;
cell_search_bit : in STD_LOGIC;
cell_dont_care_bit : in STD_LOGIC;
cell_match_bit_in : in STD_LOGIC;
cell_match_bit_out : out STD_LOGIC);
end cam_cell;
---------------------------------
-- BCAM -------------------------
---------------------------------
architecture bcam of cam_cell is
signal FF: STD_LOGIC;
begin
process(clk, rst, we, cell_search_bit, cell_dont_care_bit, cell_match_bit_in)
begin
--reset data most important
if rst = '1' then
FF <= '0';
cell_match_bit_out <= '0';
-- write data from search
elsif we = '1' then
FF <= cell_search_bit;
cell_match_bit_out <= '0';
--search
--previous result is wrong therefore nothing matches
elsif cell_match_bit_in = '0' then
cell_match_bit_out <= '0';
--previous result matches
elsif cell_match_bit_in = '1' then
--check current cell if match
if FF = cell_search_bit then
cell_match_bit_out <= '1';
else
--current cell doesnt match
cell_match_bit_out <= '0';
end if;
end if;
end process;
end bcam ;
------------------------------
---TCAM-----------------------
------------------------------
architecture tcam of cam_cell is
signal FF: STD_LOGIC;
begin
process(clk, rst, we, cell_search_bit, cell_dont_care_bit, cell_match_bit_in)
begin
--reset data most important
if rst = '1' then
FF <= '0';
cell_match_bit_out <= '0';
-- write data from search
elsif we = '1' then
FF <= cell_search_bit;
cell_match_bit_out <= '0';
--search
--previous result is wrong therefore nothing matches
elsif cell_match_bit_in = '0' then
cell_match_bit_out <= '0';
--previous result matches
elsif cell_match_bit_in = '1' then
--check if search input is dont care
if cell_dont_care_bit = '1' then
cell_match_bit_out <= '1';
--if search is not a dont care
else
--check current cell if match
if FF = cell_search_bit then
cell_match_bit_out <= '1';
else
--current cell doesnt match
cell_match_bit_out <= '0';
end if;
end if;
end if;
end process;
end tcam;
-------------------------------------
--STCAM------------------------------
-------------------------------------
architecture stcam of cam_cell is
signal FF: STD_LOGIC;
begin
process(clk, rst, we, cell_search_bit, cell_dont_care_bit, cell_match_bit_in)
begin
--reset data most important
if rst = '1' then
FF <= '0';
cell_match_bit_out <= '0';
-- write data from search
elsif we = '1' then
FF <= cell_search_bit;
cell_match_bit_out <= '0';
--search
--previous result is wrong therefore nothing matches
elsif cell_match_bit_in = '0' then
cell_match_bit_out <= '0';
--previous result matches
elsif cell_match_bit_in = '1' then
--check if search input is dont care
if cell_dont_care_bit = '1' then
cell_match_bit_out <= '1';
--if search is not a dont care
else
--check current cell if match
if FF = cell_search_bit then
cell_match_bit_out <= '1';
else
--current cell doesnt match
cell_match_bit_out <= '0';
end if;
end if;
end if;
end process;
end stcam;
| gpl-2.0 | 5ee0d6c81605902daf8a592fdc6fe74a | 0.555126 | 3.150749 | false | false | false | false |
Project-Bonfire/EHA | RTL/Router/credit_based/Checkers/Modules_with_checkers_integrated/All_checkers/New_SHMU_on_Node/FIFO_one_hot_credit_based_packet_drop_classifier_support_checkers/FIFO_one_hot_credit_based_packet_drop_classifier_support_with_checkers.vhd | 3 | 60,811 | --Copyright (C) 2016 Siavoosh Payandeh Azad
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_misc.all;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity FIFO_credit_based is
generic (
DATA_WIDTH: integer := 32
);
port ( reset: in std_logic;
clk: in std_logic;
RX: in std_logic_vector(DATA_WIDTH-1 downto 0);
valid_in: in std_logic;
read_en_N : in std_logic;
read_en_E : in std_logic;
read_en_W : in std_logic;
read_en_S : in std_logic;
read_en_L : in std_logic;
credit_out: out std_logic;
empty_out: out std_logic;
Data_out: out std_logic_vector(DATA_WIDTH-1 downto 0);
fault_info, health_info: out std_logic;
-- Checker outputs
-- Functional checkers
err_empty_full,
err_empty_read_en,
err_full_write_en,
err_state_in_onehot,
err_read_pointer_in_onehot,
err_write_pointer_in_onehot,
-- Structural checkers
err_write_en_write_pointer,
err_not_write_en_write_pointer,
err_read_pointer_write_pointer_not_empty,
err_read_pointer_write_pointer_empty,
err_read_pointer_write_pointer_not_full,
err_read_pointer_write_pointer_full,
err_read_pointer_increment,
err_read_pointer_not_increment,
err_write_en,
err_not_write_en,
err_not_write_en1,
err_not_write_en2,
err_read_en_mismatch,
err_read_en_mismatch1,
-- Newly added checkers for FIFO with packet drop and fault classifier support!
err_fake_credit_read_en_fake_credit_counter_in_increment,
err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_fake_credit_counter_in_decrement,
err_not_fake_credit_read_en_fake_credit_counter_in_not_change,
err_fake_credit_not_read_en_fake_credit_counter_in_not_change,
err_not_fake_credit_not_read_en_fake_credit_counter_zero_fake_credit_counter_in_not_change,
err_fake_credit_read_en_credit_out,
err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_credit_out,
err_not_fake_credit_not_read_en_fake_credit_counter_zero_not_credit_out,
-- Checkers for Packet Dropping FSM of FIFO
err_state_out_Idle_not_fault_out_valid_in_state_in_Header_flit,
err_state_out_Idle_not_fault_out_valid_in_state_in_not_change,
err_state_out_Idle_not_fault_out_not_fake_credit,
err_state_out_Idle_not_fault_out_not_fault_info_in,
err_state_out_Idle_not_fault_out_faulty_packet_in_faulty_packet_out_equal,
err_state_out_Idle_fault_out_fake_credit,
err_state_out_Idle_fault_out_state_in_Packet_drop,
err_state_out_Idle_fault_out_fault_info_in,
err_state_out_Idle_fault_out_faulty_packet_in,
err_state_out_Idle_not_health_info,
err_state_out_Idle_not_write_fake_flit,
err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Body_state_in_Body_flit,
err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Tail_state_in_Tail_flit,
err_state_out_Header_flit_valid_in_not_fault_out_not_write_fake_flit,
err_state_out_Header_flit_valid_in_not_fault_out_not_fault_info_in,
err_state_out_Header_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change,
err_state_out_Header_flit_valid_in_fault_out_write_fake_flit,
err_state_out_Header_flit_valid_in_fault_out_state_in_Packet_drop,
err_state_out_Header_flit_valid_in_fault_out_fault_info_in,
err_state_out_Header_flit_valid_in_fault_out_faulty_packet_in,
err_state_out_Header_flit_not_valid_in_state_in_state_out_not_change,
err_state_out_Header_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change,
err_state_out_Header_flit_not_valid_in_not_fault_info_in,
err_state_out_Header_flit_not_valid_in_not_write_fake_flit,
err_state_out_Header_flit_or_Body_flit_not_fake_credit,
err_state_out_Body_flit_valid_in_not_fault_out_state_in_state_out_not_change,
err_state_out_Body_flit_valid_in_not_fault_out_state_in_Tail_flit,
err_state_out_Body_flit_valid_in_not_fault_out_health_info,
err_state_out_Body_flit_valid_in_not_fault_out_not_write_fake_flit,
err_state_out_Body_flit_valid_in_not_fault_out_fault_info_in,
err_state_out_Body_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change,
err_state_out_Body_flit_valid_in_fault_out_write_fake_flit,
err_state_out_Body_flit_valid_in_fault_out_state_in_Packet_drop,
err_state_out_Body_flit_valid_in_fault_out_fault_info_in,
err_state_out_Body_flit_valid_in_fault_out_faulty_packet_in,
err_state_out_Body_flit_not_valid_in_state_in_state_out_not_change,
err_state_out_Body_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change,
err_state_out_Body_flit_not_valid_in_not_fault_info_in,
err_state_out_Body_flit_valid_in_not_fault_out_flit_type_not_tail_not_health_info,
err_state_out_Body_flit_valid_in_fault_out_not_health_info,
err_state_out_Body_flit_valid_in_not_health_info,
err_state_out_Body_flit_not_fake_credit,
err_state_out_Body_flit_not_valid_in_not_write_fake_flit,
err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_Header_state_in_Header_flit,
err_state_out_Tail_flit_valid_in_not_fault_out_not_fake_credit,
err_state_out_Tail_flit_valid_in_not_fault_out_not_fault_info_in,
err_state_out_Tail_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change,
err_state_out_Tail_flit_valid_in_fault_out_fake_credit,
err_state_out_Tail_flit_valid_in_fault_out_state_in_Packet_drop,
err_state_out_Tail_flit_valid_in_fault_out_fault_info_in,
err_state_out_Tail_flit_valid_in_fault_out_faulty_packet_in,
err_state_out_Tail_flit_not_valid_in_state_in_Idle,
err_state_out_Tail_flit_not_valid_in_faulty_packet_in_faulty_packet_in_not_change,
err_state_out_Tail_flit_not_valid_in_not_fault_info_in,
err_state_out_Tail_flit_not_valid_in_not_fake_credit,
err_state_out_Tail_flit_not_write_fake_flit,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_fake_credit,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_faulty_packet_in,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_state_in_Header_flit,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_write_fake_flit,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_faulty_packet_in,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_state_in_Idle,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_fake_credit,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_invalid_fault_out_fake_credit,
err_state_out_Packet_drop_faulty_packet_out_not_valid_in_flit_type_body_or_invalid_fault_out_faulty_packet_in_faulty_packet_out_not_change,
err_state_out_Packet_drop_faulty_packet_out_flit_type_invalid_fault_out_state_in_state_out_not_change,
err_state_out_Packet_drop_faulty_packet_out_not_valid_in_faulty_packet_in_faulty_packet_out_equal,
err_state_out_Packet_drop_faulty_packet_out_not_valid_in_state_in_state_out_not_change,
err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_write_fake_flit,
err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_fake_credit,
err_state_out_Packet_drop_not_faulty_packet_out_state_in_state_out_not_change,
err_state_out_Packet_drop_not_faulty_packet_out_faulty_packet_in_faulty_packet_out_not_change,
err_state_out_Packet_drop_not_fault_info_in,
err_state_out_Packet_drop_not_faulty_packet_out_not_fake_credit,
err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_header_or_fault_out_not_write_fake_flit,
err_state_out_Packet_drop_not_faulty_packet_out_not_write_fake_flit,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_fault_out_state_in_state_out_not_change,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_fault_out_state_in_state_out_not_change,
err_fault_info_fault_info_out_equal,
err_state_out_Packet_drop_not_valid_in_state_in_state_out_equal,
err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_not_Header_state_in_state_out_equal : out std_logic
);
end FIFO_credit_based;
architecture behavior of FIFO_credit_based is
component FIFO_credit_based_control_part_checkers is
port ( valid_in: in std_logic;
read_en_N : in std_logic;
read_en_E : in std_logic;
read_en_W : in std_logic;
read_en_S : in std_logic;
read_en_L : in std_logic;
read_pointer: in std_logic_vector(3 downto 0);
read_pointer_in: in std_logic_vector(3 downto 0);
write_pointer: in std_logic_vector(3 downto 0);
write_pointer_in: in std_logic_vector(3 downto 0);
credit_out: in std_logic;
empty_out: in std_logic;
full_out: in std_logic;
read_en_out: in std_logic;
write_en_out: in std_logic;
fake_credit: in std_logic;
fake_credit_counter: in std_logic_vector(1 downto 0);
fake_credit_counter_in: in std_logic_vector(1 downto 0);
state_out: in std_logic_vector(4 downto 0);
state_in: in std_logic_vector(4 downto 0);
fault_info: in std_logic;
fault_info_out: in std_logic;
fault_info_in: in std_logic;
health_info: in std_logic;
faulty_packet_out: in std_logic;
faulty_packet_in: in std_logic;
flit_type: in std_logic_vector(2 downto 0);
fault_out: in std_logic;
write_fake_flit: in std_logic;
-- Functional checkers
err_empty_full,
err_empty_read_en,
err_full_write_en,
err_state_in_onehot,
err_read_pointer_in_onehot,
err_write_pointer_in_onehot,
-- Structural checkers
err_write_en_write_pointer,
err_not_write_en_write_pointer,
err_read_pointer_write_pointer_not_empty,
err_read_pointer_write_pointer_empty,
err_read_pointer_write_pointer_not_full,
err_read_pointer_write_pointer_full,
err_read_pointer_increment,
err_read_pointer_not_increment,
err_write_en,
err_not_write_en,
err_not_write_en1,
err_not_write_en2,
err_read_en_mismatch,
err_read_en_mismatch1,
-- Newly added checkers for FIFO with packet drop and fault classifier support!
err_fake_credit_read_en_fake_credit_counter_in_increment,
err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_fake_credit_counter_in_decrement,
err_not_fake_credit_read_en_fake_credit_counter_in_not_change,
err_fake_credit_not_read_en_fake_credit_counter_in_not_change,
err_not_fake_credit_not_read_en_fake_credit_counter_zero_fake_credit_counter_in_not_change,
err_fake_credit_read_en_credit_out,
err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_credit_out,
err_not_fake_credit_not_read_en_fake_credit_counter_zero_not_credit_out,
-- Checkers for Packet Dropping FSM of FIFO
err_state_out_Idle_not_fault_out_valid_in_state_in_Header_flit,
err_state_out_Idle_not_fault_out_valid_in_state_in_not_change,
err_state_out_Idle_not_fault_out_not_fake_credit,
err_state_out_Idle_not_fault_out_not_fault_info_in,
err_state_out_Idle_not_fault_out_faulty_packet_in_faulty_packet_out_equal,
err_state_out_Idle_fault_out_fake_credit,
err_state_out_Idle_fault_out_state_in_Packet_drop,
err_state_out_Idle_fault_out_fault_info_in,
err_state_out_Idle_fault_out_faulty_packet_in,
err_state_out_Idle_not_health_info,
err_state_out_Idle_not_write_fake_flit,
err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Body_state_in_Body_flit,
err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Tail_state_in_Tail_flit,
err_state_out_Header_flit_valid_in_not_fault_out_not_write_fake_flit,
err_state_out_Header_flit_valid_in_not_fault_out_not_fault_info_in,
err_state_out_Header_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change,
err_state_out_Header_flit_valid_in_fault_out_write_fake_flit,
err_state_out_Header_flit_valid_in_fault_out_state_in_Packet_drop,
err_state_out_Header_flit_valid_in_fault_out_fault_info_in,
err_state_out_Header_flit_valid_in_fault_out_faulty_packet_in,
err_state_out_Header_flit_not_valid_in_state_in_state_out_not_change,
err_state_out_Header_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change,
err_state_out_Header_flit_not_valid_in_not_fault_info_in,
err_state_out_Header_flit_not_valid_in_not_write_fake_flit,
err_state_out_Header_flit_or_Body_flit_not_fake_credit,
err_state_out_Body_flit_valid_in_not_fault_out_state_in_state_out_not_change,
err_state_out_Body_flit_valid_in_not_fault_out_state_in_Tail_flit,
err_state_out_Body_flit_valid_in_not_fault_out_health_info,
err_state_out_Body_flit_valid_in_not_fault_out_not_write_fake_flit,
err_state_out_Body_flit_valid_in_not_fault_out_fault_info_in,
err_state_out_Body_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change,
err_state_out_Body_flit_valid_in_fault_out_write_fake_flit,
err_state_out_Body_flit_valid_in_fault_out_state_in_Packet_drop,
err_state_out_Body_flit_valid_in_fault_out_fault_info_in,
err_state_out_Body_flit_valid_in_fault_out_faulty_packet_in,
err_state_out_Body_flit_not_valid_in_state_in_state_out_not_change,
err_state_out_Body_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change,
err_state_out_Body_flit_not_valid_in_not_fault_info_in,
err_state_out_Body_flit_valid_in_not_fault_out_flit_type_not_tail_not_health_info,
err_state_out_Body_flit_valid_in_fault_out_not_health_info,
err_state_out_Body_flit_valid_in_not_health_info,
err_state_out_Body_flit_not_fake_credit,
err_state_out_Body_flit_not_valid_in_not_write_fake_flit,
err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_Header_state_in_Header_flit,
err_state_out_Tail_flit_valid_in_not_fault_out_not_fake_credit,
err_state_out_Tail_flit_valid_in_not_fault_out_not_fault_info_in,
err_state_out_Tail_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change,
err_state_out_Tail_flit_valid_in_fault_out_fake_credit,
err_state_out_Tail_flit_valid_in_fault_out_state_in_Packet_drop,
err_state_out_Tail_flit_valid_in_fault_out_fault_info_in,
err_state_out_Tail_flit_valid_in_fault_out_faulty_packet_in,
err_state_out_Tail_flit_not_valid_in_state_in_Idle,
err_state_out_Tail_flit_not_valid_in_faulty_packet_in_faulty_packet_in_not_change,
err_state_out_Tail_flit_not_valid_in_not_fault_info_in,
err_state_out_Tail_flit_not_valid_in_not_fake_credit,
err_state_out_Tail_flit_not_write_fake_flit,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_fake_credit,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_faulty_packet_in,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_state_in_Header_flit,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_write_fake_flit,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_faulty_packet_in,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_state_in_Idle,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_fake_credit,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_invalid_fault_out_fake_credit,
err_state_out_Packet_drop_faulty_packet_out_not_valid_in_flit_type_body_or_invalid_fault_out_faulty_packet_in_faulty_packet_out_not_change,
err_state_out_Packet_drop_faulty_packet_out_flit_type_invalid_fault_out_state_in_state_out_not_change,
err_state_out_Packet_drop_faulty_packet_out_not_valid_in_faulty_packet_in_faulty_packet_out_equal,
err_state_out_Packet_drop_faulty_packet_out_not_valid_in_state_in_state_out_not_change,
err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_write_fake_flit,
err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_fake_credit,
err_state_out_Packet_drop_not_faulty_packet_out_state_in_state_out_not_change,
err_state_out_Packet_drop_not_faulty_packet_out_faulty_packet_in_faulty_packet_out_not_change,
err_state_out_Packet_drop_not_fault_info_in,
err_state_out_Packet_drop_not_faulty_packet_out_not_fake_credit,
err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_header_or_fault_out_not_write_fake_flit,
err_state_out_Packet_drop_not_faulty_packet_out_not_write_fake_flit,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_fault_out_state_in_state_out_not_change,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_fault_out_state_in_state_out_not_change,
err_fault_info_fault_info_out_equal,
err_state_out_Packet_drop_not_valid_in_state_in_state_out_equal,
err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_not_Header_state_in_state_out_equal : out std_logic
);
end component;
signal read_pointer, read_pointer_in, write_pointer, write_pointer_in: std_logic_vector(3 downto 0);
signal full, empty: std_logic;
signal read_en, write_en: std_logic;
signal FIFO_MEM_1, FIFO_MEM_1_in : std_logic_vector(DATA_WIDTH-1 downto 0);
signal FIFO_MEM_2, FIFO_MEM_2_in : std_logic_vector(DATA_WIDTH-1 downto 0);
signal FIFO_MEM_3, FIFO_MEM_3_in : std_logic_vector(DATA_WIDTH-1 downto 0);
signal FIFO_MEM_4, FIFO_MEM_4_in : std_logic_vector(DATA_WIDTH-1 downto 0);
constant fake_tail : std_logic_vector := "10000000000000000000000000000001";
-- Packet Dropping FSM states encoded as one-hot (because of checkers for one-bit error detection)
CONSTANT Idle: std_logic_vector (4 downto 0) := "00001";
CONSTANT Header_flit: std_logic_vector (4 downto 0) := "00010";
CONSTANT Body_flit: std_logic_vector (4 downto 0) := "00100";
CONSTANT Tail_flit: std_logic_vector (4 downto 0) := "01000";
CONSTANT Packet_drop: std_logic_vector (4 downto 0) := "10000";
--alias flit_type : std_logic_vector(2 downto 0) is RX(DATA_WIDTH-1 downto DATA_WIDTH-3);
signal fault_info_in, fault_info_out: std_logic;
signal faulty_packet_in, faulty_packet_out: std_logic;
signal xor_all, fault_out: std_logic;
--type state_type is (Idle, Header_flit, Body_flit, Tail_flit, Packet_drop);
--signal state_out, state_in : state_type;
signal state_out, state_in : std_logic_vector(4 downto 0); -- : state_type;
signal fake_credit, credit_in, write_fake_flit: std_logic;
signal fake_credit_counter, fake_credit_counter_in: std_logic_vector(1 downto 0);
-- Signal(s) needed for FIFO control part checkers
signal fault_info_sig, health_info_sig : std_logic;
begin
--------------------------------------------------------------------------------------------
-- block diagram of the FIFO!
--------------------------------------------------------------------------------------------
-- circular buffer structure
-- <--- WriteP
-- ---------------------------------
-- | 3 | 2 | 1 | 0 |
-- ---------------------------------
-- <--- readP
--------------------------------------------------------------------------------------------
-- Packet drop state machine
-- +---+ No +---+ No
-- | | Flit | | Flit
-- | v | v
-- healthy +--------+ +--------+
-- +---header-->| | | |-------------------+
-- | +->| Header |---Healthy body-->| Body |------------+ |
-- | | +--------+ +--------+ | |
-- | | | ^ | Healthy | ^ Healthy |
-- | | | | | body | | Tail |
-- | | | | | +---+ | |
-- | | | | | v |
-- +--------+ | | | | +--------+ |
-- No +-->| | | | | +-----------------Healthy Tail------>| | |
-- Flit| | IDLE | | | | | Tail |--)--+
-- +---| | | | +-----------Healthy Header--------------| | | |
-- +--------+ | | +--------+ | |
-- ^ | ^ | Faulty No Faulty | |
-- | | | | Flit Flit Flit | |
-- | | | | +------------+ +---+ +---+ | |
-- | | | + --Healthy------+ | | | | | | |
-- | | | header | v | v | v | |
-- | | | +------------------+ | |
-- | | +----Healthy Tail-----| Packet | | |
-- | +-------Faulty Flit----->| Drop |<-----------------------+ |
-- | +------------------+ |
-- +-------------------------------------------------No Flit------------------+
--
------------------------------------------------------------------------------------------------
-- FIFO control part with packet drop and fault classifier support checkers instantiation
FIFO_control_part_checkers: FIFO_credit_based_control_part_checkers
port map (
valid_in => valid_in,
read_en_N => read_en_N,
read_en_E => read_en_E,
read_en_W => read_en_W,
read_en_S => read_en_S,
read_en_L => read_en_L,
read_pointer => read_pointer,
read_pointer_in => read_pointer_in,
write_pointer => write_pointer,
write_pointer_in => write_pointer_in,
credit_out => credit_in, -- correct ?
empty_out => empty,
full_out => full,
read_en_out => read_en,
write_en_out => write_en,
fake_credit => fake_credit,
fake_credit_counter => fake_credit_counter,
fake_credit_counter_in => fake_credit_counter_in,
state_out => state_out,
state_in => state_in,
fault_info => fault_info_sig, -- connected to signal
fault_info_out => fault_info_out,
fault_info_in => fault_info_in,
health_info => health_info_sig, -- connected to signal
faulty_packet_out => faulty_packet_out,
faulty_packet_in => faulty_packet_in,
flit_type => RX(DATA_WIDTH-1 downto DATA_WIDTH-3),
fault_out => fault_out,
write_fake_flit => write_fake_flit,
-- Functional checkers
err_empty_full => err_empty_full,
err_empty_read_en => err_empty_read_en,
err_full_write_en => err_full_write_en,
err_state_in_onehot => err_state_in_onehot,
err_read_pointer_in_onehot => err_read_pointer_in_onehot,
err_write_pointer_in_onehot => err_write_pointer_in_onehot,
-- Structural checkers
err_write_en_write_pointer => err_write_en_write_pointer,
err_not_write_en_write_pointer => err_not_write_en_write_pointer,
err_read_pointer_write_pointer_not_empty => err_read_pointer_write_pointer_not_empty,
err_read_pointer_write_pointer_empty => err_read_pointer_write_pointer_empty,
err_read_pointer_write_pointer_not_full => err_read_pointer_write_pointer_not_full,
err_read_pointer_write_pointer_full => err_read_pointer_write_pointer_full,
err_read_pointer_increment => err_read_pointer_increment,
err_read_pointer_not_increment => err_read_pointer_not_increment,
err_write_en => err_write_en,
err_not_write_en => err_not_write_en,
err_not_write_en1 => err_not_write_en1,
err_not_write_en2 => err_not_write_en2,
err_read_en_mismatch => err_read_en_mismatch,
err_read_en_mismatch1 => err_read_en_mismatch1,
-- Newly added checkers for FIFO with packet drop and fault classifier support!
err_fake_credit_read_en_fake_credit_counter_in_increment => err_fake_credit_read_en_fake_credit_counter_in_increment,
err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_fake_credit_counter_in_decrement => err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_fake_credit_counter_in_decrement,
err_not_fake_credit_read_en_fake_credit_counter_in_not_change => err_not_fake_credit_read_en_fake_credit_counter_in_not_change,
err_fake_credit_not_read_en_fake_credit_counter_in_not_change => err_fake_credit_not_read_en_fake_credit_counter_in_not_change,
err_not_fake_credit_not_read_en_fake_credit_counter_zero_fake_credit_counter_in_not_change => err_not_fake_credit_not_read_en_fake_credit_counter_zero_fake_credit_counter_in_not_change,
err_fake_credit_read_en_credit_out => err_fake_credit_read_en_credit_out,
err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_credit_out => err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_credit_out,
err_not_fake_credit_not_read_en_fake_credit_counter_zero_not_credit_out => err_not_fake_credit_not_read_en_fake_credit_counter_zero_not_credit_out,
-- Checkers for Packet Dropping FSM of FIFO
err_state_out_Idle_not_fault_out_valid_in_state_in_Header_flit => err_state_out_Idle_not_fault_out_valid_in_state_in_Header_flit,
err_state_out_Idle_not_fault_out_valid_in_state_in_not_change => err_state_out_Idle_not_fault_out_valid_in_state_in_not_change,
err_state_out_Idle_not_fault_out_not_fake_credit => err_state_out_Idle_not_fault_out_not_fake_credit,
err_state_out_Idle_not_fault_out_not_fault_info_in => err_state_out_Idle_not_fault_out_not_fault_info_in,
err_state_out_Idle_not_fault_out_faulty_packet_in_faulty_packet_out_equal => err_state_out_Idle_not_fault_out_faulty_packet_in_faulty_packet_out_equal,
err_state_out_Idle_fault_out_fake_credit => err_state_out_Idle_fault_out_fake_credit,
err_state_out_Idle_fault_out_state_in_Packet_drop => err_state_out_Idle_fault_out_state_in_Packet_drop,
err_state_out_Idle_fault_out_fault_info_in => err_state_out_Idle_fault_out_fault_info_in,
err_state_out_Idle_fault_out_faulty_packet_in => err_state_out_Idle_fault_out_faulty_packet_in,
err_state_out_Idle_not_health_info => err_state_out_Idle_not_health_info,
err_state_out_Idle_not_write_fake_flit => err_state_out_Idle_not_write_fake_flit,
err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Body_state_in_Body_flit => err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Body_state_in_Body_flit,
err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Tail_state_in_Tail_flit => err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Tail_state_in_Tail_flit,
err_state_out_Header_flit_valid_in_not_fault_out_not_write_fake_flit => err_state_out_Header_flit_valid_in_not_fault_out_not_write_fake_flit,
err_state_out_Header_flit_valid_in_not_fault_out_not_fault_info_in => err_state_out_Header_flit_valid_in_not_fault_out_not_fault_info_in,
err_state_out_Header_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Header_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change,
err_state_out_Header_flit_valid_in_fault_out_write_fake_flit => err_state_out_Header_flit_valid_in_fault_out_write_fake_flit,
err_state_out_Header_flit_valid_in_fault_out_state_in_Packet_drop => err_state_out_Header_flit_valid_in_fault_out_state_in_Packet_drop,
err_state_out_Header_flit_valid_in_fault_out_fault_info_in => err_state_out_Header_flit_valid_in_fault_out_fault_info_in,
err_state_out_Header_flit_valid_in_fault_out_faulty_packet_in => err_state_out_Header_flit_valid_in_fault_out_faulty_packet_in,
err_state_out_Header_flit_not_valid_in_state_in_state_out_not_change => err_state_out_Header_flit_not_valid_in_state_in_state_out_not_change,
err_state_out_Header_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Header_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change,
err_state_out_Header_flit_not_valid_in_not_fault_info_in => err_state_out_Header_flit_not_valid_in_not_fault_info_in,
err_state_out_Header_flit_not_valid_in_not_write_fake_flit => err_state_out_Header_flit_not_valid_in_not_write_fake_flit,
err_state_out_Header_flit_or_Body_flit_not_fake_credit => err_state_out_Header_flit_or_Body_flit_not_fake_credit,
err_state_out_Body_flit_valid_in_not_fault_out_state_in_state_out_not_change => err_state_out_Body_flit_valid_in_not_fault_out_state_in_state_out_not_change,
err_state_out_Body_flit_valid_in_not_fault_out_state_in_Tail_flit => err_state_out_Body_flit_valid_in_not_fault_out_state_in_Tail_flit,
err_state_out_Body_flit_valid_in_not_fault_out_health_info => err_state_out_Body_flit_valid_in_not_fault_out_health_info,
err_state_out_Body_flit_valid_in_not_fault_out_not_write_fake_flit => err_state_out_Body_flit_valid_in_not_fault_out_not_write_fake_flit,
err_state_out_Body_flit_valid_in_not_fault_out_fault_info_in => err_state_out_Body_flit_valid_in_not_fault_out_fault_info_in,
err_state_out_Body_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Body_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change,
err_state_out_Body_flit_valid_in_fault_out_write_fake_flit => err_state_out_Body_flit_valid_in_fault_out_write_fake_flit,
err_state_out_Body_flit_valid_in_fault_out_state_in_Packet_drop => err_state_out_Body_flit_valid_in_fault_out_state_in_Packet_drop,
err_state_out_Body_flit_valid_in_fault_out_fault_info_in => err_state_out_Body_flit_valid_in_fault_out_fault_info_in,
err_state_out_Body_flit_valid_in_fault_out_faulty_packet_in => err_state_out_Body_flit_valid_in_fault_out_faulty_packet_in,
err_state_out_Body_flit_not_valid_in_state_in_state_out_not_change => err_state_out_Body_flit_not_valid_in_state_in_state_out_not_change,
err_state_out_Body_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Body_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change,
err_state_out_Body_flit_not_valid_in_not_fault_info_in => err_state_out_Body_flit_not_valid_in_not_fault_info_in,
err_state_out_Body_flit_valid_in_not_fault_out_flit_type_not_tail_not_health_info => err_state_out_Body_flit_valid_in_not_fault_out_flit_type_not_tail_not_health_info,
err_state_out_Body_flit_valid_in_fault_out_not_health_info => err_state_out_Body_flit_valid_in_fault_out_not_health_info,
err_state_out_Body_flit_valid_in_not_health_info => err_state_out_Body_flit_valid_in_not_health_info,
err_state_out_Body_flit_not_fake_credit => err_state_out_Body_flit_not_fake_credit,
err_state_out_Body_flit_not_valid_in_not_write_fake_flit => err_state_out_Body_flit_not_valid_in_not_write_fake_flit,
err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_Header_state_in_Header_flit => err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_Header_state_in_Header_flit,
err_state_out_Tail_flit_valid_in_not_fault_out_not_fake_credit => err_state_out_Tail_flit_valid_in_not_fault_out_not_fake_credit,
err_state_out_Tail_flit_valid_in_not_fault_out_not_fault_info_in => err_state_out_Tail_flit_valid_in_not_fault_out_not_fault_info_in,
err_state_out_Tail_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Tail_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change,
err_state_out_Tail_flit_valid_in_fault_out_fake_credit => err_state_out_Tail_flit_valid_in_fault_out_fake_credit,
err_state_out_Tail_flit_valid_in_fault_out_state_in_Packet_drop => err_state_out_Tail_flit_valid_in_fault_out_state_in_Packet_drop,
err_state_out_Tail_flit_valid_in_fault_out_fault_info_in => err_state_out_Tail_flit_valid_in_fault_out_fault_info_in,
err_state_out_Tail_flit_valid_in_fault_out_faulty_packet_in => err_state_out_Tail_flit_valid_in_fault_out_faulty_packet_in,
err_state_out_Tail_flit_not_valid_in_state_in_Idle => err_state_out_Tail_flit_not_valid_in_state_in_Idle,
err_state_out_Tail_flit_not_valid_in_faulty_packet_in_faulty_packet_in_not_change => err_state_out_Tail_flit_not_valid_in_faulty_packet_in_faulty_packet_in_not_change,
err_state_out_Tail_flit_not_valid_in_not_fault_info_in => err_state_out_Tail_flit_not_valid_in_not_fault_info_in,
err_state_out_Tail_flit_not_valid_in_not_fake_credit => err_state_out_Tail_flit_not_valid_in_not_fake_credit,
err_state_out_Tail_flit_not_write_fake_flit => err_state_out_Tail_flit_not_write_fake_flit,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_fake_credit => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_fake_credit,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_faulty_packet_in => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_faulty_packet_in,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_state_in_Header_flit => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_state_in_Header_flit,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_write_fake_flit => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_write_fake_flit,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_faulty_packet_in => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_faulty_packet_in,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_state_in_Idle => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_state_in_Idle,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_fake_credit => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_fake_credit,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_invalid_fault_out_fake_credit => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_invalid_fault_out_fake_credit,
err_state_out_Packet_drop_faulty_packet_out_not_valid_in_flit_type_body_or_invalid_fault_out_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_flit_type_body_or_invalid_fault_out_faulty_packet_in_faulty_packet_out_not_change,
err_state_out_Packet_drop_faulty_packet_out_flit_type_invalid_fault_out_state_in_state_out_not_change => err_state_out_Packet_drop_faulty_packet_out_flit_type_invalid_fault_out_state_in_state_out_not_change,
err_state_out_Packet_drop_faulty_packet_out_not_valid_in_faulty_packet_in_faulty_packet_out_equal => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_faulty_packet_in_faulty_packet_out_equal,
err_state_out_Packet_drop_faulty_packet_out_not_valid_in_state_in_state_out_not_change => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_state_in_state_out_not_change,
err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_write_fake_flit => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_write_fake_flit,
err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_fake_credit => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_fake_credit,
err_state_out_Packet_drop_not_faulty_packet_out_state_in_state_out_not_change => err_state_out_Packet_drop_not_faulty_packet_out_state_in_state_out_not_change,
err_state_out_Packet_drop_not_faulty_packet_out_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Packet_drop_not_faulty_packet_out_faulty_packet_in_faulty_packet_out_not_change,
err_state_out_Packet_drop_not_fault_info_in => err_state_out_Packet_drop_not_fault_info_in,
err_state_out_Packet_drop_not_faulty_packet_out_not_fake_credit => err_state_out_Packet_drop_not_faulty_packet_out_not_fake_credit,
err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_header_or_fault_out_not_write_fake_flit => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_header_or_fault_out_not_write_fake_flit,
err_state_out_Packet_drop_not_faulty_packet_out_not_write_fake_flit => err_state_out_Packet_drop_not_faulty_packet_out_not_write_fake_flit,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_fault_out_state_in_state_out_not_change => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_fault_out_state_in_state_out_not_change,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_fault_out_state_in_state_out_not_change => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_fault_out_state_in_state_out_not_change,
err_fault_info_fault_info_out_equal => err_fault_info_fault_info_out_equal,
err_state_out_Packet_drop_not_valid_in_state_in_state_out_equal => err_state_out_Packet_drop_not_valid_in_state_in_state_out_equal,
err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_not_Header_state_in_state_out_equal => err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_not_Header_state_in_state_out_equal
);
fault_info <= fault_info_sig; -- Not sure yet ?!
health_info <= health_info_sig;
-- Sequential part
process (clk, reset)begin
if reset = '0' then
read_pointer <= "0001";
write_pointer <= "0001";
FIFO_MEM_1 <= (others=>'0');
FIFO_MEM_2 <= (others=>'0');
FIFO_MEM_3 <= (others=>'0');
FIFO_MEM_4 <= (others=>'0');
fake_credit_counter <= (others=>'0');
faulty_packet_out <= '0';
credit_out <= '0';
state_out <= Idle;
fault_info_out <= '0';
elsif clk'event and clk = '1' then
write_pointer <= write_pointer_in;
read_pointer <= read_pointer_in;
state_out <= state_in;
faulty_packet_out <= faulty_packet_in;
credit_out <= credit_in;
fake_credit_counter <= fake_credit_counter_in;
if write_en = '1' then
--write into the memory
FIFO_MEM_1 <= FIFO_MEM_1_in;
FIFO_MEM_2 <= FIFO_MEM_2_in;
FIFO_MEM_3 <= FIFO_MEM_3_in;
FIFO_MEM_4 <= FIFO_MEM_4_in;
end if;
fault_info_out <= fault_info_in;
end if;
end process;
-- anything below here is pure combinational
-- combinatorial part
fault_info_sig <= fault_info_out;
process(fake_credit, read_en, fake_credit_counter) begin
fake_credit_counter_in <= fake_credit_counter;
credit_in <= '0';
if fake_credit = '1' and read_en = '1' then
fake_credit_counter_in <= fake_credit_counter + 1 ;
end if;
if fake_credit = '1' or read_en ='1' then
credit_in <= '1';
end if;
if fake_credit = '0' and read_en = '0' and fake_credit_counter > 0 then
fake_credit_counter_in <= fake_credit_counter - 1 ;
credit_in <= '1';
end if;
end process;
process(valid_in, RX) begin
if valid_in = '1' then
xor_all <= XOR_REDUCE(RX(DATA_WIDTH-1 downto 1));
else
xor_all <= '0';
end if;
end process;
process(valid_in, RX, xor_all)begin
fault_out <= '0';
if valid_in = '1' and xor_all /= RX(0) then
fault_out <= '1';
end if;
end process;
process(RX, faulty_packet_out, fault_out, write_pointer, FIFO_MEM_1, FIFO_MEM_2, FIFO_MEM_3, FIFO_MEM_4, state_out, valid_in) begin
-- this is the default value of the memory!
case( write_pointer ) is
when "0001" => FIFO_MEM_1_in <= RX; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4;
when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= RX; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4;
when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= RX; FIFO_MEM_4_in <= FIFO_MEM_4;
when "1000" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= RX;
when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4;
end case ;
--some defaults
fault_info_in <= '0';
health_info_sig <= '0';
fake_credit <= '0';
state_in <= state_out;
faulty_packet_in <= faulty_packet_out;
write_fake_flit <= '0';
case(state_out) is
when Idle =>
if fault_out = '0' then
if valid_in = '1' then
state_in <= Header_flit;
else
state_in <= state_out;
end if;
else
fake_credit <= '1';
FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4;
state_in <= Packet_drop;
fault_info_in <= '1';
faulty_packet_in <= '1';
end if;
when Header_flit =>
if valid_in = '1' then
if fault_out = '0' then
if RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "010" then
state_in <= Body_flit;
elsif RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "100" then
state_in <= Tail_flit;
else
-- we should not be here!
state_in <= state_out;
end if;
else -- fault_out = '1'
write_fake_flit <= '1';
case( write_pointer ) is
when "0001" => FIFO_MEM_1_in <= fake_tail; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4;
when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= fake_tail; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4;
when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= fake_tail; FIFO_MEM_4_in <= FIFO_MEM_4;
when "1000" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= fake_tail;
when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4;
end case ;
state_in <= Packet_drop;
fault_info_in <= '1';
faulty_packet_in <= '1';
end if;
else
state_in <= state_out;
end if;
when Body_flit =>
if valid_in = '1' then
if fault_out = '0' then
if RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "010" then
state_in <= state_out;
elsif RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "100" then
state_in <= Tail_flit;
health_info_sig <= '1';
else
-- we should not be here!
state_in <= state_out;
end if;
else -- fault_out = '1'
write_fake_flit <= '1';
case( write_pointer ) is
when "0001" => FIFO_MEM_1_in <= fake_tail; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4;
when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= fake_tail; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4;
when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= fake_tail; FIFO_MEM_4_in <= FIFO_MEM_4;
when "1000" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= fake_tail;
when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4;
end case ;
state_in <= Packet_drop;
fault_info_in <= '1';
faulty_packet_in <= '1';
end if;
else
state_in <= state_out;
end if;
when Tail_flit =>
if valid_in = '1' then
if fault_out = '0' then
if RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "001" then
state_in <= Header_flit;
else
state_in <= state_out;
end if;
else -- fault_out = '1'
fake_credit <= '1';
FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4;
state_in <= Packet_drop;
fault_info_in <= '1';
faulty_packet_in <= '1';
end if;
else
state_in <= Idle;
end if;
when Packet_drop =>
if faulty_packet_out = '1' then
if valid_in = '1' and RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "001" and fault_out = '0' then
faulty_packet_in <= '0';
state_in <= Header_flit;
write_fake_flit <= '1';
case( write_pointer ) is
when "0001" => FIFO_MEM_1_in <= RX; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4;
when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= RX; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4;
when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= RX; FIFO_MEM_4_in <= FIFO_MEM_4;
when "1000" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= RX;
when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4;
end case ;
elsif valid_in = '1' and RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "100" and fault_out = '0' then
FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4;
faulty_packet_in <= '0';
state_in <= Idle;
fake_credit <= '1';
else -- fault_out might have been '1'
if valid_in = '1' then
fake_credit <= '1';
end if;
FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4;
state_in <= state_out;
end if;
else
-- we should not be here!
state_in <= state_out;
end if;
when others => state_in <= state_out;
end case;
end process;
process(read_pointer, FIFO_MEM_1, FIFO_MEM_2, FIFO_MEM_3, FIFO_MEM_4)begin
case( read_pointer ) is
when "0001" => Data_out <= FIFO_MEM_1;
when "0010" => Data_out <= FIFO_MEM_2;
when "0100" => Data_out <= FIFO_MEM_3;
when "1000" => Data_out <= FIFO_MEM_4;
when others => Data_out <= FIFO_MEM_1;
end case ;
end process;
read_en <= (read_en_N or read_en_E or read_en_W or read_en_S or read_en_L) and not empty;
empty_out <= empty;
process(write_en, write_pointer)begin
if write_en = '1' then
write_pointer_in <= write_pointer(2 downto 0)&write_pointer(3);
else
write_pointer_in <= write_pointer;
end if;
end process;
process(read_en, empty, read_pointer)begin
if (read_en = '1' and empty = '0') then
read_pointer_in <= read_pointer(2 downto 0)&read_pointer(3);
else
read_pointer_in <= read_pointer;
end if;
end process;
process(full, valid_in, write_fake_flit, faulty_packet_out, fault_out) begin
if valid_in = '1' and ((faulty_packet_out = '0' and fault_out = '0') or write_fake_flit = '1') and full ='0' then
write_en <= '1';
else
write_en <= '0';
end if;
end process;
process(write_pointer, read_pointer) begin
if read_pointer = write_pointer then
empty <= '1';
else
empty <= '0';
end if;
-- if write_pointer = read_pointer>>1 then
if write_pointer = read_pointer(0)&read_pointer(3 downto 1) then
full <= '1';
else
full <= '0';
end if;
end process;
end;
| gpl-3.0 | 9dccbf702686bc53e8d612c2f1e4d6ec | 0.517308 | 3.595943 | false | false | false | false |
zzhou007/161lab | lab01/my_alu_tb.vhd | 1 | 3,970 |
--------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 14:57:52 01/13/2016
-- Design Name:
-- Module Name: /home/csmajs/kchan049/lab1/tb.vhd
-- Project Name: lab1
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: my_alu
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY tb IS
END tb;
ARCHITECTURE behavior OF tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT my_alu
PORT(
A : IN std_logic_vector(7 downto 0);
B : IN std_logic_vector(7 downto 0);
opcode : IN std_logic_vector(2 downto 0);
result : OUT std_logic_vector(7 downto 0);
carryout : OUT std_logic;
overflow : OUT std_logic;
zero : OUT std_logic
);
END COMPONENT;
--Inputs
signal A : std_logic_vector(7 downto 0) := (others => '0');
signal B : std_logic_vector(7 downto 0) := (others => '0');
signal opcode : std_logic_vector(2 downto 0) := (others => '0');
--Outputs
signal result : std_logic_vector(7 downto 0);
signal carryout : std_logic;
signal overflow : std_logic;
signal zero : std_logic;
-- No clocks detected in port list. Replace <clock> below with
-- appropriate port name
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: my_alu PORT MAP (
A => A,
B => B,
opcode => opcode,
result => result,
carryout => carryout,
overflow => overflow,
zero => zero
);
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
-- unsigned add
opcode <= "000";
A <= "00000000";
B <= "00000000";
wait for 100 ns;
opcode <= "000";
A <= "11000100";
B <= "00000000";
wait for 100 ns;
--- signed add
opcode <= "001";
A <= "00000000";
B <= "00000000";
wait for 100 ns;
opcode <= "001";
A <= "01000100";
B <= "01000000";
wait for 100 ns;
opcode <= "001";
A <= "11000100";
B <= "01000000";
wait for 100 ns;
--unsigned sub
opcode <= "010";
A <= "01000100";
B <= "01000000";
wait for 100 ns;
opcode <= "010";
A <= "00000100";
B <= "01000000";
wait for 100 ns;
--signed sub
opcode <= "011";
A <= "01000100";
B <= "01000000";
wait for 100 ns;
opcode <= "011";
A <= "00000100";
B <= "00000100";
wait for 100 ns;
opcode <= "011";
A <= "00000100";
B <= "01000000";
wait for 100 ns;
--and
opcode <= "100";
A <= "01000100";
B <= "01000000";
wait for 100 ns;
opcode <= "100";
A <= "00000100";
B <= "01000000";
wait for 100 ns;
--or
opcode <= "100";
A <= "01000100";
B <= "01000000";
wait for 100 ns;
opcode <= "100";
A <= "00000000";
B <= "00000000";
wait for 100 ns;
--xor
opcode <= "110";
A <= "01000100";
B <= "01000000";
wait for 100 ns;
opcode <= "110";
A <= "00000000";
B <= "00000000";
wait for 100 ns;
--divide by 2
opcode <= "111";
A <= "00000100";
wait for 100 ns;
opcode <= "111";
A <= "11000100";
wait for 100 ns;
wait;
end process;
END;
| gpl-2.0 | 3d9e3bd3999776e357ab91088807ca1a | 0.54005 | 3.500882 | false | false | false | false |
sbates130272/capi-textswap | rtl/processors.vhd | 1 | 7,136 | --------------------------------------------------------------------------------
--
-- Copyright 2015 PMC-Sierra, Inc.
--
-- Licensed under the Apache License, Version 2.0 (the "License"); you
-- may not use this file except in compliance with the License. You may
-- obtain a copy of the License at
-- http://www.apache.org/licenses/LICENSE-2.0 Unless required by
-- applicable law or agreed to in writing, software distributed under the
-- License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR
-- CONDITIONS OF ANY KIND, either express or implied. See the License for
-- the specific language governing permissions and limitations under the
-- License.
--
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- Company: PMC-Sierra, Inc.
-- Engineer: Logan Gunthorpe
--
-- Description:
-- Processor Multiplexor Block
--
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library capi;
use capi.misc.all;
entity processors is
port (
clk : in std_logic;
clear : in std_logic;
idata : in std_logic_vector(0 to 511);
ivalid : in std_logic;
idone : in std_logic;
iready : out std_logic;
odata : out std_logic_vector(0 to 511);
ovalid : out std_logic;
odirty : out std_logic;
oready : in std_logic;
odone : out std_logic;
len : in unsigned(0 to 31);
flags : in std_logic_vector(0 to 7);
-- Register Interface
reg_en : in std_logic;
reg_addr : in unsigned(0 to 5);
reg_dw : in std_logic;
reg_write : in std_logic;
reg_wdata : in std_logic_vector(0 to 63);
reg_read : in std_logic;
reg_rdata : out std_logic_vector(0 to 63);
reg_read_ack : out std_logic
);
end entity processors;
architecture main of processors is
signal memcpy_en : std_logic;
signal memcpy_iready : std_logic;
signal memcpy_odata : std_logic_vector(odata'range);
signal memcpy_ovalid : std_logic;
signal memcpy_odirty : std_logic;
signal memcpy_done : std_logic;
signal lfsr_en : std_logic;
signal lfsr_iready : std_logic;
signal lfsr_odata : std_logic_vector(odata'range);
signal lfsr_ovalid : std_logic;
signal lfsr_odirty : std_logic;
signal lfsr_done : std_logic;
signal text_en : std_logic;
signal text_iready : std_logic;
signal text_odata : std_logic_vector(odata'range);
signal text_ovalid : std_logic;
signal text_odirty : std_logic;
signal text_done : std_logic;
signal reg_lfsr_seed : std_logic_vector(0 to 63);
signal reg_lfsr_seed_set : std_logic;
signal reg_text_search : std_logic_vector(0 to 127);
signal reg_text_clear : std_logic;
begin
proc_memcpy_i: entity work.proc_memcpy
port map (
clk => clk,
en => memcpy_en,
idata => idata,
ivalid => ivalid,
idone => idone,
iready => memcpy_iready,
odata => memcpy_odata,
ovalid => memcpy_ovalid,
odirty => memcpy_odirty,
odone => memcpy_done,
oready => oready,
len => len);
proc_lfsr_i: entity work.proc_lfsr
port map (
clk => clk,
en => lfsr_en,
idata => idata,
ivalid => ivalid,
idone => idone,
iready => lfsr_iready,
odata => lfsr_odata,
ovalid => lfsr_ovalid,
odirty => lfsr_odirty,
odone => lfsr_done,
oready => oready,
len => len,
reg_lfsr_seed => reg_lfsr_seed,
reg_lfsr_seed_set => reg_lfsr_seed_set
);
proc_text_i: entity work.proc_text
port map (
clk => clk,
en => text_en,
idata => idata,
ivalid => ivalid,
idone => idone,
iready => text_iready,
odata => text_odata,
ovalid => text_ovalid,
odirty => text_odirty,
odone => text_done,
oready => oready,
len => len,
reg_text_search => reg_text_search,
reg_text_clear => reg_text_clear
);
iready <= memcpy_iready or
lfsr_iready or
text_iready;
odata <= memcpy_odata or
lfsr_odata or
text_odata;
ovalid <= memcpy_ovalid or
lfsr_ovalid or
text_ovalid;
odirty <= memcpy_odirty or
lfsr_odirty or
text_odirty;
odone <= memcpy_done or
lfsr_done or
text_done;
process (clk) is
begin
if rising_edge(clk) then
memcpy_en <= '0';
lfsr_en <= '0';
text_en <= '0';
if clear = '0' then
if flags(0) = '1' then
lfsr_en <= '1';
elsif flags(1) = '1' then
memcpy_en <= '1';
else
text_en <= '1';
end if;
end if;
end if;
end process;
REG_READ_P: process (clk) is
begin
if rising_edge(clk) then
reg_read_ack <= '0';
reg_rdata <= (others=>'0');
if reg_en = '1' then
reg_read_ack <= reg_read;
case to_integer(reg_addr(0 to 4)) is
when 0 => reg_rdata <= reg_lfsr_seed;
when 1 => reg_rdata <= endian_swap(reg_text_search(0 to 63));
when 2 => reg_rdata <= endian_swap(reg_text_search(64 to 127));
when others => reg_rdata <= (others=>'0');
end case;
end if;
end if;
end process REG_READ_P;
REG_WRITE_P: process (clk) is
begin
if rising_edge(clk) then
reg_lfsr_seed_set <= '0';
reg_text_clear <= '0';
if reg_en = '1' and reg_write = '1' then
case to_integer(reg_addr(0 to 4)) is
--We're a little lazy here as we only support 64 bit writes
when 0 =>
reg_lfsr_seed <= reg_wdata;
reg_lfsr_seed_set <= '1';
when 1 =>
reg_text_search(0 to 63) <= endian_swap(reg_wdata);
reg_text_clear <= '1';
when 2 =>
reg_text_search(64 to 127) <= endian_swap(reg_wdata);
reg_text_clear <= '1';
when others => null;
end case;
end if;
end if;
end process REG_WRITE_P;
end architecture main;
| apache-2.0 | ec065c4cf1b774334313e04417147689 | 0.470852 | 4.127241 | false | false | false | false |
bgottschall/reloc | zedboard_example/zedboard_example.srcs/sources_1/imports/sources_1/new/pr_axis_loopback.vhd | 1 | 2,405 | library ieee;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity pr_axis_loopback is
generic (
DATAWIDTH : integer := 64
);
port (
s_axis_data_tdata : in std_logic_vector(DATAWIDTH-1 downto 0);
s_axis_data_tkeep : in std_logic_vector(DATAWIDTH/8 - 1 downto 0);
s_axis_data_tready : out std_logic;
s_axis_data_tlast : in std_logic;
s_axis_data_tvalid : in std_logic;
m_axis_data_tdata : out std_logic_vector(DATAWIDTH-1 downto 0);
m_axis_data_tkeep : out std_logic_vector(DATAWIDTH/8 - 1 downto 0);
m_axis_data_tready : in std_logic;
m_axis_data_tlast : out std_logic;
m_axis_data_tvalid : out std_logic;
-- Global Clock Signal
clk : in std_logic
);
end pr_axis_loopback;
architecture rtl of pr_axis_loopback is
component axis_buffer is
generic (
DATAWIDTH : integer := DATAWIDTH;
BUFFER_SIZE : integer := 1
);
port (
s_axis_data_tdata : in std_logic_vector(DATAWIDTH - 1 downto 0);
s_axis_data_tkeep : in std_logic_vector(DATAWIDTH/8 - 1 downto 0);
s_axis_data_tready : out std_logic;
s_axis_data_tlast : in std_logic;
s_axis_data_tvalid : in std_logic;
m_axis_data_tdata : out std_logic_vector(DATAWIDTH - 1 downto 0);
m_axis_data_tkeep : out std_logic_vector(DATAWIDTH/8 - 1 downto 0);
m_axis_data_tready : in std_logic;
m_axis_data_tlast : out std_logic;
m_axis_data_tvalid : out std_logic;
-- Global Clock Signal
clk : in std_logic
);
end component;
begin
loopback: component axis_buffer
port map (
s_axis_data_tdata => s_axis_data_tdata,
s_axis_data_tkeep => s_axis_data_tkeep,
s_axis_data_tready => s_axis_data_tready,
s_axis_data_tlast => s_axis_data_tlast,
s_axis_data_tvalid => s_axis_data_tvalid,
m_axis_data_tdata => m_axis_data_tdata,
m_axis_data_tkeep => m_axis_data_tkeep,
m_axis_data_tready => m_axis_data_tready,
m_axis_data_tlast => m_axis_data_tlast,
m_axis_data_tvalid => m_axis_data_tvalid,
clk => clk
);
end architecture; | mit | 2dd17d06b0ef84a45532dfe41c3f9f2b | 0.575468 | 3.363636 | false | false | false | false |
HighlandersFRC/fpga | led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_quad_spi_0_0/axi_quad_spi_v3_1/hdl/src/vhdl/qspi_receive_transmit_reg.vhd | 1 | 18,479 | -------------------------------------------------------------------------------
-- $Id: qspi_receive_reg.vhd
-------------------------------------------------------------------------------
-- qspi_receive_reg.vhd - Entity and architecture
-------------------------------------------------------------------------------
--
-- *******************************************************************
-- ** (c) Copyright [2010] - [2012] Xilinx, Inc. All rights reserved.*
-- ** *
-- ** This file contains confidential and proprietary information *
-- ** of Xilinx, Inc. and is protected under U.S. and *
-- ** international copyright and other intellectual property *
-- ** laws. *
-- ** *
-- ** DISCLAIMER *
-- ** This disclaimer is not a license and does not grant any *
-- ** rights to the materials distributed herewith. Except as *
-- ** otherwise provided in a valid license issued to you by *
-- ** Xilinx, and to the maximum extent permitted by applicable *
-- ** law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND *
-- ** WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES *
-- ** AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING *
-- ** BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- *
-- ** INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and *
-- ** (2) Xilinx shall not be liable (whether in contract or tort, *
-- ** including negligence, or under any other theory of *
-- ** liability) for any loss or damage of any kind or nature *
-- ** related to, arising under or in connection with these *
-- ** materials, including for any direct, or any indirect, *
-- ** special, incidental, or consequential loss or damage *
-- ** (including loss of data, profits, goodwill, or any type of *
-- ** loss or damage suffered as a result of any action brought *
-- ** by a third party) even if such damage or loss was *
-- ** reasonably foreseeable or Xilinx had been advised of the *
-- ** possibility of the same. *
-- ** *
-- ** CRITICAL APPLICATIONS *
-- ** Xilinx products are not designed or intended to be fail- *
-- ** safe, or for use in any application requiring fail-safe *
-- ** performance, such as life-support or safety devices or *
-- ** systems, Class III medical devices, nuclear facilities, *
-- ** applications related to the deployment of airbags, or any *
-- ** other applications that could lead to death, personal *
-- ** injury, or severe property or environmental damage *
-- ** (individually and collectively, "Critical *
-- ** Applications"). Customer assumes the sole risk and *
-- ** liability of any use of Xilinx products in Critical *
-- ** Applications, subject only to applicable laws and *
-- ** regulations governing limitations on product liability. *
-- ** *
-- ** THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS *
-- ** PART OF THIS FILE AT ALL TIMES. *
-- *******************************************************************
--
-------------------------------------------------------------------------------
-- Filename: qspi_receive_reg.vhd
-- Version: v3.0
-- Description: Quad Serial Peripheral Interface (SPI) Module for interfacing
-- with a 32-bit AXI4 Bus.
--
-------------------------------------------------------------------------------
-- Structure: This section shows the hierarchical structure of axi_spi.
--
-- axi_quad_spi.vhd
-- |--Legacy_mode
-- |-- axi_lite_ipif.vhd
-- |-- qspi_core_interface.vhd
-- |-- qspi_cntrl_reg.vhd
-- |-- qspi_status_slave_sel_reg.vhd
-- |-- qspi_occupancy_reg.vhd
-- |-- qspi_fifo_ifmodule.vhd
-- |-- qspi_mode_0_module.vhd
-- |-- qspi_receive_transmit_reg.vhd
-- |-- qspi_startup_block.vhd
-- |-- comp_defs.vhd -- (helper lib)
-- |-- async_fifo_fg.vhd -- (helper lib)
-- |-- qspi_look_up_logic.vhd
-- |-- qspi_mode_control_logic.vhd
-- |-- interrupt_control.vhd
-- |-- soft_reset.vhd
-- |--Enhanced_mode
-- |--axi_qspi_enhanced_mode.vhd
-- |-- qspi_addr_decoder.vhd
-- |-- qspi_core_interface.vhd
-- |-- qspi_cntrl_reg.vhd
-- |-- qspi_status_slave_sel_reg.vhd
-- |-- qspi_occupancy_reg.vhd
-- |-- qspi_fifo_ifmodule.vhd
-- |-- qspi_mode_0_module.vhd
-- |-- qspi_receive_transmit_reg.vhd
-- |-- qspi_startup_block.vhd
-- |-- comp_defs.vhd -- (helper lib)
-- |-- async_fifo_fg.vhd -- (helper lib)
-- |-- qspi_look_up_logic.vhd
-- |-- qspi_mode_control_logic.vhd
-- |-- interrupt_control.vhd
-- |-- soft_reset.vhd
-- |--XIP_mode
-- |-- axi_lite_ipif.vhd
-- |-- xip_cntrl_reg.vhd
-- |-- reset_sync_module.vhd
-- |-- xip_status_reg.vhd
-- |-- axi_qspi_xip_if.vhd
-- |-- qspi_addr_decoder.vhd
-- |-- async_fifo_fg.vhd -- (helper lib)
-- |-- comp_defs.vhd -- (helper lib)
-------------------------------------------------------------------------------
-- Author: SK
-- ~~~~~~
-- - Redesigned version of axi_spi. Based on xps spi v2.01.b
-- ^^^^^^
-- ~~~~~~
-- SK 12/16/12 -- v3.0
-- 1. up reved to major version for 2013.1 Vivado release. No logic updates.
-- 2. Updated the version of AXI LITE IPIF to v2.0 in X.Y format
-- 3. updated the proc common version to proc_common_v4_0
-- 4. No Logic Updates
-- ^^^^^^
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library proc_common_v4_0;
use proc_common_v4_0.proc_common_pkg.RESET_ACTIVE;
-------------------------------------------------------------------------------
-- Definition of Generics
-------------------------------------------------------------------------------
-- C_NUM_TRANSFER_BITS -- SPI Serial transfer width.
-- Can be 8, 16 or 32 bit wide
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Definition of Ports
-------------------------------------------------------------------------------
-- SYSTEM
-- Bus2IP_Clk -- Bus to IP clock
-- Soft_Reset_op -- Soft_Reset_op Signal
-- SLAVE ATTACHMENT INTERFACE
-- Bus2IP_Reg_RdCE -- Read CE for receive register
-- IP2Bus_RdAck_sa -- IP2Bus read acknowledgement
-- IP2Bus_Receive_Reg_Data -- Data to be send on the bus
-- Receive_ip2bus_error -- Receive register error signal
-- SPI MODULE INTERFACE
-- DRR_Overrun -- DRR Overrun bit
-- SR_7_Rx_Empty -- Receive register empty signal
-- SPI_Received_Data -- Data received from receive register
-- SPIXfer_done -- SPI transfer done flag
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Entity Declaration
-------------------------------------------------------------------------------
entity qspi_receive_transmit_reg is
generic
(
C_S_AXI_DATA_WIDTH : integer; -- 32 bits
---------------------
C_NUM_TRANSFER_BITS : integer -- Number of bits to be transferred
---------------------
);
port
(
Bus2IP_Clk : in std_logic;
Soft_Reset_op : in std_logic;
------------------------------------
-- RECEIVER RELATED SIGNALS
--=========================
Bus2IP_Receive_Reg_RdCE : in std_logic;
Receive_ip2bus_error : out std_logic;
IP2Bus_Receive_Reg_Data : out std_logic_vector
(0 to (C_NUM_TRANSFER_BITS-1));
-- SPI module ports
SPIXfer_done : in std_logic;
SPI_Received_Data : in std_logic_vector
(0 to (C_NUM_TRANSFER_BITS-1));
-- receive & transmit reg signals
-- DRR_Overrun : out std_logic;
SR_7_Rx_Empty : out std_logic;
------------------------------------
-- TRANSMITTER RELATED SIGNALS
--============================
-- Slave attachment ports
Bus2IP_Transmit_Reg_Data : in std_logic_vector(0 to (C_S_AXI_DATA_WIDTH-1));
Bus2IP_Transmit_Reg_WrCE : in std_logic;
Wr_ce_reduce_ack_gen : in std_logic;
Rd_ce_reduce_ack_gen : in std_logic;
--SPI Transmitter signals
Transmit_ip2bus_error : out std_logic;
-- SPI module ports
DTR_underrun : in std_logic;
SR_5_Tx_Empty : out std_logic;
DTR_Underrun_strobe : out std_logic;
Transmit_Reg_Data_Out : out std_logic_vector
(0 to (C_NUM_TRANSFER_BITS-1))
);
end qspi_receive_transmit_reg;
-------------------------------------------------------------------------------
-- Architecture
---------------
architecture imp of qspi_receive_transmit_reg is
---------------------------------------------------
----------------------------------------------------------------------------------
-- below attributes are added to reduce the synth warnings in Vivado tool
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes";
----------------------------------------------------------------------------------
-- Signal Declarations
----------------------
signal Received_register_Data : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1));
signal sr_7_Rx_Empty_reg : std_logic;
signal drr_Overrun_strobe : std_logic;
--------------------------------------------
signal sr_5_Tx_Empty_i : std_logic;
signal tx_Reg_Soft_Reset_op : std_logic;
signal dtr_Underrun_strobe_i : std_logic;
signal dtr_underrun_d1 : std_logic;
signal SPIXfer_done_delay : std_logic;
--------------------------------------------
begin
-----
-- RECEIVER LOGIC
--=================
-- Combinatorial operations
----------------------------
SR_7_Rx_Empty <= sr_7_Rx_Empty_reg;
-- DRR_Overrun <= drr_Overrun_strobe;
DELAY_XFER_DONE_P:process(Bus2IP_Clk)
begin
if (Bus2IP_Clk'event and Bus2IP_Clk='1') then
if (Soft_Reset_op = RESET_ACTIVE) then
SPIXfer_done_delay <= '0';
else
SPIXfer_done_delay <= SPIXfer_done;
end if;
end if;
end process DELAY_XFER_DONE_P;
-------------------------------------------------------------------------------
-- RECEIVE_REG_GENERATE : Receive Register Read Operation from SPI_Received_Data
-- register
--------------------------
RECEIVE_REG_GENERATE: for i in 0 to C_NUM_TRANSFER_BITS-1 generate
begin
-----
RECEIVE_REG_PROCESS_P:process(Bus2IP_Clk)
begin
if (Bus2IP_Clk'event and Bus2IP_Clk='1') then
if (Soft_Reset_op = RESET_ACTIVE) then
Received_register_Data(i) <= '0';
elsif (SPIXfer_done_delay = '1') then--((sr_7_Rx_Empty_reg and SPIXfer_done) = '1') then
Received_register_Data(i) <= SPI_Received_Data(i);
end if;
end if;
end process RECEIVE_REG_PROCESS_P;
-----
end generate RECEIVE_REG_GENERATE;
-------------------------------------------------------------------------------
-- RECEIVE_REG_RD_GENERATE : Receive Register Read Operation
-----------------------------
RECEIVE_REG_RD_GENERATE: for i in 0 to C_NUM_TRANSFER_BITS-1 generate
begin
IP2Bus_Receive_Reg_Data(i) <= Received_register_Data(i) and
Bus2IP_Receive_Reg_RdCE;
end generate RECEIVE_REG_RD_GENERATE;
-------------------------------------------------------------------------------
-- RX_ERROR_ACK_REG_PROCESS_P : Strobe error when receive register is empty
--------------------------------
RX_ERROR_ACK_REG_PROCESS_P:process(Bus2IP_Clk)
begin
if (Bus2IP_Clk'event and Bus2IP_Clk='1') then
Receive_ip2bus_error <= sr_7_Rx_Empty_reg and
Bus2IP_Receive_Reg_RdCE;
end if;
end process RX_ERROR_ACK_REG_PROCESS_P;
-------------------------------------------------------------------------------
-- SR_7_RX_EMPTY_REG_PROCESS_P : SR_7_Rx_Empty register
-------------------------------
SR_7_RX_EMPTY_REG_PROCESS_P:process(Bus2IP_Clk)
begin
if (Bus2IP_Clk'event and Bus2IP_Clk='1') then
if (Soft_Reset_op = RESET_ACTIVE) then
sr_7_Rx_Empty_reg <= '1';
elsif (SPIXfer_done = '1') then
sr_7_Rx_Empty_reg <= '0';
elsif ((rd_ce_reduce_ack_gen and Bus2IP_Receive_Reg_RdCE) = '1') then
sr_7_Rx_Empty_reg <= '1';
end if;
end if;
end process SR_7_RX_EMPTY_REG_PROCESS_P;
----******************************************************************************
-- TRANSMITTER LOGIC
--==================
-- Combinatorial operations
----------------------------
SR_5_Tx_Empty <= sr_5_Tx_Empty_i;
DTR_Underrun_strobe <= dtr_Underrun_strobe_i;
tx_Reg_Soft_Reset_op <= SPIXfer_done or Soft_Reset_op;
--------------------------------------
-------------------------------------------------------------------------------
-- TRANSMIT_REG_GENERATE : Transmit Register Write
---------------------------
TRANSMIT_REG_GENERATE: for i in 0 to C_NUM_TRANSFER_BITS-1 generate
begin
-----
TRANSMIT_REG_PROCESS_P:process(Bus2IP_Clk)
begin
if (Bus2IP_Clk'event and Bus2IP_Clk='1') then
if (tx_Reg_Soft_Reset_op = RESET_ACTIVE) then
Transmit_Reg_Data_Out(i) <= '0';
elsif ((wr_ce_reduce_ack_gen and Bus2IP_Transmit_Reg_WrCE) = '1')then
Transmit_Reg_Data_Out(i) <=
Bus2IP_Transmit_Reg_Data
(C_S_AXI_DATA_WIDTH-C_NUM_TRANSFER_BITS+i) after 100 ps;
end if;
end if;
end process TRANSMIT_REG_PROCESS_P;
-----
end generate TRANSMIT_REG_GENERATE;
-----------------------------------
-- TX_ERROR_ACK_REG_PROCESS_P : Strobe error when transmit register is full
--------------------------------
TX_ERROR_ACK_REG_PROCESS_P:process(Bus2IP_Clk)
begin
if (Bus2IP_Clk'event and Bus2IP_Clk='1') then
Transmit_ip2bus_error <= not(sr_5_Tx_Empty_i) and
Bus2IP_Transmit_Reg_WrCE;
end if;
end process TX_ERROR_ACK_REG_PROCESS_P;
-------------------------------------------------------------------------------
-- SR_5_TX_EMPTY_REG_PROCESS_P : Tx Empty generate
-------------------------------
SR_5_TX_EMPTY_REG_PROCESS_P:process(Bus2IP_Clk)
begin
if (Bus2IP_Clk'event and Bus2IP_Clk='1') then
if (Soft_Reset_op = RESET_ACTIVE) then
sr_5_Tx_Empty_i <= '1';
elsif ((wr_ce_reduce_ack_gen and Bus2IP_Transmit_Reg_WrCE) = '1') then
sr_5_Tx_Empty_i <= '0';
elsif (SPIXfer_done = '1') then
sr_5_Tx_Empty_i <= '1';
end if;
end if;
end process SR_5_TX_EMPTY_REG_PROCESS_P;
-------------------------------------------------------------------------------
-- DTR_UNDERRUN_REG_PROCESS_P : Strobe to interrupt for transmit data underrun
-- which happens only in slave mode
-----------------------------
DTR_UNDERRUN_REG_PROCESS_P:process(Bus2IP_Clk)
begin
if (Bus2IP_Clk'event and Bus2IP_Clk='1') then
if (Soft_Reset_op = RESET_ACTIVE) then
dtr_underrun_d1 <= '0';
else
dtr_underrun_d1 <= DTR_underrun;
end if;
end if;
end process DTR_UNDERRUN_REG_PROCESS_P;
---------------------------------------
dtr_Underrun_strobe_i <= DTR_underrun and (not dtr_underrun_d1);
--******************************************************************************
end imp;
--------------------------------------------------------------------------------
| mit | 624d859018a9289904f3007204519157 | 0.434818 | 4.569486 | false | false | false | false |
1995parham/FPGA-Homework | HW-3/src/p8/p8_t.vhd | 1 | 832 | --------------------------------------------------------------------------------
-- Author: Parham Alvani ([email protected])
--
-- Create Date: 26-04-2016
-- Module Name: p8_t.vhd
--------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
entity p8_t is
end entity;
architecture rtl of p8_t is
component p8
port (i1, i2 : in std_logic;
o : out std_logic;
clk, reset : in std_logic);
end component;
for all:p8 use entity work.p8(sequential);
signal clk : std_logic := '0';
signal reset, i1, i2, o : std_logic;
begin
clk <= not clk after 50 ns;
reset <= '1', '0' after 40 ns;
i1 <= '1', '0' after 110 ns, '1' after 220 ns;
i2 <= '0', '1' after 55 ns, '0' after 210 ns;
m : p8 port map (i1, i2, o, reset, clk);
end architecture;
| gpl-3.0 | e6f638b4939f7c988b6d8b383ef2e19f | 0.503606 | 3.104478 | false | false | false | false |
julioamerico/prj_crc_ip | src/SoC/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/@m@s@s_@b@f@m_@a@h@b2@a@p@b/_primary.vhd | 3 | 3,609 | library verilog;
use verilog.vl_types.all;
entity MSS_BFM_AHB2APB is
generic(
TPD : real := 0.100000;
T0 : vl_logic_vector(2 downto 0) := (Hi0, Hi0, Hi0);
T2 : vl_logic_vector(2 downto 0) := (Hi1, Hi0, Hi1);
T345 : vl_logic_vector(2 downto 0) := (Hi1, Hi1, Hi0);
TR0 : vl_logic_vector(2 downto 0) := (Hi1, Hi1, Hi1);
WAIT1 : vl_logic_vector(2 downto 0) := (Hi0, Hi0, Hi1);
WAIT2 : vl_logic_vector(2 downto 0) := (Hi0, Hi1, Hi0);
WAIT3 : vl_logic_vector(2 downto 0) := (Hi0, Hi1, Hi1);
WAIT4 : vl_logic_vector(2 downto 0) := (Hi1, Hi0, Hi0);
idle : vl_logic_vector(1 downto 0) := (Hi0, Hi0);
waitone : vl_logic_vector(1 downto 0) := (Hi0, Hi1);
waittwo : vl_logic_vector(1 downto 0) := (Hi1, Hi0);
waitthree : vl_logic_vector(1 downto 0) := (Hi1, Hi1)
);
port(
HCLK : in vl_logic;
HRESETN : in vl_logic;
HSEL : in vl_logic;
HWRITE : in vl_logic;
HADDR : in vl_logic_vector(31 downto 0);
HWDATA : in vl_logic_vector(31 downto 0);
HRDATA : out vl_logic_vector(31 downto 0);
HREADYIN : in vl_logic;
HREADYOUT : out vl_logic;
HTRANS : in vl_logic_vector(1 downto 0);
HSIZE : in vl_logic_vector(2 downto 0);
HBURST : in vl_logic_vector(2 downto 0);
HMASTLOCK : in vl_logic;
HPROT : in vl_logic_vector(3 downto 0);
HRESP : out vl_logic;
PSEL : out vl_logic_vector(15 downto 0);
PADDR : out vl_logic_vector(31 downto 0);
PWRITE : out vl_logic;
PENABLE : out vl_logic;
PWDATA : out vl_logic_vector(31 downto 0);
PRDATA : in vl_logic_vector(31 downto 0);
PREADY : in vl_logic;
PSLVERR : in vl_logic;
PCLK_DIV : in vl_logic_vector(1 downto 0)
);
attribute T0_mti_vect_attrib : integer;
attribute T0_mti_vect_attrib of T0 : constant is 0;
attribute T2_mti_vect_attrib : integer;
attribute T2_mti_vect_attrib of T2 : constant is 5;
attribute T345_mti_vect_attrib : integer;
attribute T345_mti_vect_attrib of T345 : constant is 6;
attribute TR0_mti_vect_attrib : integer;
attribute TR0_mti_vect_attrib of TR0 : constant is 7;
attribute WAIT1_mti_vect_attrib : integer;
attribute WAIT1_mti_vect_attrib of WAIT1 : constant is 1;
attribute WAIT2_mti_vect_attrib : integer;
attribute WAIT2_mti_vect_attrib of WAIT2 : constant is 2;
attribute WAIT3_mti_vect_attrib : integer;
attribute WAIT3_mti_vect_attrib of WAIT3 : constant is 3;
attribute WAIT4_mti_vect_attrib : integer;
attribute WAIT4_mti_vect_attrib of WAIT4 : constant is 4;
attribute idle_mti_vect_attrib : integer;
attribute idle_mti_vect_attrib of idle : constant is 0;
attribute waitone_mti_vect_attrib : integer;
attribute waitone_mti_vect_attrib of waitone : constant is 1;
attribute waittwo_mti_vect_attrib : integer;
attribute waittwo_mti_vect_attrib of waittwo : constant is 2;
attribute waitthree_mti_vect_attrib : integer;
attribute waitthree_mti_vect_attrib of waitthree : constant is 3;
end MSS_BFM_AHB2APB;
| gpl-3.0 | 8fa5cd37c1a23146351d05d073bb7125 | 0.550845 | 3.476879 | false | false | false | false |
zzhou007/161lab | lab02/my_alu.vhd | 1 | 1,215 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.ALL;
use work.all;
entity my_alu is
generic(NUMBITS : natural := 32);
Port ( A : in STD_LOGIC_VECTOR(NUMBITS - 1 downto 0);
B : in STD_LOGIC_VECTOR(NUMBITS - 1 downto 0);
opcode : in STD_LOGIC_VECTOR(3 downto 0);
result : out STD_LOGIC_VECTOR(NUMBITS + 3 downto 0);
carryout : out STD_LOGIC;
overflow : out STD_LOGIC;
zero : out STD_LOGIC);
end my_alu;
architecture behavior of my_alu is
signal Aout : std_logic_vector(NUMBITS -1 downto 0); --
signal Bout : std_logic_vector(NUMBITS -1 downto 0); --
signal Rout : std_logic_vector(NUMBITS -1 downto 0); --
begin
bcd_binA : entity work.bcd_bin port map (
I => A,
opcode => opcode,
O => Aout
);
bcd_binB : entity work.bcd_bin port map (
I => B,
opcode => opcode,
O => Bout
);
alu : entity work.bin_alu port map (
Atemp => A,
Btemp => B,
A => Aout,
B => Bout,
opcode => opcode,
overflow => overflow,
carryout => carryout,
zero => zero,
result => Rout
);
to_bcd : entity work.bin_bcd port map (
I => Rout,
opcode => opcode,
O => result
);
end;
| gpl-2.0 | 5e909d4cc0c5c4c0a9b9f951e8853a1b | 0.605761 | 3 | false | false | false | false |
HighlandersFRC/fpga | led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_quad_spi_0_0/dist_mem_gen_v8_0/spram/spram.vhd | 1 | 28,784 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
OjrzQBLE0r//NAc8KhKo4qOYZ7z6XVW3+OlHnFY6q/4CY0jgtXUDUxmx1dtOBsKG+AYdPNlj5Xvu
6vB7y7eqBw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ovYa3r0DaLMZt8pclCAGY2U2Jq3sbYoLrcfjm33uelKmkQ/hQ/XZJg8zngy8FkltZmpDnrsJSQzW
DrGJNofvM+wiAaUCGPLEi4xFtVE+FH4rchSC7BwHbRGgsYxv80Iy/uimOtciuLbQtew1+QsG9pju
599ckSLz0WlQJ2eMHGs=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
K4ZsObRhMRcHAnvqYyisEk4LiREJsMrJ9+TawZitmYLnd8a6l9J4jOyKnUJ8Udfqowam5csDzEb1
XpavdIoANM61FveLK7cyv/YrUu4tUXNMPUXPlaWSdaNesgn5LOA1bxHUtYiqvt2gXtdhszcIbl3n
JAL6JfhNYtipuUgX/AXe4VNZ9oZlQgNBtpLs59Nyr8QDZqRYf19ga/JDDpqMa9KlmbC7Sko4onlA
Sk0CSUBJujCGPJ1rorQ2N6J6yyB/tPohKY5E5bQMC8TaPFyQecfC4n0dy9jSaHWsz9D0QzIpOp7h
PdV6iFeK0lZH1WVUfAINAYfyO0mG5RkOHCvcTA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
1nO7d5++oisL0ScSOks+iPqvn5B/MJrrXz4kUuABYdq1B0ABl0RnAZDyH/3JYAliYe8n+BE717zJ
+CscOVFDXGK+85rDnpj3AWN8pcHYnLcg6IHooDm+lcA+Jl03mqdzx0QJuevEpyfzbjQz+Vyrzmf3
RIuBaGy0AKd5RDC0OF4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
H2rqqEVEuVzcOnYJ5eVT3EBwmJlwb77wjKR40SWJ/oCJObWs2Y79PkoBp4u8aNfXyZJY95LYGf9N
K0aIFvyRL3Mv4/9WGja8Ij12PvkJJrgDJHmDzoa9703i6CHP615h4Imp55mOasHyhCzZAdj6DsBO
/A/4MCdjdZ408bmxz1RcQn3Or+47BIvG3k28/el4nWF95x/11prJLsBUJQAv78fRQvTuNlVD39LU
F6t4/Pz/kJSXdrs4DqCOL1nHAVbASSZdu92l1Hv/36l+Kr/0FPp3d3ybJAy2RK1dDPs18DXxTI7Q
8GM32l7Oe5upixI+Hm8BsN0iHBUAD3vF1h6q+g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 19568)
`protect data_block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`protect end_protected
| mit | a89c59fa619c4c2a688808f8e099c8bb | 0.94483 | 1.861115 | false | false | false | false |
6769/VHDL | Lab_1/allofcode.vhd | 1 | 9,794 | --partA
--file for first VHDL Documents.!!!
entity NumberAnDisplay is
port(
-- Input ports
V : in bit_vector(3 downto 0);
-- Output ports
z : buffer bit;
M : buffer bit_vector(3 downto 0);
-- 7 Segment Display
segment7:out bit_vector(6 downto 0);
--segment7:out bit_vector();
segment7_point:out bit :='1';
segment7_1:out bit_vector(6 downto 0);
segment7_1_point:out bit :='1'
);
end NumberAnDisplay;
architecture CircuitA_Mux of NumberAnDisplay is
signal midM : bit_vector(2 downto 0);
--assignment about <:='0000'> correspond?
begin
z<= V(3)and (V(2)or V(1));
--circuitA part
midM(0)<=V(0);
midM(1)<=not V(1);
midM(2)<=V(2)and V(1);
--Multiplexer part
M(3)<=(not z) and V(3) ;
M(2)<=((not z) and V(2)) or (z and midM(2));
M(1)<=((not z) and V(1)) or (z and midM(1));
M(0)<=((not z) and V(0)) or (z and midM(0));
--7 Segment Display
process (M)
BEGIN
case M is
when "0000"=> segment7 <="1000000"; -- '0'
when "0001"=> segment7 <="1111001"; -- '1'
when "0010"=> segment7 <="0100100"; -- '2'
when "0011"=> segment7 <="0110000"; -- '3'
when "0100"=> segment7 <="0011001"; -- '4'
when "0101"=> segment7 <="0010010"; -- '5'
when "0110"=> segment7 <="0000010"; -- '6'
when "0111"=> segment7 <="1111000"; -- '7'
when "1000"=> segment7 <="0000000"; -- '8'
when "1001"=> segment7 <="0010000"; -- '9'
--nothing is displayed when a number more than 9 is given as input.
when others=> segment7 <="1111111";
end case;
end process;
process (z)
BEGIN
case z is
when '0'=> segment7_1 <="1000000"; -- '0'
when '1'=> segment7_1 <="1111001"; -- '1'
--nothing is displayed when a number more than 9 is given as input.
when others=> segment7 <="1111111";
end case;
end process;
end CircuitA_Mux;
--------------------------------------------------------
-- partB --
--------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
--finally synthesis all component;
entity Input_Display is
port(adder1,adder2:in bit_vector(7 downto 0);
adder1_hex_display,adder2_hex_display:out bit_vector(15 downto 0);
sum:out bit_vector(23 downto 0)
);
end entity Input_Display;
architecture combination of Input_Display is
--bcd4-adder;
component Bcd2digitAdder
port (adder1,adder2:in bit_vector(7 downto 0);
result:out bit_vector(7 downto 0);
finalCarry:out bit);
end component;
--7segment decoder;
component Segment7Decoder is
port (bcd : in bit_vector(3 downto 0); --BCD input
segment7 : out bit_vector(6 downto 0) -- 7 bit decoded output.
);
end component;
signal result_in_bcd:bit_vector(7 downto 0);
signal HighBit:bit;
signal tower:bit_vector(3 downto 0):="0000";
signal point_value:bit:='1';
begin
tower(0)<= HighBit;
Bcdadder:Bcd2digitAdder port map(adder1,adder2,result_in_bcd,HighBit);
--display Adder1;
--lower 4
Dis7Segment_adder1_lower:Segment7Decoder port map(adder1(3 downto 0),adder1_hex_display(7 downto 1));
--higher 4
Dis7Segment_adder1_higer:Segment7Decoder port map(adder1(7 downto 4),adder1_hex_display(15 downto 9));
--point in bit 8,0
--display Adder2 ;
--lower 4
Dis7Segment_adder2_lower:Segment7Decoder port map(adder2(3 downto 0),adder2_hex_display(7 downto 1));
--higher 4
Dis7Segment_adder2_higer:Segment7Decoder port map(adder2(7 downto 4),adder2_hex_display(15 downto 9));
--display result_in_bcd
Dis7Segment_result_lower:Segment7Decoder port map(result_in_bcd(3 downto 0),sum( 7 downto 1));
Dis7Segment_result_higer:Segment7Decoder port map(result_in_bcd(7 downto 4),sum(15 downto 9));
Dis7Segment_result_tower:Segment7Decoder port map(tower ,sum(23 downto 17));
--point in bit 16,8,0;
--reset All of Point in segment ;
adder1_hex_display(0)<=point_value;
adder1_hex_display(8)<=point_value;
adder2_hex_display(0)<=point_value;
adder2_hex_display(8)<=point_value;
sum(0)<=point_value;
sum(8)<=point_value;
sum(16)<=point_value;
end architecture combination;
entity Bcd2digitAdder is
port (adder1,adder2:in bit_vector(7 downto 0);
result:out bit_vector(7 downto 0);
finalCarry:out bit);
end entity Bcd2digitAdder;
architecture structure of Bcd2digitAdder is
signal mid_carry_adjust:bit;
signal midResult:bit_vector(7 downto 0);
signal mid_carry:bit_vector(1 downto 0);
--include FullAdderl;
component Adder4
port(A,B:in bit_vector (3 downto 0);
cin:in bit ;
S:out bit_vector(3 downto 0);
cout:buffer bit);
end component;
--include adjust part
component adjustAdder4
port(origin:in bit_vector(3 downto 0);
adjusted:out bit_vector(3 downto 0);
carryIn:in bit;
carryAdjusted:out bit);
end component;
begin
-- 4bits FullAdder
FA4_low :Adder4 port map(adder1(3 downto 0),adder2(3 downto 0),'0',
midResult(3 downto 0),mid_carry(0));
FA4_high:Adder4 port map(adder1(7 downto 4),adder2(7 downto 4),mid_carry_adjust,
midResult(7 downto 4),mid_carry(1));
--adjust 4bits
ADJust4_low: adjustAdder4 port map(midResult(3 downto 0),result(3 downto 0),mid_carry(0),mid_carry_adjust);
ADjust4_high:adjustAdder4 port map(midResult(7 downto 4),result(7 downto 4),mid_carry(1),finalCarry);
--output in result(7 downto 0),finalcarry,
end architecture structure;
entity adjustAdder4 is
port(origin:in bit_vector(3 downto 0);
adjusted:out bit_vector(3 downto 0);
carryIn:in bit;
carryAdjusted:out bit);
end adjustAdder4;
architecture conversion of adjustAdder4 is
component Adder4
port(A,B:in bit_vector (3 downto 0);
cin:in bit ;
S:out bit_vector(3 downto 0);
cout:buffer bit);
end component;
signal z:bit:='0';
signal never_use:bit;
signal S_mid:bit_vector(3 downto 0);
begin
z<=carryIn or (origin(3)and (origin(2) or origin(1)) );
carryAdjusted<=z;
FA4:Adder4 port map (origin,"0110",'0',S_mid,never_use);
adjusted<=origin when z='0'
else s_mid ;
end conversion;
--another function is adjust BCD ,like the instruct DA in 8051;
--A pure 4bits fullAdder;
entity Adder4 is
port(A,B:in bit_vector (3 downto 0);
cin:in bit ;
S:out bit_vector(3 downto 0);
cout:buffer bit);
end Adder4;
architecture bit4FullAdder of Adder4 is
component FullAdder
port(a,b,cin:in bit ;
s,cout:out bit );
end component;
signal C:bit_vector(3 downto 1);--internal carry bit ;
begin
FA0:FullAdder port map(A(0),B(0),cin ,S(0),C(1));
FA1:FullAdder port map(A(1),B(1),C(1),S(1),C(2));
FA2:FullAdder port map(A(2),B(2),C(2),S(2),C(3));
FA3:FullAdder port map(A(3),B(3),C(3),S(3),cout);
end bit4FullAdder;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity Segment7Decoder is
port (bcd : in bit_vector(3 downto 0); --BCD input
segment7 : out bit_vector(6 downto 0) -- 7 bit decoded output.
);
end Segment7Decoder;
--'a' corresponds to MSB of segment7 and g corresponds to LSB of segment7.
architecture Behavioral of Segment7Decoder is
begin
process (bcd)
BEGIN
case bcd is
when "0000"=> segment7 <="1000000"; -- '0'
when "0001"=> segment7 <="1111001"; -- '1'
when "0010"=> segment7 <="0100100"; -- '2'
when "0011"=> segment7 <="0110000"; -- '3'
when "0100"=> segment7 <="0011001"; -- '4'
when "0101"=> segment7 <="0010010"; -- '5'
when "0110"=> segment7 <="0000010"; -- '6'
when "0111"=> segment7 <="1111000"; -- '7'
when "1000"=> segment7 <="0000000"; -- '8'
when "1001"=> segment7 <="0010000"; -- '9'
--nothing is displayed when a number more than 9 is given as input.
when others=> segment7 <="1111111";
end case;
end process;
end Behavioral;
--------------------------------------------------------
--- partC ---
--------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
--finally synthesis all component;
entity Input_Display is
port(adder1,adder2:in std_logic_vector(7 downto 0);
adder1_hex_display,adder2_hex_display:out std_logic_vector(15 downto 0);
sum: out std_logic_vector(23 downto 0)
);
end entity Input_Display;
architecture combination of Input_Display is
--signal A0,B0,T0,Z0,A1,B1,T1,Z1,S0,S1,S2:std_logic_vector(3 downto 0):="0000";
signal A0,B0,T0,Z0,A1,B1,T1,Z1,S0,S1,S2:std_logic_vector(3 downto 0);
signal c1,c2:std_logic;
component Segment7Decoder
port (bcd : in std_logic_vector(3 downto 0); --BCD input
segment7 : out std_logic_vector(6 downto 0) -- 7 bit decoded output.
);
end component;
begin
A0<=adder1(3 downto 0);B0<=adder2(3 downto 0);
A1<=adder1(7 downto 4);B1<=adder2(7 downto 4);
process(adder1,adder2)
begin
T0<=A0+B0;
if T0>9 then Z0<="1010";c1<='1';
else Z0<="0000";c1<='0';
end if;
S0<=T0-Z0;
T1<=A1+B1+c1;
if(T1>9) then Z1<="1010";c2<='1';
else Z1<="0000";c2<='0';
end if;
S1<=T1-Z1;
S2<="000"&c2;
end process;
--adder1
Display_adder1_lower:Segment7Decoder port map(A0,adder1_hex_display(7 downto 1));
Display_adder1_higer:Segment7Decoder port map(A1,adder1_hex_display(15 downto 9));
--adder2
Display_adder2_lower:Segment7Decoder port map(B0,adder2_hex_display(7 downto 1));
Display_adder2_higer:Segment7Decoder port map(B1,adder2_hex_display(15 downto 9));
--result
Res_lower:Segment7Decoder port map(S0,sum(7 downto 1));
Res_higer:Segment7Decoder port map(S1,sum(15 downto 9));
Res_tower:Segment7Decoder port map(S2,sum(23 downto 17));
--point
sum(0)<='1';
sum(8)<='1';
sum(16)<='1';
adder1_hex_display(0)<='1';
adder1_hex_display(8)<='1';
adder2_hex_display(0)<='1';
adder2_hex_display(8)<='1';
end architecture combination;
| gpl-2.0 | 03087440e2c129fc5241ac8ce290ac6b | 0.652849 | 2.858727 | false | false | false | false |
fupolarbear/THU-Class-CO-makecomputer | src/VGA/ipcore_dir/blk_mem_gen_v7_3/simulation/blk_mem_gen_v7_3_tb.vhd | 3 | 4,370 | --------------------------------------------------------------------------------
--
-- BLK MEM GEN v7_3 Core - Top File for the Example Testbench
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
-- Filename: blk_mem_gen_v7_3_tb.vhd
-- Description:
-- Testbench Top
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: Sep 12, 2011 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY work;
USE work.ALL;
ENTITY blk_mem_gen_v7_3_tb IS
END ENTITY;
ARCHITECTURE blk_mem_gen_v7_3_tb_ARCH OF blk_mem_gen_v7_3_tb IS
SIGNAL STATUS : STD_LOGIC_VECTOR(8 DOWNTO 0);
SIGNAL CLK : STD_LOGIC := '1';
SIGNAL RESET : STD_LOGIC;
BEGIN
CLK_GEN: PROCESS BEGIN
CLK <= NOT CLK;
WAIT FOR 100 NS;
CLK <= NOT CLK;
WAIT FOR 100 NS;
END PROCESS;
RST_GEN: PROCESS BEGIN
RESET <= '1';
WAIT FOR 1000 NS;
RESET <= '0';
WAIT;
END PROCESS;
--STOP_SIM: PROCESS BEGIN
-- WAIT FOR 200 US; -- STOP SIMULATION AFTER 1 MS
-- ASSERT FALSE
-- REPORT "END SIMULATION TIME REACHED"
-- SEVERITY FAILURE;
--END PROCESS;
--
PROCESS BEGIN
WAIT UNTIL STATUS(8)='1';
IF( STATUS(7 downto 0)/="0") THEN
ASSERT false
REPORT "Test Completed Successfully"
SEVERITY NOTE;
REPORT "Simulation Failed"
SEVERITY FAILURE;
ELSE
ASSERT false
REPORT "TEST PASS"
SEVERITY NOTE;
REPORT "Test Completed Successfully"
SEVERITY FAILURE;
END IF;
END PROCESS;
blk_mem_gen_v7_3_synth_inst:ENTITY work.blk_mem_gen_v7_3_synth
PORT MAP(
CLK_IN => CLK,
RESET_IN => RESET,
STATUS => STATUS
);
END ARCHITECTURE;
| mit | de1a0d2d428ecb95119957ad8a65222f | 0.619222 | 4.495885 | false | false | false | false |
1995parham/FPGA-Homework | HW-2/src/p10/p10.vhd | 1 | 1,478 | --------------------------------------------------------------------------------
-- Author: Parham Alvani ([email protected])
--
-- Create Date: 29-03-2016
-- Module Name: p10.vhd
--------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
use IEEE.numeric_std.all;
entity squart is
generic (N : integer := 8);
port (clk : in std_logic;
data_in : in std_logic_vector (N - 1 downto 0);
data_out : out std_logic_vector (N - 1 downto 0));
end squart;
architecture rtl of squart is
signal result : std_logic_vector (N - 1 downto 0);
signal mask : std_logic_vector (N - 1 downto 0);
begin
process (clk, data_in)
variable data_buff : std_logic_vector (N - 1 downto 0);
begin
if data_in'event then
data_buff := data_in;
mask <= ((N - 2) => '1', others => '0');
result <= (others => '0');
elsif clk'event and clk = '1' then
if mask > data_in then
mask <= std_logic_vector(shift_right(unsigned(mask), 2));
elsif unsigned(mask) /= 0 then
if data_buff >= result + mask then
data_buff := data_buff - (result + mask);
result <= std_logic_vector(shift_right(unsigned(result), 1)) + mask;
else
result <= std_logic_vector(shift_right(unsigned(result), 1));
end if;
mask <= std_logic_vector(shift_right(unsigned(mask), 2));
else
data_out <= result;
end if;
end if;
end process;
end architecture rtl;
| gpl-3.0 | 9f9eea16f591fea79aa02b504b62d8da | 0.567659 | 3.255507 | false | false | false | false |
sorgelig/SAMCoupe_MIST | t80/T80_Reg.vhd | 1 | 4,373 | --------------------------------------------------------------------------------
-- ****
-- T80(c) core. Attempt to finish all undocumented features and provide
-- accurate timings.
-- Version 350.
-- Copyright (c) 2018 Sorgelig
-- Test passed: ZEXDOC, ZEXALL, Z80Full(*), Z80memptr
-- (*) Currently only SCF and CCF instructions aren't passed X/Y flags check as
-- correct implementation is still unclear.
--
-- ****
-- T80(b) core. In an effort to merge and maintain bug fixes ....
--
--
-- Ver 300 started tidyup
-- MikeJ March 2005
-- Latest version from www.fpgaarcade.com (original www.opencores.org)
--
-- ****
--
-- T80 Registers, technology independent
--
-- Version : 0244
--
-- Copyright (c) 2002 Daniel Wallner ([email protected])
--
-- All rights reserved
--
-- Redistribution and use in source and synthezised forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
--
-- Redistributions in synthesized form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
-- specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-- Please report bugs to the author, but before you do so, please
-- make sure that this is not a derivative work and that
-- you have the latest version of this file.
--
-- The latest version of this file can be found at:
-- http://www.opencores.org/cvsweb.shtml/t51/
--
-- Limitations :
--
-- File history :
--
-- 0242 : Initial release
--
-- 0244 : Changed to single register file
--
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity T80_Reg is
port(
Clk : in std_logic;
CEN : in std_logic;
WEH : in std_logic;
WEL : in std_logic;
AddrA : in std_logic_vector(2 downto 0);
AddrB : in std_logic_vector(2 downto 0);
AddrC : in std_logic_vector(2 downto 0);
DIH : in std_logic_vector(7 downto 0);
DIL : in std_logic_vector(7 downto 0);
DOAH : out std_logic_vector(7 downto 0);
DOAL : out std_logic_vector(7 downto 0);
DOBH : out std_logic_vector(7 downto 0);
DOBL : out std_logic_vector(7 downto 0);
DOCH : out std_logic_vector(7 downto 0);
DOCL : out std_logic_vector(7 downto 0);
DOR : out std_logic_vector(127 downto 0)
);
end T80_Reg;
architecture rtl of T80_Reg is
type Register_Image is array (natural range <>) of std_logic_vector(7 downto 0);
signal RegsH : Register_Image(0 to 7);
signal RegsL : Register_Image(0 to 7);
begin
process (Clk)
begin
if rising_edge(Clk) then
if CEN = '1' then
if WEH = '1' then
RegsH(to_integer(unsigned(AddrA))) <= DIH;
end if;
if WEL = '1' then
RegsL(to_integer(unsigned(AddrA))) <= DIL;
end if;
end if;
end if;
end process;
DOAH <= RegsH(to_integer(unsigned(AddrA)));
DOAL <= RegsL(to_integer(unsigned(AddrA)));
DOBH <= RegsH(to_integer(unsigned(AddrB)));
DOBL <= RegsL(to_integer(unsigned(AddrB)));
DOCH <= RegsH(to_integer(unsigned(AddrC)));
DOCL <= RegsL(to_integer(unsigned(AddrC)));
DOR <= RegsH(7) & RegsL(7) & RegsH(6) & RegsL(6) & RegsH(5) & RegsL(5) & RegsH(4) & RegsL(4) & RegsH(3) & RegsL(3) & RegsH(2) & RegsL(2) & RegsH(1) & RegsL(1) & RegsH(0) & RegsL(0);
end;
| gpl-2.0 | 4e49b01087df17f14ad34dbc05448ce7 | 0.678253 | 3.566884 | false | false | false | false |
1995parham/FPGA-Homework | HW-3/src/p6/p6-3.vhd | 1 | 2,172 | --------------------------------------------------------------------------------
-- Author: Parham Alvani ([email protected])
--
-- Create Date: 25-04-2016
-- Module Name: p6-3.vhd
--------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
entity seq_detector_3 is
port (reset, clk, w : in std_logic
z : out std_logic);
end entity;
architecture rtl of seq_detector_3 is
type state is (rst, s_1, s_10, s_11, s_100, s_111)
signal current_state, next_state : state;
begin
process (clk)
begin
if reset = '1' then
current_state <= rst;
elsif clk'event and clk = '1' then
currnet_state <= next_state;
end if;
end process;
process (current_state, w)
begin
if current_state = rst then
if w = '1' then
next_state <= s_1;
else
next_state <= rst;
end if;
elsif currnet_state = s_1 then
if w = '1' then
next_state <= s_11;
else
next_state <= s_10;
end if;
elsif current_state = s_11 then
if w = '1' then
next_state <= s_111;
else
next_state <= s_10;
end if;
elsif current_state = s_10 then
if w = '1' then
next_state <= s_1;
else
next_state <= s_100;
end if;
elsif current_state = s_100 then
if w = '1' then
next_state <= s_1;
else
next_state <= rst;
end if;
elsif current_state = s_111 then
if w = '1' then
next_state <= s_1;
else
next_state <= S_10;
end if;
end if;
end process;
process (current_state, w)
begin
if current_state = rst then
if w = '1' then
z <= '0';
else
z <= '0';
end if;
elsif currnet_state = s_1 then
if w = '1' then
z <= '0';
else
z <= '0';
end if;
elsif current_state = s_11 then
if w = '1' then
z <= '0';
else
z <= '0';
end if;
elsif current_state = s_10 then
if w = '1' then
z <= '0';
else
z <= '0';
end if;
elsif current_state = s_100 then
if w = '1' then
z <= '1';
else
z <= '0';
end if;
elsif current_state = s_111 then
if w = '1' then
z <= '1';
else
z <= '0';
end if;
end if;
end process;
end architecture;
| gpl-3.0 | de46106b32418611a711709e6c4aadc6 | 0.514733 | 2.735516 | false | false | false | false |
6769/VHDL | Lab_6/View.vhd | 2 | 4,554 | library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_signed.all;
use ieee.numeric_std.all;
entity View is
port (
DIN : in STD_LOGIC_VECTOR(15 downto 0);
Resetn, Clock, Run : in STD_LOGIC;
Done : out STD_LOGIC;
BusWires : buffer STD_LOGIC_VECTOR(15 downto 0)
);
end View;
architecture Behavior of View is
--declare component
--
--
component dec3to8 --InstructionSet decoder to multiplexers
port (
W : in STD_LOGIC_VECTOR(2 downto 0);
En : in STD_LOGIC;
Y : out STD_LOGIC_VECTOR(0 to 7)
);
end component;
component regn --usual register
Generic (n : Integer := 16);
Port (
R : In STD_LOGIC_VECTOR(n - 1 Downto 0);
Rin, Clock : In STD_LOGIC;
Q : Buffer STD_LOGIC_VECTOR(n - 1 Downto 0)
);
end component;
component upcount
port (
Clear, Clock : in STD_LOGIC;
Q : out STD_LOGIC_VECTOR(1 downto 0)
);
end component;
component Addsub_Unit
Generic (n : Integer := 16);
port(
a,b: in std_logic_vector(n-1 downto 0);
select_add_sub: in std_logic;
result: buffer std_logic_vector(n-1 downto 0)
);
end component;
component multiplexers
generic(
N:integer:=2;--number of register;
n_multi:integer:=16 --bus width
);
port(
DataIn,reg_G:in std_logic_vector(n_multi-1 downto 0);
reg0: in std_logic_vector(n_multi-1 downto 0);
reg1: in std_logic_vector(n_multi-1 downto 0);
control_reg:in std_logic_vector( 0 to N-1);
control_GDi:in std_logic_vector(1 downto 0);
out_to_bus: buffer std_logic_vector(n_multi-1 downto 0)
);
end component;
component Control_unit
generic(
--the number of universal register
n_of_reg:integer:=8
);
port(
--IR control_unit
IRset:in std_logic_vector(0 to 8);--instruction length =9 bits
IRin:out std_logic;
--multiplexer
Riout:out std_logic_vector(0 to n_of_reg-1);
Gout,DINout:out std_logic;
--Register Data in
Rin:out std_logic_vector(0 to n_of_reg-1);
Ain,Gin:out std_logic;
--ALU control_unit
AddSub:out std_logic;
--Counter state
Tstep_Q:in std_logic_vector(1 downto 0);
Clear:out std_logic;
--singular control signal
Run,Resetn:in std_logic;
Done:buffer std_logic
);
end component;
--declare signals
--
--
subtype regwidth is std_logic_vector(15 downto 0);
signal R0,R1,A,G:regwidth;
---------------------------------
--Control_unit output
---------------------------------
--IR control_unit
signal IRset: std_logic_vector(0 to 8);--instruction length =9 bits
signal IRin: std_logic;
--multiplexer
signal Riout: std_logic_vector(0 to 7);
signal Gout,DINout: std_logic;
--Register Data in
signal Rin: std_logic_vector(0 to 7);
signal Ain,Gin: std_logic;
--ALU control_unit
signal AddSub: std_logic;
--Counter state
signal Tstep_Q: std_logic_vector(1 downto 0);
signal Clear: std_logic;
--singular control signal
--signal Run,Resetn: std_logic;
--signal Done: std_logic;
-------------------------------------
signal ALU_result:std_logic_vector(15 downto 0);
begin
Tstep : upcount port map(Clear, Clock , Tstep_Q);
-- Din, RinControl,Clk,ROut
reg_0 : regn port map(BusWires, Rin(0), Clock, R0);
reg_1 : regn port map(BusWires, Rin(1), Clock, R1);
reg_A : regn port map(BusWires, Ain, Clock, A );
reg_G : regn port map(ALU_result, Gin, Clock, G );
reg_IR: regn generic map(9) port map(DIN(15 downto 7),IRin,Clock,IRset);
ALU_Unit:
Addsub_Unit port map(A, BusWires,AddSub, ALU_result);
--instantiate other registers and the adder/subtracter unit
Multiplexer_Unit:
multiplexers generic map(N=>2,n_multi=>16) port map(DIN,G,R0,R1,Riout(0 to 1) ,Gout&DINout,BusWires);
--define the bus
--Control_unit
--------------------
Control_unit_label:Control_unit port map(
IRset,--instruction length =9 bits
IRin,
--multiplexer
Riout,
Gout,DINout,
--Register Data in
Rin,
Ain,Gin,
--ALU control_unit
AddSub,
--Counter state
Tstep_Q,
Clear,
--singular control signal
Run,Resetn,
Done
);
--------------------
end architecture Behavior; | gpl-2.0 | 8f0075acf4fab73a55b69772f03072b9 | 0.576856 | 3.401046 | false | false | false | false |
gregani/la16fw | clockmux.vhd | 1 | 3,797 | --
-- This file is part of the la16fw project.
--
-- Copyright (C) 2014-2015 Gregor Anich
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity clockmux is
generic(
n_log2 : integer := 2
);
port(
clk_ctl : in std_logic; -- control clock
clk_sel : in std_logic_vector(n_log2-1 downto 0);
clk_in : in std_logic_vector(2**n_log2-1 downto 0);
clk_out : out std_logic
);
end clockmux;
architecture behavioral of clockmux is
type state_t is(
idle, -- no clock active
start, -- switch on clock
stop, -- switch off clock
active -- clk_out active
);
subtype vector_t is std_logic_vector(2**n_log2-1 downto 0);
signal state : state_t := idle;
signal cur_clk_sel : unsigned(n_log2-1 downto 0);
signal clk_run_set : vector_t := (others=>'0');
signal clk_run_get : vector_t;
signal clk_running_set : vector_t := (others=>'0');
signal clk_running_get : vector_t;
signal clk_in_gated : vector_t;
-- FIXME: which signal needs TIG so it's ignored that cur_clk_sel switches the clk_out signal?
attribute TIG : string;
attribute TIG of clk_in : signal is "TRUE";
begin
gen : for i in 0 to 2**n_log2-1 generate
begin
signal_run_inst : entity work.syncsignal
generic map(
negedge => true --shift on neg edge
)
port map(
clk_output => clk_in(i),
input => clk_run_set(i),
output => clk_run_get(i)
);
signal_running_inst : entity work.syncsignal
generic map(
negedge => true --shift on neg edge
)
port map(
clk_output => clk_ctl,
input => clk_running_set(i),
output => clk_running_get(i)
);
clk_running_set(i) <= clk_run_get(i);
--clk_in_gated(i) <= clk_in(i) and clk_run_get(i);
clk_in_gated(i) <= clk_in(i) when (clk_run_get(i) = '1') else '0';
end generate gen;
clk_out <= clk_in_gated(to_integer(cur_clk_sel));
process(clk_ctl)
begin
if rising_edge(clk_ctl) then
if (state = idle) then
cur_clk_sel <= unsigned(clk_sel);
clk_run_set(to_integer(unsigned(clk_sel))) <= '1';
state <= start;
elsif (state = start) then
if (clk_running_get(to_integer(cur_clk_sel)) = '1') then
state <= active;
end if;
elsif (state = stop) then
if (clk_running_get(to_integer(cur_clk_sel)) = '0') then
state <= idle;
end if;
elsif (state = active) then
if (unsigned(clk_sel) /= cur_clk_sel) then
state <= stop;
clk_run_set(to_integer(cur_clk_sel)) <= '0';
end if;
end if;
end if;
end process;
end behavioral;
| gpl-2.0 | 31bd5bb046ddbff111dc7696b17c936c | 0.555439 | 3.770606 | false | false | false | false |
fupolarbear/THU-Class-CO-makecomputer | src/CPU/PCReg.vhd | 1 | 1,285 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 19:00:34 11/21/2013
-- Design Name:
-- Module Name: PCReg - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use Common.all;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity PCReg is
Port (
Input : in Int16;
Output : out Int16;
clk : in STD_LOGIC;
rst : in STD_LOGIC;
PCWrite : in STD_LOGIC
);
end PCReg;
architecture Behavioral of PCReg is
begin
process(CLK, RST)
begin
if (rst = '0') then
Output <= Int16_Zero;
elsif (clk'event and clk = '1') then
if (PCwrite = '1') then
Output <= Input;
end if;
end if;
end process;
end Behavioral;
| mit | 18f7c0f884b4e8f384ec0eca3b96f9ce | 0.553307 | 3.59944 | false | false | false | false |
julioamerico/prj_crc_ip | src/SoC/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/@f2@a@b_@f060/_primary.vhd | 3 | 4,185 | library verilog;
use verilog.vl_types.all;
entity F2AB_F060 is
generic(
WIDTH : integer := 32;
DAC_RESOLUTION : vl_logic_vector(5 downto 0) := (Hi0, Hi0, Hi0, Hi0, Hi0, Hi0);
WARNING_MSGS_ON : integer := 1;
FAST_ADC_CONV_SIM: integer := 0;
ANALOG_QUAD_NUM : integer := 6;
ADC_NUM : integer := 1;
NUM_ADC_IN : integer := 5;
VAREF_INT : real := 2.560000
);
port(
AV1 : in vl_logic_vector(5 downto 0);
AV2 : in vl_logic_vector(5 downto 0);
AC : in vl_logic_vector(5 downto 0);
AT : in vl_logic_vector(5 downto 0);
ATGND_01 : in vl_logic;
ATGND_23 : in vl_logic;
ATGND_45 : in vl_logic;
VAREF : in vl_logic_vector(2 downto 0);
ADCGNDREF : in vl_logic;
ADC_VAREFSEL : in vl_logic;
ADC0 : in vl_logic_vector(3 downto 0);
ADC1 : in vl_logic_vector(3 downto 0);
ADC2 : in vl_logic_vector(3 downto 0);
ADC_F060 : in vl_logic_vector(13 downto 0);
DEN_ADC : in vl_logic_vector(11 downto 0);
ADC0_PWRDWN : in vl_logic;
ADC0_ADCRESET : in vl_logic;
ADC0_SYSCLK : in vl_logic;
ADC0_CHNUMBER : in vl_logic_vector(4 downto 0);
ADC0_MODE : in vl_logic_vector(3 downto 0);
ADC0_TVC : in vl_logic_vector(7 downto 0);
ADC0_STC : in vl_logic_vector(7 downto 0);
ADC0_ADCSTART : in vl_logic;
ADC1_PWRDWN : in vl_logic;
ADC1_ADCRESET : in vl_logic;
ADC1_SYSCLK : in vl_logic;
ADC1_CHNUMBER : in vl_logic_vector(4 downto 0);
ADC1_MODE : in vl_logic_vector(3 downto 0);
ADC1_TVC : in vl_logic_vector(7 downto 0);
ADC1_STC : in vl_logic_vector(7 downto 0);
ADC1_ADCSTART : in vl_logic;
ADC2_PWRDWN : in vl_logic;
ADC2_ADCRESET : in vl_logic;
ADC2_SYSCLK : in vl_logic;
ADC2_CHNUMBER : in vl_logic_vector(4 downto 0);
ADC2_MODE : in vl_logic_vector(3 downto 0);
ADC2_TVC : in vl_logic_vector(7 downto 0);
ADC2_STC : in vl_logic_vector(7 downto 0);
ADC2_ADCSTART : in vl_logic;
ACB_RST : in vl_logic;
ACB_WEN : in vl_logic;
ACB_ADDR : in vl_logic_vector(7 downto 0);
ACB_WDATA : in vl_logic_vector(7 downto 0);
ADC_VAREFOUT : out vl_logic;
ACB_RDATA : out vl_logic_vector(7 downto 0);
ADC0_BUSY : out vl_logic;
ADC0_CALIBRATE : out vl_logic;
ADC0_DATAVALID : out vl_logic;
ADC0_SAMPLE : out vl_logic;
ADC0_RESULT : out vl_logic_vector(11 downto 0);
ADC1_BUSY : out vl_logic;
ADC1_CALIBRATE : out vl_logic;
ADC1_DATAVALID : out vl_logic;
ADC1_SAMPLE : out vl_logic;
ADC1_RESULT : out vl_logic_vector(11 downto 0);
ADC2_BUSY : out vl_logic;
ADC2_CALIBRATE : out vl_logic;
ADC2_DATAVALID : out vl_logic;
ADC2_SAMPLE : out vl_logic;
ADC2_RESULT : out vl_logic_vector(11 downto 0);
DACOUT0 : out vl_logic;
DACOUT1 : out vl_logic;
DACOUT2 : out vl_logic;
DIG_ADC : out vl_logic_vector(11 downto 0);
OBD_DIN : in vl_logic_vector(2 downto 0);
OBD_CLKIN : in vl_logic_vector(2 downto 0);
OBD_ENABLE : in vl_logic_vector(2 downto 0);
COMPARATOR : out vl_logic_vector(11 downto 0)
);
attribute DAC_RESOLUTION_mti_vect_attrib : integer;
attribute DAC_RESOLUTION_mti_vect_attrib of DAC_RESOLUTION : constant is 0;
end F2AB_F060;
| gpl-3.0 | edc4ae4d71271f2a888a36df05078d4e | 0.487455 | 3.39141 | false | false | false | false |
HighlandersFRC/fpga | oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/proc_common_v4_0/hdl/src/vhdl/coregen_comp_defs.vhd | 12 | 52,359 | -------------------------------------------------------------------------------
-- $Id:$
-------------------------------------------------------------------------------
-- coregen_comp_defs - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: coregen_comp_defs.vhd
-- Version: initial
-- Description:
-- Component declarations for all black box netlists generated by
-- running COREGEN and FIFO Generator when XST elaborated the client core
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- -- coregen_comp_defs.vhd
-- |
-- |--- XilinxCoreLib.fifo_generator_v9_2
-- |--- XilinxCoreLib.fifo_generator_v9_3
-- |
-- |--- XilinxCoreLib.blk_mem_gen_v7_1
-- |--- XilinxCoreLib.blk_mem_gen_v7_3
--
-------------------------------------------------------------------------------
-- Author: DET
-- History:
-- DET 02/01/2008 Initial Version
--
-- DET 2/01/2008 for proc_common_v4_0
-- ~~~~~~
-- - Adapted coregen_comp_defs.vhd from proc_common_v2_00_a to create
-- this file.
-- - Changed instance of sync fifo to use new wrapper file that will adapt
-- to FIFO Generator primitive.
-- - Replaced "edk_generatecore" with "generatecore" utility call
-- - Removed the CAM component
-- ^^^^^^
--
-- DET 7/30/2008 for EDK 11.1
-- ~~~~~~
-- - Added component for Fifo Generator version 4.3
-- - Added Block Memory Generator Component Version 2.7
-- ^^^^^^
--
-- MSH 2/26/2009 for EDK 11.1
-- ~~~~~~
-- - Added component for Fifo Generator version 5.1
-- - Added Block Memory Generator Component Version 3.1
-- ^^^^^^
--
-- DET 3/2/2009 for EDK 11.1
-- ~~~~~~
-- - Added new Parameters and ports for Fifo Generatore 5.1.
-- ^^^^^^
--
-- DET 3/30/2009 EDK 11.2
-- ~~~~~~
-- - Had to reorder parameter list of FIFO Generator 4.3 component to match
-- the corresponding Verilog model due to NCSIM positional order
-- dependancy of parameters in vhdl/verilog use case.
-- ^^^^^^
--
-- DET 4/8/2009 EDK 11.2
-- ~~~~~~
-- - Added blk_mem_gen_v3_2
-- ^^^^^^
--
-- DET 4/9/2009 EDK 11.2
-- ~~~~~~
-- - Added fifo_generator_v5_2
-- ^^^^^^
--
-- DET 2/9/2010 For EDK 12.1
-- ~~~~~~
-- - Added fifo_generator_v5_3
-- - Added blk_mem_gen_v3_3
-- ^^^^^^
--
-- DET 3/10/2010 For EDK 12.x
-- ~~~~~~
-- -- Per CR553307
-- - Added fifo_generator_v6_1
-- - Added blk_mem_gen_v4_1
-- ^^^^^^
--
-- DET 3/17/2010 Initial
-- ~~~~~~
-- -- Per CR554253
-- - Incorporated changes to comment out FLOP_DELAY parameter from the
-- blk_mem_gen_v4_1 component. This parameter is on the XilinxCoreLib
-- model for blk_mem_gen_v4_1 but is declared as a TIME type for the
-- vhdl version and an integer for the verilog.
-- ^^^^^^
--
-- DET 10/04/2010 EDK 13.1
-- ~~~~~~
-- - Added fifo_generator_v7_3
-- - Added blk_mem_gen_v5_2
-- ^^^^^^
--
-- DET 12/8/2010 EDK 13.1
-- ~~~~~~
-- -- Per CR586109
-- - Replaced fifo_generator v7.3 with v8.1
-- - Added blk_mem_gen_v6_1
-- ^^^^^^
--
-- DET 12/17/2010 EDK 13.1
-- ~~~~~~
-- -- Per CR587494
-- - Removed blk_mem_gen v6_1
-- ^^^^^^
--
-- DET 3/2/2011 EDK 13.2
-- ~~~~~~
-- -- Per CR595473
-- - Update to use fifo_generator_v8_2
-- - Update to use blk_mem_gen_v6_2
-- - Remove out of date components.
-- ^^^^^^
--
-- DET 3/3/2011 EDK 13.2
-- ~~~~~~
-- - Removed C_ELABORATION_DIR parameter from the component decalarion
-- ^^^^^^
--
-- DET 3/7/2011 EDK 13.2
-- ~~~~~~
-- -- Per CR596052
-- - Added removed fifo generator and Blk Mem Gen components back into
-- coregen_comp_defs.
-- ^^^^^^
--
-- RBODDU 08/18/2011 EDK 13.3
-- ~~~~~~
-- - Update to use fifo_generator_v8_3
-- ^^^^^^
--
-------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
library fifo_generator_v11_0;
use fifo_generator_v11_0.all;
library blk_mem_gen_v8_1;
use blk_mem_gen_v8_1.all;
PACKAGE coregen_comp_defs IS
--------------------------------------------------------
-- Declare general attributes used in this file
-- for defining each component being used with
-- the generatecore utility
attribute box_type: string;
attribute GENERATOR_DEFAULT: string;
-------------------------------------------------------
-------------------------------------------------------------------------------------
-- Start FIFO Generator Component for fifo_generator_v11_0
-- The Component declaration for fifo_generator_v11_0 pulled from the
-- Coregen version of
-- file: fifo_generator_v11_0_comp.vhd.
--
-- This component is used for both dual clock (async) and synchronous fifos
-- implemented with BRAM or distributed RAM. Hard FIFO simulation support may not
-- be provided in FIFO Generator V10.0 so not supported here.
--
-- Note: AXI ports and parameters added for this version of FIFO Generator.
--
-------------------------------------------------------------------------------------
COMPONENT fifo_generator_v11_0
GENERIC (
-------------------------------------------------------------------------
-- Generic Declarations
-------------------------------------------------------------------------
C_COMMON_CLOCK : integer := 0;
C_COUNT_TYPE : integer := 0;
C_DATA_COUNT_WIDTH : integer := 2;
C_DEFAULT_VALUE : string := "";
C_DIN_WIDTH : integer := 8;
C_DOUT_RST_VAL : string := "";
C_DOUT_WIDTH : integer := 8;
C_ENABLE_RLOCS : integer := 0;
C_FAMILY : string := "virtex6";
C_FULL_FLAGS_RST_VAL : integer := 1;
C_HAS_ALMOST_EMPTY : integer := 0;
C_HAS_ALMOST_FULL : integer := 0;
C_HAS_BACKUP : integer := 0;
C_HAS_DATA_COUNT : integer := 0;
C_HAS_INT_CLK : integer := 0;
C_HAS_MEMINIT_FILE : integer := 0;
C_HAS_OVERFLOW : integer := 0;
C_HAS_RD_DATA_COUNT : integer := 0;
C_HAS_RD_RST : integer := 0;
C_HAS_RST : integer := 1;
C_HAS_SRST : integer := 0;
C_HAS_UNDERFLOW : integer := 0;
C_HAS_VALID : integer := 0;
C_HAS_WR_ACK : integer := 0;
C_HAS_WR_DATA_COUNT : integer := 0;
C_HAS_WR_RST : integer := 0;
C_IMPLEMENTATION_TYPE : integer := 0;
C_INIT_WR_PNTR_VAL : integer := 0;
C_MEMORY_TYPE : integer := 1;
C_MIF_FILE_NAME : string := "";
C_OPTIMIZATION_MODE : integer := 0;
C_OVERFLOW_LOW : integer := 0;
C_PRELOAD_LATENCY : integer := 1;
C_PRELOAD_REGS : integer := 0;
C_PRIM_FIFO_TYPE : string := "4kx4";
C_PROG_EMPTY_THRESH_ASSERT_VAL : integer := 0;
C_PROG_EMPTY_THRESH_NEGATE_VAL : integer := 0;
C_PROG_EMPTY_TYPE : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL : integer := 0;
C_PROG_FULL_THRESH_NEGATE_VAL : integer := 0;
C_PROG_FULL_TYPE : integer := 0;
C_RD_DATA_COUNT_WIDTH : integer := 2;
C_RD_DEPTH : integer := 256;
C_RD_FREQ : integer := 1;
C_RD_PNTR_WIDTH : integer := 8;
C_UNDERFLOW_LOW : integer := 0;
C_USE_DOUT_RST : integer := 0;
C_USE_ECC : integer := 0;
C_USE_EMBEDDED_REG : integer := 0;
C_USE_FIFO16_FLAGS : integer := 0;
C_USE_FWFT_DATA_COUNT : integer := 0;
C_VALID_LOW : integer := 0;
C_WR_ACK_LOW : integer := 0;
C_WR_DATA_COUNT_WIDTH : integer := 2;
C_WR_DEPTH : integer := 256;
C_WR_FREQ : integer := 1;
C_WR_PNTR_WIDTH : integer := 8;
C_WR_RESPONSE_LATENCY : integer := 1;
C_MSGON_VAL : integer := 1;
C_ENABLE_RST_SYNC : integer := 1;
C_ERROR_INJECTION_TYPE : integer := 0;
C_SYNCHRONIZER_STAGE : integer := 2;
-- AXI Interface related parameters start here
C_INTERFACE_TYPE : integer := 0; -- 0: Native Interface; 1: AXI4 Stream; 2: AXI4/AXI3
C_AXI_TYPE : integer := 0; -- 1: AXI4; 2: AXI4 Lite; 3: AXI3
C_HAS_AXI_WR_CHANNEL : integer := 0;
C_HAS_AXI_RD_CHANNEL : integer := 0;
C_HAS_SLAVE_CE : integer := 0;
C_HAS_MASTER_CE : integer := 0;
C_ADD_NGC_CONSTRAINT : integer := 0;
C_USE_COMMON_OVERFLOW : integer := 0;
C_USE_COMMON_UNDERFLOW : integer := 0;
C_USE_DEFAULT_SETTINGS : integer := 0;
-- AXI Full/Lite
C_AXI_ID_WIDTH : integer := 4;
C_AXI_ADDR_WIDTH : integer := 32;
C_AXI_DATA_WIDTH : integer := 64;
C_AXI_LEN_WIDTH : integer := 8;
C_AXI_LOCK_WIDTH : integer := 2;
C_HAS_AXI_ID : integer := 0;
C_HAS_AXI_AWUSER : integer := 0;
C_HAS_AXI_WUSER : integer := 0;
C_HAS_AXI_BUSER : integer := 0;
C_HAS_AXI_ARUSER : integer := 0;
C_HAS_AXI_RUSER : integer := 0;
C_AXI_ARUSER_WIDTH : integer := 1;
C_AXI_AWUSER_WIDTH : integer := 1;
C_AXI_WUSER_WIDTH : integer := 1;
C_AXI_BUSER_WIDTH : integer := 1;
C_AXI_RUSER_WIDTH : integer := 1;
-- AXI Streaming
C_HAS_AXIS_TDATA : integer := 0;
C_HAS_AXIS_TID : integer := 0;
C_HAS_AXIS_TDEST : integer := 0;
C_HAS_AXIS_TUSER : integer := 0;
C_HAS_AXIS_TREADY : integer := 1;
C_HAS_AXIS_TLAST : integer := 0;
C_HAS_AXIS_TSTRB : integer := 0;
C_HAS_AXIS_TKEEP : integer := 0;
C_AXIS_TDATA_WIDTH : integer := 64;
C_AXIS_TID_WIDTH : integer := 8;
C_AXIS_TDEST_WIDTH : integer := 4;
C_AXIS_TUSER_WIDTH : integer := 4;
C_AXIS_TSTRB_WIDTH : integer := 4;
C_AXIS_TKEEP_WIDTH : integer := 4;
-- AXI Channel Type
-- WACH --> Write Address Channel
-- WDCH --> Write Data Channel
-- WRCH --> Write Response Channel
-- RACH --> Read Address Channel
-- RDCH --> Read Data Channel
-- AXIS --> AXI Streaming
C_WACH_TYPE : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic
C_WDCH_TYPE : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_WRCH_TYPE : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_RACH_TYPE : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_RDCH_TYPE : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_AXIS_TYPE : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
-- AXI Implementation Type
-- 1 = Common Clock Block RAM FIFO
-- 2 = Common Clock Distributed RAM FIFO
-- 5 = Common Clock Built-in FIFO
-- 11 = Independent Clock Block RAM FIFO
-- 12 = Independent Clock Distributed RAM FIFO
C_IMPLEMENTATION_TYPE_WACH : integer := 1;
C_IMPLEMENTATION_TYPE_WDCH : integer := 1;
C_IMPLEMENTATION_TYPE_WRCH : integer := 1;
C_IMPLEMENTATION_TYPE_RACH : integer := 1;
C_IMPLEMENTATION_TYPE_RDCH : integer := 1;
C_IMPLEMENTATION_TYPE_AXIS : integer := 1;
-- AXI FIFO Type
-- 0 = Data FIFO
-- 1 = Packet FIFO
-- 2 = Low Latency Sync FIFO
-- 3 = Low Latency Async FIFO
C_APPLICATION_TYPE_WACH : integer := 0;
C_APPLICATION_TYPE_WDCH : integer := 0;
C_APPLICATION_TYPE_WRCH : integer := 0;
C_APPLICATION_TYPE_RACH : integer := 0;
C_APPLICATION_TYPE_RDCH : integer := 0;
C_APPLICATION_TYPE_AXIS : integer := 0;
-- Enable ECC
-- 0 = ECC disabled
-- 1 = ECC enabled
C_USE_ECC_WACH : integer := 0;
C_USE_ECC_WDCH : integer := 0;
C_USE_ECC_WRCH : integer := 0;
C_USE_ECC_RACH : integer := 0;
C_USE_ECC_RDCH : integer := 0;
C_USE_ECC_AXIS : integer := 0;
-- ECC Error Injection Type
-- 0 = No Error Injection
-- 1 = Single Bit Error Injection
-- 2 = Double Bit Error Injection
-- 3 = Single Bit and Double Bit Error Injection
C_ERROR_INJECTION_TYPE_WACH : integer := 0;
C_ERROR_INJECTION_TYPE_WDCH : integer := 0;
C_ERROR_INJECTION_TYPE_WRCH : integer := 0;
C_ERROR_INJECTION_TYPE_RACH : integer := 0;
C_ERROR_INJECTION_TYPE_RDCH : integer := 0;
C_ERROR_INJECTION_TYPE_AXIS : integer := 0;
-- Input Data Width
-- Accumulation of all AXI input signal's width
C_DIN_WIDTH_WACH : integer := 32;
C_DIN_WIDTH_WDCH : integer := 64;
C_DIN_WIDTH_WRCH : integer := 2;
C_DIN_WIDTH_RACH : integer := 32;
C_DIN_WIDTH_RDCH : integer := 64;
C_DIN_WIDTH_AXIS : integer := 1;
C_WR_DEPTH_WACH : integer := 16;
C_WR_DEPTH_WDCH : integer := 1024;
C_WR_DEPTH_WRCH : integer := 16;
C_WR_DEPTH_RACH : integer := 16;
C_WR_DEPTH_RDCH : integer := 1024;
C_WR_DEPTH_AXIS : integer := 1024;
C_WR_PNTR_WIDTH_WACH : integer := 4;
C_WR_PNTR_WIDTH_WDCH : integer := 10;
C_WR_PNTR_WIDTH_WRCH : integer := 4;
C_WR_PNTR_WIDTH_RACH : integer := 4;
C_WR_PNTR_WIDTH_RDCH : integer := 10;
C_WR_PNTR_WIDTH_AXIS : integer := 10;
C_HAS_DATA_COUNTS_WACH : integer := 0;
C_HAS_DATA_COUNTS_WDCH : integer := 0;
C_HAS_DATA_COUNTS_WRCH : integer := 0;
C_HAS_DATA_COUNTS_RACH : integer := 0;
C_HAS_DATA_COUNTS_RDCH : integer := 0;
C_HAS_DATA_COUNTS_AXIS : integer := 0;
C_HAS_PROG_FLAGS_WACH : integer := 0;
C_HAS_PROG_FLAGS_WDCH : integer := 0;
C_HAS_PROG_FLAGS_WRCH : integer := 0;
C_HAS_PROG_FLAGS_RACH : integer := 0;
C_HAS_PROG_FLAGS_RDCH : integer := 0;
C_HAS_PROG_FLAGS_AXIS : integer := 0;
-- 0: No Programmable FULL
-- 1: Single Programmable FULL Threshold Constant
-- 3: Single Programmable FULL Threshold Input Port
C_PROG_FULL_TYPE_WACH : integer := 5;
C_PROG_FULL_TYPE_WDCH : integer := 5;
C_PROG_FULL_TYPE_WRCH : integer := 5;
C_PROG_FULL_TYPE_RACH : integer := 5;
C_PROG_FULL_TYPE_RDCH : integer := 5;
C_PROG_FULL_TYPE_AXIS : integer := 5;
-- Single Programmable FULL Threshold Constant Assert Value
C_PROG_FULL_THRESH_ASSERT_VAL_WACH : integer := 1023;
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : integer := 1023;
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : integer := 1023;
C_PROG_FULL_THRESH_ASSERT_VAL_RACH : integer := 1023;
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : integer := 1023;
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : integer := 1023;
-- 0: No Programmable EMPTY
-- 1: Single Programmable EMPTY Threshold Constant
-- 3: Single Programmable EMPTY Threshold Input Port
C_PROG_EMPTY_TYPE_WACH : integer := 5;
C_PROG_EMPTY_TYPE_WDCH : integer := 5;
C_PROG_EMPTY_TYPE_WRCH : integer := 5;
C_PROG_EMPTY_TYPE_RACH : integer := 5;
C_PROG_EMPTY_TYPE_RDCH : integer := 5;
C_PROG_EMPTY_TYPE_AXIS : integer := 5;
-- Single Programmable EMPTY Threshold Constant Assert Value
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : integer := 1022;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : integer := 1022;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : integer := 1022;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : integer := 1022;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : integer := 1022;
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : integer := 1022;
C_REG_SLICE_MODE_WACH : integer := 0;
C_REG_SLICE_MODE_WDCH : integer := 0;
C_REG_SLICE_MODE_WRCH : integer := 0;
C_REG_SLICE_MODE_RACH : integer := 0;
C_REG_SLICE_MODE_RDCH : integer := 0;
C_REG_SLICE_MODE_AXIS : integer := 0
);
PORT(
------------------------------------------------------------------------------
-- Input and Output Declarations
------------------------------------------------------------------------------
-- Conventional FIFO Interface Signals
backup : in std_logic := '0';
backup_marker : in std_logic := '0';
clk : in std_logic := '0';
rst : in std_logic := '0';
srst : in std_logic := '0';
wr_clk : in std_logic := '0';
wr_rst : in std_logic := '0';
rd_clk : in std_logic := '0';
rd_rst : in std_logic := '0';
din : in std_logic_vector(C_DIN_WIDTH-1 downto 0) := (others => '0');
wr_en : in std_logic := '0';
rd_en : in std_logic := '0';
-- optional inputs
prog_empty_thresh : in std_logic_vector(C_RD_PNTR_WIDTH-1 downto 0) := (others => '0');
prog_empty_thresh_assert : in std_logic_vector(C_RD_PNTR_WIDTH-1 downto 0) := (others => '0');
prog_empty_thresh_negate : in std_logic_vector(C_RD_PNTR_WIDTH-1 downto 0) := (others => '0');
prog_full_thresh : in std_logic_vector(C_WR_PNTR_WIDTH-1 downto 0) := (others => '0');
prog_full_thresh_assert : in std_logic_vector(C_WR_PNTR_WIDTH-1 downto 0) := (others => '0');
prog_full_thresh_negate : in std_logic_vector(C_WR_PNTR_WIDTH-1 downto 0) := (others => '0');
int_clk : in std_logic := '0';
injectdbiterr : in std_logic := '0';
injectsbiterr : in std_logic := '0';
dout : out std_logic_vector(C_DOUT_WIDTH-1 downto 0) := (others => '0');
full : out std_logic := '0';
almost_full : out std_logic := '0';
wr_ack : out std_logic := '0';
overflow : out std_logic := '0';
empty : out std_logic := '1';
almost_empty : out std_logic := '1';
valid : out std_logic := '0';
underflow : out std_logic := '0';
data_count : out std_logic_vector(C_DATA_COUNT_WIDTH-1 downto 0) := (others => '0');
rd_data_count : out std_logic_vector(C_RD_DATA_COUNT_WIDTH-1 downto 0) := (others => '0');
wr_data_count : out std_logic_vector(C_WR_DATA_COUNT_WIDTH-1 downto 0) := (others => '0');
prog_full : out std_logic := '0';
prog_empty : out std_logic := '1';
sbiterr : out std_logic := '0';
dbiterr : out std_logic := '0';
-- axi global signal
m_aclk : in std_logic := '0';
s_aclk : in std_logic := '0';
s_aresetn : in std_logic := '1'; -- Active low reset, default value set to 1
m_aclk_en : in std_logic := '0';
s_aclk_en : in std_logic := '0';
-- axi full/lite slave write channel (write side)
s_axi_awid : in std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (others => '0');
s_axi_awaddr : in std_logic_vector(C_AXI_ADDR_WIDTH-1 downto 0) := (others => '0');
s_axi_awlen : in std_logic_vector(C_AXI_LEN_WIDTH-1 downto 0) := (others => '0');
s_axi_awsize : in std_logic_vector(3-1 downto 0) := (others => '0');
s_axi_awburst : in std_logic_vector(2-1 downto 0) := (others => '0');
s_axi_awlock : in std_logic_vector(C_AXI_LOCK_WIDTH-1 downto 0) := (others => '0');
s_axi_awcache : in std_logic_vector(4-1 downto 0) := (others => '0');
s_axi_awprot : in std_logic_vector(3-1 downto 0) := (others => '0');
s_axi_awqos : in std_logic_vector(4-1 downto 0) := (others => '0');
s_axi_awregion : in std_logic_vector(4-1 downto 0) := (others => '0');
s_axi_awuser : in std_logic_vector(C_AXI_AWUSER_WIDTH-1 downto 0) := (others => '0');
s_axi_awvalid : in std_logic := '0';
s_axi_awready : out std_logic := '0';
s_axi_wid : in std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (others => '0');
s_axi_wdata : in std_logic_vector(C_AXI_DATA_WIDTH-1 downto 0) := (others => '0');
s_axi_wstrb : in std_logic_vector(C_AXI_DATA_WIDTH/8-1 downto 0) := (others => '0');
s_axi_wlast : in std_logic := '0';
s_axi_wuser : in std_logic_vector(C_AXI_WUSER_WIDTH-1 downto 0) := (others => '0');
s_axi_wvalid : in std_logic := '0';
s_axi_wready : out std_logic := '0';
s_axi_bid : out std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (others => '0');
s_axi_bresp : out std_logic_vector(2-1 downto 0) := (others => '0');
s_axi_buser : out std_logic_vector(C_AXI_BUSER_WIDTH-1 downto 0) := (others => '0');
s_axi_bvalid : out std_logic := '0';
s_axi_bready : in std_logic := '0';
-- axi full/lite master write channel (read side)
m_axi_awid : out std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (others => '0');
m_axi_awaddr : out std_logic_vector(C_AXI_ADDR_WIDTH-1 downto 0) := (others => '0');
m_axi_awlen : out std_logic_vector(C_AXI_LEN_WIDTH-1 downto 0) := (others => '0');
m_axi_awsize : out std_logic_vector(3-1 downto 0) := (others => '0');
m_axi_awburst : out std_logic_vector(2-1 downto 0) := (others => '0');
m_axi_awlock : out std_logic_vector(C_AXI_LOCK_WIDTH-1 downto 0) := (others => '0');
m_axi_awcache : out std_logic_vector(4-1 downto 0) := (others => '0');
m_axi_awprot : out std_logic_vector(3-1 downto 0) := (others => '0');
m_axi_awqos : out std_logic_vector(4-1 downto 0) := (others => '0');
m_axi_awregion : out std_logic_vector(4-1 downto 0) := (others => '0');
m_axi_awuser : out std_logic_vector(C_AXI_AWUSER_WIDTH-1 downto 0) := (others => '0');
m_axi_awvalid : out std_logic := '0';
m_axi_awready : in std_logic := '0';
m_axi_wid : out std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (others => '0');
m_axi_wdata : out std_logic_vector(C_AXI_DATA_WIDTH-1 downto 0) := (others => '0');
m_axi_wstrb : out std_logic_vector(C_AXI_DATA_WIDTH/8-1 downto 0) := (others => '0');
m_axi_wlast : out std_logic := '0';
m_axi_wuser : out std_logic_vector(C_AXI_WUSER_WIDTH-1 downto 0) := (others => '0');
m_axi_wvalid : out std_logic := '0';
m_axi_wready : in std_logic := '0';
m_axi_bid : in std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (others => '0');
m_axi_bresp : in std_logic_vector(2-1 downto 0) := (others => '0');
m_axi_buser : in std_logic_vector(C_AXI_BUSER_WIDTH-1 downto 0) := (others => '0');
m_axi_bvalid : in std_logic := '0';
m_axi_bready : out std_logic := '0';
-- axi full/lite slave read channel (write side)
s_axi_arid : in std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (others => '0');
s_axi_araddr : in std_logic_vector(C_AXI_ADDR_WIDTH-1 downto 0) := (others => '0');
s_axi_arlen : in std_logic_vector(C_AXI_LEN_WIDTH-1 downto 0) := (others => '0');
s_axi_arsize : in std_logic_vector(3-1 downto 0) := (others => '0');
s_axi_arburst : in std_logic_vector(2-1 downto 0) := (others => '0');
s_axi_arlock : in std_logic_vector(C_AXI_LOCK_WIDTH-1 downto 0) := (others => '0');
s_axi_arcache : in std_logic_vector(4-1 downto 0) := (others => '0');
s_axi_arprot : in std_logic_vector(3-1 downto 0) := (others => '0');
s_axi_arqos : in std_logic_vector(4-1 downto 0) := (others => '0');
s_axi_arregion : in std_logic_vector(4-1 downto 0) := (others => '0');
s_axi_aruser : in std_logic_vector(C_AXI_ARUSER_WIDTH-1 downto 0) := (others => '0');
s_axi_arvalid : in std_logic := '0';
s_axi_arready : out std_logic := '0';
s_axi_rid : out std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (others => '0');
s_axi_rdata : out std_logic_vector(C_AXI_DATA_WIDTH-1 downto 0) := (others => '0');
s_axi_rresp : out std_logic_vector(2-1 downto 0) := (others => '0');
s_axi_rlast : out std_logic := '0';
s_axi_ruser : out std_logic_vector(C_AXI_RUSER_WIDTH-1 downto 0) := (others => '0');
s_axi_rvalid : out std_logic := '0';
s_axi_rready : in std_logic := '0';
-- axi full/lite master read channel (read side)
m_axi_arid : out std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (others => '0');
m_axi_araddr : out std_logic_vector(C_AXI_ADDR_WIDTH-1 downto 0) := (others => '0');
m_axi_arlen : out std_logic_vector(C_AXI_LEN_WIDTH-1 downto 0) := (others => '0');
m_axi_arsize : out std_logic_vector(3-1 downto 0) := (others => '0');
m_axi_arburst : out std_logic_vector(2-1 downto 0) := (others => '0');
m_axi_arlock : out std_logic_vector(C_AXI_LOCK_WIDTH-1 downto 0) := (others => '0');
m_axi_arcache : out std_logic_vector(4-1 downto 0) := (others => '0');
m_axi_arprot : out std_logic_vector(3-1 downto 0) := (others => '0');
m_axi_arqos : out std_logic_vector(4-1 downto 0) := (others => '0');
m_axi_arregion : out std_logic_vector(4-1 downto 0) := (others => '0');
m_axi_aruser : out std_logic_vector(C_AXI_ARUSER_WIDTH-1 downto 0) := (others => '0');
m_axi_arvalid : out std_logic := '0';
m_axi_arready : in std_logic := '0';
m_axi_rid : in std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (others => '0');
m_axi_rdata : in std_logic_vector(C_AXI_DATA_WIDTH-1 downto 0) := (others => '0');
m_axi_rresp : in std_logic_vector(2-1 downto 0) := (others => '0');
m_axi_rlast : in std_logic := '0';
m_axi_ruser : in std_logic_vector(C_AXI_RUSER_WIDTH-1 downto 0) := (others => '0');
m_axi_rvalid : in std_logic := '0';
m_axi_rready : out std_logic := '0';
-- axi streaming slave signals (write side)
s_axis_tvalid : in std_logic := '0';
s_axis_tready : out std_logic := '0';
s_axis_tdata : in std_logic_vector(C_AXIS_TDATA_WIDTH-1 downto 0) := (others => '0');
s_axis_tstrb : in std_logic_vector(C_AXIS_TSTRB_WIDTH-1 downto 0) := (others => '0');
s_axis_tkeep : in std_logic_vector(C_AXIS_TKEEP_WIDTH-1 downto 0) := (others => '0');
s_axis_tlast : in std_logic := '0';
s_axis_tid : in std_logic_vector(C_AXIS_TID_WIDTH-1 downto 0) := (others => '0');
s_axis_tdest : in std_logic_vector(C_AXIS_TDEST_WIDTH-1 downto 0) := (others => '0');
s_axis_tuser : in std_logic_vector(C_AXIS_TUSER_WIDTH-1 downto 0) := (others => '0');
-- axi streaming master signals (read side)
m_axis_tvalid : out std_logic := '0';
m_axis_tready : in std_logic := '0';
m_axis_tdata : out std_logic_vector(C_AXIS_TDATA_WIDTH-1 downto 0) := (others => '0');
m_axis_tstrb : out std_logic_vector(C_AXIS_TSTRB_WIDTH-1 downto 0) := (others => '0');
m_axis_tkeep : out std_logic_vector(C_AXIS_TKEEP_WIDTH-1 downto 0) := (others => '0');
m_axis_tlast : out std_logic := '0';
m_axis_tid : out std_logic_vector(C_AXIS_TID_WIDTH-1 downto 0) := (others => '0');
m_axis_tdest : out std_logic_vector(C_AXIS_TDEST_WIDTH-1 downto 0) := (others => '0');
m_axis_tuser : out std_logic_vector(C_AXIS_TUSER_WIDTH-1 downto 0) := (others => '0');
-- axi full/lite write address channel signals
axi_aw_injectsbiterr : in std_logic := '0';
axi_aw_injectdbiterr : in std_logic := '0';
axi_aw_prog_full_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 downto 0) := (others => '0');
axi_aw_prog_empty_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 downto 0) := (others => '0');
axi_aw_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_WACH downto 0) := (others => '0');
axi_aw_wr_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_WACH downto 0) := (others => '0');
axi_aw_rd_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_WACH downto 0) := (others => '0');
axi_aw_sbiterr : out std_logic := '0';
axi_aw_dbiterr : out std_logic := '0';
axi_aw_overflow : out std_logic := '0';
axi_aw_underflow : out std_logic := '0';
axi_aw_prog_full : out std_logic := '0';
axi_aw_prog_empty : out std_logic := '1';
-- axi_aw_almost_full : out std_logic := '0';
-- axi_aw_almost_empty : out std_logic := '1';
-- axi full/lite write data channel signals
axi_w_injectsbiterr : in std_logic := '0';
axi_w_injectdbiterr : in std_logic := '0';
axi_w_prog_full_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 downto 0) := (others => '0');
axi_w_prog_empty_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 downto 0) := (others => '0');
axi_w_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_WDCH downto 0) := (others => '0');
axi_w_wr_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_WDCH downto 0) := (others => '0');
axi_w_rd_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_WDCH downto 0) := (others => '0');
axi_w_sbiterr : out std_logic := '0';
axi_w_dbiterr : out std_logic := '0';
axi_w_overflow : out std_logic := '0';
axi_w_underflow : out std_logic := '0';
axi_w_prog_full : out std_logic := '0';
axi_w_prog_empty : out std_logic := '1';
-- axi_w_almost_full : out std_logic := '0';
-- axi_w_almost_empty : out std_logic := '1';
-- axi full/lite write response channel signals
axi_b_injectsbiterr : in std_logic := '0';
axi_b_injectdbiterr : in std_logic := '0';
axi_b_prog_full_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 downto 0) := (others => '0');
axi_b_prog_empty_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 downto 0) := (others => '0');
axi_b_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_WRCH downto 0) := (others => '0');
axi_b_wr_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_WRCH downto 0) := (others => '0');
axi_b_rd_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_WRCH downto 0) := (others => '0');
axi_b_sbiterr : out std_logic := '0';
axi_b_dbiterr : out std_logic := '0';
axi_b_overflow : out std_logic := '0';
axi_b_underflow : out std_logic := '0';
axi_b_prog_full : out std_logic := '0';
axi_b_prog_empty : out std_logic := '1';
-- axi_b_almost_full : out std_logic := '0';
-- axi_b_almost_empty : out std_logic := '1';
-- axi full/lite read address channel signals
axi_ar_injectsbiterr : in std_logic := '0';
axi_ar_injectdbiterr : in std_logic := '0';
axi_ar_prog_full_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 downto 0) := (others => '0');
axi_ar_prog_empty_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 downto 0) := (others => '0');
axi_ar_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_RACH downto 0) := (others => '0');
axi_ar_wr_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_RACH downto 0) := (others => '0');
axi_ar_rd_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_RACH downto 0) := (others => '0');
axi_ar_sbiterr : out std_logic := '0';
axi_ar_dbiterr : out std_logic := '0';
axi_ar_overflow : out std_logic := '0';
axi_ar_underflow : out std_logic := '0';
axi_ar_prog_full : out std_logic := '0';
axi_ar_prog_empty : out std_logic := '1';
-- axi_ar_almost_full : out std_logic := '0';
-- axi_ar_almost_empty : out std_logic := '1';
-- axi full/lite read data channel signals
axi_r_injectsbiterr : in std_logic := '0';
axi_r_injectdbiterr : in std_logic := '0';
axi_r_prog_full_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 downto 0) := (others => '0');
axi_r_prog_empty_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 downto 0) := (others => '0');
axi_r_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_RDCH downto 0) := (others => '0');
axi_r_wr_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_RDCH downto 0) := (others => '0');
axi_r_rd_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_RDCH downto 0) := (others => '0');
axi_r_sbiterr : out std_logic := '0';
axi_r_dbiterr : out std_logic := '0';
axi_r_overflow : out std_logic := '0';
axi_r_underflow : out std_logic := '0';
axi_r_prog_full : out std_logic := '0';
axi_r_prog_empty : out std_logic := '1';
-- axi_r_almost_full : out std_logic := '0';
-- axi_r_almost_empty : out std_logic := '1';
-- axi streaming fifo related signals
axis_injectsbiterr : in std_logic := '0';
axis_injectdbiterr : in std_logic := '0';
axis_prog_full_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 downto 0) := (others => '0');
axis_prog_empty_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 downto 0) := (others => '0');
axis_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_AXIS downto 0) := (others => '0');
axis_wr_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_AXIS downto 0) := (others => '0');
axis_rd_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_AXIS downto 0) := (others => '0');
axis_sbiterr : out std_logic := '0';
axis_dbiterr : out std_logic := '0';
axis_overflow : out std_logic := '0';
axis_underflow : out std_logic := '0';
axis_prog_full : out std_logic := '0';
axis_prog_empty : out std_logic := '1'
-- axis_almost_full : out std_logic := '0';
-- axis_almost_empty : out std_logic := '1'
);
END COMPONENT;
-- End FIFO Generator Component ---------------------------------------
-------------------------------------------------------------------------------------
-- Start Block Memory Generator Component for blk_mem_gen_v8_1
-- Component declaration for blk_mem_gen_v8_1 pulled from the
-- /proj/xbuilds/ids_14.4_P.49d.2.0/lin64/14.4/ISE_DS/ISE/vhdl/src/XilinxCoreLib
-- file: blk_mem_gen_v8_1.v
-- Verilog file used to match paramter order for NCSIM compatibility
-------------------------------------------------------------------------------------
component blk_mem_gen_v8_1 IS
GENERIC (
C_FAMILY : STRING := "virtex6";
C_XDEVICEFAMILY : STRING := "virtex6";
C_ELABORATION_DIR : STRING := "";
C_INTERFACE_TYPE : INTEGER := 0;
C_USE_BRAM_BLOCK : INTEGER := 0;
C_ENABLE_32BIT_ADDRESS : INTEGER := 0;
C_AXI_TYPE : INTEGER := 0;
C_AXI_SLAVE_TYPE : INTEGER := 0;
C_HAS_AXI_ID : INTEGER := 0;
C_AXI_ID_WIDTH : INTEGER := 4;
C_MEM_TYPE : INTEGER := 2;
C_BYTE_SIZE : INTEGER := 8;
C_ALGORITHM : INTEGER := 2;
C_PRIM_TYPE : INTEGER := 3;
C_LOAD_INIT_FILE : INTEGER := 0;
C_INIT_FILE_NAME : STRING := "";
C_INIT_FILE : STRING := "";
C_USE_DEFAULT_DATA : INTEGER := 0;
C_DEFAULT_DATA : STRING := "";
C_RST_TYPE : STRING := "SYNC";
C_HAS_RSTA : INTEGER := 0;
C_RST_PRIORITY_A : STRING := "CE";
C_RSTRAM_A : INTEGER := 0;
C_INITA_VAL : STRING := "";
C_HAS_ENA : INTEGER := 1;
C_HAS_REGCEA : INTEGER := 0;
C_USE_BYTE_WEA : INTEGER := 0;
C_WEA_WIDTH : INTEGER := 1;
C_WRITE_MODE_A : STRING := "WRITE_FIRST";
C_WRITE_WIDTH_A : INTEGER := 32;
C_READ_WIDTH_A : INTEGER := 32;
C_WRITE_DEPTH_A : INTEGER := 64;
C_READ_DEPTH_A : INTEGER := 64;
C_ADDRA_WIDTH : INTEGER := 6;
C_HAS_RSTB : INTEGER := 0;
C_RST_PRIORITY_B : STRING := "CE";
C_RSTRAM_B : INTEGER := 0;
C_INITB_VAL : STRING := "";
C_HAS_ENB : INTEGER := 1;
C_HAS_REGCEB : INTEGER := 0;
C_USE_BYTE_WEB : INTEGER := 0;
C_WEB_WIDTH : INTEGER := 1;
C_WRITE_MODE_B : STRING := "WRITE_FIRST";
C_WRITE_WIDTH_B : INTEGER := 32;
C_READ_WIDTH_B : INTEGER := 32;
C_WRITE_DEPTH_B : INTEGER := 64;
C_READ_DEPTH_B : INTEGER := 64;
C_ADDRB_WIDTH : INTEGER := 6;
C_HAS_MEM_OUTPUT_REGS_A : INTEGER := 0;
C_HAS_MEM_OUTPUT_REGS_B : INTEGER := 0;
C_HAS_MUX_OUTPUT_REGS_A : INTEGER := 0;
C_HAS_MUX_OUTPUT_REGS_B : INTEGER := 0;
C_HAS_SOFTECC_INPUT_REGS_A : INTEGER := 0;
C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER := 0;
C_MUX_PIPELINE_STAGES : INTEGER := 0;
C_USE_SOFTECC : INTEGER := 0;
C_USE_ECC : INTEGER := 0;
C_HAS_INJECTERR : INTEGER := 0;
C_SIM_COLLISION_CHECK : STRING := "NONE";
C_COMMON_CLK : INTEGER := 1;
C_DISABLE_WARN_BHV_COLL : INTEGER := 0;
C_DISABLE_WARN_BHV_RANGE : INTEGER := 0
);
PORT (
CLKA : IN STD_LOGIC := '0';
RSTA : IN STD_LOGIC := '0';
ENA : IN STD_LOGIC := '1';
REGCEA : IN STD_LOGIC := '1';
WEA : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0)
:= (OTHERS => '0');
ADDRA : IN STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0):= (OTHERS => '0');
DINA : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0)
:= (OTHERS => '0');
DOUTA : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_A-1 DOWNTO 0);
CLKB : IN STD_LOGIC := '0';
RSTB : IN STD_LOGIC := '0';
ENB : IN STD_LOGIC := '1';
REGCEB : IN STD_LOGIC := '1';
WEB : IN STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0)
:= (OTHERS => '0');
ADDRB : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0)
:= (OTHERS => '0');
DINB : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0)
:= (OTHERS => '0');
DOUTB : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_B-1 DOWNTO 0);
INJECTSBITERR : IN STD_LOGIC := '0';
INJECTDBITERR : IN STD_LOGIC := '0';
SBITERR : OUT STD_LOGIC := '0';
DBITERR : OUT STD_LOGIC := '0';
RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0);
-- AXI BMG Input and Output Port Declarations
-- AXI Global Signals
S_AClk : IN STD_LOGIC := '0';
S_ARESETN : IN STD_LOGIC := '0';
-- AXI Full/Lite Slave Write (write side)
S_AXI_AWID : IN STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
S_AXI_AWLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0');
S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0');
S_AXI_AWVALID : IN STD_LOGIC := '0';
S_AXI_AWREADY : OUT STD_LOGIC;
S_AXI_WDATA : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_WSTRB : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_WLAST : IN STD_LOGIC := '0';
S_AXI_WVALID : IN STD_LOGIC := '0';
S_AXI_WREADY : OUT STD_LOGIC;
S_AXI_BID : OUT STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_BVALID : OUT STD_LOGIC;
S_AXI_BREADY : IN STD_LOGIC := '0';
-- AXI Full/Lite Slave Read (Write side)
S_AXI_ARID : IN STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
S_AXI_ARLEN : IN STD_LOGIC_VECTOR(8-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0');
S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0');
S_AXI_ARVALID : IN STD_LOGIC := '0';
S_AXI_ARREADY : OUT STD_LOGIC;
S_AXI_RID : OUT STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_RDATA : OUT STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0);
S_AXI_RRESP : OUT STD_LOGIC_VECTOR(2-1 DOWNTO 0);
S_AXI_RLAST : OUT STD_LOGIC;
S_AXI_RVALID : OUT STD_LOGIC;
S_AXI_RREADY : IN STD_LOGIC := '0';
-- AXI Full/Lite Sideband Signals
S_AXI_INJECTSBITERR : IN STD_LOGIC := '0';
S_AXI_INJECTDBITERR : IN STD_LOGIC := '0';
S_AXI_SBITERR : OUT STD_LOGIC := '0';
S_AXI_DBITERR : OUT STD_LOGIC := '0';
S_AXI_RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0')
);
END COMPONENT; --blk_mem_gen_v8_1
-- The following tells XST that blk_mem_gen_v8_1 is a black box which
-- should be generated command given by the value of this attribute
-- Note the fully qualified SIM (JAVA class) name that forms the
-- basis of the core
-- ATTRIBUTE box_type OF blk_mem_gen_v8_1 : COMPONENT IS "black_box";
-- ATTRIBUTE generator_default OF blk_mem_gen_v8_1 : COMPONENT IS
-- "generatecore com.xilinx.ip.blk_mem_gen_v8_1.blk_mem_gen_v8_1 -a map_qvirtex_to=virtex map_qrvirtex_to=virtex map_virtexe_to=virtex map_qvirtex2_to=virtex2 map_qrvirtex2_to=virtex2 map_spartan2_to=virtex map_spartan2e_to=virtex map_virtex5_to=virtex4 map_spartan3a_to=spartan3e spartan3an_to=spartan3e spartan3adsp_to=spartan3e ";
-- End Block Memory Generator Component for v7_1 -------------------------------
END coregen_comp_defs;
| mit | e092d811ca55803611ab7dea61aaf410 | 0.459921 | 3.73273 | false | false | false | false |
meaepeppe/FIR_ISA | VHDL/data_maker_new.vhd | 1 | 2,631 | library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_textio.all;
library std;
use std.textio.all;
entity data_maker is
port (
CLK : in std_logic;
RST_n : in std_logic;
VOUT : out std_logic;
DOUT : out std_logic_vector(8 downto 0);
coeffs : out std_logic_vector(80 downto 0);
END_SIM : out std_logic);
end data_maker;
architecture beh of data_maker is
constant tco : time := 1 ns;
signal sEndSim : std_logic;
signal END_SIM_i : std_logic_vector(0 to 10);
signal H0 : std_logic_vector(8 downto 0);
signal H1 : std_logic_vector(8 downto 0);
signal H2 : std_logic_vector(8 downto 0);
signal H3 : std_logic_vector(8 downto 0);
signal H4 : std_logic_vector(8 downto 0);
signal H5 : std_logic_vector(8 downto 0);
signal H6 : std_logic_vector(8 downto 0);
signal H7 : std_logic_vector(8 downto 0);
signal H8 : std_logic_vector(8 downto 0);
begin -- beh
H0 <= conv_std_logic_vector(-2,9);
H1 <= conv_std_logic_vector(-4,9);
H2 <= conv_std_logic_vector(13,9);
H3 <= conv_std_logic_vector(68,9);
H4 <= conv_std_logic_vector(103,9);
H5 <= conv_std_logic_vector(68,9);
H6 <= conv_std_logic_vector(13,9);
H7 <= conv_std_logic_vector(-4,9);
H8 <= conv_std_logic_vector(-2,9);
coeffs <= H8 & H7 & H6 & H5 & H4 & H3 & H2 & H1 & H0;
process (CLK, RST_n)
file fp_in : text open READ_MODE is "./samples.txt";
variable line_in : line;
variable x : integer;
begin -- process
if RST_n = '0' then -- asynchronous reset (active low)
DOUT <= (others => '0') after tco;
VOUT <= '0' after tco;
sEndSim <= '0' after tco;
elsif CLK'event and CLK = '1' then -- rising clock edge
if not endfile(fp_in) then
readline(fp_in, line_in);
read(line_in, x);
DOUT <= conv_std_logic_vector(x, 9) after tco;
VOUT <= '1' after tco;
sEndSim <= '0' after tco;
else
VOUT <= '0' after tco;
sEndSim <= '1' after tco;
end if;
end if;
end process;
process (CLK, RST_n)
begin -- process
if RST_n = '0' then -- asynchronous reset (active low)
END_SIM_i <= (others => '0') after tco;
elsif CLK'event and CLK = '1' then -- rising clock edge
END_SIM_i(0) <= sEndSim after tco;
END_SIM_i(1 to 10) <= END_SIM_i(0 to 9) after tco;
end if;
end process;
END_SIM <= END_SIM_i(10);
end beh;
| gpl-3.0 | 96fdd7fed1e28cb9ec1751c45185b72a | 0.564804 | 3.024138 | false | false | false | false |
6769/VHDL | Lab_2_part1/Segment7Decoder.vhd | 1 | 1,397 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity Segment7Decoder is
port (bcd : in bit_vector(3 downto 0); --BCD input
segment7 : out bit_vector(6 downto 0) -- 7 bit decoded output.
);
end Segment7Decoder;
--'a' corresponds to MSB of segment7 and g corresponds to LSB of segment7.
architecture Behavioral of Segment7Decoder is
begin
process (bcd)
BEGIN
case bcd is
when "0000"=> segment7 <="1000000"; -- '0'
when "0001"=> segment7 <="1111001"; -- '1'
when "0010"=> segment7 <="0100100"; -- '2'
when "0011"=> segment7 <="0110000"; -- '3'
when "0100"=> segment7 <="0011001"; -- '4'
when "0101"=> segment7 <="0010010"; -- '5'
when "0110"=> segment7 <="0000010"; -- '6'
when "0111"=> segment7 <="1111000"; -- '7'
when "1000"=> segment7 <="0000000"; -- '8'
when "1001"=> segment7 <="0010000"; -- '9'
when "1010"=> segment7 <="0001000"; --'A'
when "1011"=> segment7 <="0000011"; --'b'
when "1100"=> segment7 <="0100111"; --'c'
when "1101"=> segment7 <="0100001"; --'d'
when "1110"=> segment7 <="0000110"; --'E'
when "1111"=> segment7 <="0001110"; --'f'
--nothing is displayed when a number more than 9 is given as input.
when others=> segment7 <="1111111";
end case;
end process;
end Behavioral; | gpl-2.0 | cf2cd0c2539f27514173c9d0be79c51b | 0.586972 | 3.366265 | false | false | false | false |
6769/VHDL | Lab_3/Part1/FSM_core.vhd | 1 | 1,965 | --lab3-Part1
--FSM with 0-8 state
entity FSM_core is
port(X:in bit;
CLK:in bit;
reset:in bit;
stateout:out integer range 0 to 8;
Z:out bit);
end entity FSM_core;
architecture Behavior of FSM_core is
signal State,nextState:integer range 0 to 8;
begin
stateout<=state;
process(X,State)
begin
case State is
when 0=>
Z<='0';
if X='0' then nextState<=5;
else nextState<=1;
end if;
when 1=>
Z<='0';
if X='0' then nextState<=5;
else nextState<=2;
end if;
when 2=>
Z<='0';
if X='0' then nextState<=5;
else nextState<=3;
end if;
when 3=>
Z<='0';
if X='0' then nextState<=5;
else nextState<=4;
end if;
when 4=>
Z<='1';
if X='0' then nextState<=5;
else nextState<=4;
end if;
when 5=>
Z<='0';
if X='0' then nextState<=6;
else nextState<=1;
end if;
when 6=>
Z<='0';
if X='0' then nextState<=7;
else nextState<=1;
end if;
when 7=>
Z<='0';
if X='0' then nextState<=8;
else nextState<=1;
end if;
when 8=>
Z<='1';
if X='0' then nextState<=8;
else nextState<=1;
end if;
when others=>null;
end case;
end process;
--nextStateRegister
process(CLK,reset)
begin
if reset='0' then State<=0;
elsif CLK'event and CLK='1' then
State<=nextState;
end if;
end process;
end architecture Behavior;
| gpl-2.0 | 5274677e90990874210ea2435496a531 | 0.401018 | 4.366667 | false | false | false | false |
sunoc/vhdl-lz4-variation | z_old/lz4_utval.vhdl | 1 | 2,056 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.lz4_pkg.all;
entity lz4_utval is
port (
clk_i : in std_logic;
reset_i : in std_logic;
fromEntry_i : in std_logic_vector(31 downto 0);
length_o : out std_logic_vector(12 downto 0);
-- flags:
Fs : in std_logic_vector(2 downto 0);
-- output to the hash
CLR_o : out std_logic;
I_DATA_o : out std_logic_vector(31 downto 0);
I_ENA_o : out std_logic_vector(3 downto 0);
I_DONE_o : out std_logic;
I_LAST_o : out std_logic;
I_VAL_o : out std_logic;
O_RDY_o : out std_logic
);
end lz4_utval;
architecture behavior of lz4_utval is
signal utval : std_logic_vector(31 downto 0);
signal size : std_logic_vector(31 downto 0) := (others => '0');
signal matchBuffer : std_logic_vector(255 downto 0);
begin
-- process to manage the flags from the FSM
process
begin
-- wait for a change in the Fe flag
if (Fs'event) then
if (Fs = "000") then -- "beginning" state
utval <= fromEntry_i;
length_o <= std_logic_vector(to_unsigned(4, 13)); -- 4 Bytes is minmatch size
-- hash the utval
elsif (Fs = "010") then -- "no match" state
utval <= "00000000" & fromEntry_i(31 downto 8); -- 1 byte shifted entry
length_o <= std_logic_vector(to_unsigned(4, 13)); -- 4 Bytes is minmatch size
-- hash
elsif (Fs = "001") then -- "match" state
utval <= fromEntry_i;
-- hash
elsif (Fs = "100") then -- "no more match" state
-- wait for the next state
elsif (Fs = "111") then -- "end" or "no more match" states
-- wait for the next state
else
report "Error with the Fs flag in utval" severity error;
end if;
end if;
end process;
end;
| gpl-3.0 | 6e6a906ce62861dc5ad9bc2161eb5e6a | 0.524805 | 3.557093 | false | false | false | false |
1995parham/FPGA-Homework | HW-6/src/p8/control.vhd | 1 | 2,154 | --------------------------------------------------------------------------------
-- Author: Parham Alvani ([email protected])
--
-- Create Date: 30-05-2016
-- Module Name: control.vhd
--------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity control is
port (ent, ext : out std_logic;
a, b : in std_logic;
clk : in std_logic);
end entity;
architecture mem of control is
type state is array (natural range <>) of std_logic_vector (3 downto 0);
signal next_state, current_state : std_logic_vector (1 downto 0);
signal tt : state (15 downto 0) := (
8 => "0001",
12 => "0001",
0 => "0000",
4 => "0000",
5 => "1010",
13 => "1010",
1 => "0001",
9 => "0001",
2 => "0011",
6 => "0011",
10 => "0010",
14 => "0010",
3 => "0100",
11 => "0100",
7 => "0011",
15 => "0011"
);
begin
process (clk)
begin
if clk'event and clk = '1' then
current_state <= next_state;
end if;
end process;
process (current_state, a, b)
variable addr : std_logic_vector (3 downto 0);
begin
addr := a & b & current_state;
ent <= tt(to_integer(unsigned(addr)))(3);
ext <= tt(to_integer(unsigned(addr)))(2);
next_state <= tt(to_integer(unsigned(addr)))(1 downto 0);
end process;
end architecture;
architecture rtl of control is
type state is (S0, S1, S2, S3);
signal current_state, next_state : state;
begin
process (clk)
begin
if clk'event and clk = '1' then
current_state <= next_state;
end if;
end process;
process (current_state, a, b)
begin
case current_state is
when S0 =>
if a = '1' then
next_state <= S1;
end if;
ent <= '0';
ext <= '0';
when S1 =>
if b = '1' then
next_state <= S2;
ent <= '1';
ext <= '0';
end if;
when S2 =>
if a = '0' then
next_state <= S3;
end if;
ent <= '0';
ext <= '0';
when S3 =>
if b = '0' then
next_state <= S0;
ent <= '0';
ext <= '1';
end if;
when others =>
next_state <= S0;
ent <= '0';
ext <= '0';
end case;
end process;
end architecture;
| gpl-3.0 | eacafa7a6de49eb117480d409cf1ce95 | 0.519499 | 2.864362 | false | false | false | false |
zzhou007/161lab | lab01/my_alu.vhd | 1 | 4,305 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 15:09:00 01/06/2016
-- Design Name:
-- Module Name: my_alu - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
--use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity my_alu is
generic(NUMBITS : natural := 8);
Port ( A : in STD_LOGIC_VECTOR(NUMBITS-1 downto 0);
B : in STD_LOGIC_VECTOR(NUMBITS-1 downto 0);
opcode : in STD_LOGIC_VECTOR(2 downto 0);
result : out STD_LOGIC_VECTOR(NUMBITS-1 downto 0);
carryout : out STD_LOGIC;
overflow : out STD_LOGIC;
zero : out STD_LOGIC);
end my_alu;
architecture Behavioral of my_alu is
signal stuff: std_logic_vector(NUMBITS downto 0);
begin
process (A, B, opcode, stuff)
begin
--UNSIGNED ADD
if opcode = "000" then
stuff <= std_logic_vector( ('0' & unsigned(A) ) + ( '0' & unsigned(B)));
result <= stuff(NUMBITS-1 downto 0);
--carryout <= '1';
carryout <= stuff(NUMBITS);
overflow <= stuff(NUMBITS);
if stuff(NUMBITS downto 0) = 0 then
zero <= '1';
else
zero <= '0';
end if;
--SIGNED ADD
elsif opcode = "001" then
stuff <= std_logic_vector( signed('0' & A) + signed('0' & B) );
result <= stuff(NUMBITS-1 downto 0);
if (A(NUMBITS-1) = '0') and (B(NUMBITS-1) = '0') and (stuff(NUMBITS-1) = '1') then
overflow <= '1';
elsif (A(NUMBITS-1) = '1') and (B(NUMBITS-1) = '1') and (stuff(NUMBITS-1) = '0') then
overflow <= '1';
else
overflow <= '0';
end if;
carryout <= stuff(NUMBITS);
if stuff(NUMBITS - 1 downto 0) = 0 then
zero <= '1';
else
zero <= '0';
end if;
--UNSIGNED SUB
elsif opcode = "010" then
stuff <= std_logic_vector( ('0' & unsigned(A) ) + ( '0' & ((not unsigned(B)) + 1)));
result <= stuff(NUMBITS-1 downto 0);
overflow <= stuff(NUMBITS - 1);
if stuff(NUMBITS - 1) = '0' then
carryout <= '1';
else
carryout <= '0';
end if;
if stuff(NUMBITS - 1 downto 0) = 0 then
zero <= '1';
else
zero <= '0';
end if;
--SIGNED SUB
elsif opcode = "011" then
stuff <= std_logic_vector( ('0' & signed(A) ) + ( '0' & ((not signed(B)) + 1)));
result <= stuff(NUMBITS-1 downto 0);
if (A(NUMBITS-1) = '0') and (B(NUMBITS-1) = '1') and (stuff(NUMBITS-2) = '1') then
overflow <= '1';
elsif (A(NUMBITS-1) = '1') and (B(NUMBITS-1) = '0') and (stuff(NUMBITS-2) = '0') then
overflow <= '1';
else
overflow <= '0';
end if;
carryout <= stuff(NUMBITS);
if stuff(NUMBITS - 1 downto 0) = 0 then
zero <= '1';
else
zero <= '0';
end if;
--BITWISE AND
elsif opcode = "100" then
stuff <= std_logic_vector(('0' & A) AND ('0' & B));
result <= stuff(NUMBITS-1 downto 0);
overflow <= '0';
carryout <= '0';
if stuff(NUMBITS downto 0) = 0 then
zero <= '1';
else
zero <= '0';
end if;
--BITWISE OR
elsif opcode = "101" then
stuff <= std_logic_vector(('0' & A) OR ('0' & B));
result <= stuff(NUMBITS-1 downto 0);
overflow <= '0';
carryout <= '0';
if stuff(NUMBITS downto 0) = 0 then
zero <= '1';
else
zero <= '0';
end if;
--BITWUS XOR
elsif opcode = "110" then
stuff <= std_logic_vector(('0' & A) XOR ('0' & B));
result <= stuff(NUMBITS-1 downto 0);
overflow <= '0';
carryout <= '0';
if stuff(NUMBITS downto 0) = 0 then
zero <= '1';
else
zero <= '0';
end if;
else
--Divide A by 2
stuff <= std_logic_vector( ('0' & unsigned(A)) / 2 );
result <= stuff(NUMBITS-1 downto 0);
overflow <= '0';
carryout <= '0';
if stuff(NUMBITS downto 0) = 0 then
zero <= '1';
else
zero <= '0';
end if;
end if;
end process;
end Behavioral;
| gpl-2.0 | 2da50fec36f43c250e669c398a2ad1ee | 0.558188 | 2.985437 | false | false | false | false |
Project-Bonfire/EHA | RTL/Chip_Designs/archive/IMMORTAL_Chip_2017/With_checkers/mlite_pack.vhd | 3 | 28,535 | ---------------------------------------------------------------------
-- TITLE: Plasma Misc. Package
-- Main AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 2/15/01
-- FILENAME: mlite_pack.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- Data types, constants, and add functions needed for the Plasma CPU.
-- modified by: Siavoosh Payandeh Azad
-- Change logs:
-- * An NI has been added to the file as a new module
-- * some changes has been applied to the ports of the older modules
-- to facilitate the new module!
-- * memory mapped addresses are added!
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
package mlite_pack is
constant ZERO : std_logic_vector(31 downto 0) :=
"00000000000000000000000000000000";
constant ONES : std_logic_vector(31 downto 0) :=
"11111111111111111111111111111111";
--make HIGH_Z equal to ZERO if compiler complains
constant HIGH_Z : std_logic_vector(31 downto 0) :=
"ZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZ";
subtype alu_function_type is std_logic_vector(3 downto 0);
constant ALU_NOTHING : alu_function_type := "0000";
constant ALU_ADD : alu_function_type := "0001";
constant ALU_SUBTRACT : alu_function_type := "0010";
constant ALU_LESS_THAN : alu_function_type := "0011";
constant ALU_LESS_THAN_SIGNED : alu_function_type := "0100";
constant ALU_OR : alu_function_type := "0101";
constant ALU_AND : alu_function_type := "0110";
constant ALU_XOR : alu_function_type := "0111";
constant ALU_NOR : alu_function_type := "1000";
subtype shift_function_type is std_logic_vector(1 downto 0);
constant SHIFT_NOTHING : shift_function_type := "00";
constant SHIFT_LEFT_UNSIGNED : shift_function_type := "01";
constant SHIFT_RIGHT_SIGNED : shift_function_type := "11";
constant SHIFT_RIGHT_UNSIGNED : shift_function_type := "10";
subtype mult_function_type is std_logic_vector(3 downto 0);
constant MULT_NOTHING : mult_function_type := "0000";
constant MULT_READ_LO : mult_function_type := "0001";
constant MULT_READ_HI : mult_function_type := "0010";
constant MULT_WRITE_LO : mult_function_type := "0011";
constant MULT_WRITE_HI : mult_function_type := "0100";
constant MULT_MULT : mult_function_type := "0101";
constant MULT_SIGNED_MULT : mult_function_type := "0110";
constant MULT_DIVIDE : mult_function_type := "0111";
constant MULT_SIGNED_DIVIDE : mult_function_type := "1000";
subtype a_source_type is std_logic_vector(1 downto 0);
constant A_FROM_REG_SOURCE : a_source_type := "00";
constant A_FROM_IMM10_6 : a_source_type := "01";
constant A_FROM_PC : a_source_type := "10";
subtype b_source_type is std_logic_vector(1 downto 0);
constant B_FROM_REG_TARGET : b_source_type := "00";
constant B_FROM_IMM : b_source_type := "01";
constant B_FROM_SIGNED_IMM : b_source_type := "10";
constant B_FROM_IMMX4 : b_source_type := "11";
subtype c_source_type is std_logic_vector(2 downto 0);
constant C_FROM_NULL : c_source_type := "000";
constant C_FROM_ALU : c_source_type := "001";
constant C_FROM_SHIFT : c_source_type := "001"; --same as alu
constant C_FROM_MULT : c_source_type := "001"; --same as alu
constant C_FROM_MEMORY : c_source_type := "010";
constant C_FROM_PC : c_source_type := "011";
constant C_FROM_PC_PLUS4 : c_source_type := "100";
constant C_FROM_IMM_SHIFT16: c_source_type := "101";
constant C_FROM_REG_SOURCEN: c_source_type := "110";
subtype pc_source_type is std_logic_vector(1 downto 0);
constant FROM_INC4 : pc_source_type := "00";
constant FROM_OPCODE25_0 : pc_source_type := "01";
constant FROM_BRANCH : pc_source_type := "10";
constant FROM_LBRANCH : pc_source_type := "11";
subtype branch_function_type is std_logic_vector(2 downto 0);
constant BRANCH_LTZ : branch_function_type := "000";
constant BRANCH_LEZ : branch_function_type := "001";
constant BRANCH_EQ : branch_function_type := "010";
constant BRANCH_NE : branch_function_type := "011";
constant BRANCH_GEZ : branch_function_type := "100";
constant BRANCH_GTZ : branch_function_type := "101";
constant BRANCH_YES : branch_function_type := "110";
constant BRANCH_NO : branch_function_type := "111";
-- mode(32=1,16=2,8=3), signed, write
subtype mem_source_type is std_logic_vector(3 downto 0);
constant MEM_FETCH : mem_source_type := "0000";
constant MEM_READ32 : mem_source_type := "0100";
constant MEM_WRITE32 : mem_source_type := "0101";
constant MEM_READ16 : mem_source_type := "1000";
constant MEM_READ16S : mem_source_type := "1010";
constant MEM_WRITE16 : mem_source_type := "1001";
constant MEM_READ8 : mem_source_type := "1100";
constant MEM_READ8S : mem_source_type := "1110";
constant MEM_WRITE8 : mem_source_type := "1101";
-- memory mapped addresses
constant NI_reserved_data_address : std_logic_vector(29 downto 0) := "000000000000000001111111111111";
constant NI_flag_address : std_logic_vector(29 downto 0) := "000000000000000010000000000000";
constant NI_counter_address : std_logic_vector(29 downto 0) := "000000000000000010000000000001";
constant NI_reconfiguration_address : std_logic_vector(29 downto 0) := "000000000000000010000000000010";
constant NI_self_diagnosis_address : std_logic_vector(29 downto 0) := "000000000000000010000000000011";
constant uart_count_value_address : std_logic_vector(29 downto 0) := "000000000000000010000000000100";
function bv_adder(a : in std_logic_vector;
b : in std_logic_vector;
do_add: in std_logic) return std_logic_vector;
function bv_negate(a : in std_logic_vector) return std_logic_vector;
function bv_increment(a : in std_logic_vector(31 downto 2)
) return std_logic_vector;
function bv_inc(a : in std_logic_vector
) return std_logic_vector;
-- For Altera
COMPONENT lpm_ram_dp
generic (
LPM_WIDTH : natural; -- MUST be greater than 0
LPM_WIDTHAD : natural; -- MUST be greater than 0
LPM_NUMWORDS : natural := 0;
LPM_INDATA : string := "REGISTERED";
LPM_OUTDATA : string := "REGISTERED";
LPM_RDADDRESS_CONTROL : string := "REGISTERED";
LPM_WRADDRESS_CONTROL : string := "REGISTERED";
LPM_FILE : string := "UNUSED";
LPM_TYPE : string := "LPM_RAM_DP";
USE_EAB : string := "OFF";
INTENDED_DEVICE_FAMILY : string := "UNUSED";
RDEN_USED : string := "TRUE";
LPM_HINT : string := "UNUSED");
port (
RDCLOCK : in std_logic := '0';
RDCLKEN : in std_logic := '1';
RDADDRESS : in std_logic_vector(LPM_WIDTHAD-1 downto 0);
RDEN : in std_logic := '1';
DATA : in std_logic_vector(LPM_WIDTH-1 downto 0);
WRADDRESS : in std_logic_vector(LPM_WIDTHAD-1 downto 0);
WREN : in std_logic;
WRCLOCK : in std_logic := '0';
WRCLKEN : in std_logic := '1';
Q : out std_logic_vector(LPM_WIDTH-1 downto 0));
END COMPONENT;
-- For Altera
component LPM_RAM_DQ
generic (
LPM_WIDTH : natural; -- MUST be greater than 0
LPM_WIDTHAD : natural; -- MUST be greater than 0
LPM_NUMWORDS : natural := 0;
LPM_INDATA : string := "REGISTERED";
LPM_ADDRESS_CONTROL: string := "REGISTERED";
LPM_OUTDATA : string := "REGISTERED";
LPM_FILE : string := "UNUSED";
LPM_TYPE : string := "LPM_RAM_DQ";
USE_EAB : string := "OFF";
INTENDED_DEVICE_FAMILY : string := "UNUSED";
LPM_HINT : string := "UNUSED");
port (
DATA : in std_logic_vector(LPM_WIDTH-1 downto 0);
ADDRESS : in std_logic_vector(LPM_WIDTHAD-1 downto 0);
INCLOCK : in std_logic := '0';
OUTCLOCK : in std_logic := '0';
WE : in std_logic;
Q : out std_logic_vector(LPM_WIDTH-1 downto 0));
end component;
-- For Xilinx
component RAM16X1D
-- synthesis translate_off
generic (INIT : bit_vector := X"0000");
-- synthesis translate_on
port (DPO : out STD_ULOGIC;
SPO : out STD_ULOGIC;
A0 : in STD_ULOGIC;
A1 : in STD_ULOGIC;
A2 : in STD_ULOGIC;
A3 : in STD_ULOGIC;
D : in STD_ULOGIC;
DPRA0 : in STD_ULOGIC;
DPRA1 : in STD_ULOGIC;
DPRA2 : in STD_ULOGIC;
DPRA3 : in STD_ULOGIC;
WCLK : in STD_ULOGIC;
WE : in STD_ULOGIC);
end component;
-- For Xilinx Virtex-5
component RAM32X1D
-- synthesis translate_off
generic (INIT : bit_vector := X"00000000");
-- synthesis translate_on
port (DPO : out STD_ULOGIC;
SPO : out STD_ULOGIC;
A0 : in STD_ULOGIC;
A1 : in STD_ULOGIC;
A2 : in STD_ULOGIC;
A3 : in STD_ULOGIC;
A4 : in STD_ULOGIC;
D : in STD_ULOGIC;
DPRA0 : in STD_ULOGIC;
DPRA1 : in STD_ULOGIC;
DPRA2 : in STD_ULOGIC;
DPRA3 : in STD_ULOGIC;
DPRA4 : in STD_ULOGIC;
WCLK : in STD_ULOGIC;
WE : in STD_ULOGIC);
end component;
component pc_next
port(clk : in std_logic;
reset_in : in std_logic;
pc_new : in std_logic_vector(31 downto 2);
take_branch : in std_logic;
pause_in : in std_logic;
opcode25_0 : in std_logic_vector(25 downto 0);
pc_source : in pc_source_type;
pc_future : out std_logic_vector(31 downto 2);
pc_current : out std_logic_vector(31 downto 2);
pc_plus4 : out std_logic_vector(31 downto 2));
end component;
component mem_ctrl
port(clk : in std_logic;
reset_in : in std_logic;
pause_in : in std_logic;
nullify_op : in std_logic;
address_pc : in std_logic_vector(31 downto 2);
opcode_out : out std_logic_vector(31 downto 0);
address_in : in std_logic_vector(31 downto 0);
mem_source : in mem_source_type;
data_write : in std_logic_vector(31 downto 0);
data_read : out std_logic_vector(31 downto 0);
pause_out : out std_logic;
address_next : out std_logic_vector(31 downto 2);
byte_we_next : out std_logic_vector(3 downto 0);
address : out std_logic_vector(31 downto 2);
byte_we : out std_logic_vector(3 downto 0);
data_w : out std_logic_vector(31 downto 0);
data_r : in std_logic_vector(31 downto 0));
end component;
component control
port(opcode : in std_logic_vector(31 downto 0);
intr_signal : in std_logic;
--NI_read_flag : in std_logic;
--NI_write_flag : in std_logic;
rs_index : out std_logic_vector(5 downto 0);
rt_index : out std_logic_vector(5 downto 0);
rd_index : out std_logic_vector(5 downto 0);
imm_out : out std_logic_vector(15 downto 0);
alu_func : out alu_function_type;
shift_func : out shift_function_type;
mult_func : out mult_function_type;
branch_func : out branch_function_type;
a_source_out : out a_source_type;
b_source_out : out b_source_type;
c_source_out : out c_source_type;
pc_source_out: out pc_source_type;
mem_source_out:out mem_source_type;
exception_out: out std_logic);
end component;
component reg_bank
generic(memory_type : string := "XILINX_16X");
port(clk : in std_logic;
reset_in : in std_logic;
pause : in std_logic;
interrupt_in : in std_logic; -- modified
rs_index : in std_logic_vector(5 downto 0);
rt_index : in std_logic_vector(5 downto 0);
rd_index : in std_logic_vector(5 downto 0);
reg_source_out : out std_logic_vector(31 downto 0);
reg_target_out : out std_logic_vector(31 downto 0);
reg_dest_new : in std_logic_vector(31 downto 0);
intr_enable : out std_logic);
end component;
component bus_mux
port(imm_in : in std_logic_vector(15 downto 0);
reg_source : in std_logic_vector(31 downto 0);
a_mux : in a_source_type;
a_out : out std_logic_vector(31 downto 0);
reg_target : in std_logic_vector(31 downto 0);
b_mux : in b_source_type;
b_out : out std_logic_vector(31 downto 0);
c_bus : in std_logic_vector(31 downto 0);
c_memory : in std_logic_vector(31 downto 0);
c_pc : in std_logic_vector(31 downto 2);
c_pc_plus4 : in std_logic_vector(31 downto 2);
c_mux : in c_source_type;
reg_dest_out : out std_logic_vector(31 downto 0);
branch_func : in branch_function_type;
take_branch : out std_logic);
end component;
component alu
generic(alu_type : string := "DEFAULT");
port(a_in : in std_logic_vector(31 downto 0);
b_in : in std_logic_vector(31 downto 0);
alu_function : in alu_function_type;
c_alu : out std_logic_vector(31 downto 0));
end component;
component shifter
generic(shifter_type : string := "DEFAULT" );
port(value : in std_logic_vector(31 downto 0);
shift_amount : in std_logic_vector(4 downto 0);
shift_func : in shift_function_type;
c_shift : out std_logic_vector(31 downto 0));
end component;
component mult
generic(mult_type : string := "DEFAULT");
port(clk : in std_logic;
reset_in : in std_logic;
a, b : in std_logic_vector(31 downto 0);
mult_func : in mult_function_type;
c_mult : out std_logic_vector(31 downto 0);
pause_out : out std_logic);
end component;
component pipeline
port(clk : in std_logic;
reset : in std_logic;
a_bus : in std_logic_vector(31 downto 0);
a_busD : out std_logic_vector(31 downto 0);
b_bus : in std_logic_vector(31 downto 0);
b_busD : out std_logic_vector(31 downto 0);
alu_func : in alu_function_type;
alu_funcD : out alu_function_type;
shift_func : in shift_function_type;
shift_funcD : out shift_function_type;
mult_func : in mult_function_type;
mult_funcD : out mult_function_type;
reg_dest : in std_logic_vector(31 downto 0);
reg_destD : out std_logic_vector(31 downto 0);
rd_index : in std_logic_vector(5 downto 0);
rd_indexD : out std_logic_vector(5 downto 0);
rs_index : in std_logic_vector(5 downto 0);
rt_index : in std_logic_vector(5 downto 0);
pc_source : in pc_source_type;
mem_source : in mem_source_type;
a_source : in a_source_type;
b_source : in b_source_type;
c_source : in c_source_type;
c_bus : in std_logic_vector(31 downto 0);
pause_any : in std_logic;
pause_pipeline : out std_logic);
end component;
component mlite_cpu
generic(memory_type : string := "XILINX_16X"; --ALTERA_LPM, or DUAL_PORT_
mult_type : string := "DEFAULT";
shifter_type : string := "DEFAULT";
alu_type : string := "DEFAULT";
pipeline_stages : natural := 2); --2 or 3
port(clk : in std_logic;
reset_in : in std_logic;
intr_in : in std_logic;
--NI_read_flag : in std_logic;
--NI_write_flag : in std_logic;
address_next : out std_logic_vector(31 downto 2); --for synch ram
byte_we_next : out std_logic_vector(3 downto 0);
address : out std_logic_vector(31 downto 2);
byte_we : out std_logic_vector(3 downto 0);
data_w : out std_logic_vector(31 downto 0);
data_r : in std_logic_vector(31 downto 0);
mem_pause : in std_logic);
end component;
component cache
generic(memory_type : string := "DEFAULT");
port(clk : in std_logic;
reset : in std_logic;
address_next : in std_logic_vector(31 downto 2);
byte_we_next : in std_logic_vector(3 downto 0);
cpu_address : in std_logic_vector(31 downto 2);
mem_busy : in std_logic;
cache_access : out std_logic; --access 4KB cache
cache_checking : out std_logic; --checking if cache hit
cache_miss : out std_logic); --cache miss
end component; --cache
component ram
generic(memory_type : string := "DEFAULT";
stim_file: string :="code.txt");
port(clk : in std_logic;
enable : in std_logic;
reset : in std_logic;
write_byte_enable : in std_logic_vector(3 downto 0);
address : in std_logic_vector(31 downto 2);
data_write : in std_logic_vector(31 downto 0);
data_read : out std_logic_vector(31 downto 0));
end component; --ram
component NI
generic(current_address : integer := 10; -- the current node's address
SHMU_address : integer := 0); -- reserved address for self diagnosis register
port(clk : in std_logic;
reset : in std_logic;
enable : in std_logic;
write_byte_enable : in std_logic_vector(3 downto 0);
address : in std_logic_vector(31 downto 2);
data_write : in std_logic_vector(31 downto 0);
data_read : out std_logic_vector(31 downto 0);
-- Flags used by JNIFR and JNIFW instructions
--NI_read_flag : out std_logic; -- One if the N2P fifo is empty. No read should be performed if one.
--NI_write_flag : out std_logic; -- One if P2N fifo is full. no write should be performed if one.
-- interrupt signal: generated evertime a packet is recieved!
irq_out : out std_logic;
-- signals for sending packets to network
credit_in : in std_logic;
valid_out: out std_logic;
TX: out std_logic_vector(31 downto 0); -- data sent to the NoC
-- signals for reciving packets from the network
credit_out : out std_logic;
valid_in: in std_logic;
RX: in std_logic_vector(31 downto 0); -- data recieved form the NoC
-- fault information signals from the router
link_faults: in std_logic_vector(4 downto 0);
turn_faults: in std_logic_vector(19 downto 0);
Rxy_reconf_PE: out std_logic_vector(7 downto 0);
Cx_reconf_PE: out std_logic_vector(3 downto 0); -- if you are not going to update Cx you should write all ones! (it will be and will the current Cx bits)
Reconfig_command : out std_logic
);
end component; --entity NI
component uart
generic(log_file : string := "UNUSED");
port(clk : in std_logic;
reset : in std_logic;
enable_read : in std_logic;
enable_write : in std_logic;
data_in : in std_logic_vector(7 downto 0);
data_out : out std_logic_vector(7 downto 0);
uart_read : in std_logic;
uart_write : out std_logic;
busy_write : out std_logic;
data_avail : out std_logic;
reg_enable : in std_logic;
reg_write_byte_enable : in std_logic_vector(3 downto 0);
reg_address : in std_logic_vector(31 downto 2);
reg_data_write : in std_logic_vector(31 downto 0);
reg_data_read : out std_logic_vector(31 downto 0)
);
end component; --uart
component eth_dma
port(clk : in std_logic; --25 MHz
reset : in std_logic;
enable_eth : in std_logic;
select_eth : in std_logic;
rec_isr : out std_logic;
send_isr : out std_logic;
address : out std_logic_vector(31 downto 2); --to DDR
byte_we : out std_logic_vector(3 downto 0);
data_write : out std_logic_vector(31 downto 0);
data_read : in std_logic_vector(31 downto 0);
pause_in : in std_logic;
mem_address : in std_logic_vector(31 downto 2); --from CPU
mem_byte_we : in std_logic_vector(3 downto 0);
data_w : in std_logic_vector(31 downto 0);
pause_out : out std_logic;
E_RX_CLK : in std_logic; --2.5 MHz receive
E_RX_DV : in std_logic; --data valid
E_RXD : in std_logic_vector(3 downto 0); --receive nibble
E_TX_CLK : in std_logic; --2.5 MHz transmit
E_TX_EN : out std_logic; --transmit enable
E_TXD : out std_logic_vector(3 downto 0)); --transmit nibble
end component; --eth_dma
component plasma
generic(memory_type : string := "XILINX_X16"; --"DUAL_PORT_" "ALTERA_LPM";
log_file : string := "UNUSED";
ethernet : std_logic := '0';
use_cache : std_logic := '0';
current_address : integer := 10;
stim_file: string :="code.txt");
port(clk : in std_logic;
reset : in std_logic;
uart_write : out std_logic;
uart_read : in std_logic;
address : out std_logic_vector(31 downto 2);
byte_we : out std_logic_vector(3 downto 0);
data_write : out std_logic_vector(31 downto 0);
data_read : in std_logic_vector(31 downto 0);
mem_pause_in : in std_logic;
no_ddr_start : out std_logic;
no_ddr_stop : out std_logic;
gpio0_out : out std_logic_vector(31 downto 0);
gpioA_in : in std_logic_vector(31 downto 0);
credit_in : in std_logic;
valid_out: out std_logic;
TX: out std_logic_vector(31 downto 0);
credit_out : out std_logic;
valid_in: in std_logic;
RX: in std_logic_vector(31 downto 0);
link_faults: in std_logic_vector(4 downto 0);
turn_faults: in std_logic_vector(19 downto 0);
Rxy_reconf_PE: out std_logic_vector(7 downto 0);
Cx_reconf_PE: out std_logic_vector(3 downto 0); -- if you are not going to update Cx you should write all ones! (it will be and will the current Cx bits)
Reconfig_command : out std_logic
);
end component; --plasma
component ddr_ctrl
port(clk : in std_logic;
clk_2x : in std_logic;
reset_in : in std_logic;
address : in std_logic_vector(25 downto 2);
byte_we : in std_logic_vector(3 downto 0);
data_w : in std_logic_vector(31 downto 0);
data_r : out std_logic_vector(31 downto 0);
active : in std_logic;
no_start : in std_logic;
no_stop : in std_logic;
pause : out std_logic;
SD_CK_P : out std_logic; --clock_positive
SD_CK_N : out std_logic; --clock_negative
SD_CKE : out std_logic; --clock_enable
SD_BA : out std_logic_vector(1 downto 0); --bank_address
SD_A : out std_logic_vector(12 downto 0); --address(row or col)
SD_CS : out std_logic; --chip_select
SD_RAS : out std_logic; --row_address_strobe
SD_CAS : out std_logic; --column_address_strobe
SD_WE : out std_logic; --write_enable
SD_DQ : inout std_logic_vector(15 downto 0); --data
SD_UDM : out std_logic; --upper_byte_enable
SD_UDQS : inout std_logic; --upper_data_strobe
SD_LDM : out std_logic; --low_byte_enable
SD_LDQS : inout std_logic); --low_data_strobe
end component; --ddr
component memory
generic(address_width : natural := 16);
port(clk : in std_logic;
address : in std_logic_vector(31 downto 2);
data_write : in std_logic_vector(31 downto 0);
pause : in std_logic;
byte_we : in std_logic_vector(3 downto 0);
data_read : out std_logic_vector(31 downto 0)
);
end component; --entity memory
end; --package mlite_pack
package body mlite_pack is
--function bv_adder(a : in std_logic_vector;
-- b : in std_logic_vector;
-- do_add: in std_logic) return std_logic_vector is
-- variable carry_in : std_logic;
-- variable bb : std_logic_vector(a'length-1 downto 0);
-- variable result : std_logic_vector(a'length downto 0);
--begin
-- if do_add = '1' then
-- bb := b;
-- carry_in := '0';
-- else
-- bb := not b;
-- carry_in := '1';
-- end if;
-- for index in 0 to a'length-1 loop
-- result(index) := a(index) xor bb(index) xor carry_in;
-- carry_in := (carry_in and (a(index) or bb(index))) or
-- (a(index) and bb(index));
-- end loop;
-- result(a'length) := carry_in xnor do_add;
-- return result;
--end; --function
function bv_adder(a : in std_logic_vector;
b : in std_logic_vector;
do_add: in std_logic) return std_logic_vector is
variable A1, B1, S : UNSIGNED(a'length downto 0);
begin
A1 := resize(unsigned(a), A1'length);
B1 := resize(unsigned(b), B1'length);
if do_add = '1' then
S := A1 + B1;
else
S := A1 - B1;
end if;
return std_logic_vector(S);
end; --function
function bv_negate(a : in std_logic_vector) return std_logic_vector is
variable carry_in : std_logic;
variable not_a : std_logic_vector(a'length-1 downto 0);
variable result : std_logic_vector(a'length-1 downto 0);
begin
not_a := not a;
carry_in := '1';
for index in a'reverse_range loop
result(index) := not_a(index) xor carry_in;
carry_in := carry_in and not_a(index);
end loop;
return result;
end; --function
function bv_increment(a : in std_logic_vector(31 downto 2)
) return std_logic_vector is
variable carry_in : std_logic;
variable result : std_logic_vector(31 downto 2);
begin
carry_in := '1';
for index in 2 to 31 loop
result(index) := a(index) xor carry_in;
carry_in := a(index) and carry_in;
end loop;
return result;
end; --function
function bv_inc(a : in std_logic_vector
) return std_logic_vector is
variable carry_in : std_logic;
variable result : std_logic_vector(a'length-1 downto 0);
begin
carry_in := '1';
for index in 0 to a'length-1 loop
result(index) := a(index) xor carry_in;
carry_in := a(index) and carry_in;
end loop;
return result;
end; --function
end; --package body
| gpl-3.0 | e98ca900cb3183e0ba39db3a075fda93 | 0.551253 | 3.656458 | false | false | false | false |
HighlandersFRC/fpga | led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_quad_spi_0_0/axi_quad_spi_v3_1/hdl/src/vhdl/xip_status_reg.vhd | 1 | 13,653 | -------------------------------------------------------------------------------
-- SPI Status Register Module - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *******************************************************************
-- ** (c) Copyright [2010] - [2011] Xilinx, Inc. All rights reserved.*
-- ** *
-- ** This file contains confidential and proprietary information *
-- ** of Xilinx, Inc. and is protected under U.S. and *
-- ** international copyright and other intellectual property *
-- ** laws. *
-- ** *
-- ** DISCLAIMER *
-- ** This disclaimer is not a license and does not grant any *
-- ** rights to the materials distributed herewith. Except as *
-- ** otherwise provided in a valid license issued to you by *
-- ** Xilinx, and to the maximum extent permitted by applicable *
-- ** law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND *
-- ** WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES *
-- ** AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING *
-- ** BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- *
-- ** INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and *
-- ** (2) Xilinx shall not be liable (whether in contract or tort, *
-- ** including negligence, or under any other theory of *
-- ** liability) for any loss or damage of any kind or nature *
-- ** related to, arising under or in connection with these *
-- ** materials, including for any direct, or any indirect, *
-- ** special, incidental, or consequential loss or damage *
-- ** (including loss of data, profits, goodwill, or any type of *
-- ** loss or damage suffered as a result of any action brought *
-- ** by a third party) even if such damage or loss was *
-- ** reasonably foreseeable or Xilinx had been advised of the *
-- ** possibility of the same. *
-- ** *
-- ** CRITICAL APPLICATIONS *
-- ** Xilinx products are not designed or intended to be fail- *
-- ** safe, or for use in any application requiring fail-safe *
-- ** performance, such as life-support or safety devices or *
-- ** systems, Class III medical devices, nuclear facilities, *
-- ** applications related to the deployment of airbags, or any *
-- ** other applications that could lead to death, personal *
-- ** injury, or severe property or environmental damage *
-- ** (individually and collectively, "Critical *
-- ** Applications"). Customer assumes the sole risk and *
-- ** liability of any use of Xilinx products in Critical *
-- ** Applications, subject only to applicable laws and *
-- ** regulations governing limitations on product liability. *
-- ** *
-- ** THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS *
-- ** PART OF THIS FILE AT ALL TIMES. *
-- *******************************************************************
--
-------------------------------------------------------------------------------
-- Filename: xip_status_reg.vhd
-- Version: v3.0
-- Description: Serial Peripheral Interface (SPI) Module for interfacing
-- with a 32-bit AXI4 Bus. The file defines the logic for
-- status register in XIP mode.
-------------------------------------------------------------------------------
-- Structure: This section shows the hierarchical structure of axi_spi.
-- axi_quad_spi.vhd
-- |--Legacy_mode
-- |-- axi_lite_ipif.vhd
-- |-- qspi_core_interface.vhd
-- |-- qspi_cntrl_reg.vhd
-- |-- qspi_status_slave_sel_reg.vhd
-- |-- qspi_occupancy_reg.vhd
-- |-- qspi_fifo_ifmodule.vhd
-- |-- qspi_mode_0_module.vhd
-- |-- qspi_receive_transmit_reg.vhd
-- |-- qspi_startup_block.vhd
-- |-- comp_defs.vhd -- (helper lib)
-- |-- qspi_look_up_logic.vhd
-- |-- qspi_mode_control_logic.vhd
-- |-- interrupt_control.vhd
-- |-- soft_reset.vhd
-- |--Enhanced_mode
-- |--axi_qspi_enhanced_mode.vhd
-- |-- qspi_core_interface.vhd
-- |-- qspi_cntrl_reg.vhd
-- |-- qspi_status_slave_sel_reg.vhd
-- |-- qspi_occupancy_reg.vhd
-- |-- qspi_fifo_ifmodule.vhd
-- |-- qspi_mode_0_module.vhd
-- |-- qspi_receive_transmit_reg.vhd
-- |-- qspi_startup_block.vhd
-- |-- comp_defs.vhd -- (helper lib)
-- |-- qspi_look_up_logic.vhd
-- |-- qspi_mode_control_logic.vhd
-- |-- interrupt_control.vhd
-- |-- soft_reset.vhd
-- |--XIP_mode
-- |-- axi_lite_ipif.vhd
-- |-- xip_cntrl_reg.vhd
-- |-- reset_sync_module.vhd
-- |-- xip_status_reg.vhd
-- |-- axi_qspi_xip_if.vhd
-------------------------------------------------------------------------------
-- Author: SK
-- ~~~~~~
-- 1. Added the XIP status register for the first time in this release.
-- ^^^^^^
-- ~~~~~~
-- SK 12/16/12 -- v3.0
-- 1. up reved to major version for 2013.1 Vivado release. No logic updates.
-- 2. Updated the version of AXI LITE IPIF to v2.0 in X.Y format
-- 3. updated the proc common version to proc_common_v4_0
-- 4. No Logic Updates
-- ^^^^^^
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library proc_common_v4_0;
use proc_common_v4_0.proc_common_pkg.RESET_ACTIVE;
library unisim;
use unisim.vcomponents.FDRE;
-------------------------------------------------------------------------------
-- Definition of Generics
-------------------------------------------------------------------------------
-- C_SPI_NUM_BITS_REG -- Width of SPI registers
-- C_S_AXI_DATA_WIDTH -- Native data bus width 32 bits only
-- C_NUM_SS_BITS -- Number of bits in slave select
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Definition of Ports
-------------------------------------------------------------------------------
-- SYSTEM
-- Bus2IP_Clk -- Bus to IP clock
-- Soft_Reset_op -- Soft_Reset_op Signal
-- STATUS REGISTER RELATED SIGNALS
--================================
-- REGISTER/FIFO INTERFACE
-- Bus2IP_SPISR_RdCE -- Status register Read Chip Enable
-- IP2Bus_SPISR_Data -- Status register data to PLB based on PLB read
-- SR_3_modf -- Mode fault error status flag
-- SR_4_Tx_Full -- Transmit register full status flag
-- SR_5_Tx_Empty -- Transmit register empty status flag
-- SR_6_Rx_Full -- Receive register full status flag
-- SR_7_Rx_Empty -- Receive register empty stauts flag
-- ModeFault_Strobe -- Mode fault strobe
-- SLAVE REGISTER RELATED SIGNALS
--===============================
-- Bus2IP_SPISSR_WrCE -- slave select register write chip enable
-- Bus2IP_SPISSR_RdCE -- slave select register read chip enable
-- Bus2IP_SPISSR_Data -- slave register data from PLB Bus
-- IP2Bus_SPISSR_Data -- Data from slave select register during PLB rd
-- SPISSR_Data_reg_op -- Data to SPI Module
-- Wr_ce_reduce_ack_gen -- commaon write ack generation signal
-- Rd_ce_reduce_ack_gen -- commaon read ack generation signal
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Entity Declaration
-------------------------------------------------------------------------------
entity xip_status_reg is
generic
(
C_S_AXI_DATA_WIDTH : integer; -- 32 bits
------------------------
C_XIP_SPISR_REG_WIDTH : integer
);
port
(
Bus2IP_Clk : in std_logic;
Soft_Reset_op : in std_logic;
--------------------------
XIPSR_AXI_TR_ERR : in std_logic; -- bit 4 of XIPSR
XIPSR_CPHA_CPOL_ERR : in std_logic; -- bit 3 of XIPSR
XIPSR_MST_MODF_ERR : in std_logic; -- bit 2 of XIPSR
XIPSR_AXI_RX_FULL : in std_logic; -- bit 1 of XIPSR
XIPSR_AXI_RX_EMPTY : in std_logic; -- bit 0 of XIPSR
--------------------------
Bus2IP_XIPSR_WrCE : in std_logic;
Bus2IP_XIPSR_RdCE : in std_logic;
--------------------------
--IP2Bus_XIPSR_RdAck : out std_logic;
--IP2Bus_XIPSR_WrAck : out std_logic;
IP2Bus_XIPSR_Data : out std_logic_vector((C_XIP_SPISR_REG_WIDTH-1) downto 0);
ip2Bus_RdAck : in std_logic
);
end xip_status_reg;
-------------------------------------------------------------------------------
-- Architecture
---------------
architecture imp of xip_status_reg is
----------------------------------------------------------
----------------------------------------------------------------------------------
-- below attributes are added to reduce the synth warnings in Vivado tool
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes";
----------------------------------------------------------------------------------
-- Signal Declarations
----------------------
signal XIPSR_data_int : std_logic_vector(C_XIP_SPISR_REG_WIDTH-1 downto 0);
--signal ip2Bus_RdAck_core_reg : std_logic;
--signal ip2Bus_RdAck_core_reg_d1 : std_logic;
--signal ip2Bus_WrAck_core_reg : std_logic;
--signal ip2Bus_WrAck_core_reg_d1 : std_logic;
----------------------
begin
-----
-- XIPSR - 31 -- -- 5 4 3 2 1 0
-- <-- NA --> AXI CPOL_CPHA MODF Rx Rx
-- Transaction Error Error Error Full Empty
-- Default 0 0 0 0 0
-------------------------------------------------------------------------------
--XIPSR_CMD_ERR <= '0';
---------------------------------------
XIPSR_DATA_STORE_P:process(Bus2IP_Clk)is
begin
-----
if (Bus2IP_Clk'event and Bus2IP_Clk = '1') then
if(Soft_Reset_op = RESET_ACTIVE) then
XIPSR_data_int((C_XIP_SPISR_REG_WIDTH-1) downto 0)<= (others => '0');
elsif(ip2Bus_RdAck = '1') then
XIPSR_data_int((C_XIP_SPISR_REG_WIDTH-1) downto 0)<= (others => '0');
else
XIPSR_data_int((C_XIP_SPISR_REG_WIDTH-1) downto 0)
<= XIPSR_AXI_TR_ERR & -- bit 4
XIPSR_CPHA_CPOL_ERR &
XIPSR_MST_MODF_ERR &
XIPSR_AXI_RX_FULL &
XIPSR_AXI_RX_EMPTY ; -- bit 0
end if;
end if;
end process XIPSR_DATA_STORE_P;
--------------------------------------------------
XIPSR_REG_RD_GENERATE: for i in C_XIP_SPISR_REG_WIDTH-1 downto 0 generate
-----
begin
-----
IP2Bus_XIPSR_Data(i) <= XIPSR_data_int(i) and Bus2IP_XIPSR_RdCE ; --and ip2Bus_RdAck_core_reg;
end generate XIPSR_REG_RD_GENERATE;
-----------------------------------
---------------------------------------------------------------------------------
end imp;
--------------------------------------------------------------------------------
| mit | 4ded567a49619fd3f0dada8006d955b0 | 0.425108 | 4.844925 | false | false | false | false |
6769/VHDL | Lab_1_partB/adjustAdder4.vhd | 1 | 631 | entity adjustAdder4 is
port(origin:in bit_vector(3 downto 0);
adjusted:out bit_vector(3 downto 0);
carryIn:in bit;
carryAdjusted:out bit);
end adjustAdder4;
architecture conversion of adjustAdder4 is
component Adder4
port(A,B:in bit_vector (3 downto 0);
cin:in bit ;
S:out bit_vector(3 downto 0);
cout:buffer bit);
end component;
signal z:bit:='0';
signal never_use:bit;
signal S_mid:bit_vector(3 downto 0);
begin
z<=carryIn or (origin(3)and (origin(2) or origin(1)) );
carryAdjusted<=z;
FA4:Adder4 port map (origin,"0110",'0',S_mid,never_use);
adjusted<=origin when z='0'
else s_mid ;
end conversion;
| gpl-2.0 | ba7398b6c6ce3b2f20d323ce0e59beae | 0.70523 | 2.767544 | false | false | false | false |
frankvanbever/MIPS_processor | testbenches/jump_adder_tb.vhd | 1 | 2,711 | --------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 16:18:24 03/13/2013
-- Design Name:
-- Module Name: /home/frank/School/MIPS_Project/MIPS_processor/testbenches/jump_adder_tb.vhd
-- Project Name: mips_processor
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: jump_adder
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY jump_adder_tb IS
END jump_adder_tb;
ARCHITECTURE behavior OF jump_adder_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT jump_adder
PORT(
clk : IN std_logic;
instruction : IN std_logic_vector(31 downto 0);
jmp_offset : IN std_logic_vector(31 downto 0);
jmp_adress : OUT std_logic_vector(31 downto 0)
);
END COMPONENT;
--Inputs
signal clk : std_logic := '0';
signal instruction : std_logic_vector(31 downto 0) := (others => '0');
signal jmp_offset : std_logic_vector(31 downto 0) := (others => '0');
--Outputs
signal jmp_adress : std_logic_vector(31 downto 0);
-- Clock period definitions
constant clk_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: jump_adder PORT MAP (
clk => clk,
instruction => instruction,
jmp_offset => jmp_offset,
jmp_adress => jmp_adress
);
-- Clock process definitions
clk_process :process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
wait for clk_period*10;
-- insert stimulus here
-- test 1 : all zeros
instruction <= X"00000000";
jmp_offset <= X"00000000";
wait for clk_period;
assert jmp_adress = X"00000000" report "test 1 failed";
-- test 2: 1 and 1
instruction <= X"00000001";
jmp_offset <= X"00000001";
wait for clk_period;
assert jmp_adress = X"00000005" report "test 2 failed";
wait;
end process;
END;
| mit | 8d569caf00d4d2acbb2e1bc82041a340 | 0.632977 | 3.567105 | false | true | false | false |
1995parham/FPGA-Homework | HW-2/src/p3/p3.vhd | 1 | 1,295 | --------------------------------------------------------------------------------
-- Author: Parham Alvani ([email protected])
--
-- Create Date: 30-03-2016
-- Module Name: p2.vhd
--------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
entity eight_bin_to_bcd is
port (data_in : in std_logic_vector (7 downto 0);
clk : in std_logic;
R0, R1, R2 : out std_logic_vector (3 downto 0));
end entity eight_bin_to_bcd;
architecture rtl of eight_bin_to_bcd is
signal R_t0, R_t1, R_t2 : std_logic_vector (3 downto 0);
begin
process (clk, data_in)
variable data_buff : std_logic_vector (7 downto 0);
begin
if data_in'event then
data_buff := data_in;
R_t0 <= "0000";
R_t1 <= "0000";
R_t2 <= "0000";
elsif clk'event and clk = '1' then
if data_buff >= "01100100" then
data_buff := data_buff - "01100100";
R_t2 <= R_t2 + "0001";
elsif data_buff >= "00001010" then
data_buff := data_buff - "00001010";
R_t1 <= R_t1 + "0001";
elsif data_buff >= "00000001" then
data_buff := data_buff - "00000001";
R_t0 <= R_t0 + "0001";
else
R0 <= R_t0;
R1 <= R_t1;
R2 <= R_t2;
end if;
end if;
end process;
end architecture rtl;
| gpl-3.0 | de6737d045a94708ff6374213c56bdcd | 0.533591 | 2.890625 | false | false | false | false |
6769/VHDL | Lab_4/Part0/clock_second.vhd | 1 | 614 | --Intertime clock
library ieee;
use ieee.numeric_bit.all;
entity clock_second is
port(clk:in bit ;
second:buffer bit);
end entity clock_second;
architecture Distribution of clock_second is
signal counter_for_osc_signal:unsigned(31 downto 0);
begin
process
begin
wait until clk'event and clk='1';
if counter_for_osc_signal < 50*1000*1000
then
counter_for_osc_signal<=counter_for_osc_signal+1;
else counter_for_osc_signal<=(others=>'0');
end if;
end process;
second<='1' when counter_for_osc_signal> 25*1000*1000 --High_percent_of_counter
else '0' ;
end architecture Distribution;
| gpl-2.0 | e8dba97828f35db37e176cf53d015df1 | 0.723127 | 3.085427 | false | false | false | false |
hanw/Open-Source-FPGA-Bitcoin-Miner | projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/blk_mem_gen_v8_0/blk_mem_gen_v8_0_pkg.vhd | 9 | 123,409 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
LSnexr1OC8CCdh8gA9zMjAYmn+n6s9kKbbabypFMh9TcLez/yqA7rc3UlImtcNbnBhXWf0nd5nU4
nRx2DEslmg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
MwZO2oHTMUx5Hdo1u5jrwhU4oDQKRfBm9CtzBwu3vqc9iqWHqEjzKgwc23LpuYGZZM4bgpiAIvX/
p+f0ym25hwYrMTTmmQYHPyleZcPD8sKAZ4Fa6c2k8tz3SPtF3TsANPm4JNDhyibFh5nz60FdWZB/
MvZdFOwU6e+QNm25qdA=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
yRVBtkgLg65ezJY0rK7fLkALg1qTej0ka1DPRNIyQDJfsEEDDakOn6KV7cQaCyYTmeuVMaaiiOzb
HNgcbId5SNP3+apuPcrBzDQe81Eh11BkNJZvSfCSuumk4Rm6JufxVfDpEm9PE5RScmcIVS64CcqE
xduujZSOVi1ctkcm+uwwLAVZXbVcGRJL0gXDNTKgvo2FiyRIZJ3W4SO4JYKDHYtvUJlPu/GpNxt8
2Dhuo6a5oFVHmN6zKVQZiKGVvocfOLNmFYTkdmDPDPVy5gxYryOaRnOcPQ/pN4rRdOymEcD6l6S4
7A5a/Y60CuKkelQ0NdftApje7+Xt+ZHb2ccYtw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
X6qvki54ZXSNWTI/126kHAKrBu91d5LRLwsAA3p5L5Dukk44/5KiKI/RqA3Q+a3sZTcOaGbzoeBN
64a/qYJ2dTcxle88uZTonTIoFT7u3N9zXsw5IXrVqS2Gjh0I5/4rzYg65wI6daWWhTZ7zfHQc2ef
MQZCxjsrZgb2U05hFzI=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dN9FunkM/h5rWUWlZNi0gEVPFKgKraOu95zwRkk+NHGLwq5V1eODMD6u1D3kfV/UWBZbAMj+VA3N
/xscztL+Bbyfp7RStXZoETs3yTCjQ+WAJ2CxZeXpHDae4gWTNb4yVAi7FQzqyFThPz1hP9E2L9G6
fw/opfC7ySygJQXiG9OxnG2xj8atx76Agq7Dl90yi+cm5DHMaa7CjuvSZZ6sAHwNXP6Hr/4Ouxv+
zRt4DscnCb5nDfsSOyF2RmoowfB7Q4Iexp7R5sgMfcg60p5YJxamKuAiIUOEmwNOLmA9e9BTGD5O
u8OeHir+gwMk4VlyiPbwKhW3E7DoGyCqzw+lbg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 89616)
`protect data_block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`protect end_protected
| gpl-3.0 | a8978881e891ea2bbea5b96066db23ba | 0.954347 | 1.834478 | false | false | false | false |
6769/VHDL | Lab_5/Modelsim/clock_signal_per_second.vhd | 1 | 645 | library ieee;
use ieee.numeric_bit.all;
entity clock_signal_per_second is
port(clk:in bit;
second_output:buffer bit);
end entity clock_signal_per_second;
architecture behavior of clock_signal_per_second is
signal counter_for_osc_signal:unsigned(31 downto 0);
constant Terminator:integer:=25000;--25*1000*1000
begin
process
begin
wait until clk'event and clk='1';
if counter_for_osc_signal<Terminator then counter_for_osc_signal<=counter_for_osc_signal+1;
else counter_for_osc_signal<=(others=>'0');
second_output<=not second_output;
end if;
end process;
end architecture behavior; | gpl-2.0 | b1c73f2b2f97dba7c1cea3c9affd5ab2 | 0.708527 | 3.543956 | false | false | false | false |
julioamerico/prj_crc_ip | src/SoC/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/@m@s@s_@a@p@b/_primary.vhd | 3 | 9,953 | library verilog;
use verilog.vl_types.all;
entity MSS_APB is
generic(
ACT_CONFIG : integer := 0;
ACT_FCLK : integer := 0;
ACT_DIE : string := "";
ACT_PKG : string := "";
VECTFILE : string := "test.vec"
);
port(
MSSPADDR : out vl_logic_vector(19 downto 0);
MSSPWDATA : out vl_logic_vector(31 downto 0);
MSSPWRITE : out vl_logic;
MSSPSEL : out vl_logic;
MSSPENABLE : out vl_logic;
MSSPRDATA : in vl_logic_vector(31 downto 0);
MSSPREADY : in vl_logic;
MSSPSLVERR : in vl_logic;
FABPADDR : in vl_logic_vector(31 downto 0);
FABPWDATA : in vl_logic_vector(31 downto 0);
FABPWRITE : in vl_logic;
FABPSEL : in vl_logic;
FABPENABLE : in vl_logic;
FABPRDATA : out vl_logic_vector(31 downto 0);
FABPREADY : out vl_logic;
FABPSLVERR : out vl_logic;
SYNCCLKFDBK : in vl_logic;
CALIBOUT : out vl_logic;
CALIBIN : in vl_logic;
FABINT : in vl_logic;
MSSINT : out vl_logic_vector(7 downto 0);
WDINT : out vl_logic;
F2MRESETn : in vl_logic;
DMAREADY : in vl_logic_vector(1 downto 0);
RXEV : in vl_logic;
VRON : in vl_logic;
M2FRESETn : out vl_logic;
DEEPSLEEP : out vl_logic;
SLEEP : out vl_logic;
TXEV : out vl_logic;
UART0CTSn : in vl_logic;
UART0DSRn : in vl_logic;
UART0RIn : in vl_logic;
UART0DCDn : in vl_logic;
UART0RTSn : out vl_logic;
UART0DTRn : out vl_logic;
UART1CTSn : in vl_logic;
UART1DSRn : in vl_logic;
UART1RIn : in vl_logic;
UART1DCDn : in vl_logic;
UART1RTSn : out vl_logic;
UART1DTRn : out vl_logic;
I2C0SMBUSNI : in vl_logic;
I2C0SMBALERTNI : in vl_logic;
I2C0BCLK : in vl_logic;
I2C0SMBUSNO : out vl_logic;
I2C0SMBALERTNO : out vl_logic;
I2C1SMBUSNI : in vl_logic;
I2C1SMBALERTNI : in vl_logic;
I2C1BCLK : in vl_logic;
I2C1SMBUSNO : out vl_logic;
I2C1SMBALERTNO : out vl_logic;
MACM2FTXD : out vl_logic_vector(1 downto 0);
MACF2MRXD : in vl_logic_vector(1 downto 0);
MACM2FTXEN : out vl_logic;
MACF2MCRSDV : in vl_logic;
MACF2MRXER : in vl_logic;
MACF2MMDI : in vl_logic;
MACM2FMDO : out vl_logic;
MACM2FMDEN : out vl_logic;
MACM2FMDC : out vl_logic;
FABSDD0D : in vl_logic;
FABSDD1D : in vl_logic;
FABSDD2D : in vl_logic;
FABSDD0CLK : in vl_logic;
FABSDD1CLK : in vl_logic;
FABSDD2CLK : in vl_logic;
FABACETRIG : in vl_logic;
ACEFLAGS : out vl_logic_vector(31 downto 0);
CMP0 : out vl_logic;
CMP1 : out vl_logic;
CMP2 : out vl_logic;
CMP3 : out vl_logic;
CMP4 : out vl_logic;
CMP5 : out vl_logic;
CMP6 : out vl_logic;
CMP7 : out vl_logic;
CMP8 : out vl_logic;
CMP9 : out vl_logic;
CMP10 : out vl_logic;
CMP11 : out vl_logic;
LVTTL0EN : in vl_logic;
LVTTL1EN : in vl_logic;
LVTTL2EN : in vl_logic;
LVTTL3EN : in vl_logic;
LVTTL4EN : in vl_logic;
LVTTL5EN : in vl_logic;
LVTTL6EN : in vl_logic;
LVTTL7EN : in vl_logic;
LVTTL8EN : in vl_logic;
LVTTL9EN : in vl_logic;
LVTTL10EN : in vl_logic;
LVTTL11EN : in vl_logic;
LVTTL0 : out vl_logic;
LVTTL1 : out vl_logic;
LVTTL2 : out vl_logic;
LVTTL3 : out vl_logic;
LVTTL4 : out vl_logic;
LVTTL5 : out vl_logic;
LVTTL6 : out vl_logic;
LVTTL7 : out vl_logic;
LVTTL8 : out vl_logic;
LVTTL9 : out vl_logic;
LVTTL10 : out vl_logic;
LVTTL11 : out vl_logic;
PUFABn : out vl_logic;
VCC15GOOD : out vl_logic;
VCC33GOOD : out vl_logic;
FCLK : in vl_logic;
MACCLKCCC : in vl_logic;
RCOSC : in vl_logic;
MACCLK : in vl_logic;
PLLLOCK : in vl_logic;
MSSRESETn : in vl_logic;
GPI : in vl_logic_vector(31 downto 0);
GPO : out vl_logic_vector(31 downto 0);
GPOE : out vl_logic_vector(31 downto 0);
SPI0DO : out vl_logic;
SPI0DOE : out vl_logic;
SPI0DI : in vl_logic;
SPI0CLKI : in vl_logic;
SPI0CLKO : out vl_logic;
SPI0MODE : out vl_logic;
SPI0SSI : in vl_logic;
SPI0SSO : out vl_logic_vector(7 downto 0);
UART0TXD : out vl_logic;
UART0RXD : in vl_logic;
I2C0SDAI : in vl_logic;
I2C0SDAO : out vl_logic;
I2C0SCLI : in vl_logic;
I2C0SCLO : out vl_logic;
SPI1DO : out vl_logic;
SPI1DOE : out vl_logic;
SPI1DI : in vl_logic;
SPI1CLKI : in vl_logic;
SPI1CLKO : out vl_logic;
SPI1MODE : out vl_logic;
SPI1SSI : in vl_logic;
SPI1SSO : out vl_logic_vector(7 downto 0);
UART1TXD : out vl_logic;
UART1RXD : in vl_logic;
I2C1SDAI : in vl_logic;
I2C1SDAO : out vl_logic;
I2C1SCLI : in vl_logic;
I2C1SCLO : out vl_logic;
MACTXD : out vl_logic_vector(1 downto 0);
MACRXD : in vl_logic_vector(1 downto 0);
MACTXEN : out vl_logic;
MACCRSDV : in vl_logic;
MACRXER : in vl_logic;
MACMDI : in vl_logic;
MACMDO : out vl_logic;
MACMDEN : out vl_logic;
MACMDC : out vl_logic;
EMCCLK : out vl_logic;
EMCCLKRTN : in vl_logic;
EMCRDB : in vl_logic_vector(15 downto 0);
EMCAB : out vl_logic_vector(25 downto 0);
EMCWDB : out vl_logic_vector(15 downto 0);
EMCRWn : out vl_logic;
EMCCS0n : out vl_logic;
EMCCS1n : out vl_logic;
EMCOEN0n : out vl_logic;
EMCOEN1n : out vl_logic;
EMCBYTEN : out vl_logic_vector(1 downto 0);
EMCDBOE : out vl_logic;
ADC0 : in vl_logic;
ADC1 : in vl_logic;
ADC2 : in vl_logic;
ADC3 : in vl_logic;
ADC4 : in vl_logic;
ADC5 : in vl_logic;
ADC6 : in vl_logic;
ADC7 : in vl_logic;
ADC8 : in vl_logic;
ADC9 : in vl_logic;
ADC10 : in vl_logic;
ADC11 : in vl_logic;
SDD0 : out vl_logic;
SDD1 : out vl_logic;
SDD2 : out vl_logic;
ABPS0 : in vl_logic;
ABPS1 : in vl_logic;
ABPS2 : in vl_logic;
ABPS3 : in vl_logic;
ABPS4 : in vl_logic;
ABPS5 : in vl_logic;
ABPS6 : in vl_logic;
ABPS7 : in vl_logic;
ABPS8 : in vl_logic;
ABPS9 : in vl_logic;
ABPS10 : in vl_logic;
ABPS11 : in vl_logic;
TM0 : in vl_logic;
TM1 : in vl_logic;
TM2 : in vl_logic;
TM3 : in vl_logic;
TM4 : in vl_logic;
TM5 : in vl_logic;
CM0 : in vl_logic;
CM1 : in vl_logic;
CM2 : in vl_logic;
CM3 : in vl_logic;
CM4 : in vl_logic;
CM5 : in vl_logic;
GNDTM0 : in vl_logic;
GNDTM1 : in vl_logic;
GNDTM2 : in vl_logic;
VAREF0 : in vl_logic;
VAREF1 : in vl_logic;
VAREF2 : in vl_logic;
VAREFOUT : out vl_logic;
GNDVAREF : in vl_logic;
PUn : in vl_logic
);
end MSS_APB;
| gpl-3.0 | 13a9204f67fe5727759b39c5e66ffd55 | 0.398372 | 3.679482 | false | false | false | false |
bgottschall/reloc | zedboard_example/zedboard_example.srcs/sources_1/bd/BD_PR_3/hdl/BD_PR_3_wrapper.vhd | 1 | 8,354 | --Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
----------------------------------------------------------------------------------
--Tool Version: Vivado v.2016.4 (lin64) Build 1733598 Wed Dec 14 22:35:42 MST 2016
--Date : Sat Aug 5 18:37:52 2017
--Host : knuff running 64-bit Debian GNU/Linux 9.0 (stretch)
--Command : generate_target BD_PR_3_wrapper.bd
--Design : BD_PR_3_wrapper
--Purpose : IP block netlist
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity BD_PR_3_wrapper is
port (
AXIS_CLK : out STD_LOGIC;
DDR_addr : inout STD_LOGIC_VECTOR ( 14 downto 0 );
DDR_ba : inout STD_LOGIC_VECTOR ( 2 downto 0 );
DDR_cas_n : inout STD_LOGIC;
DDR_ck_n : inout STD_LOGIC;
DDR_ck_p : inout STD_LOGIC;
DDR_cke : inout STD_LOGIC;
DDR_cs_n : inout STD_LOGIC;
DDR_dm : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_dq : inout STD_LOGIC_VECTOR ( 31 downto 0 );
DDR_dqs_n : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_dqs_p : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_odt : inout STD_LOGIC;
DDR_ras_n : inout STD_LOGIC;
DDR_reset_n : inout STD_LOGIC;
DDR_we_n : inout STD_LOGIC;
FIXED_IO_ddr_vrn : inout STD_LOGIC;
FIXED_IO_ddr_vrp : inout STD_LOGIC;
FIXED_IO_mio : inout STD_LOGIC_VECTOR ( 53 downto 0 );
FIXED_IO_ps_clk : inout STD_LOGIC;
FIXED_IO_ps_porb : inout STD_LOGIC;
FIXED_IO_ps_srstb : inout STD_LOGIC;
m_axis_data_0_tdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axis_data_0_tkeep : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axis_data_0_tlast : out STD_LOGIC;
m_axis_data_0_tready : in STD_LOGIC;
m_axis_data_0_tvalid : out STD_LOGIC;
m_axis_data_1_tdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axis_data_1_tkeep : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axis_data_1_tlast : out STD_LOGIC;
m_axis_data_1_tready : in STD_LOGIC;
m_axis_data_1_tvalid : out STD_LOGIC;
m_axis_data_2_tdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axis_data_2_tkeep : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axis_data_2_tlast : out STD_LOGIC;
m_axis_data_2_tready : in STD_LOGIC;
m_axis_data_2_tvalid : out STD_LOGIC;
s_axis_data_0_tdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axis_data_0_tkeep : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axis_data_0_tlast : in STD_LOGIC;
s_axis_data_0_tready : out STD_LOGIC;
s_axis_data_0_tvalid : in STD_LOGIC;
s_axis_data_1_tdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axis_data_1_tkeep : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axis_data_1_tlast : in STD_LOGIC;
s_axis_data_1_tready : out STD_LOGIC;
s_axis_data_1_tvalid : in STD_LOGIC;
s_axis_data_2_tdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axis_data_2_tkeep : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axis_data_2_tlast : in STD_LOGIC;
s_axis_data_2_tready : out STD_LOGIC;
s_axis_data_2_tvalid : in STD_LOGIC
);
end BD_PR_3_wrapper;
architecture STRUCTURE of BD_PR_3_wrapper is
component BD_PR_3 is
port (
m_axis_data_0_tdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axis_data_0_tkeep : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axis_data_0_tlast : out STD_LOGIC;
m_axis_data_0_tready : in STD_LOGIC;
m_axis_data_0_tvalid : out STD_LOGIC;
m_axis_data_1_tdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axis_data_1_tkeep : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axis_data_1_tlast : out STD_LOGIC;
m_axis_data_1_tready : in STD_LOGIC;
m_axis_data_1_tvalid : out STD_LOGIC;
m_axis_data_2_tdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axis_data_2_tkeep : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axis_data_2_tlast : out STD_LOGIC;
m_axis_data_2_tready : in STD_LOGIC;
m_axis_data_2_tvalid : out STD_LOGIC;
s_axis_data_0_tdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axis_data_0_tkeep : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axis_data_0_tlast : in STD_LOGIC;
s_axis_data_0_tready : out STD_LOGIC;
s_axis_data_0_tvalid : in STD_LOGIC;
s_axis_data_1_tdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axis_data_1_tkeep : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axis_data_1_tlast : in STD_LOGIC;
s_axis_data_1_tready : out STD_LOGIC;
s_axis_data_1_tvalid : in STD_LOGIC;
s_axis_data_2_tdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axis_data_2_tkeep : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axis_data_2_tlast : in STD_LOGIC;
s_axis_data_2_tready : out STD_LOGIC;
s_axis_data_2_tvalid : in STD_LOGIC;
AXIS_CLK : out STD_LOGIC;
DDR_cas_n : inout STD_LOGIC;
DDR_cke : inout STD_LOGIC;
DDR_ck_n : inout STD_LOGIC;
DDR_ck_p : inout STD_LOGIC;
DDR_cs_n : inout STD_LOGIC;
DDR_reset_n : inout STD_LOGIC;
DDR_odt : inout STD_LOGIC;
DDR_ras_n : inout STD_LOGIC;
DDR_we_n : inout STD_LOGIC;
DDR_ba : inout STD_LOGIC_VECTOR ( 2 downto 0 );
DDR_addr : inout STD_LOGIC_VECTOR ( 14 downto 0 );
DDR_dm : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_dq : inout STD_LOGIC_VECTOR ( 31 downto 0 );
DDR_dqs_n : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_dqs_p : inout STD_LOGIC_VECTOR ( 3 downto 0 );
FIXED_IO_mio : inout STD_LOGIC_VECTOR ( 53 downto 0 );
FIXED_IO_ddr_vrn : inout STD_LOGIC;
FIXED_IO_ddr_vrp : inout STD_LOGIC;
FIXED_IO_ps_srstb : inout STD_LOGIC;
FIXED_IO_ps_clk : inout STD_LOGIC;
FIXED_IO_ps_porb : inout STD_LOGIC
);
end component BD_PR_3;
begin
BD_PR_3_i: component BD_PR_3
port map (
AXIS_CLK => AXIS_CLK,
DDR_addr(14 downto 0) => DDR_addr(14 downto 0),
DDR_ba(2 downto 0) => DDR_ba(2 downto 0),
DDR_cas_n => DDR_cas_n,
DDR_ck_n => DDR_ck_n,
DDR_ck_p => DDR_ck_p,
DDR_cke => DDR_cke,
DDR_cs_n => DDR_cs_n,
DDR_dm(3 downto 0) => DDR_dm(3 downto 0),
DDR_dq(31 downto 0) => DDR_dq(31 downto 0),
DDR_dqs_n(3 downto 0) => DDR_dqs_n(3 downto 0),
DDR_dqs_p(3 downto 0) => DDR_dqs_p(3 downto 0),
DDR_odt => DDR_odt,
DDR_ras_n => DDR_ras_n,
DDR_reset_n => DDR_reset_n,
DDR_we_n => DDR_we_n,
FIXED_IO_ddr_vrn => FIXED_IO_ddr_vrn,
FIXED_IO_ddr_vrp => FIXED_IO_ddr_vrp,
FIXED_IO_mio(53 downto 0) => FIXED_IO_mio(53 downto 0),
FIXED_IO_ps_clk => FIXED_IO_ps_clk,
FIXED_IO_ps_porb => FIXED_IO_ps_porb,
FIXED_IO_ps_srstb => FIXED_IO_ps_srstb,
m_axis_data_0_tdata(31 downto 0) => m_axis_data_0_tdata(31 downto 0),
m_axis_data_0_tkeep(3 downto 0) => m_axis_data_0_tkeep(3 downto 0),
m_axis_data_0_tlast => m_axis_data_0_tlast,
m_axis_data_0_tready => m_axis_data_0_tready,
m_axis_data_0_tvalid => m_axis_data_0_tvalid,
m_axis_data_1_tdata(31 downto 0) => m_axis_data_1_tdata(31 downto 0),
m_axis_data_1_tkeep(3 downto 0) => m_axis_data_1_tkeep(3 downto 0),
m_axis_data_1_tlast => m_axis_data_1_tlast,
m_axis_data_1_tready => m_axis_data_1_tready,
m_axis_data_1_tvalid => m_axis_data_1_tvalid,
m_axis_data_2_tdata(31 downto 0) => m_axis_data_2_tdata(31 downto 0),
m_axis_data_2_tkeep(3 downto 0) => m_axis_data_2_tkeep(3 downto 0),
m_axis_data_2_tlast => m_axis_data_2_tlast,
m_axis_data_2_tready => m_axis_data_2_tready,
m_axis_data_2_tvalid => m_axis_data_2_tvalid,
s_axis_data_0_tdata(31 downto 0) => s_axis_data_0_tdata(31 downto 0),
s_axis_data_0_tkeep(3 downto 0) => s_axis_data_0_tkeep(3 downto 0),
s_axis_data_0_tlast => s_axis_data_0_tlast,
s_axis_data_0_tready => s_axis_data_0_tready,
s_axis_data_0_tvalid => s_axis_data_0_tvalid,
s_axis_data_1_tdata(31 downto 0) => s_axis_data_1_tdata(31 downto 0),
s_axis_data_1_tkeep(3 downto 0) => s_axis_data_1_tkeep(3 downto 0),
s_axis_data_1_tlast => s_axis_data_1_tlast,
s_axis_data_1_tready => s_axis_data_1_tready,
s_axis_data_1_tvalid => s_axis_data_1_tvalid,
s_axis_data_2_tdata(31 downto 0) => s_axis_data_2_tdata(31 downto 0),
s_axis_data_2_tkeep(3 downto 0) => s_axis_data_2_tkeep(3 downto 0),
s_axis_data_2_tlast => s_axis_data_2_tlast,
s_axis_data_2_tready => s_axis_data_2_tready,
s_axis_data_2_tvalid => s_axis_data_2_tvalid
);
end STRUCTURE;
| mit | d872a6ee8c8b4be034f2e318516eb594 | 0.609887 | 2.766225 | false | false | false | false |
HighlandersFRC/fpga | oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_proc_sys_reset_1_0/synth/zynq_1_proc_sys_reset_1_0.vhd | 4 | 6,574 | -- (c) Copyright 1995-2014 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:proc_sys_reset:5.0
-- IP Revision: 3
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY zynq_1_proc_sys_reset_1_0 IS
PORT (
slowest_sync_clk : IN STD_LOGIC;
ext_reset_in : IN STD_LOGIC;
aux_reset_in : IN STD_LOGIC;
mb_debug_sys_rst : IN STD_LOGIC;
dcm_locked : IN STD_LOGIC;
mb_reset : OUT STD_LOGIC;
bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0)
);
END zynq_1_proc_sys_reset_1_0;
ARCHITECTURE zynq_1_proc_sys_reset_1_0_arch OF zynq_1_proc_sys_reset_1_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF zynq_1_proc_sys_reset_1_0_arch: ARCHITECTURE IS "yes";
COMPONENT proc_sys_reset IS
GENERIC (
C_FAMILY : STRING;
C_EXT_RST_WIDTH : INTEGER;
C_AUX_RST_WIDTH : INTEGER;
C_EXT_RESET_HIGH : STD_LOGIC;
C_AUX_RESET_HIGH : STD_LOGIC;
C_NUM_BUS_RST : INTEGER;
C_NUM_PERP_RST : INTEGER;
C_NUM_INTERCONNECT_ARESETN : INTEGER;
C_NUM_PERP_ARESETN : INTEGER
);
PORT (
slowest_sync_clk : IN STD_LOGIC;
ext_reset_in : IN STD_LOGIC;
aux_reset_in : IN STD_LOGIC;
mb_debug_sys_rst : IN STD_LOGIC;
dcm_locked : IN STD_LOGIC;
mb_reset : OUT STD_LOGIC;
bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0)
);
END COMPONENT proc_sys_reset;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF zynq_1_proc_sys_reset_1_0_arch: ARCHITECTURE IS "proc_sys_reset,Vivado 2013.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF zynq_1_proc_sys_reset_1_0_arch : ARCHITECTURE IS "zynq_1_proc_sys_reset_1_0,proc_sys_reset,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF zynq_1_proc_sys_reset_1_0_arch: ARCHITECTURE IS "zynq_1_proc_sys_reset_1_0,proc_sys_reset,{x_ipProduct=Vivado 2013.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=proc_sys_reset,x_ipVersion=5.0,x_ipCoreRevision=3,x_ipLanguage=VERILOG,C_FAMILY=zynq,C_EXT_RST_WIDTH=4,C_AUX_RST_WIDTH=4,C_EXT_RESET_HIGH=0,C_AUX_RESET_HIGH=0,C_NUM_BUS_RST=1,C_NUM_PERP_RST=1,C_NUM_INTERCONNECT_ARESETN=1,C_NUM_PERP_ARESETN=1}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF slowest_sync_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clock CLK";
ATTRIBUTE X_INTERFACE_INFO OF ext_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 ext_reset RST";
ATTRIBUTE X_INTERFACE_INFO OF aux_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 aux_reset RST";
ATTRIBUTE X_INTERFACE_INFO OF mb_debug_sys_rst: SIGNAL IS "xilinx.com:signal:reset:1.0 dbg_reset RST";
ATTRIBUTE X_INTERFACE_INFO OF mb_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 mb_rst RST";
ATTRIBUTE X_INTERFACE_INFO OF bus_struct_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 bus_struct_reset RST";
ATTRIBUTE X_INTERFACE_INFO OF peripheral_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_high_rst RST";
ATTRIBUTE X_INTERFACE_INFO OF interconnect_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 interconnect_low_rst RST";
ATTRIBUTE X_INTERFACE_INFO OF peripheral_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_low_rst RST";
BEGIN
U0 : proc_sys_reset
GENERIC MAP (
C_FAMILY => "zynq",
C_EXT_RST_WIDTH => 4,
C_AUX_RST_WIDTH => 4,
C_EXT_RESET_HIGH => '0',
C_AUX_RESET_HIGH => '0',
C_NUM_BUS_RST => 1,
C_NUM_PERP_RST => 1,
C_NUM_INTERCONNECT_ARESETN => 1,
C_NUM_PERP_ARESETN => 1
)
PORT MAP (
slowest_sync_clk => slowest_sync_clk,
ext_reset_in => ext_reset_in,
aux_reset_in => aux_reset_in,
mb_debug_sys_rst => mb_debug_sys_rst,
dcm_locked => dcm_locked,
mb_reset => mb_reset,
bus_struct_reset => bus_struct_reset,
peripheral_reset => peripheral_reset,
interconnect_aresetn => interconnect_aresetn,
peripheral_aresetn => peripheral_aresetn
);
END zynq_1_proc_sys_reset_1_0_arch;
| mit | 699b0fc1ffbcb4440f2b0b7b027aa818 | 0.711287 | 3.452731 | false | false | false | false |
dsd-g05/lab5 | g05_score_input.vhd | 1 | 3,000 | -- Descp. Allow the user to input the score
--
-- entity name: g05_score_input
--
-- Version 1.0
-- Author: Felix Dube; [email protected] & Auguste Lalande; [email protected]
-- Date: November 30, 2015
library ieee;
use ieee.std_logic_1164.all;
entity g05_score_input is
port (
increment, sel : in std_logic;
score : out std_logic_vector(2 downto 0);
score_part : out std_logic
);
end g05_score_input;
architecture behavior of g05_score_input is
type score_part_type is (s1, s2);
type score_type is (c1, c2, c3, c4, c5);
signal s_present, s_next : score_part_type;
signal c_present, c_next : score_type;
begin
selector: process(sel)
begin
case s_present is
when s1 =>
if sel = '0' then
s_next <= s2;
else
s_next <= s1;
end if;
when s2 =>
if sel = '0' then
s_next <= s1;
else
s_next <= s2;
end if;
when others =>
s_next <= s1;
end case;
end process;
process(sel)
begin
if rising_edge(sel) then
s_present <= s_next;
end if;
end process;
incrementor: process(increment)
begin
case c_present is
when c1 =>
if increment = '0' then
c_next <= c2;
else
c_next <= c1;
end if;
when c2 =>
if increment = '0' then
c_next <= c3;
else
c_next <= c2;
end if;
when c3 =>
if increment = '0' then
c_next <= c4;
else
c_next <= c3;
end if;
when c4 =>
if increment = '0' then
c_next <= c5;
else
c_next <= c4;
end if;
when c5 =>
if increment = '0' then
c_next <= c1;
else
c_next <= c5;
end if;
when others =>
c_next <= c1;
end case;
end process;
process(increment)
begin
if rising_edge(increment) then
c_present <= c_next;
end if;
end process;
score <= "000" when c_present = c1 else
"001" when c_present = c2 else
"010" when c_present = c3 else
"011" when c_present = c4 else
"100" when c_present = c5 else
"000";
score_part <= '0' when s_present = s1 else '1';
end behavior; | mit | f9fb58972a823f9011149898f3352739 | 0.399 | 4.255319 | false | false | false | false |
zzhou007/161lab | lab6/tb.vhd | 1 | 2,822 | LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.all;
USE ieee.numeric_std.ALL;
USE ieee.std_logic_arith.ALL;
ENTITY system_tb IS
END system_tb;
ARCHITECTURE behavior OF system_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT CAM_Wrapper
GENERIC
(
CAM_WIDTH : integer := 4 ;
CAM_DEPTH : integer := 4
);
PORT(
clk : IN std_logic;
rst : IN std_logic;
we_decoded_row_address: IN std_logic_vector(3 downto 0);
search_word : IN std_logic_vector(3 downto 0);
dont_care_mask : IN std_logic_vector(3 downto 0);
decoded_match_address : OUT std_logic_vector(3 downto 0)
);
END COMPONENT;
--Inputs
signal clk : std_logic := '0';
signal rst : std_logic := '0';
signal we_decoded_row_address : std_logic_vector(3 downto 0) := (others => '0');
signal search_word : std_logic_vector(3 downto 0) := (others => '0');
signal dont_care_mask : std_logic_vector(3 downto 0) := (others => '0');
--Outputs
signal decoded_match_address : std_logic_vector(3 downto 0);
-- Temps for verification
signal temp_addr : std_logic_vector(3 downto 0) := (others => '0');
-- Clock period definitions
constant clk_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: CAM_Wrapper GENERIC MAP
(
CAM_WIDTH => 4,
CAM_DEPTH => 4
)
PORT MAP
(
clk => clk,
rst => rst,
we_decoded_row_address => we_decoded_row_address,
search_word => search_word,
dont_care_mask => dont_care_mask,
decoded_match_address => decoded_match_address
);
-- Clock process definitions
clk_process :process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100ms.
wait for clk_period/2;
rst <= '1';
wait for clk_period*2;
rst <= '0';
-- insert stimulus here
for i in 3 downto 0 loop
we_decoded_row_address <= (OTHERS => '0');
we_decoded_row_address(i) <= '1';
search_word <= conv_std_logic_vector((i+1)*2, 4);
wait for clk_period;
end loop;
we_decoded_row_address <= (OTHERS => '0');
wait for clk_period;
for i in 8 downto 1 loop
search_word <= conv_std_logic_vector(i, 4);
wait for clk_period;
temp_addr <= (others => '0');
if( i mod(2) = 0 ) then
temp_addr( (i/2) - 1) <= '1';
end if;
wait for 10 ns;
assert temp_addr = decoded_match_address report "Case did not match, you have a bug in your code" severity Warning;
end loop;
wait;
end process;
END; | gpl-2.0 | 72df5c1909c259e59ca536f07a043224 | 0.579731 | 3.228833 | false | false | false | false |
fupolarbear/THU-Class-CO-makecomputer | src/VGA/ipcore_dir/char_mem/example_design/char_mem_exdes.vhd | 2 | 4,332 |
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v7.1 Core - Top-level core wrapper
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006-2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: char_mem_exdes.vhd
--
-- Description:
-- This is the actual BMG core wrapper.
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: August 31, 2005 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY UNISIM;
USE UNISIM.VCOMPONENTS.ALL;
--------------------------------------------------------------------------------
-- Entity Declaration
--------------------------------------------------------------------------------
ENTITY char_mem_exdes IS
PORT (
--Inputs - Port A
ADDRA : IN STD_LOGIC_VECTOR(14 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
CLKA : IN STD_LOGIC
);
END char_mem_exdes;
ARCHITECTURE xilinx OF char_mem_exdes IS
COMPONENT BUFG IS
PORT (
I : IN STD_ULOGIC;
O : OUT STD_ULOGIC
);
END COMPONENT;
COMPONENT char_mem IS
PORT (
--Port A
ADDRA : IN STD_LOGIC_VECTOR(14 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
CLKA : IN STD_LOGIC
);
END COMPONENT;
SIGNAL CLKA_buf : STD_LOGIC;
SIGNAL CLKB_buf : STD_LOGIC;
SIGNAL S_ACLK_buf : STD_LOGIC;
BEGIN
bufg_A : BUFG
PORT MAP (
I => CLKA,
O => CLKA_buf
);
bmg0 : char_mem
PORT MAP (
--Port A
ADDRA => ADDRA,
DOUTA => DOUTA,
CLKA => CLKA_buf
);
END xilinx;
| mit | e1cdbfcdcb98a2c1f9409bdcf7744af0 | 0.574331 | 4.797342 | false | false | false | false |
fupolarbear/THU-Class-CO-makecomputer | src/CPU/divClk.vhd | 1 | 1,340 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 21:46:40 11/30/2013
-- Design Name:
-- Module Name: divClk - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity divClk is
Port ( rst : in STD_LOGIC;
clk : in STD_LOGIC;
clk0 : out STD_LOGIC);
end divClk;
architecture Behavioral of divClk is
signal num : std_logic_vector(1 downto 0) := "00";
signal temp :std_logic:= '1';
begin
process(rst, clk)
begin
if rst = '0' then
num <= "00";
temp <= '1';
elsif (clk'event and clk = '1') then
temp <= not temp;
end if;
end process;
clk0 <= temp;
end Behavioral;
| mit | 631926deff9474df229928c86414d6d5 | 0.567164 | 3.51706 | false | false | false | false |
lukehsiao/FPGA_Flappy_Bird | src/btnpulse.vhd | 1 | 1,259 | ----------------------------------------------------------------------------------
-- Luke Hsiao
-- ECEN 320 Lab 12
-- Generates a single clk pulse on the rising edge of a button
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity btnpulse is
Port ( btn : in STD_LOGIC;
clk : in STD_LOGIC;
reset : in STD_LOGIC;
btnpulse : out STD_LOGIC);
end btnpulse;
architecture state_arch of btnpulse is
type states is (zero, riseEdge, one);
signal state_reg, state_next: states;
begin
process(clk, reset)
begin
if reset='1' then
state_reg <= zero;
elsif clk'event and clk='1' then
state_reg <= state_next;
end if;
end process;
--Next State and Output Logic
process (btn, state_reg)
begin
--Defaults
btnpulse <= '0';
state_next <= state_reg;
case state_reg is
when zero =>
if (btn='0') then
state_next <= zero;
else
state_next <= riseEdge;
end if;
when riseEdge =>
btnpulse <= '1';
state_next <= one;
when one =>
if btn='1' then
state_next <= one;
else
state_next <= zero;
end if;
end case;
end process;
end state_arch;
| mit | 57f3c9c8b611f282daea77583bc5e1e5 | 0.532963 | 3.411924 | false | false | false | false |
sorgelig/SAMCoupe_MIST | sid/Q_table.vhd | 1 | 1,580 | -------------------------------------------------------------------------------
--
-- (C) COPYRIGHT 2010 Gideon's Logic Architectures'
--
-------------------------------------------------------------------------------
--
-- Author: Gideon Zweijtzer (gideon.zweijtzer (at) gmail.com)
--
-- Note that this file is copyrighted, and is not supposed to be used in other
-- projects without written permission from the author.
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity Q_table is
port (
Q_reg : in unsigned(3 downto 0);
filter_q : out signed(17 downto 0) );
end Q_table;
architecture Gideon of Q_table is
type t_18_bit_array is array(natural range <>) of signed(17 downto 0);
function create_factors(max_Q: real) return t_18_bit_array is
constant critical : real := 0.70710678; -- no resonance at 0.5*sqrt(2)
variable q_step : real;
variable q : real;
variable scaled : real;
variable ret : t_18_bit_array(0 to 15);
begin
q_step := (max_Q - critical) / 15.0; -- linear
for i in 0 to 15 loop
q := critical + (real(i) * q_step);
scaled := 65536.0 / q;
ret(i) := to_signed(integer(scaled), 18);
end loop;
return ret;
end function;
constant c_table : t_18_bit_array(0 to 15) := create_factors(1.8);
begin
filter_q <= c_table(to_integer(Q_reg));
end Gideon;
| gpl-2.0 | cbb8a9aa2cd9e96c3f0a2746744c02dd | 0.496835 | 3.834951 | false | false | false | false |
dsd-g05/lab5 | g05_mastermind_score.vhd | 1 | 1,878 | -- Descp. Complete scoring circuit
--
-- entity name: g05_mastermind_score
--
-- Version 1.0
-- Author: Felix Dube; [email protected] & Auguste Lalande; [email protected]
-- Date: October 18, 2015
library ieee;
use ieee.std_logic_1164.all;
entity g05_mastermind_score is
port (
P1, P2, P3, P4 : in std_logic_vector(2 downto 0);
G1, G2, G3, G4 : in std_logic_vector(2 downto 0);
exact_match_score : out std_logic_vector(2 downto 0);
color_match_score : out std_logic_vector(2 downto 0);
score_code : out std_logic_vector(3 downto 0)
);
end g05_mastermind_score;
architecture behavior of g05_mastermind_score is
signal color_matches, exact_matches : std_logic_vector(2 downto 0);
component g05_color_matches is
port (
P1, P2, P3, P4 : in std_logic_vector(2 downto 0);
G1, G2, G3, G4 : in std_logic_vector(2 downto 0);
num_exact_matches : out std_logic_vector(2 downto 0);
num_color_matches : out std_logic_vector(2 downto 0)
);
end component;
component g05_score_encoder is
port (
score_code : out std_logic_vector(3 downto 0);
num_exact_matches : in std_logic_vector(2 downto 0);
num_color_matches : in std_logic_vector(2 downto 0)
);
end component;
begin
num_matches : g05_color_matches
port map (P1 => P1, P2 => P2, P3 => P3, P4 => P4, G1 => G1, G2 => G2, G3 => G3, G4 => G4,
num_exact_matches => exact_matches, num_color_matches => color_matches);
score : g05_score_encoder
port map (num_exact_matches => exact_matches, num_color_matches => color_matches, score_code => score_code);
color_match_score <= color_matches;
exact_match_score <= exact_matches;
end behavior; | mit | f47d6cef3d5393b037bf0a5f3ede8915 | 0.607029 | 3.323894 | false | false | false | false |
1995parham/FPGA-Homework | HW-3/src/p8/p8.vhd | 1 | 5,279 | --------------------------------------------------------------------------------
-- Author: Parham Alvani ([email protected])
--
-- Create Date: 26-04-2016
-- Module Name: p8.vhd
--------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
entity p8 is
port (i1, i2 : in std_logic;
o : out std_logic;
clk, reset : in std_logic);
end entity;
architecture sequential of p8 is
type state is (s0, s1, s2, s3, s4, s5);
signal current_state, next_state : state;
attribute fsm_encoding : string;
attribute fsm_encoding of current_state : signal is "sequential";
begin
process (clk, reset)
begin
if reset = '1' then
current_state <= s0;
elsif clk'event and clk = '1' then
current_state <= next_state;
end if;
end process;
process (current_state, i1, i2)
begin
case current_state is
when s0 =>
if i1 = '0' and i2 = '0' then
next_state <= s0;
o <= '0';
elsif i1 = '1' and i2 = '1' then
next_state <= s0;
o <= '0';
elsif i1 = '0' and i2 = '1' then
next_state <= s2;
o <= '0';
elsif i1 = '1' and i2 = '0' then
next_state <= s4;
o <= '0';
end if;
when s1 =>
if i1 = '0' and i2 = '0' then
next_state <= s1;
o <= '1';
elsif i1 = '1' and i2 = '1' then
next_state <= s1;
o <= '1';
elsif i1 = '0' and i2 = '1' then
next_state <= s3;
o <= '1';
elsif i1 = '1' and i2 = '0' then
next_state <= s5;
o <= '1';
end if;
when s2 =>
if i1 = '0' and i2 = '0' then
next_state <= s0;
o <= '0';
elsif i1 = '1' and i2 = '1' then
next_state <= s0;
o <= '0';
elsif i1 = '0' and i2 = '1' then
next_state <= s2;
o <= '0';
elsif i1 = '1' and i2 = '0' then
next_state <= s4;
o <= '0';
end if;
when s3 =>
if i1 = '0' and i2 = '0' then
next_state <= s1;
o <= '1';
elsif i1 = '1' and i2 = '1' then
next_state <= s0;
o <= '0';
elsif i1 = '0' and i2 = '1' then
next_state <= s3;
o <= '1';
elsif i1 = '1' and i2 = '0' then
next_state <= s5;
o <= '1';
end if;
when s4 =>
if i1 = '0' and i2 = '0' then
next_state <= s0;
o <= '0';
elsif i1 = '1' and i2 = '1' then
next_state <= s1;
o <= '1';
elsif i1 = '0' and i2 = '1' then
next_state <= s3;
o <= '1';
elsif i1 = '1' and i2 = '0' then
next_state <= s4;
o <= '0';
end if;
when s5 =>
if i1 = '0' and i2 = '0' then
next_state <= s1;
o <= '1';
elsif i1 = '1' and i2 = '1' then
next_state <= s1;
o <= '1';
elsif i1 = '0' and i2 = '1' then
next_state <= s2;
o <= '0';
elsif i1 = '1' and i2 = '0' then
next_state <= s5;
o <= '1';
end if;
when others =>
next_state <= s0;
o <= '0';
end case;
end process;
end architecture;
architecture medvedev of p8 is
constant s0 : std_logic_vector (2 downto 0) := "000";
constant s1 : std_logic_vector (2 downto 0) := "100";
constant s2 : std_logic_vector (2 downto 0) := "001";
constant s3 : std_logic_vector (2 downto 0) := "101";
constant s4 : std_logic_vector (2 downto 0) := "010";
constant s5 : std_logic_vector (2 downto 0) := "110";
signal current_state, next_state : std_logic_vector (2 downto 0);
begin
process (clk, reset)
begin
if reset = '1' then
current_state <= s0;
elsif clk'event and clk = '1' then
current_state <= next_state;
end if;
end process;
o <= current_state(2);
process (current_state, i1, i2)
begin
case current_state is
when s0 =>
if i1 = '0' and i2 = '0' then
next_state <= s0;
elsif i1 = '1' and i2 = '1' then
next_state <= s0;
elsif i1 = '0' and i2 = '1' then
next_state <= s2;
elsif i1 = '1' and i2 = '0' then
next_state <= s4;
end if;
when s1 =>
if i1 = '0' and i2 = '0' then
next_state <= s1;
elsif i1 = '1' and i2 = '1' then
next_state <= s1;
elsif i1 = '0' and i2 = '1' then
next_state <= s3;
elsif i1 = '1' and i2 = '0' then
next_state <= s5;
end if;
when s2 =>
if i1 = '0' and i2 = '0' then
next_state <= s0;
elsif i1 = '1' and i2 = '1' then
next_state <= s0;
elsif i1 = '0' and i2 = '1' then
next_state <= s2;
elsif i1 = '1' and i2 = '0' then
next_state <= s4;
end if;
when s3 =>
if i1 = '0' and i2 = '0' then
next_state <= s1;
elsif i1 = '1' and i2 = '1' then
next_state <= s0;
elsif i1 = '0' and i2 = '1' then
next_state <= s3;
elsif i1 = '1' and i2 = '0' then
next_state <= s5;
end if;
when s4 =>
if i1 = '0' and i2 = '0' then
next_state <= s0;
elsif i1 = '1' and i2 = '1' then
next_state <= s1;
elsif i1 = '0' and i2 = '1' then
next_state <= s3;
elsif i1 = '1' and i2 = '0' then
next_state <= s4;
end if;
when s5 =>
if i1 = '0' and i2 = '0' then
next_state <= s1;
elsif i1 = '1' and i2 = '1' then
next_state <= s1;
elsif i1 = '0' and i2 = '1' then
next_state <= s2;
elsif i1 = '1' and i2 = '0' then
next_state <= s5;
end if;
when others =>
next_state <= s0;
end case;
end process;
end architecture;
| gpl-3.0 | 36e6a58566e01a56afa19203d38ced08 | 0.490434 | 2.48892 | false | false | false | false |
fupolarbear/THU-Class-CO-makecomputer | src/CPU/Reg16.vhd | 1 | 1,393 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer: Fu Zuoyou.
--
-- Create Date: 18:01:00 11/28/2013
-- Design Name:
-- Module Name: Reg16 - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity Reg16 is
port(
input: in std_logic_vector(15 downto 0);
output: out std_logic_vector(15 downto 0);
wrn: in std_logic;
clock: in std_logic;
reset: in std_logic
);
end Reg16;
architecture Behavioral of Reg16 is
signal mem : std_logic_vector(15 downto 0);
begin
output <= mem;
process(wrn, clock, reset)
begin
if reset = '0' then
mem <= (others => '0');
-- at droping edge of clock and get chosen(wrn) then change mem
elsif clock'event and clock = '0' then
if wrn = '1' then
mem <= input;
end if;
end if;
end process;
end Behavioral;
| mit | 57b0690b54689de7706a96a710b7682d | 0.59799 | 3.580977 | false | false | false | false |
Project-Bonfire/EHA | RTL/Chip_Designs/archive/IMMORTAL_Chip_2017/With_checkers/LBDR_packet_drop_with_checkers/LBDR_packet_drop_routing_part_pseudo_checkers.vhd | 3 | 15,472 | library ieee;
use ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.all;
use IEEE.MATH_REAL.ALL;
entity LBDR_packet_drop_routing_part_pseudo_checkers is
generic (
cur_addr_rst: integer := 8;
Rxy_rst: integer := 8;
Cx_rst: integer := 8;
NoC_size: integer := 4
);
port (
empty: in std_logic;
flit_type: in std_logic_vector(2 downto 0);
Req_N_FF, Req_E_FF, Req_W_FF, Req_S_FF, Req_L_FF: in std_logic;
grant_N, grant_E, grant_W, grant_S, grant_L: in std_logic;
dst_addr: in std_logic_vector(NoC_size-1 downto 0);
faulty: in std_logic;
Cx: in std_logic_vector(3 downto 0);
Rxy: in std_logic_vector(7 downto 0);
packet_drop: in std_logic;
N1_out, E1_out, W1_out, S1_out: in std_logic;
Req_N_in, Req_E_in, Req_W_in, Req_S_in, Req_L_in: in std_logic;
grants: in std_logic;
packet_drop_order: in std_logic;
packet_drop_in: in std_logic;
-- Checker outputs
err_header_empty_Requests_FF_Requests_in,
err_tail_Requests_in_all_zero,
err_tail_empty_Requests_FF_Requests_in,
err_tail_not_empty_not_grants_Requests_FF_Requests_in,
err_grants_onehot,
err_grants_mismatch,
err_header_tail_Requests_FF_Requests_in,
err_dst_addr_cur_addr_N1,
err_dst_addr_cur_addr_not_N1,
err_dst_addr_cur_addr_E1,
err_dst_addr_cur_addr_not_E1,
err_dst_addr_cur_addr_W1,
err_dst_addr_cur_addr_not_W1,
err_dst_addr_cur_addr_S1,
err_dst_addr_cur_addr_not_S1,
err_dst_addr_cur_addr_Req_L_in,
err_dst_addr_cur_addr_not_Req_L_in,
err_header_not_empty_faulty_drop_packet_in, -- added according to new design
err_header_not_empty_not_faulty_drop_packet_in_packet_drop_not_change, -- added according to new design
err_header_not_empty_faulty_Req_in_all_zero, -- added according to new design
--err_header_not_empty_Req_L_in, -- added according to new design
err_header_not_empty_Req_N_in,
err_header_not_empty_Req_E_in,
err_header_not_empty_Req_W_in,
err_header_not_empty_Req_S_in,
err_header_empty_packet_drop_in_packet_drop_equal,
err_tail_not_empty_packet_drop_not_packet_drop_in,
err_tail_not_empty_not_packet_drop_packet_drop_in_packet_drop_equal,
err_invalid_or_body_flit_packet_drop_in_packet_drop_equal,
err_packet_drop_order : out std_logic
);
end LBDR_packet_drop_routing_part_pseudo_checkers;
architecture behavior of LBDR_packet_drop_routing_part_pseudo_checkers is
signal cur_addr: std_logic_vector(NoC_size-1 downto 0);
signal Requests_FF: std_logic_vector(4 downto 0);
signal Requests_in: std_logic_vector(4 downto 0);
signal grant_signals: std_logic_vector(4 downto 0);
begin
cur_addr <= std_logic_vector(to_unsigned(cur_addr_rst, cur_addr'length));
Requests_FF <= Req_N_FF & Req_E_FF & Req_W_FF & Req_S_FF & Req_L_FF;
Requests_in <= Req_N_in & Req_E_in & Req_W_in & Req_S_in & Req_L_in;
grant_signals <= grant_N & grant_E & grant_W & grant_S & grant_L;
-- Implementing checkers in form of concurrent assignments (combinational assertions)
process (flit_type, empty, Requests_FF, Requests_in)
begin
if (flit_type = "001" and empty = '1' and Requests_FF /= Requests_in) then
err_header_empty_Requests_FF_Requests_in <= '1';
else
err_header_empty_Requests_FF_Requests_in <= '0';
end if;
end process;
-- Checked !
process (flit_type, empty, grants, Requests_in)
begin
if (flit_type = "100" and empty = '0' and grants = '1' and Requests_in /= "00000") then
err_tail_Requests_in_all_zero <= '1';
else
err_tail_Requests_in_all_zero <= '0';
end if;
end process;
-- Checked !
process (flit_type, empty, Requests_FF, Requests_in)
begin
if (flit_type = "100" and empty = '1' and Requests_FF /= Requests_in) then
err_tail_empty_Requests_FF_Requests_in <= '1';
else
err_tail_empty_Requests_FF_Requests_in <= '0';
end if;
end process;
-- Checked !
process (flit_type, empty, grants, Requests_FF, Requests_in)
begin
if (flit_type = "100" and empty = '0' and grants = '0' and Requests_FF /= Requests_in) then
err_tail_not_empty_not_grants_Requests_FF_Requests_in <= '1';
else
err_tail_not_empty_not_grants_Requests_FF_Requests_in <= '0';
end if;
end process;
-- Checked !
process (grant_signals, grants)
begin
if ( (grant_signals = "00001" or grant_signals = "00010" or grant_signals = "00100" or
grant_signals = "01000" or grant_signals = "10000") and grants = '0') then
err_grants_onehot <= '1';
else
err_grants_onehot <= '0';
end if;
end process;
-- Checked !
process (grant_signals, grants)
begin
if ( grant_signals = "00000" and grants = '1') then
err_grants_mismatch <= '1';
else
err_grants_mismatch <= '0';
end if;
end process;
-- Checked !
process (flit_type, Requests_FF, Requests_FF, Requests_in)
begin
if (flit_type /= "001" and flit_type /= "100" and Requests_FF /= Requests_in) then
err_header_tail_Requests_FF_Requests_in <= '1';
else
err_header_tail_Requests_FF_Requests_in <= '0';
end if;
end process;
-- Checked !
process (cur_addr, dst_addr, N1_out)
begin
if ( dst_addr(NoC_size-1 downto NoC_size/2) < cur_addr(NoC_size-1 downto NoC_size/2) and N1_out = '0') then
err_dst_addr_cur_addr_N1 <= '1';
else
err_dst_addr_cur_addr_N1 <= '0';
end if;
end process;
-- Checked !
process (cur_addr, dst_addr, N1_out)
begin
if ( dst_addr(NoC_size-1 downto NoC_size/2) >= cur_addr(NoC_size-1 downto NoC_size/2) and N1_out = '1') then
err_dst_addr_cur_addr_not_N1 <= '1';
else
err_dst_addr_cur_addr_not_N1 <= '0';
end if;
end process;
-- Checked !
process (cur_addr, dst_addr, E1_out)
begin
if ( cur_addr((NoC_size/2)-1 downto 0) < dst_addr((NoC_size/2)-1 downto 0) and E1_out = '0') then
err_dst_addr_cur_addr_E1 <= '1';
else
err_dst_addr_cur_addr_E1 <= '0';
end if;
end process;
-- Checked !
process (cur_addr, dst_addr, E1_out)
begin
if ( cur_addr((NoC_size/2)-1 downto 0) >= dst_addr((NoC_size/2)-1 downto 0) and E1_out = '1') then
err_dst_addr_cur_addr_not_E1 <= '1';
else
err_dst_addr_cur_addr_not_E1 <= '0';
end if;
end process;
-- Checked !
process (cur_addr, dst_addr, W1_out)
begin
if ( dst_addr((NoC_size/2)-1 downto 0) < cur_addr((NoC_size/2)-1 downto 0) and W1_out = '0') then
err_dst_addr_cur_addr_W1 <= '1';
else
err_dst_addr_cur_addr_W1 <= '0';
end if;
end process;
-- Checked !
process (cur_addr, dst_addr, W1_out)
begin
if ( dst_addr((NoC_size/2)-1 downto 0) >= cur_addr((NoC_size/2)-1 downto 0) and W1_out = '1') then
err_dst_addr_cur_addr_not_W1 <= '1';
else
err_dst_addr_cur_addr_not_W1 <= '0';
end if;
end process;
-- Checked !
process (cur_addr, dst_addr, S1_out)
begin
if ( cur_addr(NoC_size-1 downto NoC_size/2) < dst_addr(NoC_size-1 downto NoC_size/2) and S1_out = '0') then
err_dst_addr_cur_addr_S1 <= '1';
else
err_dst_addr_cur_addr_S1 <= '0';
end if;
end process;
-- Checked !
process (cur_addr, dst_addr, S1_out)
begin
if ( cur_addr(NoC_size-1 downto NoC_size/2) >= dst_addr(NoC_size-1 downto NoC_size/2) and S1_out = '1') then
err_dst_addr_cur_addr_not_S1 <= '1';
else
err_dst_addr_cur_addr_not_S1 <= '0';
end if;
end process;
-- Checked !
process (flit_type, empty, dst_addr, cur_addr, Req_L_in)
begin
if ( flit_type = "001" and empty = '0' and dst_addr = cur_addr and Req_L_in = '0') then
err_dst_addr_cur_addr_Req_L_in <= '1';
else
err_dst_addr_cur_addr_Req_L_in <= '0';
end if;
end process;
-- Checked !
process (flit_type, empty, dst_addr, cur_addr, Req_L_in)
begin
if ( flit_type = "001" and empty = '0' and dst_addr /= cur_addr and Req_L_in = '1') then
err_dst_addr_cur_addr_not_Req_L_in <= '1';
else
err_dst_addr_cur_addr_not_Req_L_in <= '0';
end if;
end process;
-- Checked !
process (flit_type, empty, faulty, N1_out, E1_out, W1_out, S1_out, Rxy, Cx, dst_addr, cur_addr, packet_drop_in)
begin
if ( flit_type = "001" and empty = '0' and (faulty = '1' or (((((N1_out and not E1_out and not W1_out) or (N1_out and E1_out and Rxy(0)) or (N1_out and W1_out and Rxy(1))) and Cx(0)) = '0') and
((((E1_out and not N1_out and not S1_out) or (E1_out and N1_out and Rxy(2)) or (E1_out and S1_out and Rxy(3))) and Cx(1)) = '0') and
((((W1_out and not N1_out and not S1_out) or (W1_out and N1_out and Rxy(4)) or (W1_out and S1_out and Rxy(5))) and Cx(2)) = '0') and
((((S1_out and not E1_out and not W1_out) or (S1_out and E1_out and Rxy(6)) or (S1_out and W1_out and Rxy(7))) and Cx(3)) = '0') and
(dst_addr /= cur_addr))) and packet_drop_in = '0') then
err_header_not_empty_faulty_drop_packet_in <= '1';
else
err_header_not_empty_faulty_drop_packet_in <= '0';
end if;
end process;
-- Added (according to new design)!
process (flit_type, empty, faulty, N1_out, E1_out, W1_out, S1_out, Rxy, Cx, dst_addr, cur_addr, packet_drop_in, packet_drop)
begin
if ( flit_type = "001" and empty = '0' and (faulty = '0' and not (((((N1_out and not E1_out and not W1_out) or (N1_out and E1_out and Rxy(0)) or (N1_out and W1_out and Rxy(1))) and Cx(0)) = '0') and
((((E1_out and not N1_out and not S1_out) or (E1_out and N1_out and Rxy(2)) or (E1_out and S1_out and Rxy(3))) and Cx(1)) = '0') and
((((W1_out and not N1_out and not S1_out) or (W1_out and N1_out and Rxy(4)) or (W1_out and S1_out and Rxy(5))) and Cx(2)) = '0') and
((((S1_out and not E1_out and not W1_out) or (S1_out and E1_out and Rxy(6)) or (S1_out and W1_out and Rxy(7))) and Cx(3)) = '0') and
(dst_addr /= cur_addr))) and packet_drop_in /= packet_drop) then
err_header_not_empty_not_faulty_drop_packet_in_packet_drop_not_change <= '1';
else
err_header_not_empty_not_faulty_drop_packet_in_packet_drop_not_change <= '0';
end if;
end process;
-- Added (according to new design)!
process (flit_type, empty, faulty, N1_out, E1_out, W1_out, S1_out, Rxy, Cx, dst_addr, cur_addr, Requests_in)
begin
if ( flit_type = "001" and empty = '0' and (faulty = '1' or (((((N1_out and not E1_out and not W1_out) or (N1_out and E1_out and Rxy(0)) or (N1_out and W1_out and Rxy(1))) and Cx(0)) = '0') and
((((E1_out and not N1_out and not S1_out) or (E1_out and N1_out and Rxy(2)) or (E1_out and S1_out and Rxy(3))) and Cx(1)) = '0') and
((((W1_out and not N1_out and not S1_out) or (W1_out and N1_out and Rxy(4)) or (W1_out and S1_out and Rxy(5))) and Cx(2)) = '0') and
((((S1_out and not E1_out and not W1_out) or (S1_out and E1_out and Rxy(6)) or (S1_out and W1_out and Rxy(7))) and Cx(3)) = '0') and
(dst_addr /= cur_addr))) and Requests_in /= "00000") then
err_header_not_empty_faulty_Req_in_all_zero <= '1';
else
err_header_not_empty_faulty_Req_in_all_zero <= '0';
end if;
end process;
-- Added (according to new design)!
--process (flit_type, empty, Req_L_in, N1_out, E1_out, W1_out, S1_out)
--begin
-- if ( flit_type = "001" and empty = '0' and Req_L_in /= (not N1_out and not E1_out and not W1_out and not S1_out) ) then
-- err_header_not_empty_Req_L_in <= '1';
-- else
-- err_header_not_empty_Req_L_in <= '0';
-- end if;
--end process;
-- Updated !
process (flit_type, empty, Req_N_in, N1_out, E1_out, W1_out, Rxy, Cx)
begin
if ( flit_type = "001" and empty = '0' and Req_N_in /= ( ((N1_out and not E1_out and not W1_out) or (N1_out and E1_out and Rxy(0)) or (N1_out and W1_out and Rxy(1))) and Cx(0) ) ) then
err_header_not_empty_Req_N_in <= '1';
else
err_header_not_empty_Req_N_in <= '0';
end if;
end process;
-- Updated !
process (flit_type, empty, Req_E_in, N1_out, E1_out, S1_out, Rxy, Cx)
begin
if ( flit_type = "001" and empty = '0' and Req_E_in /= ( ((E1_out and not N1_out and not S1_out) or (E1_out and N1_out and Rxy(2)) or (E1_out and S1_out and Rxy(3))) and Cx(1) ) ) then
err_header_not_empty_Req_E_in <= '1';
else
err_header_not_empty_Req_E_in <= '0';
end if;
end process;
-- Updated !
process (flit_type, empty, Req_W_in, N1_out, W1_out, S1_out, Rxy, Cx)
begin
if ( flit_type = "001" and empty = '0' and Req_W_in /= ( ((W1_out and not N1_out and not S1_out) or (W1_out and N1_out and Rxy(4)) or (W1_out and S1_out and Rxy(5))) and Cx(2) ) ) then
err_header_not_empty_Req_W_in <= '1';
else
err_header_not_empty_Req_W_in <= '0';
end if;
end process;
-- Updated !
process (flit_type, empty, Req_S_in, E1_out, W1_out, S1_out, Rxy, Cx)
begin
if ( flit_type = "001" and empty = '0' and Req_S_in /= (((S1_out and not E1_out and not W1_out) or (S1_out and E1_out and Rxy(6)) or (S1_out and W1_out and Rxy(7))) and Cx(3)) ) then
err_header_not_empty_Req_S_in <= '1';
else
err_header_not_empty_Req_S_in <= '0';
end if;
end process;
-- Updated !
process (flit_type, empty, packet_drop_in, packet_drop)
begin
if (flit_type = "001" and empty = '1' and packet_drop_in /= packet_drop ) then
err_header_empty_packet_drop_in_packet_drop_equal <= '1';
else
err_header_empty_packet_drop_in_packet_drop_equal <= '0';
end if;
end process;
-- Added !
process (flit_type, empty, packet_drop, packet_drop_in)
begin
if (flit_type = "100" and empty = '0' and packet_drop = '1' and packet_drop_in /= '0' ) then
err_tail_not_empty_packet_drop_not_packet_drop_in <= '1';
else
err_tail_not_empty_packet_drop_not_packet_drop_in <= '0';
end if;
end process;
-- Added !
process (flit_type, empty, packet_drop, packet_drop_in)
begin
if (flit_type = "100" and empty = '0' and packet_drop = '0' and packet_drop_in /= packet_drop ) then
err_tail_not_empty_not_packet_drop_packet_drop_in_packet_drop_equal <= '1';
else
err_tail_not_empty_not_packet_drop_packet_drop_in_packet_drop_equal <= '0';
end if;
end process;
-- Added !
process (flit_type, empty, packet_drop_in, packet_drop)
begin
if ( ((flit_type /= "001" and flit_type /= "100") or empty = '1') and packet_drop_in /= packet_drop ) then
err_invalid_or_body_flit_packet_drop_in_packet_drop_equal <= '1';
else
err_invalid_or_body_flit_packet_drop_in_packet_drop_equal <= '0';
end if;
end process;
-- Added !
process (packet_drop_order, packet_drop)
begin
if (packet_drop_order /= packet_drop) then
err_packet_drop_order <= '1';
else
err_packet_drop_order <= '0';
end if;
end process;
-- Added !
end behavior; | gpl-3.0 | dd9dde2aa8416ea8a167a17b17f66bf0 | 0.589517 | 2.774251 | false | false | false | false |
fupolarbear/THU-Class-CO-makecomputer | src/VGA/ipcore_dir/fifo_mem/example_design/fifo_mem_prod.vhd | 2 | 10,255 |
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v7.1 Core - Top-level wrapper
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--------------------------------------------------------------------------------
--
-- Filename: fifo_mem_prod.vhd
--
-- Description:
-- This is the top-level BMG wrapper (over BMG core).
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: August 31, 2005 - First Release
--------------------------------------------------------------------------------
--
-- Configured Core Parameter Values:
-- (Refer to the SIM Parameters table in the datasheet for more information on
-- the these parameters.)
-- C_FAMILY : spartan3e
-- C_XDEVICEFAMILY : spartan3e
-- C_INTERFACE_TYPE : 0
-- C_ENABLE_32BIT_ADDRESS : 0
-- C_AXI_TYPE : 1
-- C_AXI_SLAVE_TYPE : 0
-- C_AXI_ID_WIDTH : 4
-- C_MEM_TYPE : 1
-- C_BYTE_SIZE : 9
-- C_ALGORITHM : 1
-- C_PRIM_TYPE : 1
-- C_LOAD_INIT_FILE : 1
-- C_INIT_FILE_NAME : fifo_mem.mif
-- C_USE_DEFAULT_DATA : 1
-- C_DEFAULT_DATA : 0
-- C_RST_TYPE : SYNC
-- C_HAS_RSTA : 0
-- C_RST_PRIORITY_A : CE
-- C_RSTRAM_A : 0
-- C_INITA_VAL : 0
-- C_HAS_ENA : 0
-- C_HAS_REGCEA : 0
-- C_USE_BYTE_WEA : 0
-- C_WEA_WIDTH : 1
-- C_WRITE_MODE_A : WRITE_FIRST
-- C_WRITE_WIDTH_A : 8
-- C_READ_WIDTH_A : 8
-- C_WRITE_DEPTH_A : 2048
-- C_READ_DEPTH_A : 2048
-- C_ADDRA_WIDTH : 11
-- C_HAS_RSTB : 0
-- C_RST_PRIORITY_B : CE
-- C_RSTRAM_B : 0
-- C_INITB_VAL : 0
-- C_HAS_ENB : 0
-- C_HAS_REGCEB : 0
-- C_USE_BYTE_WEB : 0
-- C_WEB_WIDTH : 1
-- C_WRITE_MODE_B : WRITE_FIRST
-- C_WRITE_WIDTH_B : 8
-- C_READ_WIDTH_B : 8
-- C_WRITE_DEPTH_B : 2048
-- C_READ_DEPTH_B : 2048
-- C_ADDRB_WIDTH : 11
-- C_HAS_MEM_OUTPUT_REGS_A : 0
-- C_HAS_MEM_OUTPUT_REGS_B : 0
-- C_HAS_MUX_OUTPUT_REGS_A : 0
-- C_HAS_MUX_OUTPUT_REGS_B : 0
-- C_HAS_SOFTECC_INPUT_REGS_A : 0
-- C_HAS_SOFTECC_OUTPUT_REGS_B : 0
-- C_MUX_PIPELINE_STAGES : 0
-- C_USE_ECC : 0
-- C_USE_SOFTECC : 0
-- C_HAS_INJECTERR : 0
-- C_SIM_COLLISION_CHECK : ALL
-- C_COMMON_CLK : 1
-- C_DISABLE_WARN_BHV_COLL : 0
-- C_DISABLE_WARN_BHV_RANGE : 0
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY UNISIM;
USE UNISIM.VCOMPONENTS.ALL;
--------------------------------------------------------------------------------
-- Entity Declaration
--------------------------------------------------------------------------------
ENTITY fifo_mem_prod IS
PORT (
--Port A
CLKA : IN STD_LOGIC;
RSTA : IN STD_LOGIC; --opt port
ENA : IN STD_LOGIC; --optional port
REGCEA : IN STD_LOGIC; --optional port
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
--Port B
CLKB : IN STD_LOGIC;
RSTB : IN STD_LOGIC; --opt port
ENB : IN STD_LOGIC; --optional port
REGCEB : IN STD_LOGIC; --optional port
WEB : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRB : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
DINB : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
--ECC
INJECTSBITERR : IN STD_LOGIC; --optional port
INJECTDBITERR : IN STD_LOGIC; --optional port
SBITERR : OUT STD_LOGIC; --optional port
DBITERR : OUT STD_LOGIC; --optional port
RDADDRECC : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); --optional port
-- AXI BMG Input and Output Port Declarations
-- AXI Global Signals
S_ACLK : IN STD_LOGIC;
S_AXI_AWID : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_AWLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_AWVALID : IN STD_LOGIC;
S_AXI_AWREADY : OUT STD_LOGIC;
S_AXI_WDATA : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_WSTRB : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
S_AXI_WLAST : IN STD_LOGIC;
S_AXI_WVALID : IN STD_LOGIC;
S_AXI_WREADY : OUT STD_LOGIC;
S_AXI_BID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0');
S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_BVALID : OUT STD_LOGIC;
S_AXI_BREADY : IN STD_LOGIC;
-- AXI Full/Lite Slave Read (Write side)
S_AXI_ARID : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_ARLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_ARVALID : IN STD_LOGIC;
S_AXI_ARREADY : OUT STD_LOGIC;
S_AXI_RID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0');
S_AXI_RDATA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_RLAST : OUT STD_LOGIC;
S_AXI_RVALID : OUT STD_LOGIC;
S_AXI_RREADY : IN STD_LOGIC;
-- AXI Full/Lite Sideband Signals
S_AXI_INJECTSBITERR : IN STD_LOGIC;
S_AXI_INJECTDBITERR : IN STD_LOGIC;
S_AXI_SBITERR : OUT STD_LOGIC;
S_AXI_DBITERR : OUT STD_LOGIC;
S_AXI_RDADDRECC : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
S_ARESETN : IN STD_LOGIC
);
END fifo_mem_prod;
ARCHITECTURE xilinx OF fifo_mem_prod IS
COMPONENT fifo_mem_exdes IS
PORT (
--Port A
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
CLKA : IN STD_LOGIC;
--Port B
ADDRB : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
CLKB : IN STD_LOGIC
);
END COMPONENT;
BEGIN
bmg0 : fifo_mem_exdes
PORT MAP (
--Port A
WEA => WEA,
ADDRA => ADDRA,
DINA => DINA,
CLKA => CLKA,
--Port B
ADDRB => ADDRB,
DOUTB => DOUTB,
CLKB => CLKB
);
END xilinx;
| mit | 4919b7d135ac665423201c6820706d08 | 0.49137 | 3.827921 | false | false | false | false |
HighlandersFRC/fpga | oled_project/oled_project.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_gpio_0_0/fifo_generator_v11_0/simulation/fifo_generator_v11_0.vhd | 7 | 390,875 | -------------------------------------------------------------------------------
--
-- FIFO Generator - VHDL Behavioral Model
--
-------------------------------------------------------------------------------
-- (c) Copyright 1995 - 2009 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
-- Filename: fifo_generator_v11_0.vhd
--
-- Author : Xilinx
--
-------------------------------------------------------------------------------
-- Structure:
--
-- fifo_generator_v11_0.vhd
-- |
-- +-fifo_generator_v11_0_conv
-- |
-- +-fifo_generator_v11_0_bhv_as
-- |
-- +-fifo_generator_v11_0_bhv_ss
-- |
-- +-fifo_generator_v11_0_bhv_preload0
--
-------------------------------------------------------------------------------
-- Description:
--
-- The VHDL behavioral model for the FIFO Generator.
--
-- The behavioral model has three parts:
-- - The behavioral model for independent clocks FIFOs (_as)
-- - The behavioral model for common clock FIFOs (_ss)
-- - The "preload logic" block which implements First-word Fall-through
--
-------------------------------------------------------------------------------
--#############################################################################
--#############################################################################
-- Independent Clocks FIFO Behavioral Model
--#############################################################################
--#############################################################################
-------------------------------------------------------------------------------
-- Library Declaration
-------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
USE IEEE.std_logic_arith.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
-------------------------------------------------------------------------------
-- Independent Clocks Entity Declaration - This is NOT the top-level entity
-------------------------------------------------------------------------------
ENTITY fifo_generator_v11_0_bhv_as IS
GENERIC (
---------------------------------------------------------------------------
-- Generic Declarations
---------------------------------------------------------------------------
C_FAMILY : string := "virtex7";
C_DIN_WIDTH : integer := 8;
C_DOUT_RST_VAL : string := "";
C_DOUT_WIDTH : integer := 8;
C_FULL_FLAGS_RST_VAL : integer := 1;
C_HAS_ALMOST_EMPTY : integer := 0;
C_HAS_ALMOST_FULL : integer := 0;
C_HAS_OVERFLOW : integer := 0;
C_HAS_RD_DATA_COUNT : integer := 2;
C_HAS_RST : integer := 1;
C_HAS_UNDERFLOW : integer := 0;
C_HAS_VALID : integer := 0;
C_HAS_WR_ACK : integer := 0;
C_HAS_WR_DATA_COUNT : integer := 2;
C_MEMORY_TYPE : integer := 1;
C_OVERFLOW_LOW : integer := 0;
C_PRELOAD_LATENCY : integer := 1;
C_PRELOAD_REGS : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL : integer := 0;
C_PROG_EMPTY_THRESH_NEGATE_VAL : integer := 0;
C_PROG_EMPTY_TYPE : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL : integer := 0;
C_PROG_FULL_THRESH_NEGATE_VAL : integer := 0;
C_PROG_FULL_TYPE : integer := 0;
C_RD_DATA_COUNT_WIDTH : integer := 0;
C_RD_DEPTH : integer := 256;
C_RD_PNTR_WIDTH : integer := 8;
C_UNDERFLOW_LOW : integer := 0;
C_USE_DOUT_RST : integer := 0;
C_USE_ECC : integer := 0;
C_USE_EMBEDDED_REG : integer := 0;
C_USE_FWFT_DATA_COUNT : integer := 0;
C_VALID_LOW : integer := 0;
C_WR_ACK_LOW : integer := 0;
C_WR_DATA_COUNT_WIDTH : integer := 0;
C_WR_DEPTH : integer := 256;
C_WR_PNTR_WIDTH : integer := 8;
C_TCQ : time := 100 ps;
C_ENABLE_RST_SYNC : integer := 1;
C_ERROR_INJECTION_TYPE : integer := 0;
C_FIFO_TYPE : integer := 0;
C_SYNCHRONIZER_STAGE : integer := 2
);
PORT(
---------------------------------------------------------------------------
-- Input and Output Declarations
---------------------------------------------------------------------------
RST : IN std_logic;
RST_FULL_GEN : IN std_logic := '0';
RST_FULL_FF : IN std_logic := '0';
WR_RST : IN std_logic;
RD_RST : IN std_logic;
DIN : IN std_logic_vector(C_DIN_WIDTH-1 DOWNTO 0);
RD_CLK : IN std_logic;
RD_EN : IN std_logic;
RD_EN_USER : IN std_logic;
WR_CLK : IN std_logic;
WR_EN : IN std_logic;
PROG_EMPTY_THRESH : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0);
PROG_EMPTY_THRESH_ASSERT : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0);
PROG_EMPTY_THRESH_NEGATE : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0);
PROG_FULL_THRESH : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0);
PROG_FULL_THRESH_ASSERT : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0);
PROG_FULL_THRESH_NEGATE : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0);
INJECTDBITERR : IN std_logic := '0';
INJECTSBITERR : IN std_logic := '0';
USER_EMPTY_FB : IN std_logic := '1';
EMPTY : OUT std_logic := '1';
FULL : OUT std_logic := '0';
ALMOST_EMPTY : OUT std_logic := '1';
ALMOST_FULL : OUT std_logic := '0';
PROG_EMPTY : OUT std_logic := '1';
PROG_FULL : OUT std_logic := '0';
DOUT : OUT std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
VALID : OUT std_logic := '0';
WR_ACK : OUT std_logic := '0';
UNDERFLOW : OUT std_logic := '0';
OVERFLOW : OUT std_logic := '0';
RD_DATA_COUNT : OUT std_logic_vector(C_RD_DATA_COUNT_WIDTH-1 DOWNTO 0)
:= (OTHERS => '0');
WR_DATA_COUNT : OUT std_logic_vector(C_WR_DATA_COUNT_WIDTH-1 DOWNTO 0)
:= (OTHERS => '0');
SBITERR : OUT std_logic := '0';
DBITERR : OUT std_logic := '0'
);
END fifo_generator_v11_0_bhv_as;
-------------------------------------------------------------------------------
-- Architecture Heading
-------------------------------------------------------------------------------
ARCHITECTURE behavioral OF fifo_generator_v11_0_bhv_as IS
-----------------------------------------------------------------------------
-- FUNCTION actual_fifo_depth
-- Returns the actual depth of the FIFO (may differ from what the user
-- specified)
--
-- The FIFO depth is always represented as 2^n (16,32,64,128,256)
-- However, the ACTUAL fifo depth may be 2^n+1 or 2^n-1 depending on certain
-- options. This function returns the actual depth of the fifo, as seen by
-- the user.
-------------------------------------------------------------------------------
FUNCTION actual_fifo_depth(
C_FIFO_DEPTH : integer;
C_PRELOAD_REGS : integer;
C_PRELOAD_LATENCY : integer)
RETURN integer IS
BEGIN
RETURN C_FIFO_DEPTH - 1;
END actual_fifo_depth;
-----------------------------------------------------------------------------
-- FUNCTION div_roundup
-- Returns data_value / divisor, with the result rounded-up (if fractional)
-------------------------------------------------------------------------------
FUNCTION divroundup (
data_value : integer;
divisor : integer)
RETURN integer IS
VARIABLE div : integer;
BEGIN
div := data_value/divisor;
IF ( (data_value MOD divisor) /= 0) THEN
div := div+1;
END IF;
RETURN div;
END divroundup;
-----------------------------------------------------------------------------
-- FUNCTION int_2_std_logic
-- Returns a single bit (as std_logic) from an integer 1/0 value.
-------------------------------------------------------------------------------
FUNCTION int_2_std_logic(value : integer) RETURN std_logic IS
BEGIN
IF (value=1) THEN
RETURN '1';
ELSE
RETURN '0';
END IF;
END int_2_std_logic;
-----------------------------------------------------------------------------
-- FUNCTION if_then_else
-- Returns a true case or flase case based on the condition
-------------------------------------------------------------------------------
FUNCTION if_then_else (
condition : boolean;
true_case : integer;
false_case : integer)
RETURN integer IS
VARIABLE retval : integer := 0;
BEGIN
IF NOT condition THEN
retval:=false_case;
ELSE
retval:=true_case;
END IF;
RETURN retval;
END if_then_else;
FUNCTION if_then_else (
condition : boolean;
true_case : std_logic;
false_case : std_logic)
RETURN std_logic IS
VARIABLE retval : std_logic := '0';
BEGIN
IF NOT condition THEN
retval:=false_case;
ELSE
retval:=true_case;
END IF;
RETURN retval;
END if_then_else;
-----------------------------------------------------------------------------
-- FUNCTION hexstr_to_std_logic_vec
-- Returns a std_logic_vector for a hexadecimal string
-------------------------------------------------------------------------------
FUNCTION hexstr_to_std_logic_vec(
arg1 : string;
size : integer )
RETURN std_logic_vector IS
VARIABLE result : std_logic_vector(size-1 DOWNTO 0) := (OTHERS => '0');
VARIABLE bin : std_logic_vector(3 DOWNTO 0);
VARIABLE index : integer := 0;
BEGIN
FOR i IN arg1'reverse_range LOOP
CASE arg1(i) IS
WHEN '0' => bin := (OTHERS => '0');
WHEN '1' => bin := (0 => '1', OTHERS => '0');
WHEN '2' => bin := (1 => '1', OTHERS => '0');
WHEN '3' => bin := (0 => '1', 1 => '1', OTHERS => '0');
WHEN '4' => bin := (2 => '1', OTHERS => '0');
WHEN '5' => bin := (0 => '1', 2 => '1', OTHERS => '0');
WHEN '6' => bin := (1 => '1', 2 => '1', OTHERS => '0');
WHEN '7' => bin := (3 => '0', OTHERS => '1');
WHEN '8' => bin := (3 => '1', OTHERS => '0');
WHEN '9' => bin := (0 => '1', 3 => '1', OTHERS => '0');
WHEN 'A' => bin := (0 => '0', 2 => '0', OTHERS => '1');
WHEN 'a' => bin := (0 => '0', 2 => '0', OTHERS => '1');
WHEN 'B' => bin := (2 => '0', OTHERS => '1');
WHEN 'b' => bin := (2 => '0', OTHERS => '1');
WHEN 'C' => bin := (0 => '0', 1 => '0', OTHERS => '1');
WHEN 'c' => bin := (0 => '0', 1 => '0', OTHERS => '1');
WHEN 'D' => bin := (1 => '0', OTHERS => '1');
WHEN 'd' => bin := (1 => '0', OTHERS => '1');
WHEN 'E' => bin := (0 => '0', OTHERS => '1');
WHEN 'e' => bin := (0 => '0', OTHERS => '1');
WHEN 'F' => bin := (OTHERS => '1');
WHEN 'f' => bin := (OTHERS => '1');
WHEN OTHERS =>
FOR j IN 0 TO 3 LOOP
bin(j) := 'X';
END LOOP;
END CASE;
FOR j IN 0 TO 3 LOOP
IF (index*4)+j < size THEN
result((index*4)+j) := bin(j);
END IF;
END LOOP;
index := index + 1;
END LOOP;
RETURN result;
END hexstr_to_std_logic_vec;
-----------------------------------------------------------------------------
-- FUNCTION get_lesser
-- Returns a minimum value
-------------------------------------------------------------------------------
FUNCTION get_lesser(a: INTEGER; b: INTEGER) RETURN INTEGER IS
BEGIN
IF (a < b) THEN
RETURN a;
ELSE
RETURN b;
END IF;
END FUNCTION;
-----------------------------------------------------------------------------
-- Derived Constants
-----------------------------------------------------------------------------
CONSTANT C_FIFO_WR_DEPTH : integer
:= actual_fifo_depth(C_WR_DEPTH, C_PRELOAD_REGS, C_PRELOAD_LATENCY);
CONSTANT C_FIFO_RD_DEPTH : integer
:= actual_fifo_depth(C_RD_DEPTH, C_PRELOAD_REGS, C_PRELOAD_LATENCY);
CONSTANT C_SMALLER_DATA_WIDTH : integer
:= get_lesser(C_DIN_WIDTH, C_DOUT_WIDTH);
CONSTANT C_DEPTH_RATIO_WR : integer
:= if_then_else( (C_WR_DEPTH > C_RD_DEPTH), (C_WR_DEPTH/C_RD_DEPTH), 1);
CONSTANT C_DEPTH_RATIO_RD : integer
:= if_then_else( (C_RD_DEPTH > C_WR_DEPTH), (C_RD_DEPTH/C_WR_DEPTH), 1);
-- "Extra Words" is the number of write words which fit into the two
-- first-word fall-through output register stages (if using FWFT).
-- For ratios of 1:4 and 1:8, the fractional result is rounded up to 1.
-- This value is used to calculate the adjusted PROG_FULL threshold
-- value for FWFT.
-- EXTRA_WORDS = 2 * C_DEPTH_RATIO_WR / C_DEPTH_RATIO_RD
-- WR_DEPTH : RD_DEPTH = 1:2 => EXTRA_WORDS = 1
-- WR_DEPTH : RD_DEPTH = 1:4 => EXTRA_WORDS = 1 (rounded to ceiling)
-- WR_DEPTH : RD_DEPTH = 2:1 => EXTRA_WORDS = 4
-- WR_DEPTH : RD_DEPTH = 4:1 => EXTRA_WORDS = 8
CONSTANT EXTRA_WORDS : integer := divroundup(2 * C_DEPTH_RATIO_WR, C_DEPTH_RATIO_RD);
-- "Extra words dc" is used for calculating the adjusted WR_DATA_COUNT
-- value for the core when using FWFT.
-- extra_words_dc = 2 * C_DEPTH_RATIO_WR / C_DEPTH_RATIO_RD
-- C_DEPTH_RATIO_WR | C_DEPTH_RATIO_RD | C_PNTR_WIDTH | EXTRA_WORDS_DC
-- -----------------|------------------|-----------------|---------------
-- 1 | 8 | C_RD_PNTR_WIDTH | 2
-- 1 | 4 | C_RD_PNTR_WIDTH | 2
-- 1 | 2 | C_RD_PNTR_WIDTH | 2
-- 1 | 1 | C_WR_PNTR_WIDTH | 2
-- 2 | 1 | C_WR_PNTR_WIDTH | 4
-- 4 | 1 | C_WR_PNTR_WIDTH | 8
-- 8 | 1 | C_WR_PNTR_WIDTH | 16
CONSTANT EXTRA_WORDS_DC : integer
:= if_then_else ((C_DEPTH_RATIO_WR = 1),2,
(2 * C_DEPTH_RATIO_WR/C_DEPTH_RATIO_RD));
CONSTANT C_PE_THR_ASSERT_ADJUSTED : integer
:=if_then_else((C_PRELOAD_REGS=1 and C_PRELOAD_LATENCY=0),
C_PROG_EMPTY_THRESH_ASSERT_VAL - 2, --FWFT
C_PROG_EMPTY_THRESH_ASSERT_VAL ); --NO FWFT
CONSTANT C_PE_THR_NEGATE_ADJUSTED : integer
:=if_then_else((C_PRELOAD_REGS=1 and C_PRELOAD_LATENCY=0),
C_PROG_EMPTY_THRESH_NEGATE_VAL - 2, --FWFT
C_PROG_EMPTY_THRESH_NEGATE_VAL); --NO FWFT
CONSTANT C_PE_THR_ASSERT_VAL_I : integer := C_PE_THR_ASSERT_ADJUSTED;
CONSTANT C_PE_THR_NEGATE_VAL_I : integer := C_PE_THR_NEGATE_ADJUSTED;
CONSTANT C_PF_THR_ASSERT_ADJUSTED : integer
:=if_then_else((C_PRELOAD_REGS=1 and C_PRELOAD_LATENCY=0),
C_PROG_FULL_THRESH_ASSERT_VAL - EXTRA_WORDS_DC, --FWFT
C_PROG_FULL_THRESH_ASSERT_VAL ); --NO FWFT
CONSTANT C_PF_THR_NEGATE_ADJUSTED : integer
:=if_then_else((C_PRELOAD_REGS=1 and C_PRELOAD_LATENCY=0),
C_PROG_FULL_THRESH_NEGATE_VAL - EXTRA_WORDS_DC, --FWFT
C_PROG_FULL_THRESH_NEGATE_VAL); --NO FWFT
-- NO_ERR_INJECTION will be 1 if ECC is OFF or ECC is ON but no error
-- injection is selected.
CONSTANT NO_ERR_INJECTION : integer
:= if_then_else(C_USE_ECC = 0,1,
if_then_else(C_ERROR_INJECTION_TYPE = 0,1,0));
-- SBIT_ERR_INJECTION will be 1 if ECC is ON and single bit error injection
-- is selected.
CONSTANT SBIT_ERR_INJECTION : integer
:= if_then_else((C_USE_ECC = 1 AND C_ERROR_INJECTION_TYPE = 1),1,0);
-- DBIT_ERR_INJECTION will be 1 if ECC is ON and double bit error injection
-- is selected.
CONSTANT DBIT_ERR_INJECTION : integer
:= if_then_else((C_USE_ECC = 1 AND C_ERROR_INJECTION_TYPE = 2),1,0);
-- BOTH_ERR_INJECTION will be 1 if ECC is ON and both single and double bit
-- error injection are selected.
CONSTANT BOTH_ERR_INJECTION : integer
:= if_then_else((C_USE_ECC = 1 AND C_ERROR_INJECTION_TYPE = 3),1,0);
CONSTANT C_DATA_WIDTH : integer := if_then_else(NO_ERR_INJECTION = 1, C_DIN_WIDTH, C_DIN_WIDTH+2);
CONSTANT OF_INIT_VAL : std_logic := if_then_else((C_HAS_OVERFLOW = 1 AND C_OVERFLOW_LOW = 1),'1','0');
CONSTANT UF_INIT_VAL : std_logic := if_then_else((C_HAS_UNDERFLOW = 1 AND C_UNDERFLOW_LOW = 1),'1','0');
TYPE wr_sync_array IS ARRAY (C_SYNCHRONIZER_STAGE-1 DOWNTO 0) OF std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0);
TYPE rd_sync_array IS ARRAY (C_SYNCHRONIZER_STAGE-1 DOWNTO 0) OF std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0);
SIGNAL wr_pntr_q : wr_sync_array := (OTHERS => (OTHERS => '0'));
SIGNAL rd_pntr_q : rd_sync_array := (OTHERS => (OTHERS => '0'));
-------------------------------------------------------------------------------
-- Signals Declaration
-------------------------------------------------------------------------------
SIGNAL wr_point : integer := 0;
SIGNAL rd_point : integer := 0;
SIGNAL wr_point_d1 : integer := 0;
SIGNAL rd_point_d1 : integer := 0;
SIGNAL num_wr_words : integer := 0;
SIGNAL num_rd_words : integer := 0;
SIGNAL adj_wr_point : integer := 0;
SIGNAL adj_rd_point : integer := 0;
SIGNAL adj_wr_point_d1: integer := 0;
SIGNAL adj_rd_point_d1: integer := 0;
SIGNAL wr_rst_i : std_logic := '0';
SIGNAL rd_rst_i : std_logic := '0';
SIGNAL wr_rst_d1 : std_logic := '0';
SIGNAL wr_ack_i : std_logic := '0';
SIGNAL overflow_i : std_logic := OF_INIT_VAL;
SIGNAL valid_i : std_logic := '0';
SIGNAL valid_d1 : std_logic := '0';
SIGNAL valid_out : std_logic := '0';
SIGNAL underflow_i : std_logic := UF_INIT_VAL;
SIGNAL prog_full_reg : std_logic := '0';
SIGNAL prog_empty_reg : std_logic := '1';
SIGNAL dout_i : std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0)
:= (OTHERS => '0');
SIGNAL width_gt1 : std_logic := '0';
SIGNAL sbiterr_i : std_logic := '0';
SIGNAL dbiterr_i : std_logic := '0';
SIGNAL wr_pntr : std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0)
:= (OTHERS=>'0');
SIGNAL wr_pntr_rd1 : std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0)
:= (OTHERS=>'0');
SIGNAL wr_pntr_rd2 : std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0)
:= (OTHERS=>'0');
SIGNAL wr_pntr_rd3 : std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0)
:= (OTHERS=>'0');
SIGNAL wr_pntr_rd : std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0)
:= (OTHERS=>'0');
SIGNAL adj_wr_pntr_rd : std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0)
:= (OTHERS=>'0');
SIGNAL wr_data_count_int : std_logic_vector(C_WR_PNTR_WIDTH DOWNTO 0)
:= (OTHERS=>'0');
SIGNAL wdc_fwft_ext_as : std_logic_vector(C_WR_PNTR_WIDTH DOWNTO 0)
:= (OTHERS=>'0');
SIGNAL rdc_fwft_ext_as : std_logic_vector (C_RD_PNTR_WIDTH DOWNTO 0)
:= (OTHERS => '0');
SIGNAL rd_pntr : std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0)
:= (OTHERS=>'0');
SIGNAL rd_pntr_wr_d1 : std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0)
:= (OTHERS=>'0');
SIGNAL rd_pntr_wr_d2 : std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0)
:= (OTHERS=>'0');
SIGNAL rd_pntr_wr_d3 : std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0)
:= (OTHERS=>'0');
SIGNAL rd_pntr_wr_d4 : std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0)
:= (OTHERS=>'0');
SIGNAL rd_pntr_wr : std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0)
:= (OTHERS=>'0');
SIGNAL adj_rd_pntr_wr : std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0)
:= (OTHERS=>'0');
SIGNAL rd_data_count_int : std_logic_vector(C_RD_PNTR_WIDTH DOWNTO 0)
:= (OTHERS=>'0');
SIGNAL empty_int : boolean := TRUE;
SIGNAL empty_comb : std_logic := '1';
SIGNAL ram_rd_en : std_logic := '0';
SIGNAL ram_rd_en_d1 : std_logic := '0';
SIGNAL empty_comb_d1 : std_logic := '1';
SIGNAL almost_empty_int : boolean := TRUE;
SIGNAL full_int : boolean := FALSE;
SIGNAL full_comb : std_logic := '0';
SIGNAL ram_wr_en : std_logic := '0';
SIGNAL almost_full_int : boolean := FALSE;
SIGNAL rd_fwft_cnt : std_logic_vector(3 downto 0) := (others=>'0');
SIGNAL stage1_valid : std_logic := '0';
SIGNAL stage2_valid : std_logic := '0';
SIGNAL diff_pntr_wr : integer := 0;
SIGNAL diff_pntr_rd : integer := 0;
SIGNAL pf_input_thr_assert_val : std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS=>'0');
SIGNAL pf_input_thr_negate_val : std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS=>'0');
-------------------------------------------------------------------------------
--Linked List types
-------------------------------------------------------------------------------
TYPE listtyp;
TYPE listptr IS ACCESS listtyp;
TYPE listtyp IS RECORD
data : std_logic_vector(C_SMALLER_DATA_WIDTH + 1 DOWNTO 0);
older : listptr;
newer : listptr;
END RECORD;
-------------------------------------------------------------------------------
--Processes for linked list implementation. The functions are
--1. "newlist" - Create a new linked list
--2. "add" - Add a data element to a linked list
--3. "read" - Read the data from the tail of the linked list
--4. "remove" - Remove the tail from the linked list
--5. "sizeof" - Calculate the size of the linked list
-------------------------------------------------------------------------------
--1. Create a new linked list
PROCEDURE newlist (
head : INOUT listptr;
tail : INOUT listptr;
cntr : INOUT integer) IS
BEGIN
head := NULL;
tail := NULL;
cntr := 0;
END;
--2. Add a data element to a linked list
PROCEDURE add (
head : INOUT listptr;
tail : INOUT listptr;
data : IN std_logic_vector;
cntr : INOUT integer;
inj_err : IN std_logic_vector(2 DOWNTO 0)
) IS
VARIABLE oldhead : listptr;
VARIABLE newhead : listptr;
VARIABLE corrupted_data : std_logic_vector(1 DOWNTO 0);
BEGIN
--------------------------------------------------------------------------
--a. Create a pointer to the existing head, if applicable
--b. Create a new node for the list
--c. Make the new node point to the old head
--d. Make the old head point back to the new node (for doubly-linked list)
--e. Put the data into the new head node
--f. If the new head we just created is the only node in the list,
-- make the tail point to it
--g. Return the new head pointer
--------------------------------------------------------------------------
IF (head /= NULL) THEN
oldhead := head;
END IF;
newhead := NEW listtyp;
newhead.older := oldhead;
IF (head /= NULL) THEN
oldhead.newer := newhead;
END IF;
CASE inj_err(1 DOWNTO 0) IS
-- For both error injection, pass only the double bit error injection
-- as dbit error has priority over single bit error injection
WHEN "11" => newhead.data := inj_err(1) & '0' & data;
WHEN "10" => newhead.data := inj_err(1) & '0' & data;
WHEN "01" => newhead.data := '0' & inj_err(0) & data;
WHEN OTHERS => newhead.data := '0' & '0' & data;
END CASE;
-- Increment the counter when data is added to the list
cntr := cntr + 1;
IF (newhead.older = NULL) THEN
tail := newhead;
END IF;
head := newhead;
END;
--3. Read the data from the tail of the linked list
PROCEDURE read (
tail : INOUT listptr;
data : OUT std_logic_vector;
err_type : OUT std_logic_vector(1 DOWNTO 0)
) IS
VARIABLE data_int : std_logic_vector(C_SMALLER_DATA_WIDTH + 1 DOWNTO 0) := (OTHERS => '0');
VARIABLE err_type_int : std_logic_vector(1 DOWNTO 0) := (OTHERS => '0');
BEGIN
data_int := tail.data;
-- MSB two bits carry the error injection type.
err_type_int := data_int(data_int'high DOWNTO C_SMALLER_DATA_WIDTH);
IF (err_type_int(1) = '0') THEN
data := data_int(C_SMALLER_DATA_WIDTH - 1 DOWNTO 0);
ELSIF (C_DOUT_WIDTH = 2) THEN
data := NOT data_int(C_SMALLER_DATA_WIDTH - 1 DOWNTO 0);
ELSIF (C_DOUT_WIDTH > 2) THEN
data := NOT data_int(data_int'high-2) & NOT data_int(data_int'high-3) &
data_int(data_int'high-4 DOWNTO 0);
ELSE
data := data_int(C_SMALLER_DATA_WIDTH - 1 DOWNTO 0);
END IF;
err_type := err_type_int;
END;
--4. Remove the tail from the linked list
PROCEDURE remove (
head : INOUT listptr;
tail : INOUT listptr;
cntr : INOUT integer) IS
VARIABLE oldtail : listptr;
VARIABLE newtail : listptr;
BEGIN
--------------------------------------------------------------------------
--Make a copy of the old tail pointer
--a. If there is no newer node, then set the tail pointer to nothing
-- (list is empty)
-- otherwise, make the next newer node the new tail, and make it point
-- to nothing older
--b. Clean up the memory for the old tail node
--c. If the new tail is nothing, then we have an empty list, and head
-- should also be set to nothing
--d. Return the new tail
--------------------------------------------------------------------------
oldtail := tail;
IF (oldtail.newer = NULL) THEN
newtail := NULL;
ELSE
newtail := oldtail.newer;
newtail.older := NULL;
END IF;
DEALLOCATE(oldtail);
IF (newtail = NULL) THEN
head := NULL;
END IF;
tail := newtail;
-- Decrement the counter when data is removed from the list
cntr := cntr - 1;
END;
--5. Calculate the size of the linked list
PROCEDURE sizeof (head : INOUT listptr; size : OUT integer) IS
VARIABLE curlink : listptr;
VARIABLE tmpsize : integer := 0;
BEGIN
--------------------------------------------------------------------------
--a. If head is null, then there is nothing in the list to traverse
-- start with the head node (which implies at least one node exists)
-- Loop through each node until you find the one that points to nothing
-- (the tail)
--b. Return the number of nodes
--------------------------------------------------------------------------
IF (head /= NULL) THEN
curlink := head;
tmpsize := 1;
WHILE (curlink.older /= NULL) LOOP
tmpsize := tmpsize + 1;
curlink := curlink.older;
END LOOP;
END IF;
size := tmpsize;
END;
-----------------------------------------------------------------------------
-- converts integer to specified length std_logic_vector : dropping least
-- significant bits if integer is bigger than what can be represented by
-- the vector
-----------------------------------------------------------------------------
FUNCTION count(
fifo_count : IN integer;
pointer_width : IN integer;
counter_width : IN integer)
RETURN std_logic_vector IS
VARIABLE temp : std_logic_vector(pointer_width-1 DOWNTO 0)
:= (OTHERS => '0');
VARIABLE output : std_logic_vector(counter_width - 1 DOWNTO 0)
:= (OTHERS => '0');
BEGIN
temp := CONV_STD_LOGIC_VECTOR(fifo_count, pointer_width);
IF (counter_width <= pointer_width) THEN
output := temp(pointer_width - 1 DOWNTO pointer_width - counter_width);
ELSE
output := temp(counter_width - 1 DOWNTO 0);
END IF;
RETURN output;
END count;
-------------------------------------------------------------------------------
-- architecture begins here
-------------------------------------------------------------------------------
BEGIN
-------------------------------------------------------------------------------
-- Asynchronous FIFO
-------------------------------------------------------------------------------
gnll_afifo: IF (C_FIFO_TYPE /= 3) GENERATE
wr_pntr <= conv_std_logic_vector(wr_point,C_WR_PNTR_WIDTH);
rd_pntr <= conv_std_logic_vector(rd_point,C_RD_PNTR_WIDTH);
wr_rst_i <= WR_RST;
rd_rst_i <= RD_RST;
-------------------------------------------------------------------------------
-- calculate number of words in wr and rd domain according to the deepest port
--
-- These steps circumvent the linked-list data structure and keep track of
-- wr_point and rd_point pointers much like the core itself does. The behavioral
-- model uses these to calculate WR_DATA_COUNT and RD_DATA_COUNT. This was done
-- because the sizeof() function always returns the exact number of words in
-- the linked list, and can not account for delays when crossing clock domains.
-------------------------------------------------------------------------------
adj_wr_point <= wr_point * C_DEPTH_RATIO_RD;
adj_rd_point <= rd_point * C_DEPTH_RATIO_WR;
adj_wr_point_d1<= wr_point_d1 * C_DEPTH_RATIO_RD;
adj_rd_point_d1<= rd_point_d1 * C_DEPTH_RATIO_WR;
width_gt1 <= '1' WHEN (C_DIN_WIDTH = 2) ELSE '0';
PROCESS (adj_wr_point, adj_wr_point_d1, adj_rd_point, adj_rd_point_d1)
BEGIN
IF (adj_wr_point >= adj_rd_point_d1) THEN
num_wr_words <= adj_wr_point - adj_rd_point_d1;
ELSE
num_wr_words <= C_WR_DEPTH*C_DEPTH_RATIO_RD + adj_wr_point - adj_rd_point_d1;
END IF;
IF (adj_wr_point_d1 >= adj_rd_point) THEN
num_rd_words <= adj_wr_point_d1 - adj_rd_point;
ELSE
num_rd_words <= C_RD_DEPTH*C_DEPTH_RATIO_WR + adj_wr_point_d1 - adj_rd_point;
END IF;
END PROCESS;
-------------------------------------------------------------------------------
--Calculate WR_ACK based on C_WR_ACK_LOW parameters
-------------------------------------------------------------------------------
gwalow : IF (C_WR_ACK_LOW = 0) GENERATE
WR_ACK <= wr_ack_i;
END GENERATE gwalow;
gwahgh : IF (C_WR_ACK_LOW = 1) GENERATE
WR_ACK <= NOT wr_ack_i;
END GENERATE gwahgh;
-------------------------------------------------------------------------------
--Calculate OVERFLOW based on C_OVERFLOW_LOW parameters
-------------------------------------------------------------------------------
govlow : IF (C_OVERFLOW_LOW = 0) GENERATE
OVERFLOW <= overflow_i;
END GENERATE govlow;
govhgh : IF (C_OVERFLOW_LOW = 1) GENERATE
OVERFLOW <= NOT overflow_i;
END GENERATE govhgh;
-------------------------------------------------------------------------------
--Calculate VALID based on C_VALID_LOW
-------------------------------------------------------------------------------
gnvl : IF (C_VALID_LOW = 0) GENERATE
VALID <= valid_out;
END GENERATE gnvl;
gnvh : IF (C_VALID_LOW = 1) GENERATE
VALID <= NOT valid_out;
END GENERATE gnvh;
-------------------------------------------------------------------------------
--Calculate UNDERFLOW based on C_UNDERFLOW_LOW
-------------------------------------------------------------------------------
gnul : IF (C_UNDERFLOW_LOW = 0) GENERATE
UNDERFLOW <= underflow_i;
END GENERATE gnul;
gnuh : IF (C_UNDERFLOW_LOW = 1) GENERATE
UNDERFLOW <= NOT underflow_i;
END GENERATE gnuh;
-------------------------------------------------------------------------------
--Assign PROG_FULL and PROG_EMPTY
-------------------------------------------------------------------------------
PROG_FULL <= prog_full_reg;
PROG_EMPTY <= prog_empty_reg;
-------------------------------------------------------------------------------
--Assign RD_DATA_COUNT and WR_DATA_COUNT
-------------------------------------------------------------------------------
rdc: IF (C_HAS_RD_DATA_COUNT=1) GENERATE
grdc_fwft_ext: IF (C_USE_FWFT_DATA_COUNT = 1) GENERATE
RD_DATA_COUNT <= rdc_fwft_ext_as(C_RD_PNTR_WIDTH DOWNTO C_RD_PNTR_WIDTH+1-C_RD_DATA_COUNT_WIDTH);
END GENERATE grdc_fwft_ext;
gnrdc_fwft_ext: IF (C_USE_FWFT_DATA_COUNT = 0) GENERATE
RD_DATA_COUNT <= rd_data_count_int(C_RD_PNTR_WIDTH DOWNTO C_RD_PNTR_WIDTH+1-C_RD_DATA_COUNT_WIDTH);
END GENERATE gnrdc_fwft_ext;
END GENERATE rdc;
nrdc: IF (C_HAS_RD_DATA_COUNT=0) GENERATE
RD_DATA_COUNT <= (OTHERS=>'0');
END GENERATE nrdc;
wdc: IF (C_HAS_WR_DATA_COUNT = 1) GENERATE
gwdc_fwft_ext: IF (C_USE_FWFT_DATA_COUNT = 1) GENERATE
WR_DATA_COUNT <= wdc_fwft_ext_as(C_WR_PNTR_WIDTH DOWNTO C_WR_PNTR_WIDTH+1-C_WR_DATA_COUNT_WIDTH);
END GENERATE gwdc_fwft_ext;
gnwdc_fwft_ext: IF (C_USE_FWFT_DATA_COUNT = 0) GENERATE
WR_DATA_COUNT <= wr_data_count_int(C_WR_PNTR_WIDTH DOWNTO C_WR_PNTR_WIDTH+1-C_WR_DATA_COUNT_WIDTH);
END GENERATE gnwdc_fwft_ext;
END GENERATE wdc;
nwdc: IF (C_HAS_WR_DATA_COUNT=0) GENERATE
WR_DATA_COUNT <= (OTHERS=>'0');
END GENERATE nwdc;
-------------------------------------------------------------------------------
-- Write data count calculation if Use Extra Logic option is used
-------------------------------------------------------------------------------
wdc_fwft_ext: IF (C_HAS_WR_DATA_COUNT = 1 AND C_USE_FWFT_DATA_COUNT = 1) GENERATE
CONSTANT C_PNTR_WIDTH : integer
:= if_then_else ((C_WR_PNTR_WIDTH>=C_RD_PNTR_WIDTH),
C_WR_PNTR_WIDTH, C_RD_PNTR_WIDTH);
SIGNAL adjusted_wr_pntr : std_logic_vector (C_PNTR_WIDTH-1 DOWNTO 0)
:= (OTHERS => '0');
SIGNAL adjusted_rd_pntr : std_logic_vector (C_PNTR_WIDTH-1 DOWNTO 0)
:= (OTHERS => '0');
CONSTANT EXTRA_WORDS : std_logic_vector (C_PNTR_WIDTH DOWNTO 0)
:= conv_std_logic_vector(
if_then_else ((C_DEPTH_RATIO_WR=1),2
,(2 * C_DEPTH_RATIO_WR/C_DEPTH_RATIO_RD))
,C_PNTR_WIDTH+1);
SIGNAL diff_wr_rd_tmp : std_logic_vector (C_PNTR_WIDTH-1 DOWNTO 0)
:= (OTHERS => '0');
SIGNAL diff_wr_rd : std_logic_vector (C_PNTR_WIDTH DOWNTO 0)
:= (OTHERS => '0');
SIGNAL wr_data_count_i : std_logic_vector (C_PNTR_WIDTH DOWNTO 0)
:= (OTHERS => '0');
BEGIN
-----------------------------------------------------------------------------
--Adjust write and read pointer to the deepest port width
-----------------------------------------------------------------------------
--C_PNTR_WIDTH=C_WR_PNTR_WIDTH
gpadr: IF (C_WR_PNTR_WIDTH > C_RD_PNTR_WIDTH) GENERATE
adjusted_wr_pntr <= wr_pntr;
adjusted_rd_pntr(C_PNTR_WIDTH-1 DOWNTO C_PNTR_WIDTH-C_RD_PNTR_WIDTH)
<= rd_pntr_wr;
adjusted_rd_pntr(C_PNTR_WIDTH-C_RD_PNTR_WIDTH-1 DOWNTO 0)<=(OTHERS=>'0');
END GENERATE gpadr;
--C_PNTR_WIDTH=C_RD_PNTR_WIDTH
gpadw: IF (C_WR_PNTR_WIDTH < C_RD_PNTR_WIDTH) GENERATE
adjusted_wr_pntr(C_PNTR_WIDTH-1 DOWNTO C_PNTR_WIDTH-C_WR_PNTR_WIDTH)
<= wr_pntr;
adjusted_wr_pntr(C_PNTR_WIDTH-C_WR_PNTR_WIDTH-1 DOWNTO 0)<=(OTHERS=>'0');
adjusted_rd_pntr <= rd_pntr_wr;
END GENERATE gpadw;
--C_PNTR_WIDTH=C_WR_PNTR_WIDTH=C_RD_PNTR_WIDTH
ngpad: IF (C_WR_PNTR_WIDTH = C_RD_PNTR_WIDTH) GENERATE
adjusted_wr_pntr <= wr_pntr;
adjusted_rd_pntr <= rd_pntr_wr;
END GENERATE ngpad;
-----------------------------------------------------------------------------
--Calculate words in write domain
-----------------------------------------------------------------------------
--Subtract the pointers to get the number of words in the RAM, *THEN* pad
--the result
diff_wr_rd_tmp <= adjusted_wr_pntr - adjusted_rd_pntr;
diff_wr_rd <= '0' & diff_wr_rd_tmp;
pwdc : PROCESS (WR_CLK, wr_rst_i)
BEGIN
IF (wr_rst_i = '1') THEN
wr_data_count_i <= (OTHERS=>'0');
ELSIF (WR_CLK'event AND WR_CLK = '1') THEN
wr_data_count_i <= diff_wr_rd + extra_words;
END IF;
END PROCESS pwdc;
gdc0: IF (C_WR_PNTR_WIDTH >= C_RD_PNTR_WIDTH) GENERATE
wdc_fwft_ext_as
<= wr_data_count_i(C_PNTR_WIDTH DOWNTO 0);
END GENERATE gdc0;
gdc1: IF (C_WR_PNTR_WIDTH < C_RD_PNTR_WIDTH) GENERATE
wdc_fwft_ext_as
<= wr_data_count_i(C_PNTR_WIDTH DOWNTO C_RD_PNTR_WIDTH-C_WR_PNTR_WIDTH);
END GENERATE gdc1;
END GENERATE wdc_fwft_ext;
-------------------------------------------------------------------------------
-- Read data count calculation if Use Extra Logic option is used
-------------------------------------------------------------------------------
rdc_fwft_ext: IF (C_HAS_RD_DATA_COUNT = 1 AND C_USE_FWFT_DATA_COUNT = 1) GENERATE
SIGNAL diff_wr_rd_tmp : std_logic_vector (C_RD_PNTR_WIDTH-1 DOWNTO 0)
:= (OTHERS => '0');
SIGNAL diff_wr_rd : std_logic_vector (C_RD_PNTR_WIDTH DOWNTO 0)
:= (OTHERS => '0');
SIGNAL zero : std_logic_vector (C_RD_PNTR_WIDTH DOWNTO 0)
:= (OTHERS => '0');
SIGNAL one : std_logic_vector (C_RD_PNTR_WIDTH DOWNTO 0)
:= conv_std_logic_vector(1, C_RD_PNTR_WIDTH+1);
SIGNAL two : std_logic_vector (C_RD_PNTR_WIDTH DOWNTO 0)
:= conv_std_logic_vector(2, C_RD_PNTR_WIDTH+1);
SIGNAL adjusted_wr_pntr_r : std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0)
:= (OTHERS=>'0');
BEGIN
----------------------------------------------------------------------------
-- If write depth is smaller than read depth, pad write pointer.
-- If write depth is bigger than read depth, trim write pointer.
----------------------------------------------------------------------------
gpad : IF (C_RD_PNTR_WIDTH>C_WR_PNTR_WIDTH) GENERATE
adjusted_wr_pntr_r(C_RD_PNTR_WIDTH-1 DOWNTO C_RD_PNTR_WIDTH-C_WR_PNTR_WIDTH)
<= WR_PNTR_RD;
adjusted_wr_pntr_r(C_RD_PNTR_WIDTH-C_WR_PNTR_WIDTH-1 DOWNTO 0)
<= (OTHERS => '0');
END GENERATE gpad;
gtrim : IF (C_RD_PNTR_WIDTH<=C_WR_PNTR_WIDTH) GENERATE
adjusted_wr_pntr_r
<= WR_PNTR_RD(C_WR_PNTR_WIDTH-1 DOWNTO C_WR_PNTR_WIDTH-C_RD_PNTR_WIDTH);
END GENERATE gtrim;
-----------------------------------------------------------------------------
-- This accounts for preload 0 by explicitly handling the preload states
-- which do not have both output stages filled. As a result, the rd_data_count
-- produced will always accurately reflect the number of READABLE words at
-- a given time.
-----------------------------------------------------------------------------
diff_wr_rd_tmp <= adjusted_wr_pntr_r - RD_PNTR;
diff_wr_rd <= '0' & diff_wr_rd_tmp;
prdc : PROCESS (RD_CLK, rd_rst_i)
BEGIN
IF (rd_rst_i = '1') THEN
rdc_fwft_ext_as <= zero;
ELSIF (RD_CLK'event AND RD_CLK = '1') THEN
IF (stage2_valid = '0') THEN
rdc_fwft_ext_as <= zero;
ELSIF (stage2_valid = '1' AND stage1_valid = '0') THEN
rdc_fwft_ext_as <= one;
ELSE
rdc_fwft_ext_as <= diff_wr_rd + two;
END IF;
END IF;
END PROCESS prdc;
END GENERATE rdc_fwft_ext;
-------------------------------------------------------------------------------
-- Write pointer adjustment based on pointers width for EMPTY/ALMOST_EMPTY generation
-------------------------------------------------------------------------------
gpad : IF (C_RD_PNTR_WIDTH > C_WR_PNTR_WIDTH) GENERATE
adj_wr_pntr_rd(C_RD_PNTR_WIDTH-1 DOWNTO C_RD_PNTR_WIDTH-C_WR_PNTR_WIDTH)
<= wr_pntr_rd;
adj_wr_pntr_rd(C_RD_PNTR_WIDTH-C_WR_PNTR_WIDTH-1 DOWNTO 0)
<= (OTHERS => '0');
END GENERATE gpad;
gtrim : IF (C_RD_PNTR_WIDTH<=C_WR_PNTR_WIDTH) GENERATE
adj_wr_pntr_rd
<= wr_pntr_rd(C_WR_PNTR_WIDTH-1 DOWNTO C_WR_PNTR_WIDTH-C_RD_PNTR_WIDTH);
END GENERATE gtrim;
-------------------------------------------------------------------------------
-- Generate Empty
-------------------------------------------------------------------------------
-- ram_rd_en used to determine EMPTY should depend on the EMPTY.
ram_rd_en <= RD_EN AND (NOT empty_comb);
empty_int <= ((adj_wr_pntr_rd = rd_pntr) OR (ram_rd_en = '1' AND
(adj_wr_pntr_rd = conv_std_logic_vector((conv_integer(rd_pntr)+1),C_RD_PNTR_WIDTH))));
-------------------------------------------------------------------------------
-- Generate Almost Empty
-------------------------------------------------------------------------------
almost_empty_int <= ((adj_wr_pntr_rd = conv_std_logic_vector((conv_integer(rd_pntr)+1),C_RD_PNTR_WIDTH)) OR (ram_rd_en = '1' AND
(adj_wr_pntr_rd = conv_std_logic_vector((conv_integer(rd_pntr)+2),C_RD_PNTR_WIDTH))));
-------------------------------------------------------------------------------
-- Registering Empty & Almost Empty
-- Generate read data count if Use Extra Logic is not selected.
-------------------------------------------------------------------------------
empty_proc : PROCESS (RD_CLK, rd_rst_i)
BEGIN
IF (rd_rst_i = '1') THEN
empty_comb <= '1' AFTER C_TCQ;
empty_comb_d1 <= '1' AFTER C_TCQ;
ALMOST_EMPTY <= '1' AFTER C_TCQ;
rd_data_count_int <= (OTHERS => '0') AFTER C_TCQ;
ELSIF (RD_CLK'event AND RD_CLK = '1') THEN
rd_data_count_int <= ((adj_wr_pntr_rd(C_RD_PNTR_WIDTH-1 DOWNTO 0) -
rd_pntr(C_RD_PNTR_WIDTH-1 DOWNTO 0)) & '0') AFTER C_TCQ;
empty_comb_d1 <= empty_comb AFTER C_TCQ;
IF (empty_int) THEN
empty_comb <= '1' AFTER C_TCQ;
ELSE
empty_comb <= '0' AFTER C_TCQ;
END IF;
IF (empty_comb = '0') THEN
IF (almost_empty_int) THEN
ALMOST_EMPTY <= '1' AFTER C_TCQ;
ELSE
ALMOST_EMPTY <= '0' AFTER C_TCQ;
END IF;
END IF;
END IF;
END PROCESS empty_proc;
-------------------------------------------------------------------------------
-- Read pointer adjustment based on pointers width for FULL/ALMOST_FULL generation
-------------------------------------------------------------------------------
gfpad : IF (C_WR_PNTR_WIDTH > C_RD_PNTR_WIDTH) GENERATE
adj_rd_pntr_wr
(C_WR_PNTR_WIDTH-1 DOWNTO C_WR_PNTR_WIDTH-C_RD_PNTR_WIDTH)
<= rd_pntr_wr;
adj_rd_pntr_wr(C_WR_PNTR_WIDTH-C_RD_PNTR_WIDTH-1 DOWNTO 0)
<= (OTHERS => '0');
END GENERATE gfpad;
gftrim : IF (C_WR_PNTR_WIDTH <= C_RD_PNTR_WIDTH) GENERATE
adj_rd_pntr_wr
<= rd_pntr_wr(C_RD_PNTR_WIDTH-1 DOWNTO C_RD_PNTR_WIDTH-C_WR_PNTR_WIDTH);
END GENERATE gftrim;
-------------------------------------------------------------------------------
-- Generate Full
-------------------------------------------------------------------------------
-- ram_wr_en used to determine FULL should depend on the FULL.
ram_wr_en <= WR_EN AND (NOT full_comb);
full_int <= ((adj_rd_pntr_wr = conv_std_logic_vector((conv_integer(wr_pntr)+1),C_WR_PNTR_WIDTH)) OR (ram_wr_en = '1' AND
(adj_rd_pntr_wr = conv_std_logic_vector((conv_integer(wr_pntr)+2),C_WR_PNTR_WIDTH))));
-------------------------------------------------------------------------------
-- Generate Almost Full
-------------------------------------------------------------------------------
almost_full_int <= ((adj_rd_pntr_wr = conv_std_logic_vector((conv_integer(wr_pntr)+2),C_WR_PNTR_WIDTH)) OR (ram_wr_en = '1' AND
(adj_rd_pntr_wr = conv_std_logic_vector((conv_integer(wr_pntr)+3),C_WR_PNTR_WIDTH))));
-------------------------------------------------------------------------------
-- Registering Full & Almost Full
-- Generate write data count if Use Extra Logic is not selected.
-------------------------------------------------------------------------------
full_proc : PROCESS (WR_CLK, RST_FULL_FF)
BEGIN
IF (RST_FULL_FF = '1') THEN
full_comb <= int_2_std_logic(C_FULL_FLAGS_RST_VAL) AFTER C_TCQ;
ALMOST_FULL <= int_2_std_logic(C_FULL_FLAGS_RST_VAL) AFTER C_TCQ;
ELSIF (WR_CLK'event AND WR_CLK = '1') THEN
IF (full_int) THEN
full_comb <= '1' AFTER C_TCQ;
ELSE
full_comb <= '0' AFTER C_TCQ;
END IF;
IF (RST_FULL_GEN = '1') THEN
ALMOST_FULL <= '0' AFTER C_TCQ;
ELSIF (full_comb = '0') THEN
IF (almost_full_int) THEN
ALMOST_FULL <= '1' AFTER C_TCQ;
ELSE
ALMOST_FULL <= '0' AFTER C_TCQ;
END IF;
END IF;
END IF;
END PROCESS full_proc;
wdci_proc : PROCESS (WR_CLK, wr_rst_i)
BEGIN
IF (wr_rst_i = '1') THEN
wr_data_count_int <= (OTHERS => '0') AFTER C_TCQ;
ELSIF (WR_CLK'event AND WR_CLK = '1') THEN
wr_data_count_int <= ((wr_pntr(C_WR_PNTR_WIDTH-1 DOWNTO 0) -
adj_rd_pntr_wr(C_WR_PNTR_WIDTH-1 DOWNTO 0)) & '0') AFTER C_TCQ;
END IF;
END PROCESS wdci_proc;
-------------------------------------------------------------------------------
-- Counter that determines the FWFT read duration.
-------------------------------------------------------------------------------
-- C_PRELOAD_LATENCY will be 0 for Non-Built-in FIFO with FWFT.
grd_fwft: IF (C_PRELOAD_LATENCY = 0) GENERATE
SIGNAL user_empty_fb_d1 : std_logic := '1';
BEGIN
grd_fwft_proc : PROCESS (RD_CLK, rd_rst_i)
BEGIN
IF (rd_rst_i = '1') THEN
rd_fwft_cnt <= (others => '0');
user_empty_fb_d1 <= '1';
stage1_valid <= '0';
stage2_valid <= '0';
ELSIF (RD_CLK'event AND RD_CLK = '1') THEN
user_empty_fb_d1 <= USER_EMPTY_FB;
IF (user_empty_fb_d1 = '0' AND USER_EMPTY_FB = '1') THEN
rd_fwft_cnt <= (others => '0') AFTER C_TCQ;
ELSIF (empty_comb = '0') THEN
IF (RD_EN = '1' AND rd_fwft_cnt < X"5") THEN
rd_fwft_cnt <= rd_fwft_cnt + "1" AFTER C_TCQ;
END IF;
END IF;
IF (stage1_valid = '0' AND stage2_valid = '0') THEN
IF (empty_comb = '0') THEN
stage1_valid <= '1' AFTER C_TCQ;
ELSE
stage1_valid <= '0' AFTER C_TCQ;
END IF;
ELSIF (stage1_valid = '1' AND stage2_valid = '0') THEN
IF (empty_comb = '1') THEN
stage1_valid <= '0' AFTER C_TCQ;
stage2_valid <= '1' AFTER C_TCQ;
ELSE
stage1_valid <= '1' AFTER C_TCQ;
stage2_valid <= '1' AFTER C_TCQ;
END IF;
ELSIF (stage1_valid = '0' AND stage2_valid = '1') THEN
IF (empty_comb = '1' AND RD_EN_USER = '1') THEN
stage1_valid <= '0' AFTER C_TCQ;
stage2_valid <= '0' AFTER C_TCQ;
ELSIF (empty_comb = '0' AND RD_EN_USER = '1') THEN
stage1_valid <= '1' AFTER C_TCQ;
stage2_valid <= '0' AFTER C_TCQ;
ELSIF (empty_comb = '0' AND RD_EN_USER = '0') THEN
stage1_valid <= '1' AFTER C_TCQ;
stage2_valid <= '1' AFTER C_TCQ;
ELSE
stage1_valid <= '0' AFTER C_TCQ;
stage2_valid <= '1' AFTER C_TCQ;
END IF;
ELSIF (stage1_valid = '1' AND stage2_valid = '1') THEN
IF (empty_comb = '1' AND RD_EN_USER = '1') THEN
stage1_valid <= '0' AFTER C_TCQ;
stage2_valid <= '1' AFTER C_TCQ;
ELSE
stage1_valid <= '1' AFTER C_TCQ;
stage2_valid <= '1' AFTER C_TCQ;
END IF;
ELSE
stage1_valid <= '0' AFTER C_TCQ;
stage2_valid <= '0' AFTER C_TCQ;
END IF;
END IF;
END PROCESS grd_fwft_proc;
END GENERATE grd_fwft;
gnrd_fwft: IF (C_PRELOAD_LATENCY > 0) GENERATE
rd_fwft_cnt <= X"2";
END GENERATE gnrd_fwft;
-------------------------------------------------------------------------------
-- Assign FULL, EMPTY, ALMOST_FULL and ALMOST_EMPTY
-------------------------------------------------------------------------------
FULL <= full_comb;
EMPTY <= empty_comb;
-------------------------------------------------------------------------------
-- Asynchronous FIFO using linked lists
-------------------------------------------------------------------------------
FIFO_PROC : PROCESS (WR_CLK, RD_CLK, rd_rst_i, wr_rst_i)
--Declare the linked-list head/tail pointers and the size value
VARIABLE head : listptr;
VARIABLE tail : listptr;
VARIABLE size : integer := 0;
VARIABLE cntr : integer := 0;
VARIABLE cntr_size_var_int : integer := 0;
--Data is the internal version of the DOUT bus
VARIABLE data : std_logic_vector(c_dout_width - 1 DOWNTO 0)
:= hexstr_to_std_logic_vec( C_DOUT_RST_VAL, c_dout_width);
VARIABLE err_type : std_logic_vector(1 DOWNTO 0) := (OTHERS => '0');
--Temporary values for calculating adjusted prog_empty/prog_full thresholds
VARIABLE prog_empty_actual_assert_thresh : integer := 0;
VARIABLE prog_empty_actual_negate_thresh : integer := 0;
VARIABLE prog_full_actual_assert_thresh : integer := 0;
VARIABLE prog_full_actual_negate_thresh : integer := 0;
VARIABLE diff_pntr : integer := 0;
BEGIN
-- Calculate the current contents of the FIFO (size)
-- Warning: This value should only be calculated once each time this
-- process is entered.
-- It is updated instantaneously for both write and read operations,
-- so it is not ideal to use for signals which must consider the
-- latency of crossing clock domains.
-- cntr_size_var_int is updated only once when the process is entered
-- This variable is used in the conditions instead of cntr which has the
-- latest value.
cntr_size_var_int := cntr;
-- RESET CONDITIONS
IF wr_rst_i = '1' THEN
wr_point <= 0 after C_TCQ;
wr_point_d1 <= 0 after C_TCQ;
wr_pntr_rd1 <= (OTHERS => '0') after C_TCQ;
rd_pntr_wr <= (OTHERS => '0') after C_TCQ;
rd_pntr_q <= (OTHERS => (OTHERS => '0')) after C_TCQ;
--Create new linked list
newlist(head, tail,cntr);
diff_pntr := 0;
---------------------------------------------------------------------------
-- Write to FIFO
---------------------------------------------------------------------------
ELSIF WR_CLK'event AND WR_CLK = '1' THEN
rd_pntr_q <= rd_pntr_q(C_SYNCHRONIZER_STAGE-2 downto 0) & rd_pntr_wr_d1;
-- Delay the write pointer before passing to RD_CLK domain to accommodate
-- the binary to gray converion
wr_pntr_rd1 <= wr_pntr after C_TCQ;
rd_pntr_wr <= rd_pntr_q(C_SYNCHRONIZER_STAGE-1) after C_TCQ;
wr_point_d1 <= wr_point after C_TCQ;
--The following IF statement setup default values of full_i and almost_full_i.
--The values might be overwritten in the next IF statement.
--If writing, then it is not possible to predict how many
--words will actually be in the FIFO after the write concludes
--(because the number of reads which happen in this time can
-- not be determined).
--Therefore, treat it pessimistically and always assume that
-- the write will happen without a read (assume the FIFO is
-- C_DEPTH_RATIO_RD fuller than it is).
--Note:
--1. cntr_size_var_int is the deepest depth between write depth and read depth
-- cntr_size_var_int/C_DEPTH_RATIO_RD is number of words in the write domain.
--2. cntr_size_var_int+C_DEPTH_RATIO_RD: number of write words in the next clock cycle
-- if wr_en=1 (C_DEPTH_RATIO_RD=one write word)
--3. For asymmetric FIFO, if write width is narrower than read width. Don't
-- have to consider partial words.
--4. For asymmetric FIFO, if read width is narrower than write width,
-- the worse case that FIFO is going to full is depicted in the following
-- diagram. Both rd_pntr_a and rd_pntr_b will cause FIFO full. rd_pntr_a
-- is the worse case. Therefore, in the calculation, actual FIFO depth is
-- substarcted to one write word and added one read word.
-- -------
-- | | |
-- wr_pntr-->| |---
-- | | |
-- ---|---
-- | | |
-- | |---
-- | | |
-- ---|---
-- | | |<--rd_pntr_a
-- | |---
-- | | |<--rd_pntr_b
-- ---|---
-- Update full_i and almost_full_i if user is writing to the FIFO.
-- Assign overflow and wr_ack.
IF WR_EN = '1' THEN
IF full_comb /= '1' THEN
-- User is writing to a FIFO which is NOT reporting FULL
IF cntr_size_var_int/C_DEPTH_RATIO_RD = C_FIFO_WR_DEPTH THEN
-- FIFO really is Full
--Report Overflow and do not acknowledge the write
ELSIF cntr_size_var_int/C_DEPTH_RATIO_RD + 1 = C_FIFO_WR_DEPTH THEN
-- FIFO is almost full
-- This write will succeed, and FIFO will go FULL
FOR h IN C_DEPTH_RATIO_RD DOWNTO 1 LOOP
add(head, tail,
DIN((C_SMALLER_DATA_WIDTH*h)-1 DOWNTO C_SMALLER_DATA_WIDTH*(h-1)),cntr,
(width_gt1 & INJECTDBITERR & INJECTSBITERR));
END LOOP;
wr_point <= (wr_point + 1) MOD C_WR_DEPTH after C_TCQ;
ELSIF cntr_size_var_int/C_DEPTH_RATIO_RD + 2 = C_FIFO_WR_DEPTH THEN
-- FIFO is one away from almost full
-- This write will succeed, and FIFO will go almost_full_i
FOR h IN C_DEPTH_RATIO_RD DOWNTO 1 LOOP
add(head, tail,
DIN((C_SMALLER_DATA_WIDTH*h)-1 DOWNTO C_SMALLER_DATA_WIDTH*(h-1)),cntr,
(width_gt1 & INJECTDBITERR & INJECTSBITERR));
END LOOP;
wr_point <= (wr_point + 1) MOD C_WR_DEPTH after C_TCQ;
ELSE
-- FIFO is no where near FULL
--Write will succeed, no change in status
FOR h IN C_DEPTH_RATIO_RD DOWNTO 1 LOOP
add(head, tail,
DIN((C_SMALLER_DATA_WIDTH*h)-1 DOWNTO C_SMALLER_DATA_WIDTH*(h-1)),cntr,
(width_gt1 & INJECTDBITERR & INJECTSBITERR));
END LOOP;
wr_point <= (wr_point + 1) MOD C_WR_DEPTH after C_TCQ;
END IF;
ELSE --IF full_i = '1'
-- User is writing to a FIFO which IS reporting FULL
--Write will fail
END IF; --full_i
ELSE --WR_EN/='1'
--No write attempted, so neither overflow or acknowledge
END IF; --WR_EN
END IF; --WR_CLK
---------------------------------------------------------------------------
-- Read from FIFO
---------------------------------------------------------------------------
IF rd_rst_i = '1' THEN
-- Whenever user is attempting to read from
-- an EMPTY FIFO, the core should report an underflow error, even if
-- the core is in a RESET condition.
rd_point <= 0 after C_TCQ;
rd_point_d1 <= 0 after C_TCQ;
rd_pntr_wr_d1 <= (OTHERS => '0') after C_TCQ;
wr_pntr_rd <= (OTHERS => '0') after C_TCQ;
wr_pntr_q <= (OTHERS => (OTHERS => '0')) after C_TCQ;
-- DRAM resets asynchronously
IF (C_MEMORY_TYPE = 2 AND C_USE_DOUT_RST = 1) THEN
data := hexstr_to_std_logic_vec(C_DOUT_RST_VAL, C_DOUT_WIDTH);
END IF;
-- BRAM resets synchronously
IF (C_MEMORY_TYPE < 2 AND C_USE_DOUT_RST = 1) THEN
IF (RD_CLK'event AND RD_CLK = '1') THEN
data := hexstr_to_std_logic_vec(C_DOUT_RST_VAL, C_DOUT_WIDTH);
END IF;
END IF;
-- Reset only if ECC is not selected as ECC does not support reset.
IF (C_USE_ECC = 0) THEN
err_type := (OTHERS => '0');
END IF ;
ELSIF RD_CLK'event AND RD_CLK = '1' THEN
wr_pntr_q <= wr_pntr_q(C_SYNCHRONIZER_STAGE-2 downto 0) & wr_pntr_rd1;
-- Delay the read pointer before passing to WR_CLK domain to accommodate
-- the binary to gray converion
rd_pntr_wr_d1 <= rd_pntr after C_TCQ;
wr_pntr_rd <= wr_pntr_q(C_SYNCHRONIZER_STAGE-1) after C_TCQ;
rd_point_d1 <= rd_point after C_TCQ;
---------------------------------------------------------------------------
-- Read Latency 1
---------------------------------------------------------------------------
--The following IF statement setup default values of empty_i and
--almost_empty_i. The values might be overwritten in the next IF statement.
--Note:
--cntr_size_var_int/C_DEPTH_RATIO_WR : number of words in read domain.
IF (ram_rd_en = '1') THEN
IF empty_comb /= '1' THEN
IF cntr_size_var_int/C_DEPTH_RATIO_WR = 2 THEN
--FIFO is going almost empty
FOR h IN C_DEPTH_RATIO_WR DOWNTO 1 LOOP
read(tail,
data((C_SMALLER_DATA_WIDTH*h)-1 DOWNTO C_SMALLER_DATA_WIDTH*(h-1)),
err_type);
remove(head, tail,cntr);
END LOOP;
rd_point <= (rd_point + 1) MOD C_RD_DEPTH after C_TCQ;
ELSIF cntr_size_var_int/C_DEPTH_RATIO_WR = 1 THEN
--FIFO is going empty
FOR h IN C_DEPTH_RATIO_WR DOWNTO 1 LOOP
read(tail,
data((C_SMALLER_DATA_WIDTH*h)-1 DOWNTO C_SMALLER_DATA_WIDTH*(h-1)),
err_type);
remove(head, tail,cntr);
END LOOP;
rd_point <= (rd_point + 1) MOD C_RD_DEPTH after C_TCQ;
ELSIF cntr_size_var_int/C_DEPTH_RATIO_WR = 0 THEN
--FIFO is empty
ELSE
--FIFO is not empty
FOR h IN C_DEPTH_RATIO_WR DOWNTO 1 LOOP
read(tail,
data((C_SMALLER_DATA_WIDTH*h)-1 DOWNTO C_SMALLER_DATA_WIDTH*(h-1)),
err_type);
remove(head, tail,cntr);
END LOOP;
rd_point <= (rd_point + 1) MOD C_RD_DEPTH after C_TCQ;
END IF;
ELSE
--FIFO is empty
END IF;
END IF; --RD_EN
END IF; --RD_CLK
dout_i <= data after C_TCQ;
sbiterr_i <= err_type(0) after C_TCQ;
dbiterr_i <= err_type(1) after C_TCQ;
END PROCESS;
-----------------------------------------------------------------------------
-- Programmable FULL flags
-----------------------------------------------------------------------------
proc_pf_input: PROCESS(PROG_FULL_THRESH, PROG_FULL_THRESH_ASSERT,PROG_FULL_THRESH_NEGATE)
BEGIN
IF (C_PRELOAD_REGS = 1 AND C_PRELOAD_LATENCY = 0) THEN -- FWFT
IF (C_PROG_FULL_TYPE = 3) THEN -- Single threshold input
pf_input_thr_assert_val <= PROG_FULL_THRESH - conv_integer(EXTRA_WORDS_DC);
ELSE -- Multiple threshold inputs
pf_input_thr_assert_val <= PROG_FULL_THRESH_ASSERT - conv_std_logic_vector(EXTRA_WORDS_DC,C_WR_PNTR_WIDTH);
pf_input_thr_negate_val <= PROG_FULL_THRESH_NEGATE - conv_std_logic_vector(EXTRA_WORDS_DC,C_WR_PNTR_WIDTH);
END IF;
ELSE -- STD
IF (C_PROG_FULL_TYPE = 3) THEN -- Single threshold input
pf_input_thr_assert_val <= PROG_FULL_THRESH;
ELSE -- Multiple threshold inputs
pf_input_thr_assert_val <= PROG_FULL_THRESH_ASSERT;
pf_input_thr_negate_val <= PROG_FULL_THRESH_NEGATE;
END IF;
END IF;
END PROCESS proc_pf_input;
proc_wdc: PROCESS(WR_CLK, wr_rst_i)
BEGIN
IF (wr_rst_i = '1') THEN
diff_pntr_wr <= 0;
ELSIF (WR_CLK'event AND WR_CLK = '1') THEN
IF (ram_wr_en = '0') THEN
diff_pntr_wr <= conv_integer(wr_pntr - adj_rd_pntr_wr) after C_TCQ;
ELSIF (ram_wr_en = '1') THEN
diff_pntr_wr <= conv_integer(wr_pntr - adj_rd_pntr_wr) + 1 after C_TCQ;
END IF;
END IF; -- WR_CLK
END PROCESS proc_wdc;
proc_pf: PROCESS(WR_CLK, RST_FULL_FF)
BEGIN
IF (RST_FULL_FF = '1') THEN
prog_full_reg <= int_2_std_logic(C_FULL_FLAGS_RST_VAL);
ELSIF (WR_CLK'event AND WR_CLK = '1') THEN
IF (RST_FULL_GEN = '1') THEN
prog_full_reg <= '0' after C_TCQ;
ELSIF (C_PROG_FULL_TYPE = 1) THEN
IF (full_comb = '0') THEN
IF (diff_pntr_wr >= C_PF_THR_ASSERT_ADJUSTED) THEN
prog_full_reg <= '1' after C_TCQ;
ELSE
prog_full_reg <= '0' after C_TCQ;
END IF;
ELSE
prog_full_reg <= prog_full_reg after C_TCQ;
END IF;
ELSIF (C_PROG_FULL_TYPE = 2) THEN
IF (full_comb = '0') THEN
IF (diff_pntr_wr >= C_PF_THR_ASSERT_ADJUSTED) THEN
prog_full_reg <= '1' after C_TCQ;
ELSIF (diff_pntr_wr < C_PF_THR_NEGATE_ADJUSTED) THEN
prog_full_reg <= '0' after C_TCQ;
ELSE
prog_full_reg <= prog_full_reg after C_TCQ;
END IF;
ELSE
prog_full_reg <= prog_full_reg after C_TCQ;
END IF;
ELSIF (C_PROG_FULL_TYPE = 3) THEN
IF (full_comb = '0') THEN
IF (diff_pntr_wr >= conv_integer(pf_input_thr_assert_val)) THEN
prog_full_reg <= '1' after C_TCQ;
ELSE
prog_full_reg <= '0' after C_TCQ;
END IF;
ELSE
prog_full_reg <= prog_full_reg after C_TCQ;
END IF;
ELSIF (C_PROG_FULL_TYPE = 4) THEN
IF (full_comb = '0') THEN
IF (diff_pntr_wr >= conv_integer(pf_input_thr_assert_val)) THEN
prog_full_reg <= '1' after C_TCQ;
ELSIF (diff_pntr_wr < conv_integer(pf_input_thr_negate_val)) THEN
prog_full_reg <= '0' after C_TCQ;
ELSE
prog_full_reg <= prog_full_reg after C_TCQ;
END IF;
ELSE
prog_full_reg <= prog_full_reg after C_TCQ;
END IF;
END IF; --C_PROG_FULL_TYPE
END IF; -- WR_CLK
END PROCESS proc_pf;
---------------------------------------------------------------------------
-- Programmable EMPTY Flags
---------------------------------------------------------------------------
proc_pe: PROCESS(RD_CLK, rd_rst_i)
VARIABLE pe_thr_assert_val : std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
VARIABLE pe_thr_negate_val : std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
BEGIN
IF (rd_rst_i = '1') THEN
diff_pntr_rd <= 0;
prog_empty_reg <= '1';
pe_thr_assert_val := (OTHERS => '0');
pe_thr_negate_val := (OTHERS => '0');
ELSIF (RD_CLK'event AND RD_CLK = '1') THEN
IF (ram_rd_en = '0') THEN
diff_pntr_rd <= conv_integer(adj_wr_pntr_rd - rd_pntr) after C_TCQ;
ELSIF (ram_rd_en = '1') THEN
diff_pntr_rd <= conv_integer(adj_wr_pntr_rd - rd_pntr) - 1 after C_TCQ;
ELSE
diff_pntr_rd <= diff_pntr_rd after C_TCQ;
END IF;
IF (C_PROG_EMPTY_TYPE = 1) THEN
IF (empty_comb = '0') THEN
IF (diff_pntr_rd <= C_PE_THR_ASSERT_VAL_I) THEN
prog_empty_reg <= '1' after C_TCQ;
ELSE
prog_empty_reg <= '0' after C_TCQ;
END IF;
ELSE
prog_empty_reg <= prog_empty_reg after C_TCQ;
END IF;
ELSIF (C_PROG_EMPTY_TYPE = 2) THEN
IF (empty_comb = '0') THEN
IF (diff_pntr_rd <= C_PE_THR_ASSERT_VAL_I) THEN
prog_empty_reg <= '1' after C_TCQ;
ELSIF (diff_pntr_rd > C_PE_THR_NEGATE_VAL_I) THEN
prog_empty_reg <= '0' after C_TCQ;
ELSE
prog_empty_reg <= prog_empty_reg after C_TCQ;
END IF;
ELSE
prog_empty_reg <= prog_empty_reg after C_TCQ;
END IF;
ELSIF (C_PROG_EMPTY_TYPE = 3) THEN
-- If empty input threshold is selected, then subtract 2 for FWFT to
-- compensate the FWFT stage, otherwise assign the input value.
IF (C_PRELOAD_REGS = 1 AND C_PRELOAD_LATENCY = 0) THEN -- FWFT
pe_thr_assert_val := PROG_EMPTY_THRESH - "10";
ELSE
pe_thr_assert_val := PROG_EMPTY_THRESH;
END IF;
IF (empty_comb = '0') THEN
IF (diff_pntr_rd <= pe_thr_assert_val) THEN
prog_empty_reg <= '1' after C_TCQ;
ELSE
prog_empty_reg <= '0' after C_TCQ;
END IF;
ELSE
prog_empty_reg <= prog_empty_reg after C_TCQ;
END IF;
ELSIF (C_PROG_EMPTY_TYPE = 4) THEN
-- If empty input threshold is selected, then subtract 2 for FWFT to
-- compensate the FWFT stage, otherwise assign the input value.
IF (C_PRELOAD_REGS = 1 AND C_PRELOAD_LATENCY = 0) THEN -- FWFT
pe_thr_assert_val := PROG_EMPTY_THRESH_ASSERT - "10";
pe_thr_negate_val := PROG_EMPTY_THRESH_NEGATE - "10";
ELSE
pe_thr_assert_val := PROG_EMPTY_THRESH_ASSERT;
pe_thr_negate_val := PROG_EMPTY_THRESH_NEGATE;
END IF;
IF (empty_comb = '0') THEN
IF (diff_pntr_rd <= conv_integer(pe_thr_assert_val)) THEN
prog_empty_reg <= '1' after C_TCQ;
ELSIF (diff_pntr_rd > conv_integer(pe_thr_negate_val)) THEN
prog_empty_reg <= '0' after C_TCQ;
ELSE
prog_empty_reg <= prog_empty_reg after C_TCQ;
END IF;
ELSE
prog_empty_reg <= prog_empty_reg after C_TCQ;
END IF;
END IF; --C_PROG_EMPTY_TYPE
END IF; -- RD_CLK
END PROCESS proc_pe;
-----------------------------------------------------------------------------
-- overflow_i generation: Asynchronous FIFO
-----------------------------------------------------------------------------
govflw: IF (C_HAS_OVERFLOW = 1) GENERATE
g7s_ovflw: IF (NOT (C_FAMILY = "virtex8" OR C_FAMILY = "kintex8")) GENERATE
povflw: PROCESS (WR_CLK)
BEGIN
IF WR_CLK'event AND WR_CLK = '1' THEN
overflow_i <= full_comb AND WR_EN after C_TCQ;
END IF;
END PROCESS povflw;
END GENERATE g7s_ovflw;
g8s_ovflw: IF ((C_FAMILY = "virtex8" OR C_FAMILY = "kintex8")) GENERATE
povflw: PROCESS (WR_CLK)
BEGIN
IF WR_CLK'event AND WR_CLK = '1' THEN
overflow_i <= (wr_rst_i OR full_comb) AND WR_EN after C_TCQ;
END IF;
END PROCESS povflw;
END GENERATE g8s_ovflw;
END GENERATE govflw;
-----------------------------------------------------------------------------
-- underflow_i generation: Asynchronous FIFO
-----------------------------------------------------------------------------
gunflw: IF (C_HAS_UNDERFLOW = 1) GENERATE
g7s_unflw: IF (NOT (C_FAMILY = "virtex8" OR C_FAMILY = "kintex8")) GENERATE
punflw: PROCESS (RD_CLK)
BEGIN
IF RD_CLK'event AND RD_CLK = '1' THEN
underflow_i <= empty_comb and RD_EN after C_TCQ;
END IF;
END PROCESS punflw;
END GENERATE g7s_unflw;
g8s_unflw: IF ((C_FAMILY = "virtex8" OR C_FAMILY = "kintex8")) GENERATE
punflw: PROCESS (RD_CLK)
BEGIN
IF RD_CLK'event AND RD_CLK = '1' THEN
underflow_i <= (rd_rst_i OR empty_comb) and RD_EN after C_TCQ;
END IF;
END PROCESS punflw;
END GENERATE g8s_unflw;
END GENERATE gunflw;
-----------------------------------------------------------------------------
-- wr_ack_i generation: Asynchronous FIFO
-----------------------------------------------------------------------------
gwack: IF (C_HAS_WR_ACK = 1) GENERATE
pwack: PROCESS (WR_CLK,wr_rst_i)
BEGIN
IF wr_rst_i = '1' THEN
wr_ack_i <= '0' after C_TCQ;
ELSIF WR_CLK'event AND WR_CLK = '1' THEN
wr_ack_i <= '0' after C_TCQ;
IF WR_EN = '1' THEN
IF full_comb /= '1' THEN
wr_ack_i <= '1' after C_TCQ;
END IF;
END IF;
END IF;
END PROCESS pwack;
END GENERATE gwack;
----------------------------------------------------------------------------
-- valid_i generation: Asynchronous FIFO
----------------------------------------------------------------------------
gvld_i: IF (C_HAS_VALID = 1) GENERATE
PROCESS (rd_rst_i , RD_CLK )
BEGIN
IF rd_rst_i = '1' THEN
valid_i <= '0' after C_TCQ;
ELSIF RD_CLK'event AND RD_CLK = '1' THEN
valid_i <= '0' after C_TCQ;
IF RD_EN = '1' THEN
IF empty_comb /= '1' THEN
valid_i <= '1' after C_TCQ;
END IF;
END IF;
END IF;
END PROCESS;
-----------------------------------------------------------------
-- Delay valid_d1
--if C_MEMORY_TYPE=0 or 1, C_USE_EMBEDDED_REG=1
-----------------------------------------------------------------
gv0_as: IF (C_USE_EMBEDDED_REG=1
AND (C_MEMORY_TYPE=0 OR C_MEMORY_TYPE=1)) GENERATE
PROCESS (rd_rst_i , RD_CLK )
BEGIN
IF rd_rst_i = '1' THEN
valid_d1 <= '0' after C_TCQ;
ELSIF RD_CLK'event AND RD_CLK = '1' THEN
valid_d1 <= valid_i after C_TCQ;
END IF;
END PROCESS;
END GENERATE gv0_as;
gv1_as: IF NOT (C_USE_EMBEDDED_REG=1
AND (C_MEMORY_TYPE=0 OR C_MEMORY_TYPE=1)) GENERATE
valid_d1 <= valid_i;
END GENERATE gv1_as;
END GENERATE gvld_i;
-----------------------------------------------------------------------------
--Use delayed Valid AND DOUT if we have a LATENCY=2 configurations
-- ( if C_MEMORY_TYPE=0 or 1, C_PRELOAD_REGS=0, C_USE_EMBEDDED_REG=1 )
--Otherwise, connect the valid and DOUT values up directly, with no
--additional latency.
-----------------------------------------------------------------------------
gv0: IF (C_PRELOAD_LATENCY=2
AND (C_MEMORY_TYPE=0 OR C_MEMORY_TYPE=1)) GENERATE
gv1: IF (C_HAS_VALID = 1) GENERATE
valid_out <= valid_d1;
END GENERATE gv1;
PROCESS (rd_rst_i , RD_CLK )
BEGIN
IF (rd_rst_i = '1') THEN
-- BRAM resets synchronously
IF (C_USE_DOUT_RST = 1) THEN
IF (RD_CLK 'event AND RD_CLK = '1') THEN
DOUT <= hexstr_to_std_logic_vec(C_DOUT_RST_VAL, C_DOUT_WIDTH) after C_TCQ;
END IF;
END IF;
IF (C_USE_ECC = 0) THEN
SBITERR <= '0' after C_TCQ;
DBITERR <= '0' after C_TCQ;
END IF;
ram_rd_en_d1 <= '0' after C_TCQ;
ELSIF (RD_CLK 'event AND RD_CLK = '1') THEN
ram_rd_en_d1 <= ram_rd_en after C_TCQ;
IF (ram_rd_en_d1 = '1') THEN
DOUT <= dout_i after C_TCQ;
SBITERR <= sbiterr_i after C_TCQ;
DBITERR <= dbiterr_i after C_TCQ;
END IF;
END IF;
END PROCESS;
END GENERATE gv0;
gv1: IF NOT (C_PRELOAD_LATENCY=2
AND (C_MEMORY_TYPE=0 OR C_MEMORY_TYPE=1)) GENERATE
gv2a: IF (C_HAS_VALID = 1) GENERATE
valid_out <= valid_i;
END GENERATE gv2a;
DOUT <= dout_i;
SBITERR <= sbiterr_i after C_TCQ;
DBITERR <= dbiterr_i after C_TCQ;
END GENERATE gv1;
END GENERATE gnll_afifo;
-------------------------------------------------------------------------------
-- Low Latency Asynchronous FIFO
-------------------------------------------------------------------------------
gll_afifo: IF (C_FIFO_TYPE = 3) GENERATE
TYPE mem_array IS ARRAY (0 TO C_WR_DEPTH-1) OF STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0);
SIGNAL memory : mem_array := (OTHERS => (OTHERS => '0'));
SIGNAL write_allow : std_logic := '0';
SIGNAL read_allow : std_logic := '0';
SIGNAL wr_pntr_ll_afifo : std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS=>'0');
SIGNAL rd_pntr_ll_afifo : std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS=>'0');
SIGNAL rd_pntr_ll_afifo_q : std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS=>'0');
SIGNAL ll_afifo_full : std_logic := '0';
SIGNAL ll_afifo_empty : std_logic := '1';
SIGNAL wr_pntr_eq_rd_pntr : std_logic := '0';
SIGNAL wr_pntr_eq_rd_pntr_plus1 : std_logic := '0';
SIGNAL rd_pntr_eq_wr_pntr_plus1 : std_logic := '0';
SIGNAL rd_pntr_eq_wr_pntr_plus2 : std_logic := '0';
BEGIN
wr_rst_i <= WR_RST;
rd_rst_i <= RD_RST;
write_allow <= WR_EN AND (NOT ll_afifo_full);
read_allow <= RD_EN AND (NOT ll_afifo_empty);
wrptr_proc : PROCESS (WR_CLK,wr_rst_i)
BEGIN
IF (wr_rst_i = '1') THEN
wr_pntr_ll_afifo <= (OTHERS => '0');
ELSIF (WR_CLK'event AND WR_CLK = '1') THEN
IF (write_allow = '1') THEN
wr_pntr_ll_afifo <= wr_pntr_ll_afifo + "1" AFTER C_TCQ;
END IF;
END IF;
END PROCESS wrptr_proc;
-------------------------------------------------------------------------------
-- Fill the Memory
-------------------------------------------------------------------------------
wr_mem : PROCESS (WR_CLK)
BEGIN
IF (WR_CLK'event AND WR_CLK = '1') THEN
IF (write_allow = '1') THEN
memory(conv_integer(wr_pntr_ll_afifo)) <= DIN AFTER C_TCQ;
END IF;
END IF;
END PROCESS wr_mem;
rdptr_proc : PROCESS (RD_CLK, rd_rst_i)
BEGIN
IF (rd_rst_i = '1') THEN
rd_pntr_ll_afifo_q <= (OTHERS => '0');
ELSIF (RD_CLK'event AND RD_CLK = '1') THEN
rd_pntr_ll_afifo_q <= rd_pntr_ll_afifo AFTER C_TCQ;
END IF;
END PROCESS rdptr_proc;
rd_pntr_ll_afifo <= rd_pntr_ll_afifo_q + "1" WHEN (read_allow = '1') ELSE rd_pntr_ll_afifo_q;
-------------------------------------------------------------------------------
-- Generate DOUT for DRAM
-------------------------------------------------------------------------------
rd_mem : PROCESS (RD_CLK)
BEGIN
IF (RD_CLK'event AND RD_CLK = '1') THEN
DOUT <= memory(conv_integer(rd_pntr_ll_afifo)) AFTER C_TCQ;
END IF;
END PROCESS rd_mem;
-------------------------------------------------------------------------------
-- Generate EMPTY
-------------------------------------------------------------------------------
wr_pntr_eq_rd_pntr <= '1' WHEN (wr_pntr_ll_afifo = rd_pntr_ll_afifo_q) ELSE '0';
wr_pntr_eq_rd_pntr_plus1 <= '1' WHEN (wr_pntr_ll_afifo = conv_std_logic_vector(
(conv_integer(rd_pntr_ll_afifo_q)+1),
C_RD_PNTR_WIDTH)) ELSE '0';
proc_empty : PROCESS (RD_CLK, rd_rst_i)
BEGIN
IF (rd_rst_i = '1') THEN
ll_afifo_empty <= '1';
ELSIF (RD_CLK'event AND RD_CLK = '1') THEN
ll_afifo_empty <= wr_pntr_eq_rd_pntr OR (read_allow AND wr_pntr_eq_rd_pntr_plus1) AFTER C_TCQ;
END IF;
END PROCESS proc_empty;
-------------------------------------------------------------------------------
-- Generate FULL
-------------------------------------------------------------------------------
rd_pntr_eq_wr_pntr_plus1 <= '1' WHEN (rd_pntr_ll_afifo_q = conv_std_logic_vector(
(conv_integer(wr_pntr_ll_afifo)+1),
C_WR_PNTR_WIDTH)) ELSE '0';
rd_pntr_eq_wr_pntr_plus2 <= '1' WHEN (rd_pntr_ll_afifo_q = conv_std_logic_vector(
(conv_integer(wr_pntr_ll_afifo)+2),
C_WR_PNTR_WIDTH)) ELSE '0';
proc_full : PROCESS (WR_CLK, wr_rst_i)
BEGIN
IF (wr_rst_i = '1') THEN
ll_afifo_full <= '1';
ELSIF (WR_CLK'event AND WR_CLK = '1') THEN
ll_afifo_full <= rd_pntr_eq_wr_pntr_plus1 OR (write_allow AND rd_pntr_eq_wr_pntr_plus2) AFTER C_TCQ;
END IF;
END PROCESS proc_full;
EMPTY <= ll_afifo_empty;
FULL <= ll_afifo_full;
END GENERATE gll_afifo;
END behavioral;
--#############################################################################
--#############################################################################
-- Common Clock FIFO Behavioral Model
--#############################################################################
--#############################################################################
-------------------------------------------------------------------------------
-- Library Declaration
-------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
USE IEEE.std_logic_arith.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
-------------------------------------------------------------------------------
-- Common-Clock Entity Declaration - This is NOT the top-level entity
-------------------------------------------------------------------------------
ENTITY fifo_generator_v11_0_bhv_ss IS
GENERIC (
--------------------------------------------------------------------------------
-- Generic Declarations (alphabetical)
--------------------------------------------------------------------------------
C_FAMILY : string := "virtex7";
C_DATA_COUNT_WIDTH : integer := 2;
C_DIN_WIDTH : integer := 8;
C_DOUT_RST_VAL : string := "";
C_DOUT_WIDTH : integer := 8;
C_FULL_FLAGS_RST_VAL : integer := 1;
C_HAS_ALMOST_EMPTY : integer := 0;
C_HAS_ALMOST_FULL : integer := 0;
C_HAS_DATA_COUNT : integer := 0;
C_HAS_OVERFLOW : integer := 0;
C_HAS_RST : integer := 0;
C_HAS_SRST : integer := 0;
C_HAS_UNDERFLOW : integer := 0;
C_HAS_VALID : integer := 0;
C_HAS_WR_ACK : integer := 0;
C_MEMORY_TYPE : integer := 1;
C_OVERFLOW_LOW : integer := 0;
C_PRELOAD_LATENCY : integer := 1;
C_PRELOAD_REGS : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL : integer := 0;
C_PROG_EMPTY_THRESH_NEGATE_VAL : integer := 0;
C_PROG_EMPTY_TYPE : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL : integer := 0;
C_PROG_FULL_THRESH_NEGATE_VAL : integer := 0;
C_PROG_FULL_TYPE : integer := 0;
C_RD_DEPTH : integer := 256;
C_RD_PNTR_WIDTH : integer := 8;
C_UNDERFLOW_LOW : integer := 0;
C_USE_DOUT_RST : integer := 0;
C_USE_ECC : integer := 0;
C_USE_EMBEDDED_REG : integer := 0;
C_VALID_LOW : integer := 0;
C_WR_ACK_LOW : integer := 0;
C_WR_DEPTH : integer := 256;
C_WR_PNTR_WIDTH : integer := 8;
C_TCQ : time := 100 ps;
C_ENABLE_RST_SYNC : integer := 1;
C_ERROR_INJECTION_TYPE : integer := 0;
C_FIFO_TYPE : integer := 0
);
PORT(
--------------------------------------------------------------------------------
-- Input and Output Declarations
--------------------------------------------------------------------------------
CLK : IN std_logic := '0';
RST : IN std_logic := '0';
SRST : IN std_logic := '0';
RST_FULL_GEN : IN std_logic := '0';
RST_FULL_FF : IN std_logic := '0';
DIN : IN std_logic_vector(C_DIN_WIDTH-1 DOWNTO 0)
:= (OTHERS => '0');
RD_EN : IN std_logic := '0';
WR_EN : IN std_logic := '0';
PROG_EMPTY_THRESH : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0)
:= (OTHERS => '0');
PROG_EMPTY_THRESH_ASSERT : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0)
:= (OTHERS => '0');
PROG_EMPTY_THRESH_NEGATE : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0)
:= (OTHERS => '0');
PROG_FULL_THRESH : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0)
:= (OTHERS => '0');
PROG_FULL_THRESH_ASSERT : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0)
:= (OTHERS => '0');
PROG_FULL_THRESH_NEGATE : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0)
:= (OTHERS => '0');
INJECTDBITERR : IN std_logic := '0';
INJECTSBITERR : IN std_logic := '0';
DOUT : OUT std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
EMPTY : OUT std_logic := '1';
FULL : OUT std_logic := '0';
ALMOST_EMPTY : OUT std_logic := '1';
ALMOST_FULL : OUT std_logic := '0';
PROG_EMPTY : OUT std_logic := '1';
PROG_FULL : OUT std_logic := '0';
OVERFLOW : OUT std_logic := '0';
WR_ACK : OUT std_logic := '0';
VALID : OUT std_logic := '0';
UNDERFLOW : OUT std_logic := '0';
DATA_COUNT : OUT std_logic_vector(C_DATA_COUNT_WIDTH-1 DOWNTO 0)
:= (OTHERS => '0');
SBITERR : OUT std_logic := '0';
DBITERR : OUT std_logic := '0'
);
END fifo_generator_v11_0_bhv_ss;
-------------------------------------------------------------------------------
-- Architecture Heading
-------------------------------------------------------------------------------
ARCHITECTURE behavioral OF fifo_generator_v11_0_bhv_ss IS
-----------------------------------------------------------------------------
-- FUNCTION actual_fifo_depth
-- Returns the actual depth of the FIFO (may differ from what the user
-- specified)
--
-- The FIFO depth is always represented as 2^n (16,32,64,128,256)
-- However, the ACTUAL fifo depth may be 2^n+1 or 2^n-1 depending on certain
-- options. This function returns the actual depth of the fifo, as seen by
-- the user.
-------------------------------------------------------------------------------
FUNCTION actual_fifo_depth(
C_FIFO_DEPTH : integer;
C_PRELOAD_REGS : integer;
C_PRELOAD_LATENCY : integer;
C_COMMON_CLOCK : integer)
RETURN integer IS
BEGIN
RETURN C_FIFO_DEPTH;
END actual_fifo_depth;
-----------------------------------------------------------------------------
-- FUNCTION int_2_std_logic
-- Returns a single bit (as std_logic) from an integer 1/0 value.
-------------------------------------------------------------------------------
FUNCTION int_2_std_logic(value : integer) RETURN std_logic IS
BEGIN
IF (value=1) THEN
RETURN '1';
ELSE
RETURN '0';
END IF;
END int_2_std_logic;
-----------------------------------------------------------------------------
-- FUNCTION hexstr_to_std_logic_vec
-- Returns a std_logic_vector for a hexadecimal string
-------------------------------------------------------------------------------
FUNCTION hexstr_to_std_logic_vec(
arg1 : string;
size : integer )
RETURN std_logic_vector IS
VARIABLE result : std_logic_vector(size-1 DOWNTO 0) := (OTHERS => '0');
VARIABLE bin : std_logic_vector(3 DOWNTO 0);
VARIABLE index : integer := 0;
BEGIN
FOR i IN arg1'reverse_range LOOP
CASE arg1(i) IS
WHEN '0' => bin := (OTHERS => '0');
WHEN '1' => bin := (0 => '1', OTHERS => '0');
WHEN '2' => bin := (1 => '1', OTHERS => '0');
WHEN '3' => bin := (0 => '1', 1 => '1', OTHERS => '0');
WHEN '4' => bin := (2 => '1', OTHERS => '0');
WHEN '5' => bin := (0 => '1', 2 => '1', OTHERS => '0');
WHEN '6' => bin := (1 => '1', 2 => '1', OTHERS => '0');
WHEN '7' => bin := (3 => '0', OTHERS => '1');
WHEN '8' => bin := (3 => '1', OTHERS => '0');
WHEN '9' => bin := (0 => '1', 3 => '1', OTHERS => '0');
WHEN 'A' => bin := (0 => '0', 2 => '0', OTHERS => '1');
WHEN 'a' => bin := (0 => '0', 2 => '0', OTHERS => '1');
WHEN 'B' => bin := (2 => '0', OTHERS => '1');
WHEN 'b' => bin := (2 => '0', OTHERS => '1');
WHEN 'C' => bin := (0 => '0', 1 => '0', OTHERS => '1');
WHEN 'c' => bin := (0 => '0', 1 => '0', OTHERS => '1');
WHEN 'D' => bin := (1 => '0', OTHERS => '1');
WHEN 'd' => bin := (1 => '0', OTHERS => '1');
WHEN 'E' => bin := (0 => '0', OTHERS => '1');
WHEN 'e' => bin := (0 => '0', OTHERS => '1');
WHEN 'F' => bin := (OTHERS => '1');
WHEN 'f' => bin := (OTHERS => '1');
WHEN OTHERS =>
FOR j IN 0 TO 3 LOOP
bin(j) := 'X';
END LOOP;
END CASE;
FOR j IN 0 TO 3 LOOP
IF (index*4)+j < size THEN
result((index*4)+j) := bin(j);
END IF;
END LOOP;
index := index + 1;
END LOOP;
RETURN result;
END hexstr_to_std_logic_vec;
-----------------------------------------------------------------------------
-- FUNCTION get_lesser
-- Returns a minimum value
-------------------------------------------------------------------------------
FUNCTION get_lesser(a: INTEGER; b: INTEGER) RETURN INTEGER IS
BEGIN
IF (a < b) THEN
RETURN a;
ELSE
RETURN b;
END IF;
END FUNCTION;
-----------------------------------------------------------------------------
-- FUNCTION if_then_else
-- Returns a true case or flase case based on the condition
-------------------------------------------------------------------------------
FUNCTION if_then_else (
condition : boolean;
true_case : integer;
false_case : integer)
RETURN integer IS
VARIABLE retval : integer := 0;
BEGIN
IF NOT condition THEN
retval:=false_case;
ELSE
retval:=true_case;
END IF;
RETURN retval;
END if_then_else;
FUNCTION if_then_else (
condition : boolean;
true_case : std_logic;
false_case : std_logic)
RETURN std_logic IS
VARIABLE retval : std_logic := '0';
BEGIN
IF NOT condition THEN
retval:=false_case;
ELSE
retval:=true_case;
END IF;
RETURN retval;
END if_then_else;
FUNCTION if_then_else (
condition : boolean;
true_case : std_logic_vector;
false_case : std_logic_vector)
RETURN std_logic_vector IS
BEGIN
IF NOT condition THEN
RETURN false_case;
ELSE
RETURN true_case;
END IF;
END if_then_else;
--------------------------------------------------------------------------------
-- Constant Declaration
--------------------------------------------------------------------------------
CONSTANT C_FIFO_WR_DEPTH : integer
:= actual_fifo_depth(C_WR_DEPTH, C_PRELOAD_REGS, C_PRELOAD_LATENCY, 1);
CONSTANT C_SMALLER_DATA_WIDTH : integer := get_lesser(C_DIN_WIDTH, C_DOUT_WIDTH);
CONSTANT C_FIFO_DEPTH : integer := C_WR_DEPTH;
CONSTANT C_DATA_WIDTH : integer := if_then_else((C_USE_ECC = 1 AND C_ERROR_INJECTION_TYPE /= 0),
C_DIN_WIDTH+2, C_DIN_WIDTH);
CONSTANT OF_INIT_VAL : std_logic := if_then_else((C_HAS_OVERFLOW = 1 AND C_OVERFLOW_LOW = 1),'1','0');
CONSTANT UF_INIT_VAL : std_logic := if_then_else((C_HAS_UNDERFLOW = 1 AND C_UNDERFLOW_LOW = 1),'1','0');
CONSTANT DO_ALL_ZERO : std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
CONSTANT RST_VAL : std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0) := hexstr_to_std_logic_vec(C_DOUT_RST_VAL, C_DOUT_WIDTH);
CONSTANT RST_VALUE : std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0)
:= if_then_else(C_USE_DOUT_RST = 1, RST_VAL, DO_ALL_ZERO);
TYPE mem_array IS ARRAY (0 TO C_FIFO_DEPTH-1) OF STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0);
-------------------------------------------------------------------------------
-- Internal Signals
-------------------------------------------------------------------------------
SIGNAL memory : mem_array := (OTHERS => (OTHERS => '0'));
SIGNAL wr_pntr : std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL rd_pntr : std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL write_allow : std_logic := '0';
SIGNAL read_allow : std_logic := '0';
SIGNAL empty_i : std_logic := '1';
SIGNAL full_i : std_logic := '0';
SIGNAL almost_empty_i : std_logic := '1';
SIGNAL almost_full_i : std_logic := '0';
SIGNAL rst_asreg : std_logic := '0';
SIGNAL rst_asreg_d1 : std_logic := '0';
SIGNAL rst_asreg_d2 : std_logic := '0';
SIGNAL rst_comb : std_logic := '0';
SIGNAL rst_reg : std_logic := '0';
SIGNAL rst_i : std_logic := '0';
SIGNAL srst_i : std_logic := '0';
SIGNAL diff_count : std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0)
:= (OTHERS => '0');
SIGNAL wr_ack_i : std_logic := '0';
SIGNAL overflow_i : std_logic := OF_INIT_VAL;
SIGNAL valid_i : std_logic := '0';
SIGNAL valid_d1 : std_logic := '0';
SIGNAL underflow_i : std_logic := UF_INIT_VAL;
--The delayed reset is used to deassert prog_full
SIGNAL rst_q : std_logic := '0';
SIGNAL prog_full_reg : std_logic := '0';
SIGNAL prog_full_noreg : std_logic := '0';
SIGNAL prog_empty_reg : std_logic := '1';
SIGNAL prog_empty_noreg: std_logic := '1';
SIGNAL dout_i : std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0) := RST_VALUE;
SIGNAL sbiterr_i : std_logic := '0';
SIGNAL dbiterr_i : std_logic := '0';
SIGNAL ram_rd_en_d1 : std_logic := '0';
SIGNAL mem_pntr : integer := 0;
SIGNAL ram_wr_en_i : std_logic := '0';
SIGNAL ram_rd_en_i : std_logic := '0';
SIGNAL comp1 : std_logic := '0';
SIGNAL comp0 : std_logic := '0';
SIGNAL going_full : std_logic := '0';
SIGNAL leaving_full : std_logic := '0';
SIGNAL ram_full_comb : std_logic := '0';
SIGNAL ecomp1 : std_logic := '0';
SIGNAL ecomp0 : std_logic := '0';
SIGNAL going_empty : std_logic := '0';
SIGNAL leaving_empty : std_logic := '0';
SIGNAL ram_empty_comb : std_logic := '0';
-------------------------------------------------------------------------------
-- architecture begins here
-------------------------------------------------------------------------------
BEGIN
rst_i <= RST;
--SRST
gsrst : IF (C_HAS_SRST=1) GENERATE
srst_i <= SRST;
END GENERATE gsrst;
--No SRST
nosrst : IF (C_HAS_SRST=0) GENERATE
srst_i <= '0';
END GENERATE nosrst;
gdc : IF (C_HAS_DATA_COUNT = 1) GENERATE
SIGNAL diff_count : std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
BEGIN
diff_count <= wr_pntr - rd_pntr;
gdcb : IF (C_DATA_COUNT_WIDTH > C_RD_PNTR_WIDTH) GENERATE
DATA_COUNT(C_RD_PNTR_WIDTH-1 DOWNTO 0) <= diff_count;
DATA_COUNT(C_DATA_COUNT_WIDTH-1) <= '0' ;
END GENERATE;
gdcs : IF (C_DATA_COUNT_WIDTH <= C_RD_PNTR_WIDTH) GENERATE
DATA_COUNT <=
diff_count(C_RD_PNTR_WIDTH-1 DOWNTO C_RD_PNTR_WIDTH-C_DATA_COUNT_WIDTH);
END GENERATE;
END GENERATE gdc;
gndc : IF (C_HAS_DATA_COUNT = 0) GENERATE
DATA_COUNT <= (OTHERS => '0');
END GENERATE gndc;
-------------------------------------------------------------------------------
--Calculate WR_ACK based on C_WR_ACK_LOW parameters
-------------------------------------------------------------------------------
gwalow : IF (C_WR_ACK_LOW = 0) GENERATE
WR_ACK <= wr_ack_i;
END GENERATE gwalow;
gwahgh : IF (C_WR_ACK_LOW = 1) GENERATE
WR_ACK <= NOT wr_ack_i;
END GENERATE gwahgh;
-------------------------------------------------------------------------------
--Calculate OVERFLOW based on C_OVERFLOW_LOW parameters
-------------------------------------------------------------------------------
govlow : IF (C_OVERFLOW_LOW = 0) GENERATE
OVERFLOW <= overflow_i;
END GENERATE govlow;
govhgh : IF (C_OVERFLOW_LOW = 1) GENERATE
OVERFLOW <= NOT overflow_i;
END GENERATE govhgh;
-------------------------------------------------------------------------------
--Calculate VALID based on C_PRELOAD_LATENCY and C_VALID_LOW settings
-------------------------------------------------------------------------------
gvlat1 : IF (C_PRELOAD_LATENCY = 1 OR C_PRELOAD_LATENCY=2) GENERATE
gnvl : IF (C_VALID_LOW = 0) GENERATE
VALID <= valid_d1;
END GENERATE gnvl;
gnvh : IF (C_VALID_LOW = 1) GENERATE
VALID <= NOT valid_d1;
END GENERATE gnvh;
END GENERATE gvlat1;
-------------------------------------------------------------------------------
-- Calculate UNDERFLOW based on C_PRELOAD_LATENCY and C_UNDERFLOW_LOW settings
-------------------------------------------------------------------------------
guflat1 : IF (C_PRELOAD_LATENCY = 1 OR C_PRELOAD_LATENCY=2) GENERATE
gnul : IF (C_UNDERFLOW_LOW = 0) GENERATE
UNDERFLOW <= underflow_i;
END GENERATE gnul;
gnuh : IF (C_UNDERFLOW_LOW = 1) GENERATE
UNDERFLOW <= NOT underflow_i;
END GENERATE gnuh;
END GENERATE guflat1;
FULL <= full_i;
ALMOST_FULL <= almost_full_i;
EMPTY <= empty_i;
ALMOST_EMPTY <= almost_empty_i;
write_allow <= WR_EN AND (NOT full_i);
read_allow <= RD_EN AND (NOT empty_i);
wrptr_proc : PROCESS (CLK, rst_i)
BEGIN
IF (rst_i = '1') THEN
wr_pntr <= (OTHERS => '0');
ELSIF (CLK'event AND CLK = '1') THEN
IF (srst_i = '1') THEN
wr_pntr <= (OTHERS => '0') AFTER C_TCQ;
ELSIF (write_allow = '1') THEN
wr_pntr <= wr_pntr + "1" AFTER C_TCQ;
END IF;
END IF;
END PROCESS wrptr_proc;
gecc_mem: IF (C_USE_ECC = 1 AND C_ERROR_INJECTION_TYPE /= 0) GENERATE
wr_mem : PROCESS (CLK)
BEGIN
IF (CLK'event AND CLK = '1') THEN
IF (write_allow = '1') THEN
memory(conv_integer(wr_pntr)) <= INJECTDBITERR & INJECTSBITERR & DIN AFTER C_TCQ;
END IF;
END IF;
END PROCESS wr_mem;
END GENERATE gecc_mem;
gnecc_mem: IF NOT (C_USE_ECC = 1 AND C_ERROR_INJECTION_TYPE /= 0) GENERATE
wr_mem : PROCESS (CLK)
BEGIN
IF (CLK'event AND CLK = '1') THEN
IF (write_allow = '1') THEN
memory(conv_integer(wr_pntr)) <= DIN AFTER C_TCQ;
END IF;
END IF;
END PROCESS wr_mem;
END GENERATE gnecc_mem;
rdptr_proc : PROCESS (CLK, rst_i)
BEGIN
IF (rst_i = '1') THEN
rd_pntr <= (OTHERS => '0');
ELSIF (CLK'event AND CLK = '1') THEN
IF (srst_i = '1') THEN
rd_pntr <= (OTHERS => '0') AFTER C_TCQ;
ELSIF (read_allow = '1') THEN
rd_pntr <= rd_pntr + "1" AFTER C_TCQ;
END IF;
END IF;
END PROCESS rdptr_proc;
-------------------------------------------------------------------------------
-- Generate DOUT for common clock low latency FIFO
-------------------------------------------------------------------------------
gll_dout: IF(C_FIFO_TYPE = 2) GENERATE
SIGNAL dout_q : STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
BEGIN
dout_i <= memory(conv_integer(rd_pntr)) when (read_allow = '1') else dout_q;
dout_reg : PROCESS (CLK)
BEGIN
IF (CLK'event AND CLK = '1') THEN
dout_q <= dout_i AFTER C_TCQ;
END IF;
END PROCESS dout_reg;
END GENERATE gll_dout;
gnll_dout: IF (C_FIFO_TYPE < 2) GENERATE
-------------------------------------------------------------------------------
-- Generate DOUT for BRAM
-------------------------------------------------------------------------------
gbm_dout: IF (C_MEMORY_TYPE < 2) GENERATE
BEGIN
rd_mem : PROCESS (CLK)
VARIABLE dout_tmp : STD_LOGIC_VECTOR(C_DATA_WIDTH - 1 DOWNTO 0) := (OTHERS => '0');
BEGIN
IF (CLK'event AND CLK = '1') THEN
IF (rst_i = '1' OR srst_i = '1') THEN
IF (C_USE_DOUT_RST = 1) THEN
dout_i <= hexstr_to_std_logic_vec(C_DOUT_RST_VAL, C_DOUT_WIDTH) AFTER C_TCQ;
END IF;
ELSIF (read_allow = '1') THEN
dout_tmp := memory(conv_integer(rd_pntr));
IF (C_USE_ECC = 1 AND C_ERROR_INJECTION_TYPE /= 0) THEN
IF (dout_tmp(dout_tmp'high) = '1') THEN
IF (C_DOUT_WIDTH > 2) THEN
dout_i <= dout_tmp(C_DOUT_WIDTH-1 DOWNTO 2) & NOT dout_tmp(1 DOWNTO 0) AFTER C_TCQ;
ELSIF (C_DOUT_WIDTH = 2) THEN
dout_i <= NOT dout_tmp(1 DOWNTO 0) AFTER C_TCQ;
ELSE
dout_i(0) <= dout_tmp(0) AFTER C_TCQ;
END IF;
dbiterr_i <= dout_tmp(dout_tmp'high) AFTER C_TCQ;
sbiterr_i <= '0' AFTER C_TCQ;
ELSE
dout_i <= dout_tmp(C_DOUT_WIDTH-1 DOWNTO 0) AFTER C_TCQ;
sbiterr_i <= dout_tmp(dout_tmp'high-1) AFTER C_TCQ;
dbiterr_i <= '0' AFTER C_TCQ;
END IF;
ELSE
dout_i <= dout_tmp AFTER C_TCQ;
END IF;
END IF;
END IF;
END PROCESS rd_mem;
END GENERATE gbm_dout;
-------------------------------------------------------------------------------
-- Generate DOUT for DRAM
-------------------------------------------------------------------------------
gdm_dout: IF (C_MEMORY_TYPE = 2 OR C_MEMORY_TYPE = 3) GENERATE
rd_mem : PROCESS (CLK, rst_i)
BEGIN
IF (rst_i = '1') THEN
IF (C_USE_DOUT_RST = 1) THEN
dout_i <= hexstr_to_std_logic_vec(C_DOUT_RST_VAL, C_DOUT_WIDTH);
END IF;
ELSIF (CLK'event AND CLK = '1') THEN
IF (srst_i = '1') THEN
IF (C_USE_DOUT_RST = 1) THEN
dout_i <= hexstr_to_std_logic_vec(C_DOUT_RST_VAL, C_DOUT_WIDTH) AFTER C_TCQ;
END IF;
ELSIF (read_allow = '1') THEN
dout_i <= memory(conv_integer(rd_pntr)) AFTER C_TCQ;
END IF;
END IF;
END PROCESS rd_mem;
END GENERATE gdm_dout;
END GENERATE gnll_dout;
-------------------------------------------------------------------------------
-- Generate FULL flag
-------------------------------------------------------------------------------
comp1 <= '1' WHEN (rd_pntr = (wr_pntr + "1")) ELSE '0';
comp0 <= '1' WHEN (rd_pntr = wr_pntr) ELSE '0';
going_full <= (comp1 AND write_allow AND NOT read_allow);
leaving_full <= (comp0 AND read_allow) OR RST_FULL_GEN;
ram_full_comb <= going_full OR (NOT leaving_full AND full_i);
full_proc : PROCESS (CLK, RST_FULL_FF)
BEGIN
IF (RST_FULL_FF = '1') THEN
full_i <= int_2_std_logic(C_FULL_FLAGS_RST_VAL);
ELSIF (CLK'event AND CLK = '1') THEN
IF (srst_i = '1') THEN
full_i <= int_2_std_logic(C_FULL_FLAGS_RST_VAL) AFTER C_TCQ;
ELSE
full_i <= ram_full_comb AFTER C_TCQ;
END IF;
END IF;
END PROCESS full_proc;
-------------------------------------------------------------------------------
-- Generate ALMOST_FULL flag
-------------------------------------------------------------------------------
gaf_ss: IF (C_HAS_ALMOST_FULL = 1 OR C_PROG_FULL_TYPE > 2 OR C_PROG_EMPTY_TYPE > 2) GENERATE
SIGNAL fcomp2 : std_logic := '0';
SIGNAL going_afull : std_logic := '0';
SIGNAL leaving_afull : std_logic := '0';
SIGNAL ram_afull_comb : std_logic := '0';
BEGIN
fcomp2 <= '1' WHEN (rd_pntr = (wr_pntr + "10")) ELSE '0';
going_afull <= (fcomp2 AND write_allow AND NOT read_allow);
leaving_afull <= (comp1 AND read_allow AND NOT write_allow) OR RST_FULL_GEN;
ram_afull_comb <= going_afull OR (NOT leaving_afull AND almost_full_i);
af_proc : PROCESS (CLK, RST_FULL_FF)
BEGIN
IF (RST_FULL_FF = '1') THEN
almost_full_i <= int_2_std_logic(C_FULL_FLAGS_RST_VAL);
ELSIF (CLK'event AND CLK = '1') THEN
IF (srst_i = '1') THEN
almost_full_i <= int_2_std_logic(C_FULL_FLAGS_RST_VAL) AFTER C_TCQ;
ELSE
almost_full_i <= ram_afull_comb AFTER C_TCQ;
END IF;
END IF;
END PROCESS af_proc;
END GENERATE gaf_ss;
-------------------------------------------------------------------------------
-- Generate EMPTY flag
-------------------------------------------------------------------------------
ecomp1 <= '1' WHEN (wr_pntr = (rd_pntr + "1")) ELSE '0';
ecomp0 <= '1' WHEN (wr_pntr = rd_pntr) ELSE '0';
going_empty <= (ecomp1 AND NOT write_allow AND read_allow);
leaving_empty <= (ecomp0 AND write_allow);
ram_empty_comb <= going_empty OR (NOT leaving_empty AND empty_i);
empty_proc : PROCESS (CLK, rst_i)
BEGIN
IF (rst_i = '1') THEN
empty_i <= '1';
ELSIF (CLK'event AND CLK = '1') THEN
IF (srst_i = '1') THEN
empty_i <= '1' AFTER C_TCQ;
ELSE
empty_i <= ram_empty_comb AFTER C_TCQ;
END IF;
END IF;
END PROCESS empty_proc;
-------------------------------------------------------------------------------
-- Generate ALMOST_EMPTY flag
-------------------------------------------------------------------------------
gae_ss: IF (C_HAS_ALMOST_EMPTY = 1) GENERATE
SIGNAL ecomp2 : std_logic := '0';
SIGNAL going_aempty : std_logic := '0';
SIGNAL leaving_aempty : std_logic := '0';
SIGNAL ram_aempty_comb : std_logic := '1';
BEGIN
ecomp2 <= '1' WHEN (wr_pntr = (rd_pntr + "10")) ELSE '0';
going_aempty <= (ecomp2 AND NOT write_allow AND read_allow);
leaving_aempty <= (ecomp1 AND write_allow AND NOT read_allow);
ram_aempty_comb <= going_aempty OR (NOT leaving_aempty AND almost_empty_i);
ae_proc : PROCESS (CLK, rst_i)
BEGIN
IF (rst_i = '1') THEN
almost_empty_i <= '1';
ELSIF (CLK'event AND CLK = '1') THEN
IF (srst_i = '1') THEN
almost_empty_i <= '1' AFTER C_TCQ;
ELSE
almost_empty_i <= ram_aempty_comb AFTER C_TCQ;
END IF;
END IF;
END PROCESS ae_proc;
END GENERATE gae_ss;
-------------------------------------------------------------------------------
-- Generate PROG_FULL and PROG_EMPTY flags
-------------------------------------------------------------------------------
gpf_pe: IF (C_PROG_FULL_TYPE /= 0 OR C_PROG_EMPTY_TYPE /= 0) GENERATE
SIGNAL diff_pntr : std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL diff_pntr_pe : std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL write_allow_q : std_logic := '0';
SIGNAL read_allow_q : std_logic := '0';
SIGNAL write_only : std_logic := '0';
SIGNAL write_only_q : std_logic := '0';
SIGNAL read_only : std_logic := '0';
SIGNAL read_only_q : std_logic := '0';
SIGNAL prog_full_i : std_logic := int_2_std_logic(C_FULL_FLAGS_RST_VAL);
SIGNAL prog_empty_i : std_logic := '1';
CONSTANT C_PF_ASSERT_VAL : integer := if_then_else(C_PRELOAD_LATENCY = 0,
C_PROG_FULL_THRESH_ASSERT_VAL - 2, -- FWFT
C_PROG_FULL_THRESH_ASSERT_VAL); -- STD
CONSTANT C_PF_NEGATE_VAL : integer := if_then_else(C_PRELOAD_LATENCY = 0,
C_PROG_FULL_THRESH_NEGATE_VAL - 2, -- FWFT
C_PROG_FULL_THRESH_NEGATE_VAL); -- STD
CONSTANT C_PE_ASSERT_VAL : integer := if_then_else(C_PRELOAD_LATENCY = 0,
C_PROG_EMPTY_THRESH_ASSERT_VAL - 2,
C_PROG_EMPTY_THRESH_ASSERT_VAL);
CONSTANT C_PE_NEGATE_VAL : integer := if_then_else(C_PRELOAD_LATENCY = 0,
C_PROG_EMPTY_THRESH_NEGATE_VAL - 2,
C_PROG_EMPTY_THRESH_NEGATE_VAL);
BEGIN
write_only <= write_allow AND NOT read_allow;
write_only_q <= write_allow_q AND NOT read_allow_q;
read_only <= read_allow AND NOT write_allow;
read_only_q <= read_allow_q AND NOT write_allow_q;
wr_rd_q_proc : PROCESS (CLK)
BEGIN
IF (rst_i = '1') THEN
write_allow_q <= '0';
read_allow_q <= '0';
diff_pntr <= (OTHERS => '0');
diff_pntr_pe <= (OTHERS => '0');
ELSIF (CLK'event AND CLK = '1') THEN
IF (srst_i = '1') THEN
write_allow_q <= '0' AFTER C_TCQ;
read_allow_q <= '0' AFTER C_TCQ;
diff_pntr <= (OTHERS => '0') AFTER C_TCQ;
diff_pntr_pe <= (OTHERS => '0') AFTER C_TCQ;
ELSE
write_allow_q <= write_allow AFTER C_TCQ;
read_allow_q <= read_allow AFTER C_TCQ;
-- Add 1 to the difference pointer value when only write happens.
IF (write_only = '1') THEN
diff_pntr <= wr_pntr - rd_pntr + "1" AFTER C_TCQ;
ELSE
diff_pntr <= wr_pntr - rd_pntr AFTER C_TCQ;
END IF;
-- Add 1 to the difference pointer value when write or both write & read or no write & read happen.
IF (read_only = '1') THEN
diff_pntr_pe <= wr_pntr - rd_pntr - "1" AFTER C_TCQ;
ELSE
diff_pntr_pe <= wr_pntr - rd_pntr AFTER C_TCQ;
END IF;
END IF;
END IF;
END PROCESS wr_rd_q_proc;
-------------------------------------------------------------------------------
-- Generate PROG_FULL flag
-------------------------------------------------------------------------------
gpf: IF (C_PROG_FULL_TYPE /= 0) GENERATE
-------------------------------------------------------------------------------
-- Generate PROG_FULL for single programmable threshold constant
-------------------------------------------------------------------------------
gpf1: IF (C_PROG_FULL_TYPE = 1) GENERATE
pf1_proc : PROCESS (CLK, RST_FULL_FF)
BEGIN
IF (RST_FULL_FF = '1') THEN
prog_full_i <= int_2_std_logic(C_FULL_FLAGS_RST_VAL);
ELSIF (CLK'event AND CLK = '1') THEN
IF (srst_i = '1') THEN
prog_full_i <= int_2_std_logic(C_FULL_FLAGS_RST_VAL) AFTER C_TCQ;
ELSIF (RST_FULL_GEN = '1') THEN
prog_full_i <= '0' AFTER C_TCQ;
ELSIF ((conv_integer(diff_pntr) = C_PF_ASSERT_VAL) AND write_only_q = '1') THEN
prog_full_i <= '1' AFTER C_TCQ;
ELSIF ((conv_integer(diff_pntr) = C_PF_ASSERT_VAL) AND read_only_q = '1') THEN
prog_full_i <= '0' AFTER C_TCQ;
ELSE
prog_full_i <= prog_full_i AFTER C_TCQ;
END IF;
END IF;
END PROCESS pf1_proc;
END GENERATE gpf1;
-------------------------------------------------------------------------------
-- Generate PROG_FULL for multiple programmable threshold constants
-------------------------------------------------------------------------------
gpf2: IF (C_PROG_FULL_TYPE = 2) GENERATE
pf2_proc : PROCESS (CLK, RST_FULL_FF)
BEGIN
IF (RST_FULL_FF = '1') THEN
prog_full_i <= int_2_std_logic(C_FULL_FLAGS_RST_VAL);
ELSIF (CLK'event AND CLK = '1') THEN
IF (srst_i = '1') THEN
prog_full_i <= int_2_std_logic(C_FULL_FLAGS_RST_VAL) AFTER C_TCQ;
ELSIF (RST_FULL_GEN = '1') THEN
prog_full_i <= '0' AFTER C_TCQ;
ELSIF ((conv_integer(diff_pntr) = C_PF_ASSERT_VAL) AND write_only_q = '1') THEN
prog_full_i <= '1' AFTER C_TCQ;
ELSIF ((conv_integer(diff_pntr) = C_PF_NEGATE_VAL) AND read_only_q = '1') THEN
prog_full_i <= '0' AFTER C_TCQ;
ELSE
prog_full_i <= prog_full_i AFTER C_TCQ;
END IF;
END IF;
END PROCESS pf2_proc;
END GENERATE gpf2;
-------------------------------------------------------------------------------
-- Generate PROG_FULL for single programmable threshold input port
-------------------------------------------------------------------------------
gpf3: IF (C_PROG_FULL_TYPE = 3) GENERATE
SIGNAL pf_assert_val : std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
BEGIN
pf_assert_val <= PROG_FULL_THRESH - "10" WHEN (C_PRELOAD_LATENCY = 0) ELSE PROG_FULL_THRESH;
pf3_proc : PROCESS (CLK, RST_FULL_FF)
BEGIN
IF (RST_FULL_FF = '1') THEN
prog_full_i <= int_2_std_logic(C_FULL_FLAGS_RST_VAL);
ELSIF (CLK'event AND CLK = '1') THEN
IF (srst_i = '1') THEN
prog_full_i <= int_2_std_logic(C_FULL_FLAGS_RST_VAL) AFTER C_TCQ;
ELSIF (RST_FULL_GEN = '1') THEN
prog_full_i <= '0' AFTER C_TCQ;
ELSIF (almost_full_i = '0') THEN
IF (conv_integer(diff_pntr) > pf_assert_val) THEN
prog_full_i <= '1' AFTER C_TCQ;
ELSIF (conv_integer(diff_pntr) = pf_assert_val) THEN
IF (read_only_q = '1') THEN
prog_full_i <= '0' AFTER C_TCQ;
ELSE
prog_full_i <= '1' AFTER C_TCQ;
END IF;
ELSE
prog_full_i <= '0' AFTER C_TCQ;
END IF;
ELSE
prog_full_i <= prog_full_i AFTER C_TCQ;
END IF;
END IF;
END PROCESS pf3_proc;
END GENERATE gpf3;
-------------------------------------------------------------------------------
-- Generate PROG_FULL for multiple programmable threshold input ports
-------------------------------------------------------------------------------
gpf4: IF (C_PROG_FULL_TYPE = 4) GENERATE
SIGNAL pf_assert_val : std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL pf_negate_val : std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
BEGIN
pf_assert_val <= PROG_FULL_THRESH_ASSERT - "10" WHEN (C_PRELOAD_LATENCY = 0) ELSE PROG_FULL_THRESH_ASSERT;
pf_negate_val <= PROG_FULL_THRESH_NEGATE - "10" WHEN (C_PRELOAD_LATENCY = 0) ELSE PROG_FULL_THRESH_NEGATE;
pf4_proc : PROCESS (CLK, RST_FULL_FF)
BEGIN
IF (RST_FULL_FF = '1') THEN
prog_full_i <= int_2_std_logic(C_FULL_FLAGS_RST_VAL);
ELSIF (CLK'event AND CLK = '1') THEN
IF (srst_i = '1') THEN
prog_full_i <= int_2_std_logic(C_FULL_FLAGS_RST_VAL) AFTER C_TCQ;
ELSIF (RST_FULL_GEN = '1') THEN
prog_full_i <= '0' AFTER C_TCQ;
ELSIF (almost_full_i = '0') THEN
IF (conv_integer(diff_pntr) >= pf_assert_val) THEN
prog_full_i <= '1' AFTER C_TCQ;
ELSIF (((conv_integer(diff_pntr) = pf_negate_val) AND read_only_q = '1') OR
(conv_integer(diff_pntr) < pf_negate_val)) THEN
prog_full_i <= '0' AFTER C_TCQ;
ELSE
prog_full_i <= prog_full_i AFTER C_TCQ;
END IF;
ELSE
prog_full_i <= prog_full_i AFTER C_TCQ;
END IF;
END IF;
END PROCESS pf4_proc;
END GENERATE gpf4;
PROG_FULL <= prog_full_i;
END GENERATE gpf;
-------------------------------------------------------------------------------
-- Generate PROG_EMPTY flag
-------------------------------------------------------------------------------
gpe: IF (C_PROG_EMPTY_TYPE /= 0) GENERATE
-------------------------------------------------------------------------------
-- Generate PROG_EMPTY for single programmable threshold constant
-------------------------------------------------------------------------------
gpe1: IF (C_PROG_EMPTY_TYPE = 1) GENERATE
pe1_proc : PROCESS (CLK, rst_i)
BEGIN
IF (rst_i = '1') THEN
prog_empty_i <= '1';
ELSIF (CLK'event AND CLK = '1') THEN
IF (srst_i = '1') THEN
prog_empty_i <= '1' AFTER C_TCQ;
ELSIF ((conv_integer(diff_pntr_pe) = C_PE_ASSERT_VAL) AND read_only_q = '1') THEN
prog_empty_i <= '1' AFTER C_TCQ;
ELSIF ((conv_integer(diff_pntr_pe) = C_PE_ASSERT_VAL) AND write_only_q = '1') THEN
prog_empty_i <= '0' AFTER C_TCQ;
ELSE
prog_empty_i <= prog_empty_i AFTER C_TCQ;
END IF;
END IF;
END PROCESS pe1_proc;
END GENERATE gpe1;
-------------------------------------------------------------------------------
-- Generate PROG_EMPTY for multiple programmable threshold constants
-------------------------------------------------------------------------------
gpe2: IF (C_PROG_EMPTY_TYPE = 2) GENERATE
pe2_proc : PROCESS (CLK, rst_i)
BEGIN
IF (rst_i = '1') THEN
prog_empty_i <= '1';
ELSIF (CLK'event AND CLK = '1') THEN
IF (srst_i = '1') THEN
prog_empty_i <= '1' AFTER C_TCQ;
ELSIF ((conv_integer(diff_pntr_pe) = C_PE_ASSERT_VAL) AND read_only_q = '1') THEN
prog_empty_i <= '1' AFTER C_TCQ;
ELSIF ((conv_integer(diff_pntr_pe) = C_PE_NEGATE_VAL) AND write_only_q = '1') THEN
prog_empty_i <= '0' AFTER C_TCQ;
ELSE
prog_empty_i <= prog_empty_i AFTER C_TCQ;
END IF;
END IF;
END PROCESS pe2_proc;
END GENERATE gpe2;
-------------------------------------------------------------------------------
-- Generate PROG_EMPTY for single programmable threshold input port
-------------------------------------------------------------------------------
gpe3: IF (C_PROG_EMPTY_TYPE = 3) GENERATE
SIGNAL pe_assert_val : std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
BEGIN
pe_assert_val <= PROG_EMPTY_THRESH - "10" WHEN (C_PRELOAD_LATENCY = 0) ELSE PROG_EMPTY_THRESH;
pe3_proc : PROCESS (CLK, rst_i)
BEGIN
IF (rst_i = '1') THEN
prog_empty_i <= '1';
ELSIF (CLK'event AND CLK = '1') THEN
IF (srst_i = '1') THEN
prog_empty_i <= '1' AFTER C_TCQ;
ELSIF (almost_full_i = '0') THEN
IF (conv_integer(diff_pntr_pe) < pe_assert_val) THEN
prog_empty_i <= '1' AFTER C_TCQ;
ELSIF (conv_integer(diff_pntr_pe) = pe_assert_val) THEN
IF (write_only_q = '1') THEN
prog_empty_i <= '0' AFTER C_TCQ;
ELSE
prog_empty_i <= '1' AFTER C_TCQ;
END IF;
ELSE
prog_empty_i <= '0' AFTER C_TCQ;
END IF;
ELSE
prog_empty_i <= prog_empty_i AFTER C_TCQ;
END IF;
END IF;
END PROCESS pe3_proc;
END GENERATE gpe3;
-------------------------------------------------------------------------------
-- Generate PROG_EMPTY for multiple programmable threshold input ports
-------------------------------------------------------------------------------
gpe4: IF (C_PROG_EMPTY_TYPE = 4) GENERATE
SIGNAL pe_assert_val : std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL pe_negate_val : std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
BEGIN
pe_assert_val <= PROG_EMPTY_THRESH_ASSERT - "10" WHEN (C_PRELOAD_LATENCY = 0) ELSE PROG_EMPTY_THRESH_ASSERT;
pe_negate_val <= PROG_EMPTY_THRESH_NEGATE - "10" WHEN (C_PRELOAD_LATENCY = 0) ELSE PROG_EMPTY_THRESH_NEGATE;
pe4_proc : PROCESS (CLK, rst_i)
BEGIN
IF (rst_i = '1') THEN
prog_empty_i <= '1';
ELSIF (CLK'event AND CLK = '1') THEN
IF (srst_i = '1') THEN
prog_empty_i <= '1' AFTER C_TCQ;
ELSIF (almost_full_i = '0') THEN
IF (conv_integer(diff_pntr_pe) <= pe_assert_val) THEN
prog_empty_i <= '1' AFTER C_TCQ;
ELSIF (((conv_integer(diff_pntr_pe) = pe_negate_val) AND write_only_q = '1') OR
(conv_integer(diff_pntr_pe) > pe_negate_val)) THEN
prog_empty_i <= '0' AFTER C_TCQ;
ELSE
prog_empty_i <= prog_empty_i AFTER C_TCQ;
END IF;
ELSE
prog_empty_i <= prog_empty_i AFTER C_TCQ;
END IF;
END IF;
END PROCESS pe4_proc;
END GENERATE gpe4;
PROG_EMPTY <= prog_empty_i;
END GENERATE gpe;
END GENERATE gpf_pe;
-------------------------------------------------------------------------------
-- overflow_i generation: Synchronous FIFO
-------------------------------------------------------------------------------
govflw: IF (C_HAS_OVERFLOW = 1) GENERATE
g7s_ovflw: IF (NOT (C_FAMILY = "virtex8" OR C_FAMILY = "kintex8")) GENERATE
povflw: PROCESS (CLK)
BEGIN
IF CLK'event AND CLK = '1' THEN
overflow_i <= full_i AND WR_EN after C_TCQ;
END IF;
END PROCESS povflw;
END GENERATE g7s_ovflw;
g8s_ovflw: IF ((C_FAMILY = "virtex8" OR C_FAMILY = "kintex8")) GENERATE
povflw: PROCESS (CLK)
BEGIN
IF CLK'event AND CLK = '1' THEN
overflow_i <= (rst_i OR full_i) AND WR_EN after C_TCQ;
END IF;
END PROCESS povflw;
END GENERATE g8s_ovflw;
END GENERATE govflw;
-------------------------------------------------------------------------------
-- underflow_i generation: Synchronous FIFO
-------------------------------------------------------------------------------
gunflw: IF (C_HAS_UNDERFLOW = 1) GENERATE
g7s_unflw: IF (NOT (C_FAMILY = "virtex8" OR C_FAMILY = "kintex8")) GENERATE
punflw: PROCESS (CLK)
BEGIN
IF CLK'event AND CLK = '1' THEN
underflow_i <= empty_i and RD_EN after C_TCQ;
END IF;
END PROCESS punflw;
END GENERATE g7s_unflw;
g8s_unflw: IF ((C_FAMILY = "virtex8" OR C_FAMILY = "kintex8")) GENERATE
punflw: PROCESS (CLK)
BEGIN
IF CLK'event AND CLK = '1' THEN
underflow_i <= (rst_i OR empty_i) and RD_EN after C_TCQ;
END IF;
END PROCESS punflw;
END GENERATE g8s_unflw;
END GENERATE gunflw;
-------------------------------------------------------------------------------
-- wr_ack_i generation: Synchronous FIFO
-------------------------------------------------------------------------------
gwack: IF (C_HAS_WR_ACK = 1) GENERATE
pwack: PROCESS (CLK,rst_i)
BEGIN
IF rst_i = '1' THEN
wr_ack_i <= '0' after C_TCQ;
ELSIF CLK'event AND CLK = '1' THEN
wr_ack_i <= '0' after C_TCQ;
IF srst_i = '1' THEN
wr_ack_i <= '0' after C_TCQ;
ELSIF WR_EN = '1' THEN
IF full_i /= '1' THEN
wr_ack_i <= '1' after C_TCQ;
END IF;
END IF;
END IF;
END PROCESS pwack;
END GENERATE gwack;
-----------------------------------------------------------------------------
-- valid_i generation: Synchronous FIFO
-----------------------------------------------------------------------------
gvld_i: IF (C_HAS_VALID = 1) GENERATE
PROCESS (rst_i , CLK )
BEGIN
IF rst_i = '1' THEN
valid_i <= '0' after C_TCQ;
ELSIF CLK'event AND CLK = '1' THEN
IF srst_i = '1' THEN
valid_i <= '0' after C_TCQ;
ELSE --srst_i=0
-- Setup default value for underflow and valid
valid_i <= '0' after C_TCQ;
IF RD_EN = '1' THEN
IF empty_i /= '1' THEN
valid_i <= '1' after C_TCQ;
END IF;
END IF;
END IF;
END IF;
END PROCESS;
END GENERATE gvld_i;
-----------------------------------------------------------------------------
--Delay Valid AND DOUT
--if C_MEMORY_TYPE=0 or 1, C_USE_EMBEDDED_REG=1, STD
-----------------------------------------------------------------------------
gnll_fifo1: IF (C_FIFO_TYPE < 2) GENERATE
gv0: IF (C_USE_EMBEDDED_REG=1 AND (NOT (C_PRELOAD_REGS = 1 AND C_PRELOAD_LATENCY = 0))
AND (C_MEMORY_TYPE=0 OR C_MEMORY_TYPE=1)) GENERATE
PROCESS (rst_i , CLK )
BEGIN
IF (rst_i = '1') THEN
IF (C_USE_DOUT_RST = 1) THEN
IF (CLK'event AND CLK = '1') THEN
DOUT <= hexstr_to_std_logic_vec(C_DOUT_RST_VAL, C_DOUT_WIDTH) after C_TCQ;
END IF;
END IF;
IF (C_USE_ECC = 0) THEN
SBITERR <= '0' after C_TCQ;
DBITERR <= '0' after C_TCQ;
END IF;
ram_rd_en_d1 <= '0' after C_TCQ;
valid_d1 <= '0' after C_TCQ;
ELSIF (CLK 'event AND CLK = '1') THEN
ram_rd_en_d1 <= RD_EN AND (NOT empty_i) after C_TCQ;
valid_d1 <= valid_i after C_TCQ;
IF (srst_i = '1') THEN
IF (C_USE_DOUT_RST = 1) THEN
DOUT <= hexstr_to_std_logic_vec(C_DOUT_RST_VAL, C_DOUT_WIDTH) after C_TCQ;
END IF;
ram_rd_en_d1 <= '0' after C_TCQ;
valid_d1 <= '0' after C_TCQ;
ELSIF (ram_rd_en_d1 = '1') THEN
DOUT <= dout_i after C_TCQ;
SBITERR <= sbiterr_i after C_TCQ;
DBITERR <= dbiterr_i after C_TCQ;
END IF;
END IF;
END PROCESS;
END GENERATE gv0;
END GENERATE gnll_fifo1;
gv1: IF (C_FIFO_TYPE = 2 OR (NOT(C_USE_EMBEDDED_REG=1 AND (NOT (C_PRELOAD_REGS = 1 AND C_PRELOAD_LATENCY = 0))
AND (C_MEMORY_TYPE=0 OR C_MEMORY_TYPE=1)))) GENERATE
valid_d1 <= valid_i;
DOUT <= dout_i;
SBITERR <= sbiterr_i;
DBITERR <= dbiterr_i;
END GENERATE gv1;
END behavioral;
--#############################################################################
--#############################################################################
-- Preload Latency 0 (First-Word Fall-Through) Module
--#############################################################################
--#############################################################################
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
USE IEEE.std_logic_arith.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY fifo_generator_v11_0_bhv_preload0 IS
GENERIC (
C_DOUT_RST_VAL : string := "";
C_DOUT_WIDTH : integer := 8;
C_HAS_RST : integer := 0;
C_HAS_SRST : integer := 0;
C_USE_DOUT_RST : integer := 0;
C_USE_ECC : integer := 0;
C_USERVALID_LOW : integer := 0;
C_USERUNDERFLOW_LOW : integer := 0;
C_TCQ : time := 100 ps;
C_ENABLE_RST_SYNC : integer := 1;
C_ERROR_INJECTION_TYPE : integer := 0;
C_MEMORY_TYPE : integer := 0;
C_FIFO_TYPE : integer := 0
);
PORT (
RD_CLK : IN std_logic;
RD_RST : IN std_logic;
SRST : IN std_logic;
RD_EN : IN std_logic;
FIFOEMPTY : IN std_logic;
FIFODATA : IN std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0);
FIFOSBITERR : IN std_logic;
FIFODBITERR : IN std_logic;
USERDATA : OUT std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0);
USERVALID : OUT std_logic;
USERUNDERFLOW : OUT std_logic;
USEREMPTY : OUT std_logic;
USERALMOSTEMPTY : OUT std_logic;
RAMVALID : OUT std_logic;
FIFORDEN : OUT std_logic;
USERSBITERR : OUT std_logic := '0';
USERDBITERR : OUT std_logic := '0';
STAGE2_REG_EN : OUT std_logic;
VALID_STAGES : OUT std_logic_vector(1 DOWNTO 0) := (OTHERS => '0')
);
END fifo_generator_v11_0_bhv_preload0;
ARCHITECTURE behavioral OF fifo_generator_v11_0_bhv_preload0 IS
-----------------------------------------------------------------------------
-- FUNCTION hexstr_to_std_logic_vec
-- Returns a std_logic_vector for a hexadecimal string
-------------------------------------------------------------------------------
FUNCTION hexstr_to_std_logic_vec(
arg1 : string;
size : integer )
RETURN std_logic_vector IS
VARIABLE result : std_logic_vector(size-1 DOWNTO 0) := (OTHERS => '0');
VARIABLE bin : std_logic_vector(3 DOWNTO 0);
VARIABLE index : integer := 0;
BEGIN
FOR i IN arg1'reverse_range LOOP
CASE arg1(i) IS
WHEN '0' => bin := (OTHERS => '0');
WHEN '1' => bin := (0 => '1', OTHERS => '0');
WHEN '2' => bin := (1 => '1', OTHERS => '0');
WHEN '3' => bin := (0 => '1', 1 => '1', OTHERS => '0');
WHEN '4' => bin := (2 => '1', OTHERS => '0');
WHEN '5' => bin := (0 => '1', 2 => '1', OTHERS => '0');
WHEN '6' => bin := (1 => '1', 2 => '1', OTHERS => '0');
WHEN '7' => bin := (3 => '0', OTHERS => '1');
WHEN '8' => bin := (3 => '1', OTHERS => '0');
WHEN '9' => bin := (0 => '1', 3 => '1', OTHERS => '0');
WHEN 'A' => bin := (0 => '0', 2 => '0', OTHERS => '1');
WHEN 'a' => bin := (0 => '0', 2 => '0', OTHERS => '1');
WHEN 'B' => bin := (2 => '0', OTHERS => '1');
WHEN 'b' => bin := (2 => '0', OTHERS => '1');
WHEN 'C' => bin := (0 => '0', 1 => '0', OTHERS => '1');
WHEN 'c' => bin := (0 => '0', 1 => '0', OTHERS => '1');
WHEN 'D' => bin := (1 => '0', OTHERS => '1');
WHEN 'd' => bin := (1 => '0', OTHERS => '1');
WHEN 'E' => bin := (0 => '0', OTHERS => '1');
WHEN 'e' => bin := (0 => '0', OTHERS => '1');
WHEN 'F' => bin := (OTHERS => '1');
WHEN 'f' => bin := (OTHERS => '1');
WHEN OTHERS =>
FOR j IN 0 TO 3 LOOP
bin(j) := 'X';
END LOOP;
END CASE;
FOR j IN 0 TO 3 LOOP
IF (index*4)+j < size THEN
result((index*4)+j) := bin(j);
END IF;
END LOOP;
index := index + 1;
END LOOP;
RETURN result;
END hexstr_to_std_logic_vec;
SIGNAL USERDATA_int : std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0) := hexstr_to_std_logic_vec(C_DOUT_RST_VAL, C_DOUT_WIDTH);
SIGNAL preloadstage1 : std_logic := '0';
SIGNAL preloadstage2 : std_logic := '0';
SIGNAL ram_valid_i : std_logic := '0';
SIGNAL read_data_valid_i : std_logic := '0';
SIGNAL ram_regout_en : std_logic := '0';
SIGNAL ram_rd_en : std_logic := '0';
SIGNAL empty_i : std_logic := '1';
SIGNAL empty_q : std_logic := '1';
SIGNAL rd_en_q : std_logic := '0';
SIGNAL almost_empty_i : std_logic := '1';
SIGNAL almost_empty_q : std_logic := '1';
SIGNAL rd_rst_i : std_logic := '0';
SIGNAL srst_i : std_logic := '0';
BEGIN -- behavioral
grst: IF (C_HAS_RST = 1 OR C_ENABLE_RST_SYNC = 0) GENERATE
rd_rst_i <= RD_RST;
end generate grst;
ngrst: IF (C_HAS_RST = 0 AND C_ENABLE_RST_SYNC = 1) GENERATE
rd_rst_i <= '0';
END GENERATE ngrst;
--SRST
gsrst : IF (C_HAS_SRST=1) GENERATE
srst_i <= SRST;
END GENERATE gsrst;
--SRST
ngsrst : IF (C_HAS_SRST=0) GENERATE
srst_i <= '0';
END GENERATE ngsrst;
gnll_fifo: IF (C_FIFO_TYPE /= 2) GENERATE
CONSTANT INVALID : std_logic_vector (1 downto 0) := "00";
CONSTANT STAGE1_VALID : std_logic_vector (1 downto 0) := "10";
CONSTANT STAGE2_VALID : std_logic_vector (1 downto 0) := "01";
CONSTANT BOTH_STAGES_VALID : std_logic_vector (1 downto 0) := "11";
SIGNAL curr_fwft_state : std_logic_vector (1 DOWNTO 0) := INVALID;
SIGNAL next_fwft_state : std_logic_vector (1 DOWNTO 0) := INVALID;
BEGIN
proc_fwft_fsm : PROCESS ( curr_fwft_state, RD_EN, FIFOEMPTY)
BEGIN
CASE curr_fwft_state IS
WHEN INVALID =>
IF (FIFOEMPTY = '0') THEN
next_fwft_state <= STAGE1_VALID;
ELSE --FIFOEMPTY = '1'
next_fwft_state <= INVALID;
END IF;
WHEN STAGE1_VALID =>
IF (FIFOEMPTY = '1') THEN
next_fwft_state <= STAGE2_VALID;
ELSE -- FIFOEMPTY = '0'
next_fwft_state <= BOTH_STAGES_VALID;
END IF;
WHEN STAGE2_VALID =>
IF (FIFOEMPTY = '1' AND RD_EN = '1') THEN
next_fwft_state <= INVALID;
ELSIF (FIFOEMPTY = '0' AND RD_EN = '1') THEN
next_fwft_state <= STAGE1_VALID;
ELSIF (FIFOEMPTY = '0' AND RD_EN = '0') THEN
next_fwft_state <= BOTH_STAGES_VALID;
ELSE -- FIFOEMPTY = '1' AND RD_EN = '0'
next_fwft_state <= STAGE2_VALID;
END IF;
WHEN BOTH_STAGES_VALID =>
IF (FIFOEMPTY = '1' AND RD_EN = '1') THEN
next_fwft_state <= STAGE2_VALID;
ELSIF (FIFOEMPTY = '0' AND RD_EN = '1') THEN
next_fwft_state <= BOTH_STAGES_VALID;
ELSE -- RD_EN = '0'
next_fwft_state <= BOTH_STAGES_VALID;
END IF;
WHEN OTHERS =>
next_fwft_state <= INVALID;
END CASE;
END PROCESS proc_fwft_fsm;
proc_fsm_reg: PROCESS (rd_rst_i, RD_CLK)
BEGIN
IF (rd_rst_i = '1') THEN
curr_fwft_state <= INVALID;
ELSIF (RD_CLK'event AND RD_CLK='1') THEN
IF (srst_i = '1') THEN
curr_fwft_state <= INVALID AFTER C_TCQ;
ELSE
curr_fwft_state <= next_fwft_state AFTER C_TCQ;
END IF;
END IF;
END PROCESS proc_fsm_reg;
proc_regen: PROCESS (curr_fwft_state, FIFOEMPTY, RD_EN)
BEGIN
CASE curr_fwft_state IS
WHEN INVALID =>
STAGE2_REG_EN <= '0';
WHEN STAGE1_VALID =>
STAGE2_REG_EN <= '1';
WHEN STAGE2_VALID =>
STAGE2_REG_EN <= '0';
WHEN BOTH_STAGES_VALID =>
IF (RD_EN = '1') THEN
STAGE2_REG_EN <= '1';
ELSE
STAGE2_REG_EN <= '0';
END IF;
WHEN OTHERS =>
STAGE2_REG_EN <= '0';
END CASE;
END PROCESS proc_regen;
VALID_STAGES <= curr_fwft_state;
--------------------------------------------------------------------------------
-- preloadstage2 indicates that stage2 needs to be updated. This is true
-- whenever read_data_valid is false, and RAM_valid is true.
--------------------------------------------------------------------------------
preloadstage2 <= ram_valid_i AND (NOT read_data_valid_i OR RD_EN);
--------------------------------------------------------------------------------
-- preloadstage1 indicates that stage1 needs to be updated. This is true
-- whenever the RAM has data (RAM_EMPTY is false), and either RAM_Valid is
-- false (indicating that Stage1 needs updating), or preloadstage2 is active
-- (indicating that Stage2 is going to update, so Stage1, therefore, must
-- also be updated to keep it valid.
--------------------------------------------------------------------------------
preloadstage1 <= (((NOT ram_valid_i) OR preloadstage2) AND (NOT FIFOEMPTY));
--------------------------------------------------------------------------------
-- Calculate RAM_REGOUT_EN
-- The output registers are controlled by the ram_regout_en signal.
-- These registers should be updated either when the output in Stage2 is
-- invalid (preloadstage2), OR when the user is reading, in which case the
-- Stage2 value will go invalid unless it is replenished.
--------------------------------------------------------------------------------
ram_regout_en <= preloadstage2;
--------------------------------------------------------------------------------
-- Calculate RAM_RD_EN
-- RAM_RD_EN will be asserted whenever the RAM needs to be read in order to
-- update the value in Stage1.
-- One case when this happens is when preloadstage1=true, which indicates
-- that the data in Stage1 or Stage2 is invalid, and needs to automatically
-- be updated.
-- The other case is when the user is reading from the FIFO, which guarantees
-- that Stage1 or Stage2 will be invalid on the next clock cycle, unless it is
-- replinished by data from the memory. So, as long as the RAM has data in it,
-- a read of the RAM should occur.
--------------------------------------------------------------------------------
ram_rd_en <= (RD_EN AND NOT FIFOEMPTY) OR preloadstage1;
END GENERATE gnll_fifo;
gll_fifo: IF (C_FIFO_TYPE = 2) GENERATE
SIGNAL empty_d1 : STD_LOGIC := '1';
SIGNAL fe_of_empty : STD_LOGIC := '0';
SIGNAL curr_state : STD_LOGIC := '0';
SIGNAL next_state : STD_LOGIC := '0';
SIGNAL leaving_empty_fwft : STD_LOGIC := '0';
SIGNAL going_empty_fwft : STD_LOGIC := '0';
BEGIN
fsm_proc: PROCESS (curr_state, FIFOEMPTY, RD_EN)
BEGIN
CASE curr_state IS
WHEN '0' =>
IF (FIFOEMPTY = '0') THEN
next_state <= '1';
ELSE
next_state <= '0';
END IF;
WHEN '1' =>
IF (FIFOEMPTY = '1' AND RD_EN = '1') THEN
next_state <= '0';
ELSE
next_state <= '1';
END IF;
WHEN OTHERS =>
next_state <= '0';
END CASE;
END PROCESS fsm_proc;
empty_reg: PROCESS (RD_CLK, rd_rst_i)
BEGIN
IF (rd_rst_i = '1') THEN
empty_d1 <= '1';
empty_i <= '1';
ram_valid_i <= '0';
curr_state <= '0';
ELSIF (RD_CLK'event AND RD_CLK='1') THEN
IF (srst_i = '1') THEN
empty_d1 <= '1' AFTER C_TCQ;
empty_i <= '1' AFTER C_TCQ;
ram_valid_i <= '0' AFTER C_TCQ;
curr_state <= '0' AFTER C_TCQ;
ELSE
empty_d1 <= FIFOEMPTY AFTER C_TCQ;
curr_state <= next_state AFTER C_TCQ;
empty_i <= going_empty_fwft OR (NOT leaving_empty_fwft AND empty_i) AFTER C_TCQ;
ram_valid_i <= next_state AFTER C_TCQ;
END IF;
END IF;
END PROCESS empty_reg;
fe_of_empty <= empty_d1 AND (NOT FIFOEMPTY);
prege: PROCESS (curr_state, FIFOEMPTY, RD_EN)
BEGIN
CASE curr_state IS
WHEN '0' =>
IF (FIFOEMPTY = '0') THEN
ram_regout_en <= '1';
ram_rd_en <= '1';
ELSE
ram_regout_en <= '0';
ram_rd_en <= '0';
END IF;
WHEN '1' =>
IF (FIFOEMPTY = '0' AND RD_EN = '1') THEN
ram_regout_en <= '1';
ram_rd_en <= '1';
ELSE
ram_regout_en <= '0';
ram_rd_en <= '0';
END IF;
WHEN OTHERS =>
ram_regout_en <= '0';
ram_rd_en <= '0';
END CASE;
END PROCESS prege;
ple: PROCESS (curr_state, fe_of_empty) -- Leaving Empty
BEGIN
CASE curr_state IS
WHEN '0' =>
leaving_empty_fwft <= fe_of_empty;
WHEN '1' =>
leaving_empty_fwft <= '1';
WHEN OTHERS =>
leaving_empty_fwft <= '0';
END CASE;
END PROCESS ple;
pge: PROCESS (curr_state, FIFOEMPTY, RD_EN) -- Going Empty
BEGIN
CASE curr_state IS
WHEN '1' =>
IF (FIFOEMPTY = '1' AND RD_EN = '1') THEN
going_empty_fwft <= '1';
ELSE
going_empty_fwft <= '0';
END IF;
WHEN OTHERS =>
going_empty_fwft <= '0';
END CASE;
END PROCESS pge;
END GENERATE gll_fifo;
--------------------------------------------------------------------------------
-- Calculate ram_valid
-- ram_valid indicates that the data in Stage1 is valid.
--
-- If the RAM is being read from on this clock cycle (ram_rd_en=1), then
-- ram_valid is certainly going to be true.
-- If the RAM is not being read from, but the output registers are being
-- updated to fill Stage2 (ram_regout_en=1), then Stage1 will be emptying,
-- therefore causing ram_valid to be false.
-- Otherwise, ram_valid will remain unchanged.
--------------------------------------------------------------------------------
gvalid: IF (C_FIFO_TYPE < 2) GENERATE
regout_valid: PROCESS (RD_CLK, rd_rst_i)
BEGIN -- PROCESS regout_valid
IF rd_rst_i = '1' THEN -- asynchronous reset (active high)
ram_valid_i <= '0' after C_TCQ;
ELSIF RD_CLK'event AND RD_CLK = '1' THEN -- rising clock edge
IF srst_i = '1' THEN -- synchronous reset (active high)
ram_valid_i <= '0' after C_TCQ;
ELSE
IF ram_rd_en = '1' THEN
ram_valid_i <= '1' after C_TCQ;
ELSE
IF ram_regout_en = '1' THEN
ram_valid_i <= '0' after C_TCQ;
ELSE
ram_valid_i <= ram_valid_i after C_TCQ;
END IF;
END IF;
END IF;
END IF;
END PROCESS regout_valid;
END GENERATE gvalid;
--------------------------------------------------------------------------------
-- Calculate READ_DATA_VALID
-- READ_DATA_VALID indicates whether the value in Stage2 is valid or not.
-- Stage2 has valid data whenever Stage1 had valid data and ram_regout_en_i=1,
-- such that the data in Stage1 is propogated into Stage2.
--------------------------------------------------------------------------------
regout_dvalid : PROCESS (RD_CLK, rd_rst_i)
BEGIN
IF (rd_rst_i='1') THEN
read_data_valid_i <= '0' after C_TCQ;
ELSIF (RD_CLK'event AND RD_CLK='1') THEN
IF (srst_i='1') THEN
read_data_valid_i <= '0' after C_TCQ;
ELSE
read_data_valid_i <= ram_valid_i OR (read_data_valid_i AND NOT RD_EN) after C_TCQ;
END IF;
END IF; --RD_CLK
END PROCESS regout_dvalid;
-------------------------------------------------------------------------------
-- Calculate EMPTY
-- Defined as the inverse of READ_DATA_VALID
--
-- Description:
--
-- If read_data_valid_i indicates that the output is not valid,
-- and there is no valid data on the output of the ram to preload it
-- with, then we will report empty.
--
-- If there is no valid data on the output of the ram and we are
-- reading, then the FIFO will go empty.
--
-------------------------------------------------------------------------------
gempty: IF (C_FIFO_TYPE < 2) GENERATE
regout_empty : PROCESS (RD_CLK, rd_rst_i) --This is equivalent to (NOT read_data_valid_i)
BEGIN
IF (rd_rst_i='1') THEN
empty_i <= '1' after C_TCQ;
ELSIF (RD_CLK'event AND RD_CLK='1') THEN
IF (srst_i='1') THEN
empty_i <= '1' after C_TCQ;
ELSE
empty_i <= (NOT ram_valid_i AND NOT read_data_valid_i) OR (NOT ram_valid_i AND RD_EN) after C_TCQ;
END IF;
END IF; --RD_CLK
END PROCESS regout_empty;
END GENERATE gempty;
regout_empty_q: PROCESS (RD_CLK)
BEGIN -- PROCESS regout_rd_en
IF RD_CLK'event AND RD_CLK = '1' THEN --
empty_q <= empty_i after C_TCQ;
END IF;
END PROCESS regout_empty_q;
regout_rd_en: PROCESS (RD_CLK)
BEGIN -- PROCESS regout_rd_en
IF RD_CLK'event AND RD_CLK = '1' THEN -- rising clock edge
rd_en_q <= RD_EN after C_TCQ;
END IF;
END PROCESS regout_rd_en;
-------------------------------------------------------------------------------
-- Calculate user_almost_empty
-- user_almost_empty is defined such that, unless more words are written
-- to the FIFO, the next read will cause the FIFO to go EMPTY.
--
-- In most cases, whenever the output registers are updated (due to a user
-- read or a preload condition), then user_almost_empty will update to
-- whatever RAM_EMPTY is.
--
-- The exception is when the output is valid, the user is not reading, and
-- Stage1 is not empty. In this condition, Stage1 will be preloaded from the
-- memory, so we need to make sure user_almost_empty deasserts properly under
-- this condition.
-------------------------------------------------------------------------------
regout_aempty: PROCESS (RD_CLK, rd_rst_i)
BEGIN -- PROCESS regout_empty
IF rd_rst_i = '1' THEN -- asynchronous reset (active high)
almost_empty_i <= '1' after C_TCQ;
almost_empty_q <= '1' after C_TCQ;
ELSIF RD_CLK'event AND RD_CLK = '1' THEN -- rising clock edge
IF srst_i = '1' THEN -- synchronous reset (active high)
almost_empty_i <= '1' after C_TCQ;
almost_empty_q <= '1' after C_TCQ;
ELSE
IF ((ram_regout_en = '1') OR (FIFOEMPTY = '0' AND read_data_valid_i = '1' AND RD_EN='0')) THEN
almost_empty_i <= FIFOEMPTY after C_TCQ;
END IF;
almost_empty_q <= almost_empty_i after C_TCQ;
END IF;
END IF;
END PROCESS regout_aempty;
USEREMPTY <= empty_i;
USERALMOSTEMPTY <= almost_empty_i;
FIFORDEN <= ram_rd_en;
RAMVALID <= ram_valid_i;
guvh: IF C_USERVALID_LOW=0 GENERATE
USERVALID <= read_data_valid_i;
END GENERATE guvh;
guvl: if C_USERVALID_LOW=1 GENERATE
USERVALID <= NOT read_data_valid_i;
END GENERATE guvl;
gufh: IF C_USERUNDERFLOW_LOW=0 GENERATE
USERUNDERFLOW <= empty_q AND rd_en_q;
END GENERATE gufh;
gufl: if C_USERUNDERFLOW_LOW=1 GENERATE
USERUNDERFLOW <= NOT (empty_q AND rd_en_q);
END GENERATE gufl;
regout_lat0: PROCESS (RD_CLK, rd_rst_i)
BEGIN -- PROCESS regout_lat0
IF (rd_rst_i = '1') THEN -- asynchronous reset (active high)
IF (C_USE_ECC = 0) THEN -- Reset S/DBITERR only if ECC is OFF
USERSBITERR <= '0' after C_TCQ;
USERDBITERR <= '0' after C_TCQ;
END IF;
-- DRAM resets asynchronously
IF (C_USE_DOUT_RST = 1 AND C_MEMORY_TYPE = 2) THEN
USERDATA_int <= hexstr_to_std_logic_vec(C_DOUT_RST_VAL, C_DOUT_WIDTH) after C_TCQ;
END IF;
-- BRAM resets synchronously
IF (C_USE_DOUT_RST = 1 AND C_MEMORY_TYPE < 2) THEN
IF (RD_CLK'event AND RD_CLK = '1') THEN
USERDATA_int <= hexstr_to_std_logic_vec(C_DOUT_RST_VAL, C_DOUT_WIDTH) after C_TCQ;
END IF;
END IF;
ELSIF RD_CLK'event AND RD_CLK = '1' THEN -- rising clock edge
IF (srst_i = '1') THEN -- synchronous reset (active high)
IF (C_USE_ECC = 0) THEN -- Reset S/DBITERR only if ECC is OFF
USERSBITERR <= '0' after C_TCQ;
USERDBITERR <= '0' after C_TCQ;
END IF;
IF (C_USE_DOUT_RST = 1) THEN -- synchronous reset (active high)
USERDATA_int <= hexstr_to_std_logic_vec(C_DOUT_RST_VAL, C_DOUT_WIDTH) after C_TCQ;
END IF;
ELSE
IF (ram_regout_en = '1') THEN
USERDATA_int <= FIFODATA after C_TCQ;
USERSBITERR <= FIFOSBITERR after C_TCQ;
USERDBITERR <= FIFODBITERR after C_TCQ;
END IF;
END IF;
END IF;
END PROCESS regout_lat0;
USERDATA <= USERDATA_int ; -- rle, fixed bug R62
END behavioral;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Top-level Behavioral Model for Conventional FIFO
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
USE IEEE.std_logic_arith.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY fifo_generator_v11_0;
USE fifo_generator_v11_0.fifo_generator_v11_0_bhv_as;
USE fifo_generator_v11_0.fifo_generator_v11_0_bhv_ss;
-------------------------------------------------------------------------------
-- Top-level Entity Declaration - This is the top-level of the conventional
-- FIFO Bhv Model
-------------------------------------------------------------------------------
ENTITY fifo_generator_v11_0_conv IS
GENERIC (
---------------------------------------------------------------------------
-- Generic Declarations
---------------------------------------------------------------------------
C_COMMON_CLOCK : integer := 0;
C_COUNT_TYPE : integer := 0; --not used
C_DATA_COUNT_WIDTH : integer := 2;
C_DEFAULT_VALUE : string := ""; --not used
C_DIN_WIDTH : integer := 8;
C_DOUT_RST_VAL : string := "";
C_DOUT_WIDTH : integer := 8;
C_ENABLE_RLOCS : integer := 0; --not used
C_FAMILY : string := ""; --not used in bhv model
C_FULL_FLAGS_RST_VAL : integer := 0;
C_HAS_ALMOST_EMPTY : integer := 0;
C_HAS_ALMOST_FULL : integer := 0;
C_HAS_BACKUP : integer := 0; --not used
C_HAS_DATA_COUNT : integer := 0;
C_HAS_INT_CLK : integer := 0; --not used in bhv model
C_HAS_MEMINIT_FILE : integer := 0; --not used
C_HAS_OVERFLOW : integer := 0;
C_HAS_RD_DATA_COUNT : integer := 0;
C_HAS_RD_RST : integer := 0; --not used
C_HAS_RST : integer := 1;
C_HAS_SRST : integer := 0;
C_HAS_UNDERFLOW : integer := 0;
C_HAS_VALID : integer := 0;
C_HAS_WR_ACK : integer := 0;
C_HAS_WR_DATA_COUNT : integer := 0;
C_HAS_WR_RST : integer := 0; --not used
C_IMPLEMENTATION_TYPE : integer := 0;
C_INIT_WR_PNTR_VAL : integer := 0; --not used
C_MEMORY_TYPE : integer := 1;
C_MIF_FILE_NAME : string := ""; --not used
C_OPTIMIZATION_MODE : integer := 0; --not used
C_OVERFLOW_LOW : integer := 0;
C_PRELOAD_LATENCY : integer := 1;
C_PRELOAD_REGS : integer := 0;
C_PRIM_FIFO_TYPE : string := "4kx4"; --not used in bhv model
C_PROG_EMPTY_THRESH_ASSERT_VAL: integer := 0;
C_PROG_EMPTY_THRESH_NEGATE_VAL: integer := 0;
C_PROG_EMPTY_TYPE : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL : integer := 0;
C_PROG_FULL_THRESH_NEGATE_VAL : integer := 0;
C_PROG_FULL_TYPE : integer := 0;
C_RD_DATA_COUNT_WIDTH : integer := 2;
C_RD_DEPTH : integer := 256;
C_RD_FREQ : integer := 1; --not used in bhv model
C_RD_PNTR_WIDTH : integer := 8;
C_UNDERFLOW_LOW : integer := 0;
C_USE_DOUT_RST : integer := 0;
C_USE_ECC : integer := 0;
C_USE_EMBEDDED_REG : integer := 0;
C_USE_FIFO16_FLAGS : integer := 0; --not used in bhv model
C_USE_FWFT_DATA_COUNT : integer := 0;
C_VALID_LOW : integer := 0;
C_WR_ACK_LOW : integer := 0;
C_WR_DATA_COUNT_WIDTH : integer := 2;
C_WR_DEPTH : integer := 256;
C_WR_FREQ : integer := 1; --not used in bhv model
C_WR_PNTR_WIDTH : integer := 8;
C_WR_RESPONSE_LATENCY : integer := 1; --not used
C_MSGON_VAL : integer := 1; --not used in bhv model
C_ENABLE_RST_SYNC : integer := 1;
C_ERROR_INJECTION_TYPE : integer := 0;
C_FIFO_TYPE : integer := 0;
C_SYNCHRONIZER_STAGE : integer := 2;
C_AXI_TYPE : integer := 0
);
PORT(
--------------------------------------------------------------------------------
-- Input and Output Declarations
--------------------------------------------------------------------------------
BACKUP : IN std_logic := '0';
BACKUP_MARKER : IN std_logic := '0';
CLK : IN std_logic := '0';
RST : IN std_logic := '0';
SRST : IN std_logic := '0';
WR_CLK : IN std_logic := '0';
WR_RST : IN std_logic := '0';
RD_CLK : IN std_logic := '0';
RD_RST : IN std_logic := '0';
DIN : IN std_logic_vector(C_DIN_WIDTH-1 DOWNTO 0); --
WR_EN : IN std_logic; --Mandatory input
RD_EN : IN std_logic; --Mandatory input
--Mandatory input
PROG_EMPTY_THRESH : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
PROG_EMPTY_THRESH_ASSERT : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
PROG_EMPTY_THRESH_NEGATE : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
PROG_FULL_THRESH : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
PROG_FULL_THRESH_ASSERT : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
PROG_FULL_THRESH_NEGATE : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
INT_CLK : IN std_logic := '0';
INJECTDBITERR : IN std_logic := '0';
INJECTSBITERR : IN std_logic := '0';
DOUT : OUT std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0);
FULL : OUT std_logic;
ALMOST_FULL : OUT std_logic;
WR_ACK : OUT std_logic;
OVERFLOW : OUT std_logic;
EMPTY : OUT std_logic;
ALMOST_EMPTY : OUT std_logic;
VALID : OUT std_logic;
UNDERFLOW : OUT std_logic;
DATA_COUNT : OUT std_logic_vector(C_DATA_COUNT_WIDTH-1 DOWNTO 0);
RD_DATA_COUNT : OUT std_logic_vector(C_RD_DATA_COUNT_WIDTH-1 DOWNTO 0);
WR_DATA_COUNT : OUT std_logic_vector(C_WR_DATA_COUNT_WIDTH-1 DOWNTO 0);
PROG_FULL : OUT std_logic;
PROG_EMPTY : OUT std_logic;
SBITERR : OUT std_logic := '0';
DBITERR : OUT std_logic := '0';
WR_RST_BUSY : OUT std_logic := '0';
RD_RST_BUSY : OUT std_logic := '0'
);
END fifo_generator_v11_0_conv;
-------------------------------------------------------------------------------
-- Definition of Parameters
-------------------------------------------------------------------------------
-- C_COMMON_CLOCK : Common Clock (1), Independent Clocks (0)
-- C_COUNT_TYPE : --not used
-- C_DATA_COUNT_WIDTH : Width of DATA_COUNT bus
-- C_DEFAULT_VALUE : --not used
-- C_DIN_WIDTH : Width of DIN bus
-- C_DOUT_RST_VAL : Reset value of DOUT
-- C_DOUT_WIDTH : Width of DOUT bus
-- C_ENABLE_RLOCS : --not used
-- C_FAMILY : not used in bhv model
-- C_FULL_FLAGS_RST_VAL : Full flags rst val (0 or 1)
-- C_HAS_ALMOST_EMPTY : 1=Core has ALMOST_EMPTY flag
-- C_HAS_ALMOST_FULL : 1=Core has ALMOST_FULL flag
-- C_HAS_BACKUP : --not used
-- C_HAS_DATA_COUNT : 1=Core has DATA_COUNT bus
-- C_HAS_INT_CLK : not used in bhv model
-- C_HAS_MEMINIT_FILE : --not used
-- C_HAS_OVERFLOW : 1=Core has OVERFLOW flag
-- C_HAS_RD_DATA_COUNT : 1=Core has RD_DATA_COUNT bus
-- C_HAS_RD_RST : --not used
-- C_HAS_RST : 1=Core has Async Rst
-- C_HAS_SRST : 1=Core has Sync Rst
-- C_HAS_UNDERFLOW : 1=Core has UNDERFLOW flag
-- C_HAS_VALID : 1=Core has VALID flag
-- C_HAS_WR_ACK : 1=Core has WR_ACK flag
-- C_HAS_WR_DATA_COUNT : 1=Core has WR_DATA_COUNT bus
-- C_HAS_WR_RST : --not used
-- C_IMPLEMENTATION_TYPE : 0=Common-Clock Bram/Dram
-- 1=Common-Clock ShiftRam
-- 2=Indep. Clocks Bram/Dram
-- 3=Virtex-4 Built-in
-- 4=Virtex-5 Built-in
-- C_INIT_WR_PNTR_VAL : --not used
-- C_MEMORY_TYPE : 1=Block RAM
-- 2=Distributed RAM
-- 3=Shift RAM
-- 4=Built-in FIFO
-- C_MIF_FILE_NAME : --not used
-- C_OPTIMIZATION_MODE : --not used
-- C_OVERFLOW_LOW : 1=OVERFLOW active low
-- C_PRELOAD_LATENCY : Latency of read: 0, 1, 2
-- C_PRELOAD_REGS : 1=Use output registers
-- C_PRIM_FIFO_TYPE : not used in bhv model
-- C_PROG_EMPTY_THRESH_ASSERT_VAL: PROG_EMPTY assert threshold
-- C_PROG_EMPTY_THRESH_NEGATE_VAL: PROG_EMPTY negate threshold
-- C_PROG_EMPTY_TYPE : 0=No programmable empty
-- 1=Single prog empty thresh constant
-- 2=Multiple prog empty thresh constants
-- 3=Single prog empty thresh input
-- 4=Multiple prog empty thresh inputs
-- C_PROG_FULL_THRESH_ASSERT_VAL : PROG_FULL assert threshold
-- C_PROG_FULL_THRESH_NEGATE_VAL : PROG_FULL negate threshold
-- C_PROG_FULL_TYPE : 0=No prog full
-- 1=Single prog full thresh constant
-- 2=Multiple prog full thresh constants
-- 3=Single prog full thresh input
-- 4=Multiple prog full thresh inputs
-- C_RD_DATA_COUNT_WIDTH : Width of RD_DATA_COUNT bus
-- C_RD_DEPTH : Depth of read interface (2^N)
-- C_RD_FREQ : not used in bhv model
-- C_RD_PNTR_WIDTH : always log2(C_RD_DEPTH)
-- C_UNDERFLOW_LOW : 1=UNDERFLOW active low
-- C_USE_DOUT_RST : 1=Resets DOUT on RST
-- C_USE_ECC : not used in bhv model
-- C_USE_EMBEDDED_REG : 1=Use BRAM embedded output register
-- C_USE_FIFO16_FLAGS : not used in bhv model
-- C_USE_FWFT_DATA_COUNT : 1=Use extra logic for FWFT data count
-- C_VALID_LOW : 1=VALID active low
-- C_WR_ACK_LOW : 1=WR_ACK active low
-- C_WR_DATA_COUNT_WIDTH : Width of WR_DATA_COUNT bus
-- C_WR_DEPTH : Depth of write interface (2^N)
-- C_WR_FREQ : not used in bhv model
-- C_WR_PNTR_WIDTH : always log2(C_WR_DEPTH)
-- C_WR_RESPONSE_LATENCY : --not used
-------------------------------------------------------------------------------
-- Definition of Ports
-------------------------------------------------------------------------------
-- BACKUP : Not used
-- BACKUP_MARKER: Not used
-- CLK : Clock
-- DIN : Input data bus
-- PROG_EMPTY_THRESH : Threshold for Programmable Empty Flag
-- PROG_EMPTY_THRESH_ASSERT: Threshold for Programmable Empty Flag
-- PROG_EMPTY_THRESH_NEGATE: Threshold for Programmable Empty Flag
-- PROG_FULL_THRESH : Threshold for Programmable Full Flag
-- PROG_FULL_THRESH_ASSERT : Threshold for Programmable Full Flag
-- PROG_FULL_THRESH_NEGATE : Threshold for Programmable Full Flag
-- RD_CLK : Read Domain Clock
-- RD_EN : Read enable
-- RD_RST : Not used
-- RST : Asynchronous Reset
-- SRST : Synchronous Reset
-- WR_CLK : Write Domain Clock
-- WR_EN : Write enable
-- WR_RST : Not used
-- INT_CLK : Internal Clock
-- ALMOST_EMPTY : One word remaining in FIFO
-- ALMOST_FULL : One empty space remaining in FIFO
-- DATA_COUNT : Number of data words in fifo( synchronous to CLK)
-- DOUT : Output data bus
-- EMPTY : Empty flag
-- FULL : Full flag
-- OVERFLOW : Last write rejected
-- PROG_EMPTY : Programmable Empty Flag
-- PROG_FULL : Programmable Full Flag
-- RD_DATA_COUNT: Number of data words in fifo (synchronous to RD_CLK)
-- UNDERFLOW : Last read rejected
-- VALID : Last read acknowledged, DOUT bus VALID
-- WR_ACK : Last write acknowledged
-- WR_DATA_COUNT: Number of data words in fifo (synchronous to WR_CLK)
-- SBITERR : Single Bit ECC Error Detected
-- DBITERR : Double Bit ECC Error Detected
-------------------------------------------------------------------------------
ARCHITECTURE behavioral OF fifo_generator_v11_0_conv IS
-----------------------------------------------------------------------------
-- FUNCTION two_comp
-- Returns a 2's complement value
-------------------------------------------------------------------------------
FUNCTION two_comp(
vect : std_logic_vector)
RETURN std_logic_vector IS
VARIABLE local_vect : std_logic_vector(vect'high DOWNTO 0);
VARIABLE toggle : integer := 0;
BEGIN
FOR i IN 0 TO vect'high LOOP
IF (toggle = 1) THEN
IF (vect(i) = '0') THEN
local_vect(i) := '1';
ELSE
local_vect(i) := '0';
END IF;
ELSE
local_vect(i) := vect(i);
IF (vect(i) = '1') THEN
toggle := 1;
END IF;
END IF;
END LOOP;
RETURN local_vect;
END two_comp;
-----------------------------------------------------------------------------
-- FUNCTION int_2_std_logic_vector
-- Returns a std_logic_vector for an integer value for a given width.
-------------------------------------------------------------------------------
FUNCTION int_2_std_logic_vector(
value, bitwidth : integer )
RETURN std_logic_vector IS
VARIABLE running_value : integer := value;
VARIABLE running_result : std_logic_vector(bitwidth-1 DOWNTO 0);
BEGIN
IF (value < 0) THEN
running_value := -1 * value;
END IF;
FOR i IN 0 TO bitwidth-1 LOOP
IF running_value MOD 2 = 0 THEN
running_result(i) := '0';
ELSE
running_result(i) := '1';
END IF;
running_value := running_value/2;
END LOOP;
IF (value < 0) THEN -- find the 2s complement
RETURN two_comp(running_result);
ELSE
RETURN running_result;
END IF;
END int_2_std_logic_vector;
COMPONENT fifo_generator_v11_0_bhv_as
GENERIC (
--------------------------------------------------------------------------------
-- Generic Declarations
--------------------------------------------------------------------------------
C_FAMILY : string := "virtex7";
C_DIN_WIDTH : integer := 8;
C_DOUT_RST_VAL : string := "";
C_DOUT_WIDTH : integer := 8;
C_FULL_FLAGS_RST_VAL : integer := 1;
C_HAS_ALMOST_EMPTY : integer := 0;
C_HAS_ALMOST_FULL : integer := 0;
C_HAS_OVERFLOW : integer := 0;
C_HAS_RD_DATA_COUNT : integer := 2;
C_HAS_RST : integer := 1;
C_HAS_UNDERFLOW : integer := 0;
C_HAS_VALID : integer := 0;
C_HAS_WR_ACK : integer := 0;
C_HAS_WR_DATA_COUNT : integer := 2;
C_MEMORY_TYPE : integer := 1;
C_OVERFLOW_LOW : integer := 0;
C_PRELOAD_LATENCY : integer := 1;
C_PRELOAD_REGS : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL : integer := 0;
C_PROG_EMPTY_THRESH_NEGATE_VAL : integer := 0;
C_PROG_EMPTY_TYPE : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL : integer := 0;
C_PROG_FULL_THRESH_NEGATE_VAL : integer := 0;
C_PROG_FULL_TYPE : integer := 0;
C_RD_DATA_COUNT_WIDTH : integer := 0;
C_RD_DEPTH : integer := 256;
C_RD_PNTR_WIDTH : integer := 8;
C_UNDERFLOW_LOW : integer := 0;
C_USE_DOUT_RST : integer := 0;
C_USE_ECC : integer := 0;
C_USE_EMBEDDED_REG : integer := 0;
C_USE_FWFT_DATA_COUNT : integer := 0;
C_VALID_LOW : integer := 0;
C_WR_ACK_LOW : integer := 0;
C_WR_DATA_COUNT_WIDTH : integer := 0;
C_WR_DEPTH : integer := 256;
C_WR_PNTR_WIDTH : integer := 8;
C_TCQ : time := 100 ps;
C_ENABLE_RST_SYNC : integer := 1;
C_ERROR_INJECTION_TYPE : integer := 0;
C_SYNCHRONIZER_STAGE : integer := 2;
C_FIFO_TYPE : integer := 0
);
PORT(
--------------------------------------------------------------------------------
-- Input and Output Declarations
--------------------------------------------------------------------------------
DIN : IN std_logic_vector(C_DIN_WIDTH-1 DOWNTO 0);
PROG_EMPTY_THRESH : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0);
PROG_EMPTY_THRESH_ASSERT : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0);
PROG_EMPTY_THRESH_NEGATE : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0);
PROG_FULL_THRESH : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0);
PROG_FULL_THRESH_ASSERT : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0);
PROG_FULL_THRESH_NEGATE : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0);
RD_CLK : IN std_logic;
RD_EN : IN std_logic;
RD_EN_USER : IN std_logic;
RST : IN std_logic;
RST_FULL_GEN : IN std_logic := '0';
RST_FULL_FF : IN std_logic := '0';
WR_RST : IN std_logic;
RD_RST : IN std_logic;
WR_CLK : IN std_logic;
WR_EN : IN std_logic;
INJECTDBITERR : IN std_logic := '0';
INJECTSBITERR : IN std_logic := '0';
USER_EMPTY_FB : IN std_logic := '1';
ALMOST_EMPTY : OUT std_logic;
ALMOST_FULL : OUT std_logic;
DOUT : OUT std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0);
EMPTY : OUT std_logic;
FULL : OUT std_logic;
OVERFLOW : OUT std_logic;
PROG_EMPTY : OUT std_logic;
PROG_FULL : OUT std_logic;
VALID : OUT std_logic;
RD_DATA_COUNT : OUT std_logic_vector(C_RD_DATA_COUNT_WIDTH-1 DOWNTO 0);
UNDERFLOW : OUT std_logic;
WR_ACK : OUT std_logic;
WR_DATA_COUNT : OUT std_logic_vector(C_WR_DATA_COUNT_WIDTH-1 DOWNTO 0);
DBITERR : OUT std_logic := '0';
SBITERR : OUT std_logic := '0'
);
END COMPONENT;
COMPONENT fifo_generator_v11_0_bhv_ss
GENERIC (
--------------------------------------------------------------------------------
-- Generic Declarations (alphabetical)
--------------------------------------------------------------------------------
C_FAMILY : string := "virtex7";
C_DATA_COUNT_WIDTH : integer := 2;
C_DIN_WIDTH : integer := 8;
C_DOUT_RST_VAL : string := "";
C_DOUT_WIDTH : integer := 8;
C_FULL_FLAGS_RST_VAL : integer := 1;
C_HAS_ALMOST_EMPTY : integer := 0;
C_HAS_ALMOST_FULL : integer := 0;
C_HAS_DATA_COUNT : integer := 0;
C_HAS_OVERFLOW : integer := 0;
C_HAS_RST : integer := 0;
C_HAS_SRST : integer := 0;
C_HAS_UNDERFLOW : integer := 0;
C_HAS_VALID : integer := 0;
C_HAS_WR_ACK : integer := 0;
C_MEMORY_TYPE : integer := 1;
C_OVERFLOW_LOW : integer := 0;
C_PRELOAD_LATENCY : integer := 1;
C_PRELOAD_REGS : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL : integer := 0;
C_PROG_EMPTY_THRESH_NEGATE_VAL : integer := 0;
C_PROG_EMPTY_TYPE : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL : integer := 0;
C_PROG_FULL_THRESH_NEGATE_VAL : integer := 0;
C_PROG_FULL_TYPE : integer := 0;
C_RD_DEPTH : integer := 256;
C_RD_PNTR_WIDTH : integer := 8;
C_UNDERFLOW_LOW : integer := 0;
C_USE_ECC : integer := 0;
C_USE_DOUT_RST : integer := 0;
C_USE_EMBEDDED_REG : integer := 0;
C_VALID_LOW : integer := 0;
C_WR_ACK_LOW : integer := 0;
C_WR_DEPTH : integer := 256;
C_WR_PNTR_WIDTH : integer := 8;
C_TCQ : time := 100 ps;
C_ENABLE_RST_SYNC : integer := 1;
C_ERROR_INJECTION_TYPE : integer := 0;
C_FIFO_TYPE : integer := 0
);
PORT(
--------------------------------------------------------------------------------
-- Input and Output Declarations
--------------------------------------------------------------------------------
CLK : IN std_logic := '0';
DIN : IN std_logic_vector(C_DIN_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
PROG_EMPTY_THRESH : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
PROG_EMPTY_THRESH_ASSERT : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
PROG_EMPTY_THRESH_NEGATE : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
PROG_FULL_THRESH : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
PROG_FULL_THRESH_ASSERT : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
PROG_FULL_THRESH_NEGATE : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
RD_EN : IN std_logic := '0';
RST : IN std_logic := '0';
RST_FULL_GEN : IN std_logic := '0';
RST_FULL_FF : IN std_logic := '0';
SRST : IN std_logic := '0';
WR_EN : IN std_logic := '0';
INJECTDBITERR : IN std_logic := '0';
INJECTSBITERR : IN std_logic := '0';
ALMOST_EMPTY : OUT std_logic;
ALMOST_FULL : OUT std_logic;
DATA_COUNT : OUT std_logic_vector(C_DATA_COUNT_WIDTH-1 DOWNTO 0);
DOUT : OUT std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0);
EMPTY : OUT std_logic;
FULL : OUT std_logic;
OVERFLOW : OUT std_logic;
PROG_EMPTY : OUT std_logic;
PROG_FULL : OUT std_logic;
VALID : OUT std_logic;
UNDERFLOW : OUT std_logic;
WR_ACK : OUT std_logic;
DBITERR : OUT std_logic := '0';
SBITERR : OUT std_logic := '0'
);
END COMPONENT;
COMPONENT fifo_generator_v11_0_bhv_preload0
GENERIC (
C_DOUT_RST_VAL : string;
C_DOUT_WIDTH : integer;
C_HAS_RST : integer;
C_HAS_SRST : integer;
C_USE_DOUT_RST : integer := 0;
C_USE_ECC : integer := 0;
C_USERVALID_LOW : integer := 0;
C_USERUNDERFLOW_LOW : integer := 0;
C_TCQ : time := 100 ps;
C_ENABLE_RST_SYNC : integer := 1;
C_ERROR_INJECTION_TYPE : integer := 0;
C_MEMORY_TYPE : integer := 0;
C_FIFO_TYPE : integer := 0
);
PORT (
RD_CLK : IN std_logic;
RD_RST : IN std_logic;
SRST : IN std_logic;
RD_EN : IN std_logic;
FIFOEMPTY : IN std_logic;
FIFODATA : IN std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0);
FIFOSBITERR : IN std_logic;
FIFODBITERR : IN std_logic;
USERDATA : OUT std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0);
USERVALID : OUT std_logic;
USERUNDERFLOW : OUT std_logic;
USEREMPTY : OUT std_logic;
USERALMOSTEMPTY : OUT std_logic;
RAMVALID : OUT std_logic;
FIFORDEN : OUT std_logic;
USERSBITERR : OUT std_logic;
USERDBITERR : OUT std_logic;
STAGE2_REG_EN : OUT std_logic;
VALID_STAGES : OUT std_logic_vector(1 DOWNTO 0)
);
END COMPONENT;
-- Constant to have clock to register delay
CONSTANT C_TCQ : time := 100 ps;
SIGNAL zero : std_logic := '0';
SIGNAL CLK_INT : std_logic := '0';
-----------------------------------------------------------------------------
-- Internal Signals for delayed input signals
-- All the input signals except Clock are delayed by 100 ps and then given to
-- the models.
-----------------------------------------------------------------------------
SIGNAL rst_delayed : std_logic := '0';
SIGNAL srst_delayed : std_logic := '0';
SIGNAL wr_rst_delayed : std_logic := '0';
SIGNAL rd_rst_delayed : std_logic := '0';
SIGNAL din_delayed : std_logic_vector(C_DIN_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL wr_en_delayed : std_logic := '0';
SIGNAL rd_en_delayed : std_logic := '0';
SIGNAL prog_empty_thresh_delayed : std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL prog_empty_thresh_assert_delayed : std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL prog_empty_thresh_negate_delayed : std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL prog_full_thresh_delayed : std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL prog_full_thresh_assert_delayed : std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL prog_full_thresh_negate_delayed : std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL injectdbiterr_delayed : std_logic := '0';
SIGNAL injectsbiterr_delayed : std_logic := '0';
-----------------------------------------------------------------------------
-- Internal Signals
-- In the normal case, these signals tie directly to the FIFO's inputs and
-- outputs.
-- In the case of Preload Latency 0 or 1, these are the intermediate
-- signals between the internal FIFO and the preload logic.
-----------------------------------------------------------------------------
SIGNAL rd_en_fifo_in : std_logic;
SIGNAL dout_fifo_out : std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0);
SIGNAL empty_fifo_out : std_logic;
SIGNAL almost_empty_fifo_out : std_logic;
SIGNAL valid_fifo_out : std_logic;
SIGNAL underflow_fifo_out : std_logic;
SIGNAL rd_data_count_fifo_out : std_logic_vector(C_RD_DATA_COUNT_WIDTH-1 DOWNTO 0);
SIGNAL wr_data_count_fifo_out : std_logic_vector(C_WR_DATA_COUNT_WIDTH-1 DOWNTO 0);
SIGNAL data_count_fifo_out : std_logic_vector(C_DATA_COUNT_WIDTH-1 DOWNTO 0);
SIGNAL DATA_COUNT_FWFT : std_logic_vector(C_RD_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0');
SIGNAL SS_FWFT_RD : std_logic := '0' ;
SIGNAL SS_FWFT_WR : std_logic := '0' ;
SIGNAL FULL_int : std_logic ;
SIGNAL almost_full_i : std_logic ;
SIGNAL prog_full_i : std_logic ;
SIGNAL dout_p0_out : std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0);
signal valid_p0_out : std_logic;
signal empty_p0_out : std_logic;
signal underflow_p0_out : std_logic;
signal almost_empty_p0_out : std_logic;
signal empty_p0_out_q : std_logic;
signal almost_empty_p0_out_q : std_logic;
SIGNAL ram_valid : std_logic; --Internal signal used to monitor the
--ram_valid state
signal rst_fwft : std_logic;
signal sbiterr_fifo_out : std_logic;
signal dbiterr_fifo_out : std_logic;
signal wr_rst_i : std_logic := '0';
signal rd_rst_i : std_logic := '0';
signal rst_i : std_logic := '0';
signal rst_full_gen_i : std_logic := '0';
signal rst_full_ff_i : std_logic := '0';
signal rst_2_sync : std_logic := '0';
signal clk_2_sync : std_logic := '0';
-----------------------------------------------------------------------------
-- FUNCTION if_then_else
-- Returns a true case or flase case based on the condition
-------------------------------------------------------------------------------
FUNCTION if_then_else (
condition : boolean;
true_case : integer;
false_case : integer)
RETURN integer IS
VARIABLE retval : integer := 0;
BEGIN
IF NOT condition THEN
retval:=false_case;
ELSE
retval:=true_case;
END IF;
RETURN retval;
END if_then_else;
-----------------------------------------------------------------------------
-- FUNCTION log2roundup
-- Returns a log2 of the input value
-----------------------------------------------------------------------------
FUNCTION log2roundup (
data_value : integer)
RETURN integer IS
VARIABLE width : integer := 0;
VARIABLE cnt : integer := 1;
BEGIN
IF (data_value <= 1) THEN
width := 0;
ELSE
WHILE (cnt < data_value) LOOP
width := width + 1;
cnt := cnt *2;
END LOOP;
END IF;
RETURN width;
END log2roundup;
CONSTANT FULL_FLAGS_RST_VAL : integer := if_then_else((C_HAS_SRST = 1),0,C_FULL_FLAGS_RST_VAL);
CONSTANT IS_WR_PNTR_WIDTH_CORRECT : integer := if_then_else((C_WR_PNTR_WIDTH = log2roundup(C_WR_DEPTH)),1,0);
CONSTANT IS_RD_PNTR_WIDTH_CORRECT : integer := if_then_else((C_RD_PNTR_WIDTH = log2roundup(C_RD_DEPTH)),1,0);
BEGIN
rst_delayed <= RST AFTER C_TCQ;
srst_delayed <= SRST AFTER C_TCQ;
wr_rst_delayed <= WR_RST AFTER C_TCQ;
rd_rst_delayed <= RD_RST AFTER C_TCQ;
din_delayed <= DIN AFTER C_TCQ;
wr_en_delayed <= WR_EN AFTER C_TCQ;
rd_en_delayed <= RD_EN AFTER C_TCQ;
prog_empty_thresh_delayed <= PROG_EMPTY_THRESH AFTER C_TCQ;
prog_empty_thresh_assert_delayed <= PROG_EMPTY_THRESH_ASSERT AFTER C_TCQ;
prog_empty_thresh_negate_delayed <= PROG_EMPTY_THRESH_NEGATE AFTER C_TCQ;
prog_full_thresh_delayed <= PROG_FULL_THRESH AFTER C_TCQ;
prog_full_thresh_assert_delayed <= PROG_FULL_THRESH_ASSERT AFTER C_TCQ;
prog_full_thresh_negate_delayed <= PROG_FULL_THRESH_NEGATE AFTER C_TCQ;
injectdbiterr_delayed <= INJECTDBITERR AFTER C_TCQ;
injectsbiterr_delayed <= INJECTSBITERR AFTER C_TCQ;
--Assign Ground Signal
zero <= '0';
ASSERT (C_MEMORY_TYPE /= 4) REPORT "FAILURE : Behavioral models do not support built-in FIFO configurations. Please use post-synthesis or post-implement simulation in Vivado." SEVERITY FAILURE;
--
ASSERT (C_IMPLEMENTATION_TYPE /= 2) REPORT "WARNING: Behavioral models for independent clock FIFO configurations do not model synchronization delays. The behavioral models are functionally correct, and will represent the behavior of the configured FIFO. See the FIFO Generator User Guide for more information." SEVERITY NOTE;
ASSERT (IS_WR_PNTR_WIDTH_CORRECT /= 0) REPORT "FAILURE : C_WR_PNTR_WIDTH is not log2 of C_WR_DEPTH." SEVERITY FAILURE;
ASSERT (IS_RD_PNTR_WIDTH_CORRECT /= 0) REPORT "FAILURE : C_RD_PNTR_WIDTH is not log2 of C_RD_DEPTH." SEVERITY FAILURE;
gen_ss : IF ((C_IMPLEMENTATION_TYPE = 0) OR (C_IMPLEMENTATION_TYPE = 1) OR (C_MEMORY_TYPE = 4)) GENERATE
fgss : fifo_generator_v11_0_bhv_ss
GENERIC MAP (
C_FAMILY => C_FAMILY,
C_DATA_COUNT_WIDTH => C_DATA_COUNT_WIDTH,
C_DIN_WIDTH => C_DIN_WIDTH,
C_DOUT_RST_VAL => C_DOUT_RST_VAL,
C_DOUT_WIDTH => C_DOUT_WIDTH,
C_FULL_FLAGS_RST_VAL => FULL_FLAGS_RST_VAL,
C_HAS_ALMOST_EMPTY => C_HAS_ALMOST_EMPTY,
C_HAS_ALMOST_FULL => if_then_else((C_AXI_TYPE = 0 AND C_FIFO_TYPE = 1), 1, C_HAS_ALMOST_FULL),
C_HAS_DATA_COUNT => C_HAS_DATA_COUNT,
C_HAS_OVERFLOW => C_HAS_OVERFLOW,
C_HAS_RST => C_HAS_RST,
C_HAS_SRST => C_HAS_SRST,
C_HAS_UNDERFLOW => C_HAS_UNDERFLOW,
C_HAS_VALID => C_HAS_VALID,
C_HAS_WR_ACK => C_HAS_WR_ACK,
C_MEMORY_TYPE => if_then_else(C_MEMORY_TYPE = 4, 1, C_MEMORY_TYPE),
C_OVERFLOW_LOW => C_OVERFLOW_LOW,
C_PRELOAD_LATENCY => C_PRELOAD_LATENCY,
C_PRELOAD_REGS => C_PRELOAD_REGS,
C_PROG_EMPTY_THRESH_ASSERT_VAL => C_PROG_EMPTY_THRESH_ASSERT_VAL,
C_PROG_EMPTY_THRESH_NEGATE_VAL => C_PROG_EMPTY_THRESH_NEGATE_VAL,
C_PROG_EMPTY_TYPE => C_PROG_EMPTY_TYPE,
C_PROG_FULL_THRESH_ASSERT_VAL => C_PROG_FULL_THRESH_ASSERT_VAL,
C_PROG_FULL_THRESH_NEGATE_VAL => C_PROG_FULL_THRESH_NEGATE_VAL,
C_PROG_FULL_TYPE => C_PROG_FULL_TYPE,
C_RD_DEPTH => C_RD_DEPTH,
C_RD_PNTR_WIDTH => C_RD_PNTR_WIDTH,
C_UNDERFLOW_LOW => C_UNDERFLOW_LOW,
C_USE_ECC => C_USE_ECC,
C_USE_DOUT_RST => C_USE_DOUT_RST,
C_USE_EMBEDDED_REG => C_USE_EMBEDDED_REG,
C_VALID_LOW => C_VALID_LOW,
C_WR_ACK_LOW => C_WR_ACK_LOW,
C_WR_DEPTH => C_WR_DEPTH,
C_WR_PNTR_WIDTH => C_WR_PNTR_WIDTH,
C_TCQ => C_TCQ,
C_ENABLE_RST_SYNC => C_ENABLE_RST_SYNC,
C_ERROR_INJECTION_TYPE => C_ERROR_INJECTION_TYPE,
C_FIFO_TYPE => C_FIFO_TYPE
)
PORT MAP(
--Inputs
CLK => CLK,
DIN => din_delayed,
PROG_EMPTY_THRESH => prog_empty_thresh_delayed,
PROG_EMPTY_THRESH_ASSERT => prog_empty_thresh_assert_delayed,
PROG_EMPTY_THRESH_NEGATE => prog_empty_thresh_negate_delayed,
PROG_FULL_THRESH => prog_full_thresh_delayed,
PROG_FULL_THRESH_ASSERT => prog_full_thresh_assert_delayed,
PROG_FULL_THRESH_NEGATE => prog_full_thresh_negate_delayed,
RD_EN => rd_en_fifo_in,
RST => rst_i,
SRST => srst_delayed,
RST_FULL_GEN => rst_full_gen_i,
RST_FULL_FF => rst_full_ff_i,
WR_EN => wr_en_delayed,
INJECTDBITERR => injectdbiterr_delayed,
INJECTSBITERR => injectsbiterr_delayed,
--Outputs
ALMOST_EMPTY => almost_empty_fifo_out,
ALMOST_FULL => almost_full_i,
DATA_COUNT => data_count_fifo_out,
DOUT => dout_fifo_out,
EMPTY => empty_fifo_out,
FULL => FULL_int,
OVERFLOW => OVERFLOW,
PROG_EMPTY => PROG_EMPTY,
PROG_FULL => prog_full_i,
UNDERFLOW => underflow_fifo_out,
VALID => valid_fifo_out,
WR_ACK => WR_ACK,
DBITERR => dbiterr_fifo_out,
SBITERR => sbiterr_fifo_out
);
END GENERATE gen_ss;
gen_as : IF (C_IMPLEMENTATION_TYPE = 2 OR C_FIFO_TYPE = 3) GENERATE
fgas : fifo_generator_v11_0_bhv_as
GENERIC MAP (
C_FAMILY => C_FAMILY,
C_DIN_WIDTH => C_DIN_WIDTH,
C_DOUT_RST_VAL => C_DOUT_RST_VAL,
C_DOUT_WIDTH => C_DOUT_WIDTH,
C_FULL_FLAGS_RST_VAL => C_FULL_FLAGS_RST_VAL,
C_HAS_ALMOST_EMPTY => C_HAS_ALMOST_EMPTY,
C_HAS_ALMOST_FULL => if_then_else((C_AXI_TYPE = 0 AND C_FIFO_TYPE = 1), 1, C_HAS_ALMOST_FULL),
C_HAS_OVERFLOW => C_HAS_OVERFLOW,
C_HAS_RD_DATA_COUNT => C_HAS_RD_DATA_COUNT,
C_HAS_RST => C_HAS_RST,
C_HAS_UNDERFLOW => C_HAS_UNDERFLOW,
C_HAS_VALID => C_HAS_VALID,
C_HAS_WR_ACK => C_HAS_WR_ACK,
C_HAS_WR_DATA_COUNT => C_HAS_WR_DATA_COUNT,
C_MEMORY_TYPE => C_MEMORY_TYPE,
C_OVERFLOW_LOW => C_OVERFLOW_LOW,
C_PRELOAD_LATENCY => C_PRELOAD_LATENCY,
C_PRELOAD_REGS => C_PRELOAD_REGS,
C_PROG_EMPTY_THRESH_ASSERT_VAL => C_PROG_EMPTY_THRESH_ASSERT_VAL,
C_PROG_EMPTY_THRESH_NEGATE_VAL => C_PROG_EMPTY_THRESH_NEGATE_VAL,
C_PROG_EMPTY_TYPE => C_PROG_EMPTY_TYPE,
C_PROG_FULL_THRESH_ASSERT_VAL => C_PROG_FULL_THRESH_ASSERT_VAL,
C_PROG_FULL_THRESH_NEGATE_VAL => C_PROG_FULL_THRESH_NEGATE_VAL,
C_PROG_FULL_TYPE => C_PROG_FULL_TYPE,
C_RD_DATA_COUNT_WIDTH => C_RD_DATA_COUNT_WIDTH,
C_RD_DEPTH => C_RD_DEPTH,
C_RD_PNTR_WIDTH => C_RD_PNTR_WIDTH,
C_UNDERFLOW_LOW => C_UNDERFLOW_LOW,
C_USE_ECC => C_USE_ECC,
C_USE_DOUT_RST => C_USE_DOUT_RST,
C_USE_EMBEDDED_REG => C_USE_EMBEDDED_REG,
C_USE_FWFT_DATA_COUNT => C_USE_FWFT_DATA_COUNT,
C_VALID_LOW => C_VALID_LOW,
C_WR_ACK_LOW => C_WR_ACK_LOW,
C_WR_DATA_COUNT_WIDTH => C_WR_DATA_COUNT_WIDTH,
C_WR_DEPTH => C_WR_DEPTH,
C_WR_PNTR_WIDTH => C_WR_PNTR_WIDTH,
C_TCQ => C_TCQ,
C_ENABLE_RST_SYNC => C_ENABLE_RST_SYNC,
C_ERROR_INJECTION_TYPE => C_ERROR_INJECTION_TYPE,
C_SYNCHRONIZER_STAGE => C_SYNCHRONIZER_STAGE,
C_FIFO_TYPE => C_FIFO_TYPE
)
PORT MAP(
--Inputs
WR_CLK => WR_CLK,
RD_CLK => RD_CLK,
RST => rst_i,
RST_FULL_GEN => rst_full_gen_i,
RST_FULL_FF => rst_full_ff_i,
WR_RST => wr_rst_i,
RD_RST => rd_rst_i,
DIN => din_delayed,
RD_EN => rd_en_fifo_in,
WR_EN => wr_en_delayed,
RD_EN_USER => rd_en_delayed,
PROG_FULL_THRESH => prog_full_thresh_delayed,
PROG_EMPTY_THRESH_ASSERT => prog_empty_thresh_assert_delayed,
PROG_EMPTY_THRESH_NEGATE => prog_empty_thresh_negate_delayed,
PROG_EMPTY_THRESH => prog_empty_thresh_delayed,
PROG_FULL_THRESH_ASSERT => prog_full_thresh_assert_delayed,
PROG_FULL_THRESH_NEGATE => prog_full_thresh_negate_delayed,
INJECTDBITERR => injectdbiterr_delayed,
INJECTSBITERR => injectsbiterr_delayed,
USER_EMPTY_FB => empty_p0_out,
--Outputs
DOUT => dout_fifo_out,
FULL => FULL_int,
ALMOST_FULL => almost_full_i,
WR_ACK => WR_ACK,
OVERFLOW => OVERFLOW,
EMPTY => empty_fifo_out,
ALMOST_EMPTY => almost_empty_fifo_out,
VALID => valid_fifo_out,
UNDERFLOW => underflow_fifo_out,
RD_DATA_COUNT => rd_data_count_fifo_out,
WR_DATA_COUNT => wr_data_count_fifo_out,
PROG_FULL => prog_full_i,
PROG_EMPTY => PROG_EMPTY,
DBITERR => dbiterr_fifo_out,
SBITERR => sbiterr_fifo_out
);
END GENERATE gen_as;
ALMOST_FULL <= almost_full_i;
PROG_FULL <= prog_full_i;
-------------------------------------------------------------------------
-- Connect internal clock used for FWFT logic based on C_COMMON_CLOCK ---
-------------------------------------------------------------------------
clock_fwft_common: IF (C_COMMON_CLOCK=1 ) GENERATE
CLK_INT <= CLK;
END GENERATE clock_fwft_common;
clock_fwft: IF (C_COMMON_CLOCK= 0 ) GENERATE
CLK_INT <= RD_CLK;
END GENERATE clock_fwft;
-----------------------------------------------------------------------------
-- Connect Internal Signals
-- In the normal case, these signals tie directly to the FIFO's inputs and
-- outputs.
-- In the case of Preload Latency 0 or 1, these are the intermediate
-- signals between the internal FIFO and the preload logic.
-----------------------------------------------------------------------------
latnrm: IF (C_PRELOAD_LATENCY=1 OR C_PRELOAD_LATENCY=2 OR C_FIFO_TYPE = 3) GENERATE
rd_en_fifo_in <= rd_en_delayed;
DOUT <= dout_fifo_out;
VALID <= valid_fifo_out;
EMPTY <= empty_fifo_out;
ALMOST_EMPTY <= almost_empty_fifo_out;
UNDERFLOW <= underflow_fifo_out;
RD_DATA_COUNT <= rd_data_count_fifo_out;
WR_DATA_COUNT <= wr_data_count_fifo_out;
SBITERR <= sbiterr_fifo_out;
DBITERR <= dbiterr_fifo_out;
END GENERATE latnrm;
lat0: IF ((C_PRELOAD_REGS = 1) AND (C_PRELOAD_LATENCY = 0) AND C_FIFO_TYPE /= 3) GENERATE
SIGNAL sbiterr_fwft : STD_LOGIC := '0';
SIGNAL dbiterr_fwft : STD_LOGIC := '0';
SIGNAL rd_en_to_fwft_fifo : STD_LOGIC := '0';
SIGNAL dout_fwft : std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0);
SIGNAL empty_fwft : STD_LOGIC := '0';
SIGNAL valid_stages_i : STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0');
SIGNAL stage2_reg_en_i : STD_LOGIC := '0';
BEGIN
rst_fwft <= rd_rst_i WHEN (C_COMMON_CLOCK = 0) ELSE rst_i WHEN (C_HAS_RST = 1) ELSE '0';
lat0logic : fifo_generator_v11_0_bhv_preload0
GENERIC MAP (
C_DOUT_RST_VAL => C_DOUT_RST_VAL,
C_DOUT_WIDTH => C_DOUT_WIDTH,
C_HAS_RST => C_HAS_RST,
C_HAS_SRST => C_HAS_SRST,
C_USE_DOUT_RST => C_USE_DOUT_RST,
C_USE_ECC => C_USE_ECC,
C_USERVALID_LOW => C_VALID_LOW,
C_USERUNDERFLOW_LOW => C_UNDERFLOW_LOW,
C_ENABLE_RST_SYNC => C_ENABLE_RST_SYNC,
C_ERROR_INJECTION_TYPE => C_ERROR_INJECTION_TYPE,
C_MEMORY_TYPE => C_MEMORY_TYPE,
C_FIFO_TYPE => C_FIFO_TYPE
)
PORT MAP (
RD_CLK => CLK_INT,
RD_RST => rst_fwft,
SRST => srst_delayed,
RD_EN => rd_en_to_fwft_fifo,
FIFOEMPTY => empty_fifo_out,
FIFODATA => dout_fifo_out,
FIFOSBITERR => sbiterr_fifo_out,
FIFODBITERR => dbiterr_fifo_out,
USERDATA => dout_fwft,
USERVALID => valid_p0_out,
USEREMPTY => empty_fwft,
USERALMOSTEMPTY => almost_empty_p0_out,
USERUNDERFLOW => underflow_p0_out,
RAMVALID => ram_valid, --Used for observing the state of the ram_valid
FIFORDEN => rd_en_fifo_in,
USERSBITERR => sbiterr_fwft,
USERDBITERR => dbiterr_fwft,
STAGE2_REG_EN => stage2_reg_en_i,
VALID_STAGES => valid_stages_i
);
gberr_non_pkt_fifo: IF (C_FIFO_TYPE /= 1) GENERATE
VALID <= valid_p0_out;
ALMOST_EMPTY <= almost_empty_p0_out;
UNDERFLOW <= underflow_p0_out;
SBITERR <= sbiterr_fwft;
DBITERR <= dbiterr_fwft;
dout_p0_out <= dout_fwft;
rd_en_to_fwft_fifo <= rd_en_delayed;
empty_p0_out <= empty_fwft;
END GENERATE gberr_non_pkt_fifo;
rdcg: IF (C_USE_FWFT_DATA_COUNT=1 AND (C_RD_DATA_COUNT_WIDTH > C_RD_PNTR_WIDTH)) GENERATE
eclk: PROCESS (CLK_INT,rst_fwft)
BEGIN -- process eclk
IF (rst_fwft='1') THEN
empty_p0_out_q <= '1' after C_TCQ;
almost_empty_p0_out_q <= '1' after C_TCQ;
ELSIF CLK_INT'event AND CLK_INT = '1' THEN -- rising clock edge
empty_p0_out_q <= empty_p0_out after C_TCQ;
almost_empty_p0_out_q <= almost_empty_p0_out after C_TCQ;
END IF;
END PROCESS eclk;
rcsproc: PROCESS (rd_data_count_fifo_out, empty_p0_out_q,
almost_empty_p0_out_q,rst_fwft)
BEGIN -- process rcsproc
IF (empty_p0_out_q='1' OR rst_fwft='1') THEN
RD_DATA_COUNT <= int_2_std_logic_vector(0, C_RD_DATA_COUNT_WIDTH);
ELSIF (almost_empty_p0_out_q='1') THEN
RD_DATA_COUNT <= int_2_std_logic_vector(1, C_RD_DATA_COUNT_WIDTH);
ELSE
RD_DATA_COUNT <= rd_data_count_fifo_out ;
END IF;
END PROCESS rcsproc;
END GENERATE rdcg;
rdcg1: IF (C_USE_FWFT_DATA_COUNT=1 AND (C_RD_DATA_COUNT_WIDTH <= C_RD_PNTR_WIDTH)) GENERATE
eclk1: PROCESS (CLK_INT,rst_fwft)
BEGIN -- process eclk
IF (rst_fwft='1') THEN
empty_p0_out_q <= '1' after C_TCQ;
almost_empty_p0_out_q <= '1' after C_TCQ;
ELSIF CLK_INT'event AND CLK_INT = '1' THEN -- rising clock edge
empty_p0_out_q <= empty_p0_out after C_TCQ;
almost_empty_p0_out_q <= almost_empty_p0_out after C_TCQ;
END IF;
END PROCESS eclk1;
rcsproc1: PROCESS (rd_data_count_fifo_out, empty_p0_out_q,
almost_empty_p0_out_q,rst_fwft)
BEGIN -- process rcsproc
IF (empty_p0_out_q='1' OR rst_fwft='1') THEN
RD_DATA_COUNT <= int_2_std_logic_vector(0, C_RD_DATA_COUNT_WIDTH);
ELSIF (almost_empty_p0_out_q='1') THEN
RD_DATA_COUNT <= int_2_std_logic_vector(0, C_RD_DATA_COUNT_WIDTH);
ELSE
RD_DATA_COUNT <= rd_data_count_fifo_out ;
END IF;
END PROCESS rcsproc1;
END GENERATE rdcg1;
nrdcg: IF (C_USE_FWFT_DATA_COUNT=0) GENERATE
RD_DATA_COUNT <= rd_data_count_fifo_out;
END GENERATE nrdcg;
WR_DATA_COUNT <= wr_data_count_fifo_out;
---------------------------------------------------
-- logics for common-clock data count with fwft
-- For common-clock FIFOs with FWFT, data count
-- is calculated as an up-down counter to maintain
-- accuracy.
---------------------------------------------------
grd_en_npkt: IF (C_FIFO_TYPE /= 1) GENERATE
gfwft_rd: IF (C_VALID_LOW = 0) GENERATE
SS_FWFT_RD <= rd_en_delayed AND valid_p0_out ;
END GENERATE gfwft_rd;
ngfwft_rd: IF (C_VALID_LOW = 1) GENERATE
SS_FWFT_RD <= rd_en_delayed AND NOT valid_p0_out ;
END GENERATE ngfwft_rd;
END GENERATE grd_en_npkt;
grd_en_pkt: IF (C_FIFO_TYPE = 1) GENERATE
gfwft_rd: IF (C_VALID_LOW = 0) GENERATE
SS_FWFT_RD <= (NOT empty_p0_out) AND rd_en_delayed AND valid_p0_out ;
END GENERATE gfwft_rd;
ngfwft_rd: IF (C_VALID_LOW = 1) GENERATE
SS_FWFT_RD <= (NOT empty_p0_out) AND rd_en_delayed AND (NOT valid_p0_out);
END GENERATE ngfwft_rd;
END GENERATE grd_en_pkt;
SS_FWFT_WR <= wr_en_delayed AND (NOT FULL_int) ;
cc_data_cnt: IF (C_HAS_DATA_COUNT = 1 AND C_USE_FWFT_DATA_COUNT = 1) GENERATE
count_fwft: PROCESS (CLK, rst_fwft)
BEGIN
IF (rst_fwft = '1' AND C_HAS_RST=1) THEN
DATA_COUNT_FWFT <= (OTHERS=>'0') after C_TCQ;
ELSIF CLK'event AND CLK = '1' THEN
IF (srst_delayed='1' AND C_HAS_SRST=1) THEN
DATA_COUNT_FWFT <= (OTHERS=>'0') after C_TCQ;
ELSE
IF (SS_FWFT_WR = '0' and SS_FWFT_RD ='0') THEN
DATA_COUNT_FWFT <= DATA_COUNT_FWFT after C_TCQ;
ELSIF (SS_FWFT_WR = '0' and SS_FWFT_RD ='1') THEN
DATA_COUNT_FWFT <= DATA_COUNT_FWFT - 1 after C_TCQ;
ELSIF (SS_FWFT_WR = '1' and SS_FWFT_RD ='0') THEN
DATA_COUNT_FWFT <= DATA_COUNT_FWFT + 1 after C_TCQ;
ELSE
DATA_COUNT_FWFT <= DATA_COUNT_FWFT after C_TCQ;
END IF ;
END IF;
END IF;
END PROCESS count_fwft;
END GENERATE cc_data_cnt;
----------------------------------------------
DOUT <= dout_p0_out;
EMPTY <= empty_p0_out;
gpkt_fifo_fwft: IF (C_FIFO_TYPE = 1) GENERATE
SIGNAL wr_pkt_count : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL rd_pkt_count : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL rd_pkt_count_plus1 : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL rd_pkt_count_reg : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL eop_at_stage2 : STD_LOGIC := '0';
SIGNAL ram_pkt_empty : STD_LOGIC := '0';
SIGNAL ram_pkt_empty_d1 : STD_LOGIC := '0';
SIGNAL pkt_ready_to_read : STD_LOGIC := '0';
SIGNAL fwft_stage1_valid : STD_LOGIC := '0';
SIGNAL fwft_stage2_valid : STD_LOGIC := '0';
SIGNAL rd_en_2_stage2 : STD_LOGIC := '0';
SIGNAL ram_wr_en_pkt_fifo : STD_LOGIC := '0';
SIGNAL wr_eop : STD_LOGIC := '0';
SIGNAL dummy_wr_eop : STD_LOGIC := '0';
SIGNAL ram_rd_en_compare : STD_LOGIC := '0';
SIGNAL partial_packet : STD_LOGIC := '0';
SIGNAL wr_rst_fwft_pkt_fifo : STD_LOGIC := '0';
SIGNAL stage1_eop : STD_LOGIC := '0';
SIGNAL stage1_eop_d1 : STD_LOGIC := '0';
SIGNAL rd_en_fifo_in_d1 : STD_LOGIC := '0';
BEGIN
wr_rst_fwft_pkt_fifo <= wr_rst_i WHEN (C_COMMON_CLOCK = 0) ELSE rst_i WHEN (C_HAS_RST = 1) ELSE '0';
-- Generate Dummy WR_EOP for partial packet (Only for AXI Streaming)
-- When Packet EMPTY is high, and FIFO is full, then generate the dummy WR_EOP
-- When dummy WR_EOP is high, mask the actual EOP to avoid double increment of
-- write packet count
gdummy_wr_eop: IF (C_AXI_TYPE = 0) GENERATE
SIGNAL packet_empty_wr : std_logic := '1';
BEGIN
proc_dummy_wr_eop: PROCESS (wr_rst_fwft_pkt_fifo, WR_CLK)
BEGIN
IF (wr_rst_fwft_pkt_fifo = '1') THEN
partial_packet <= '0';
ELSIF (WR_CLK'event AND WR_CLK = '1') THEN
IF (srst_delayed = '1') THEN
partial_packet <= '0' AFTER C_TCQ;
ELSE
IF (almost_full_i = '1' AND ram_wr_en_pkt_fifo = '1' AND packet_empty_wr = '1' AND din_delayed(0) = '0') THEN
partial_packet <= '1' AFTER C_TCQ;
ELSE
IF (partial_packet = '1' AND din_delayed(0) = '1' AND ram_wr_en_pkt_fifo = '1') THEN
partial_packet <= '0' AFTER C_TCQ;
END IF;
END IF;
END IF;
END IF;
END PROCESS proc_dummy_wr_eop;
dummy_wr_eop <= almost_full_i AND ram_wr_en_pkt_fifo AND packet_empty_wr AND (NOT din_delayed(0)) AND (NOT partial_packet);
-- Synchronize the packet EMPTY in WR clock domain to generate the dummy WR_EOP
gpkt_empty_sync: IF (C_COMMON_CLOCK = 0) GENERATE
TYPE pkt_empty_array IS ARRAY (0 TO C_SYNCHRONIZER_STAGE-1) OF STD_LOGIC;
SIGNAL pkt_empty_sync : pkt_empty_array := (OTHERS => '1');
BEGIN
proc_empty_sync: PROCESS (wr_rst_fwft_pkt_fifo, WR_CLK)
BEGIN
IF (wr_rst_fwft_pkt_fifo = '1') THEN
pkt_empty_sync <= (OTHERS => '1');
ELSIF (WR_CLK'event AND WR_CLK = '1') THEN
pkt_empty_sync <= pkt_empty_sync(1 to C_SYNCHRONIZER_STAGE-1) & empty_p0_out AFTER C_TCQ;
END IF;
END PROCESS proc_empty_sync;
packet_empty_wr <= pkt_empty_sync(0);
END GENERATE gpkt_empty_sync;
gnpkt_empty_sync: IF (C_COMMON_CLOCK = 1) GENERATE
packet_empty_wr <= empty_p0_out;
END GENERATE gnpkt_empty_sync;
END GENERATE gdummy_wr_eop;
proc_stage1_eop: PROCESS (rst_fwft, CLK_INT)
BEGIN
IF (rst_fwft = '1') THEN
stage1_eop_d1 <= '0';
rd_en_fifo_in_d1 <= '0';
ELSIF (CLK_INT'event AND CLK_INT = '1') THEN
IF (srst_delayed = '1') THEN
stage1_eop_d1 <= '0' AFTER C_TCQ;
rd_en_fifo_in_d1 <= '0' AFTER C_TCQ;
ELSE
stage1_eop_d1 <= stage1_eop AFTER C_TCQ;
rd_en_fifo_in_d1 <= rd_en_fifo_in AFTER C_TCQ;
END IF;
END IF;
END PROCESS proc_stage1_eop;
stage1_eop <= dout_fifo_out(0) WHEN (rd_en_fifo_in_d1 = '1') ELSE stage1_eop_d1;
ram_wr_en_pkt_fifo <= wr_en_delayed AND (NOT FULL_int);
wr_eop <= ram_wr_en_pkt_fifo AND ((din_delayed(0) AND (NOT partial_packet)) OR dummy_wr_eop);
ram_rd_en_compare <= stage2_reg_en_i AND stage1_eop;
pkt_fifo_fwft : fifo_generator_v11_0_bhv_preload0
GENERIC MAP (
C_DOUT_RST_VAL => C_DOUT_RST_VAL,
C_DOUT_WIDTH => C_DOUT_WIDTH,
C_HAS_RST => C_HAS_RST,
C_HAS_SRST => C_HAS_SRST,
C_USE_DOUT_RST => C_USE_DOUT_RST,
C_USE_ECC => C_USE_ECC,
C_USERVALID_LOW => C_VALID_LOW,
C_USERUNDERFLOW_LOW => C_UNDERFLOW_LOW,
C_ENABLE_RST_SYNC => C_ENABLE_RST_SYNC,
C_ERROR_INJECTION_TYPE => C_ERROR_INJECTION_TYPE,
C_MEMORY_TYPE => C_MEMORY_TYPE,
C_FIFO_TYPE => 2 -- Enable low latency fwft logic
)
PORT MAP (
RD_CLK => CLK_INT,
RD_RST => rst_fwft,
SRST => srst_delayed,
RD_EN => rd_en_delayed,
FIFOEMPTY => pkt_ready_to_read,
FIFODATA => dout_fwft,
FIFOSBITERR => sbiterr_fwft,
FIFODBITERR => dbiterr_fwft,
USERDATA => dout_p0_out,
USERVALID => OPEN,
USEREMPTY => empty_p0_out,
USERALMOSTEMPTY => OPEN,
USERUNDERFLOW => OPEN,
RAMVALID => OPEN, --Used for observing the state of the ram_valid
FIFORDEN => rd_en_2_stage2,
USERSBITERR => SBITERR,
USERDBITERR => DBITERR,
STAGE2_REG_EN => OPEN,
VALID_STAGES => OPEN
);
pkt_ready_to_read <= NOT ((ram_pkt_empty NOR empty_fwft) AND ((valid_stages_i(0) AND valid_stages_i(1)) OR eop_at_stage2));
rd_en_to_fwft_fifo <= NOT empty_fwft AND rd_en_2_stage2;
pregsm : PROCESS (CLK_INT, rst_fwft)
BEGIN
IF (rst_fwft = '1') THEN
eop_at_stage2 <= '0';
ELSIF (CLK_INT'event AND CLK_INT = '1') THEN
IF (stage2_reg_en_i = '1') THEN
eop_at_stage2 <= stage1_eop AFTER C_TCQ;
END IF;
END IF;
END PROCESS pregsm;
-----------------------------------------------------------------------------
-- Write and Read Packet Count
-----------------------------------------------------------------------------
proc_wr_pkt_cnt: PROCESS (WR_CLK, wr_rst_fwft_pkt_fifo)
BEGIN
IF (wr_rst_fwft_pkt_fifo = '1') THEN
wr_pkt_count <= (OTHERS => '0');
ELSIF (WR_CLK'event AND WR_CLK = '1') THEN
IF (srst_delayed='1') THEN
wr_pkt_count <= (OTHERS => '0') AFTER C_TCQ;
ELSIF (wr_eop = '1') THEN
wr_pkt_count <= wr_pkt_count + int_2_std_logic_vector(1,C_WR_PNTR_WIDTH) AFTER C_TCQ;
END IF;
END IF;
END PROCESS proc_wr_pkt_cnt;
grss_pkt_cnt : IF C_COMMON_CLOCK = 1 GENERATE
proc_rd_pkt_cnt: PROCESS (CLK_INT, rst_fwft)
BEGIN
IF (rst_fwft = '1') THEN
rd_pkt_count <= (OTHERS => '0');
rd_pkt_count_plus1 <= int_2_std_logic_vector(1,C_RD_PNTR_WIDTH);
ELSIF (CLK_INT'event AND CLK_INT = '1') THEN
IF (srst_delayed='1') THEN
rd_pkt_count <= (OTHERS => '0') AFTER C_TCQ;
rd_pkt_count_plus1 <= int_2_std_logic_vector(1,C_RD_PNTR_WIDTH) AFTER C_TCQ;
ELSIF (stage2_reg_en_i = '1' AND stage1_eop = '1') THEN
rd_pkt_count <= rd_pkt_count + int_2_std_logic_vector(1,C_RD_PNTR_WIDTH) AFTER C_TCQ;
rd_pkt_count_plus1 <= rd_pkt_count_plus1 + int_2_std_logic_vector(1,C_RD_PNTR_WIDTH) AFTER C_TCQ;
END IF;
END IF;
END PROCESS proc_rd_pkt_cnt;
proc_pkt_empty : PROCESS (CLK_INT, rst_fwft)
BEGIN
IF (rst_fwft = '1') THEN
ram_pkt_empty <= '1';
ram_pkt_empty_d1 <= '1';
ELSIF (CLK_INT'event AND CLK_INT = '1') THEN
IF (SRST='1') THEN
ram_pkt_empty <= '1' AFTER C_TCQ;
ram_pkt_empty_d1 <= '1' AFTER C_TCQ;
ELSE
IF ((rd_pkt_count = wr_pkt_count) AND wr_eop = '1') THEN
ram_pkt_empty <= '0' AFTER C_TCQ;
ram_pkt_empty_d1 <= '0' AFTER C_TCQ;
ELSIF (ram_pkt_empty_d1 = '1' AND rd_en_to_fwft_fifo = '1') THEN
ram_pkt_empty <= '1' AFTER C_TCQ;
ELSIF ((rd_pkt_count_plus1 = wr_pkt_count) AND wr_eop = '0' AND almost_full_i = '0' AND ram_rd_en_compare = '1') THEN
ram_pkt_empty_d1 <= '1' AFTER C_TCQ;
END IF;
END IF;
END IF;
END PROCESS proc_pkt_empty;
END GENERATE grss_pkt_cnt;
gras_pkt_cnt : IF C_COMMON_CLOCK = 0 GENERATE
TYPE wr_pkt_cnt_sync_array IS ARRAY (C_SYNCHRONIZER_STAGE-1 DOWNTO 0) OF std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0);
SIGNAL wr_pkt_count_q : wr_pkt_cnt_sync_array := (OTHERS => (OTHERS => '0'));
SIGNAL wr_pkt_count_b2g : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL wr_pkt_count_rd : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
BEGIN
-- Delay the write packet count in write clock domain to accomodate the binary to gray conversion delay
proc_wr_pkt_cnt_b2g: PROCESS (WR_CLK, wr_rst_fwft_pkt_fifo)
BEGIN
IF (wr_rst_fwft_pkt_fifo = '1') THEN
wr_pkt_count_b2g <= (OTHERS => '0');
ELSIF (WR_CLK'event AND WR_CLK = '1') THEN
wr_pkt_count_b2g <= wr_pkt_count AFTER C_TCQ;
END IF;
END PROCESS proc_wr_pkt_cnt_b2g;
-- Synchronize the delayed write packet count in read domain, and also compensate the gray to binay conversion delay
proc_wr_pkt_cnt_rd: PROCESS (CLK_INT, rst_fwft)
BEGIN
IF (wr_rst_fwft_pkt_fifo = '1') THEN
wr_pkt_count_q <= (OTHERS => (OTHERS => '0'));
wr_pkt_count_rd <= (OTHERS => '0');
ELSIF (CLK_INT'event AND CLK_INT = '1') THEN
wr_pkt_count_q <= wr_pkt_count_q(C_SYNCHRONIZER_STAGE-2 DOWNTO 0) & wr_pkt_count_b2g AFTER C_TCQ;
wr_pkt_count_rd <= wr_pkt_count_q(C_SYNCHRONIZER_STAGE-1) AFTER C_TCQ;
END IF;
END PROCESS proc_wr_pkt_cnt_rd;
rd_pkt_count <= rd_pkt_count_reg + int_2_std_logic_vector(1,C_RD_PNTR_WIDTH)
WHEN (stage1_eop = '1') ELSE rd_pkt_count_reg;
proc_rd_pkt_cnt: PROCESS (CLK_INT, rst_fwft)
BEGIN
IF (rst_fwft = '1') THEN
rd_pkt_count_reg <= (OTHERS => '0');
ELSIF (RD_CLK'event AND RD_CLK = '1') THEN
IF (rd_en_fifo_in = '1') THEN
rd_pkt_count_reg <= rd_pkt_count AFTER C_TCQ;
END IF;
END IF;
END PROCESS proc_rd_pkt_cnt;
proc_pkt_empty_as : PROCESS (CLK_INT, rst_fwft)
BEGIN
IF (rst_fwft = '1') THEN
ram_pkt_empty <= '1';
ram_pkt_empty_d1 <= '1';
ELSIF (CLK_INT'event AND CLK_INT = '1') THEN
IF (rd_pkt_count /= wr_pkt_count_rd) THEN
ram_pkt_empty <= '0' AFTER C_TCQ;
ram_pkt_empty_d1 <= '0' AFTER C_TCQ;
ELSIF (ram_pkt_empty_d1 = '1' AND rd_en_to_fwft_fifo = '1') THEN
ram_pkt_empty <= '1' AFTER C_TCQ;
ELSIF ((rd_pkt_count = wr_pkt_count_rd) AND stage2_reg_en_i = '1') THEN
ram_pkt_empty_d1 <= '1' AFTER C_TCQ;
END IF;
END IF;
END PROCESS proc_pkt_empty_as;
END GENERATE gras_pkt_cnt;
END GENERATE gpkt_fifo_fwft;
END GENERATE lat0;
gdc_fwft: IF (C_HAS_DATA_COUNT = 1) GENERATE
begin
ss_count: IF ((NOT ((C_PRELOAD_REGS = 1) AND (C_PRELOAD_LATENCY = 0)) ) OR
(C_USE_FWFT_DATA_COUNT = 0) )GENERATE
begin
DATA_COUNT <= data_count_fifo_out ;
end generate ss_count ;
ss_count_fwft1: IF ((C_PRELOAD_REGS = 1) AND (C_PRELOAD_LATENCY = 0) AND
(C_DATA_COUNT_WIDTH > C_RD_PNTR_WIDTH) AND
(C_USE_FWFT_DATA_COUNT = 1) ) GENERATE
begin
DATA_COUNT <= DATA_COUNT_FWFT(C_RD_PNTR_WIDTH DOWNTO 0) ;
end generate ss_count_fwft1 ;
ss_count_fwft2: IF ((C_PRELOAD_REGS = 1) AND (C_PRELOAD_LATENCY = 0) AND
(C_DATA_COUNT_WIDTH <= C_RD_PNTR_WIDTH) AND
(C_USE_FWFT_DATA_COUNT = 1)) GENERATE
begin
DATA_COUNT <= DATA_COUNT_FWFT(C_RD_PNTR_WIDTH DOWNTO C_RD_PNTR_WIDTH-C_DATA_COUNT_WIDTH+1) ;
end generate ss_count_fwft2 ;
end generate gdc_fwft;
FULL <= FULL_int;
-------------------------------------------------------------------------------
-- If there is a reset input, generate internal reset signals
-- The latency of reset will match the core behavior
-------------------------------------------------------------------------------
--Single RST
grst_sync : IF (C_ENABLE_RST_SYNC = 1 OR C_FIFO_TYPE = 3) GENERATE
grst : IF (C_HAS_RST = 1) GENERATE
gic_rst : IF (C_COMMON_CLOCK = 0 OR C_FIFO_TYPE = 3) GENERATE
SIGNAL rd_rst_asreg : std_logic:= '0';
SIGNAL rd_rst_asreg_d1 : std_logic:= '0';
SIGNAL rd_rst_asreg_d2 : std_logic:= '0';
SIGNAL rd_rst_comb : std_logic:= '0';
SIGNAL rd_rst_reg : std_logic:= '0';
SIGNAL wr_rst_asreg : std_logic:= '0';
SIGNAL wr_rst_asreg_d1 : std_logic:= '0';
SIGNAL wr_rst_asreg_d2 : std_logic:= '0';
SIGNAL wr_rst_comb : std_logic:= '0';
SIGNAL wr_rst_reg : std_logic:= '0';
BEGIN
g7s_ic_rst: IF (NOT (C_FAMILY = "virtex8" OR C_FAMILY = "kintex8")) GENERATE
PROCESS (WR_CLK, rst_delayed)
BEGIN
IF (rst_delayed = '1') THEN
wr_rst_asreg <= '1' after C_TCQ;
ELSIF (WR_CLK'event and WR_CLK = '1') THEN
IF (wr_rst_asreg_d1 = '1') THEN
wr_rst_asreg <= '0' after C_TCQ;
END IF;
END IF;
IF (WR_CLK'event and WR_CLK = '1') THEN
wr_rst_asreg_d1 <= wr_rst_asreg after C_TCQ;
wr_rst_asreg_d2 <= wr_rst_asreg_d1 after C_TCQ;
END IF;
END PROCESS;
PROCESS (wr_rst_asreg, wr_rst_asreg_d2)
BEGIN
wr_rst_comb <= NOT wr_rst_asreg_d2 AND wr_rst_asreg;
END PROCESS;
PROCESS (WR_CLK, wr_rst_comb)
BEGIN
IF (wr_rst_comb = '1') THEN
wr_rst_reg <= '1' after C_TCQ;
ELSIF (WR_CLK'event and WR_CLK = '1') THEN
wr_rst_reg <= '0' after C_TCQ;
END IF;
END PROCESS;
PROCESS (RD_CLK, rst_delayed)
BEGIN
IF (rst_delayed = '1') THEN
rd_rst_asreg <= '1' after C_TCQ;
ELSIF (RD_CLK'event and RD_CLK = '1') THEN
IF (rd_rst_asreg_d1 = '1') THEN
rd_rst_asreg <= '0' after C_TCQ;
END IF;
END IF;
IF (RD_CLK'event and RD_CLK = '1') THEN
rd_rst_asreg_d1 <= rd_rst_asreg after C_TCQ;
rd_rst_asreg_d2 <= rd_rst_asreg_d1 after C_TCQ;
END IF;
END PROCESS;
PROCESS (rd_rst_asreg, rd_rst_asreg_d2)
BEGIN
rd_rst_comb <= NOT rd_rst_asreg_d2 AND rd_rst_asreg;
END PROCESS;
PROCESS (RD_CLK, rd_rst_comb)
BEGIN
IF (rd_rst_comb = '1') THEN
rd_rst_reg <= '1' after C_TCQ;
ELSIF (RD_CLK'event and RD_CLK = '1') THEN
rd_rst_reg <= '0' after C_TCQ;
END IF;
END PROCESS;
wr_rst_i <= wr_rst_reg;
rd_rst_i <= rd_rst_reg;
wr_rst_busy <= '0';
rd_rst_busy <= '0';
END GENERATE g7s_ic_rst;
g8s_ic_rst: IF (C_FAMILY = "virtex8" OR C_FAMILY = "kintex8") GENERATE
SIGNAL wr_rst_reg_d : STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0');
SIGNAL rd_rst_d : STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0');
SIGNAL rd_rst_wr : STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0');
SIGNAL wr_rst_reg : STD_LOGIC := '0';
SIGNAL rd_rst_reg : STD_LOGIC := '0';
SIGNAL d_asreg : STD_LOGIC := '0';
SIGNAL wrrst_done : STD_LOGIC := '0';
SIGNAL rdrst_done : STD_LOGIC := '0';
SIGNAL rst_active : STD_LOGIC := '0';
SIGNAL rst_active_i : STD_LOGIC := '1';
SIGNAL rst_delayed_d1 : STD_LOGIC := '1';
SIGNAL rst_delayed_d2 : STD_LOGIC := '1';
BEGIN
rst_active <= wr_rst_reg OR wr_rst_reg_d(2) OR rd_rst_wr(1);
wr_rst_busy <= wr_rst_reg WHEN (C_MEMORY_TYPE /= 4) ELSE rst_active_i;
rd_rst_busy <= rd_rst_reg;
rst_full_ff_i <= wr_rst_reg;
rst_full_gen_i <= rst_active_i WHEN (C_FULL_FLAGS_RST_VAL = 1) ELSE '0';
PROCESS (WR_CLK)
BEGIN
IF (WR_CLK'event and WR_CLK = '1') THEN
rst_delayed_d1 <= rst_delayed after C_TCQ;
rst_delayed_d2 <= rst_delayed_d1 after C_TCQ;
IF (wr_rst_reg = '1' OR rst_delayed_d2 = '1') THEN
rst_active_i <= '1' after C_TCQ;
ELSE
rst_active_i <= rst_active after C_TCQ;
END IF;
END IF;
END PROCESS;
pwrst: PROCESS (WR_CLK)
BEGIN
IF (WR_CLK'event AND WR_CLK = '1') THEN
wr_rst_reg_d <= wr_rst_reg_d(1 DOWNTO 0) & wr_rst_reg after C_TCQ;
rd_rst_wr <= rd_rst_wr(1 DOWNTO 0) & rd_rst_d(2) after C_TCQ;
IF (rst_active = '0' AND rst_delayed = '1') THEN
wr_rst_reg <= '1' after C_TCQ;
ELSE
IF (wr_rst_reg = '1' AND wrrst_done = '1' AND rdrst_done = '1') THEN
wr_rst_reg <= '0' after C_TCQ;
ELSE
wr_rst_reg <= wr_rst_reg after C_TCQ;
END IF;
END IF;
IF (wr_rst_reg_d(2) = '0' AND wr_rst_reg_d(1) = '1') THEN
wrrst_done <= '1' after C_TCQ;
ELSIF (wrrst_done = '1' AND rdrst_done = '1') THEN
wrrst_done <= '0' after C_TCQ;
END IF;
IF (rd_rst_wr(2) = '0' AND rd_rst_wr(1) = '1') THEN
rdrst_done <= '1' after C_TCQ;
ELSIF (wrrst_done = '1' AND rdrst_done = '1') THEN
rdrst_done <= '0' after C_TCQ;
END IF;
END IF;
END PROCESS;
prrst: PROCESS (RD_CLK)
BEGIN
IF (RD_CLK'event AND RD_CLK = '1') THEN
rd_rst_d <= rd_rst_d(1 DOWNTO 0) & rd_rst_i after C_TCQ;
d_asreg <= wr_rst_reg after C_TCQ;
rd_rst_reg <= d_asreg after C_TCQ;
END IF;
END PROCESS;
wr_rst_i <= wr_rst_reg;
rd_rst_i <= rd_rst_reg;
END GENERATE g8s_ic_rst;
END GENERATE gic_rst;
gcc_rst : IF (C_COMMON_CLOCK = 1) GENERATE
SIGNAL rst_asreg : std_logic := '0';
SIGNAL rst_asreg_d1 : std_logic := '0';
SIGNAL rst_asreg_d2 : std_logic := '0';
SIGNAL rst_comb : std_logic := '0';
SIGNAL rst_reg : std_logic := '0';
BEGIN
g7s_cc_rst: IF (NOT (C_FAMILY = "virtex8" OR C_FAMILY = "kintex8")) GENERATE
PROCESS (CLK, rst_delayed)
BEGIN
IF (rst_delayed = '1') THEN
rst_asreg <= '1' after C_TCQ;
ELSIF (CLK'event and CLK = '1') THEN
IF (rst_asreg_d1 = '1') THEN
rst_asreg <= '0' after C_TCQ;
ELSE
rst_asreg <= rst_asreg after C_TCQ;
END IF;
END IF;
IF (CLK'event and CLK = '1') THEN
rst_asreg_d1 <= rst_asreg after C_TCQ;
rst_asreg_d2 <= rst_asreg_d1 after C_TCQ;
END IF;
END PROCESS;
PROCESS (rst_asreg, rst_asreg_d2)
BEGIN
rst_comb <= NOT rst_asreg_d2 AND rst_asreg;
END PROCESS;
PROCESS (CLK, rst_comb)
BEGIN
IF (rst_comb = '1') THEN
rst_reg <= '1' after C_TCQ;
ELSIF (CLK'event and CLK = '1') THEN
rst_reg <= '0' after C_TCQ;
END IF;
END PROCESS;
rst_i <= rst_reg;
wr_rst_busy <= '0';
rd_rst_busy <= '0';
END GENERATE g7s_cc_rst;
g8s_cc_rst: IF (C_FAMILY = "virtex8" OR C_FAMILY = "kintex8") GENERATE
SIGNAL wr_rst_reg : STD_LOGIC := '0';
SIGNAL rst_active_i : STD_LOGIC := '1';
SIGNAL rst_delayed_d1 : STD_LOGIC := '1';
SIGNAL rst_delayed_d2 : STD_LOGIC := '1';
BEGIN
prst: PROCESS (CLK)
BEGIN
IF (CLK'event AND CLK = '1') THEN
IF (wr_rst_reg = '0' AND rst_delayed = '1') THEN
wr_rst_reg <= '1';
ELSE
IF (wr_rst_reg = '1') THEN
wr_rst_reg <= '0';
ELSE
wr_rst_reg <= wr_rst_reg;
END IF;
END IF;
END IF;
END PROCESS;
rst_i <= wr_rst_reg;
rd_rst_busy <= wr_rst_reg;
wr_rst_busy <= wr_rst_reg WHEN (C_MEMORY_TYPE /= 4) ELSE rst_active_i;
rst_full_ff_i <= wr_rst_reg;
rst_full_gen_i <= rst_active_i WHEN (C_FULL_FLAGS_RST_VAL = 1) ELSE '0';
PROCESS (CLK)
BEGIN
IF (CLK'event and CLK = '1') THEN
rst_delayed_d1 <= rst_delayed after C_TCQ;
rst_delayed_d2 <= rst_delayed_d1 after C_TCQ;
IF (wr_rst_reg = '1' OR rst_delayed_d2 = '1') THEN
rst_active_i <= '1' after C_TCQ;
ELSE
rst_active_i <= wr_rst_reg after C_TCQ;
END IF;
END IF;
END PROCESS;
END GENERATE g8s_cc_rst;
END GENERATE gcc_rst;
END GENERATE grst;
gnrst : IF (C_HAS_RST = 0) GENERATE
wr_rst_i <= '0';
rd_rst_i <= '0';
rst_i <= '0';
END GENERATE gnrst;
END GENERATE grst_sync;
gnrst_sync : IF (C_ENABLE_RST_SYNC = 0) GENERATE
wr_rst_i <= wr_rst_delayed;
rd_rst_i <= rd_rst_delayed;
rst_i <= '0';
END GENERATE gnrst_sync;
rst_2_sync <= rst_delayed WHEN (C_ENABLE_RST_SYNC = 1) ELSE wr_rst_delayed;
clk_2_sync <= CLK WHEN (C_COMMON_CLOCK = 1) ELSE WR_CLK;
grstd1 : IF ((NOT (C_FAMILY = "virtex8" OR C_FAMILY = "kintex8")) AND (C_HAS_RST = 1 OR C_HAS_SRST = 1 OR C_ENABLE_RST_SYNC = 0)) GENERATE
-- RST_FULL_GEN replaces the reset falling edge detection used to de-assert
-- FULL, ALMOST_FULL & PROG_FULL flags if C_FULL_FLAGS_RST_VAL = 1.
-- RST_FULL_FF goes to the reset pin of the final flop of FULL, ALMOST_FULL &
-- PROG_FULL
grst_full: IF (C_FULL_FLAGS_RST_VAL = 1) GENERATE
SIGNAL rst_d1 : STD_LOGIC := '1';
SIGNAL rst_d2 : STD_LOGIC := '1';
SIGNAL rst_d3 : STD_LOGIC := '1';
BEGIN
grst_f: IF (C_HAS_SRST = 0) GENERATE
prst: PROCESS (rst_2_sync, clk_2_sync)
BEGIN
IF (rst_2_sync = '1') THEN
rst_d1 <= '1';
rst_d2 <= '1';
rst_d3 <= '1';
rst_full_gen_i <= '0';
ELSIF (clk_2_sync'event AND clk_2_sync = '1') THEN
rst_d1 <= '0' AFTER C_TCQ;
rst_d2 <= rst_d1 AFTER C_TCQ;
rst_d3 <= rst_d2 AFTER C_TCQ;
rst_full_gen_i <= rst_d3 AFTER C_TCQ;
END IF;
END PROCESS prst;
rst_full_ff_i <= rst_d2;
END GENERATE grst_f;
ngrst_f: IF (C_HAS_SRST = 1) GENERATE
prst: PROCESS (clk_2_sync)
BEGIN
IF (clk_2_sync'event AND clk_2_sync = '1') THEN
IF (srst_delayed = '1') THEN
rst_d1 <= '1' AFTER C_TCQ;
rst_d2 <= '1' AFTER C_TCQ;
rst_d3 <= '1' AFTER C_TCQ;
rst_full_gen_i <= '0' AFTER C_TCQ;
ELSE
rst_d1 <= '0' AFTER C_TCQ;
rst_d2 <= rst_d1 AFTER C_TCQ;
rst_d3 <= rst_d2 AFTER C_TCQ;
rst_full_gen_i <= rst_d3 AFTER C_TCQ;
END IF;
END IF;
END PROCESS prst;
rst_full_ff_i <= '0';
END GENERATE ngrst_f;
END GENERATE grst_full;
gnrst_full: IF (C_FULL_FLAGS_RST_VAL = 0) GENERATE
rst_full_gen_i <= '0';
rst_full_ff_i <= wr_rst_i WHEN (C_COMMON_CLOCK = 0) ELSE rst_i;
END GENERATE gnrst_full;
END GENERATE grstd1;
END behavioral;
-------------------------------------------------------------------------------
--
-- Register Slice
-- Register one AXI channel on forward and/or reverse signal path
--
----------------------------------------------------------------------------
--
-- Structure:
-- reg_slice
--
----------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY fifo_generator_v11_0_axic_reg_slice IS
GENERIC (
C_FAMILY : string := "";
C_DATA_WIDTH : integer := 32;
C_REG_CONFIG : integer := 0
);
PORT (
-- System Signals
ACLK : IN STD_LOGIC;
ARESET : IN STD_LOGIC;
-- Slave side
S_PAYLOAD_DATA : IN STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0);
S_VALID : IN STD_LOGIC;
S_READY : OUT STD_LOGIC := '0';
-- Master side
M_PAYLOAD_DATA : OUT STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
M_VALID : OUT STD_LOGIC := '0';
M_READY : IN STD_LOGIC
);
END fifo_generator_v11_0_axic_reg_slice;
ARCHITECTURE xilinx OF fifo_generator_v11_0_axic_reg_slice IS
SIGNAL storage_data1 : STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL s_ready_i : STD_LOGIC := '0'; -- local signal of output
SIGNAL m_valid_i : STD_LOGIC := '0'; -- local signal of output
SIGNAL areset_d1 : STD_LOGIC := '0'; -- Reset delay register
SIGNAL rst_asreg : std_logic := '0';
SIGNAL rst_asreg_d1 : std_logic := '0';
SIGNAL rst_asreg_d2 : std_logic := '0';
SIGNAL rst_comb : std_logic := '0';
-- Constant to have clock to register delay
CONSTANT TFF : time := 100 ps;
BEGIN
--------------------------------------------------------------------
--
-- Both FWD and REV mode
--
--------------------------------------------------------------------
gfwd_rev: IF (C_REG_CONFIG = 0) GENERATE
CONSTANT ZERO : STD_LOGIC_VECTOR(1 DOWNTO 0) := "10";
CONSTANT ONE : STD_LOGIC_VECTOR(1 DOWNTO 0) := "11";
CONSTANT TWO : STD_LOGIC_VECTOR(1 DOWNTO 0) := "01";
SIGNAL state : STD_LOGIC_VECTOR(1 DOWNTO 0);
SIGNAL storage_data2 : STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL load_s1 : STD_LOGIC;
SIGNAL load_s2 : STD_LOGIC;
SIGNAL load_s1_from_s2 : BOOLEAN;
BEGIN
-- assign local signal to its output signal
S_READY <= s_ready_i;
M_VALID <= m_valid_i;
-- Reset delay register
PROCESS(ACLK)
BEGIN
IF (ACLK'event AND ACLK = '1') THEN
areset_d1 <= ARESET AFTER TFF;
END IF;
END PROCESS;
-- Load storage1 with either slave side data or from storage2
PROCESS(ACLK)
BEGIN
IF (ACLK'event AND ACLK = '1') THEN
IF (load_s1 = '1') THEN
IF (load_s1_from_s2) THEN
storage_data1 <= storage_data2 AFTER TFF;
ELSE
storage_data1 <= S_PAYLOAD_DATA AFTER TFF;
END IF;
END IF;
END IF;
END PROCESS;
-- Load storage2 with slave side data
PROCESS(ACLK)
BEGIN
IF (ACLK'event AND ACLK = '1') THEN
IF (load_s2 = '1') THEN
storage_data2 <= S_PAYLOAD_DATA AFTER TFF;
END IF;
END IF;
END PROCESS;
M_PAYLOAD_DATA <= storage_data1;
-- Always load s2 on a valid transaction even if it's unnecessary
load_s2 <= S_VALID AND s_ready_i;
-- Loading s1
PROCESS(state,S_VALID,M_READY)
BEGIN
IF ((state = ZERO AND S_VALID = '1') OR -- Load when empty on slave transaction
-- Load when ONE if we both have read and write at the same time
(state = ONE AND S_VALID = '1' AND M_READY = '1') OR
-- Load when TWO and we have a transaction on Master side
(state = TWO AND M_READY = '1')) THEN
load_s1 <= '1';
ELSE
load_s1 <= '0';
END IF;
END PROCESS;
load_s1_from_s2 <= (state = TWO);
-- State Machine for handling output signals
PROCESS(ACLK)
BEGIN
IF (ACLK'event AND ACLK = '1') THEN
IF (ARESET = '1') THEN
s_ready_i <= '0' AFTER TFF;
state <= ZERO AFTER TFF;
ELSIF (areset_d1 = '1') THEN
s_ready_i <= '1' AFTER TFF;
ELSE
CASE state IS
WHEN ZERO => -- No transaction stored locally
IF (S_VALID = '1') THEN -- Got one so move to ONE
state <= ONE AFTER TFF;
END IF;
WHEN ONE => -- One transaction stored locally
IF (M_READY = '1' AND S_VALID = '0') THEN -- Read out one so move to ZERO
state <= ZERO AFTER TFF;
END IF;
IF (M_READY = '0' AND S_VALID = '1') THEN -- Got another one so move to TWO
state <= TWO AFTER TFF;
s_ready_i <= '0' AFTER TFF;
END IF;
WHEN TWO => -- TWO transaction stored locally
IF (M_READY = '1') THEN -- Read out one so move to ONE
state <= ONE AFTER TFF;
s_ready_i <= '1' AFTER TFF;
END IF;
WHEN OTHERS =>
state <= state AFTER TFF;
END CASE;
END IF;
END IF;
END PROCESS;
m_valid_i <= state(0);
END GENERATE gfwd_rev;
--------------------------------------------------------------------
--
-- C_REG_CONFIG = 1
-- Light-weight mode.
-- 1-stage pipeline register with bubble cycle, both FWD and REV pipelining
-- Operates same as 1-deep FIFO
--
--------------------------------------------------------------------
gfwd_rev_pipeline1: IF (C_REG_CONFIG = 1) GENERATE
-- assign local signal to its output signal
S_READY <= s_ready_i;
M_VALID <= m_valid_i;
-- Reset delay register
PROCESS(ACLK)
BEGIN
IF (ACLK'event AND ACLK = '1') THEN
areset_d1 <= ARESET AFTER TFF;
END IF;
END PROCESS;
-- Load storage1 with slave side data
PROCESS(ACLK)
BEGIN
IF (ACLK'event AND ACLK = '1') THEN
IF (ARESET = '1') THEN
s_ready_i <= '0' AFTER TFF;
m_valid_i <= '0' AFTER TFF;
ELSIF (areset_d1 = '1') THEN
s_ready_i <= '1' AFTER TFF;
ELSIF (m_valid_i = '1' AND M_READY = '1') THEN
s_ready_i <= '1' AFTER TFF;
m_valid_i <= '0' AFTER TFF;
ELSIF (S_VALID = '1' AND s_ready_i = '1') THEN
s_ready_i <= '0' AFTER TFF;
m_valid_i <= '1' AFTER TFF;
END IF;
IF (m_valid_i = '0') THEN
storage_data1 <= S_PAYLOAD_DATA AFTER TFF;
END IF;
END IF;
END PROCESS;
M_PAYLOAD_DATA <= storage_data1;
END GENERATE gfwd_rev_pipeline1;
end xilinx;-- reg_slice
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Top-level Behavioral Model for AXI
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
USE IEEE.std_logic_arith.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY fifo_generator_v11_0;
USE fifo_generator_v11_0.fifo_generator_v11_0_conv;
-------------------------------------------------------------------------------
-- Top-level Entity Declaration - This is the top-level of the AXI FIFO Bhv Model
-------------------------------------------------------------------------------
ENTITY fifo_generator_v11_0 IS
GENERIC (
-------------------------------------------------------------------------
-- Generic Declarations
-------------------------------------------------------------------------
C_COMMON_CLOCK : integer := 0;
C_COUNT_TYPE : integer := 0;
C_DATA_COUNT_WIDTH : integer := 2;
C_DEFAULT_VALUE : string := "";
C_DIN_WIDTH : integer := 8;
C_DOUT_RST_VAL : string := "";
C_DOUT_WIDTH : integer := 8;
C_ENABLE_RLOCS : integer := 0;
C_FAMILY : string := "virtex7";
C_FULL_FLAGS_RST_VAL : integer := 1;
C_HAS_ALMOST_EMPTY : integer := 0;
C_HAS_ALMOST_FULL : integer := 0;
C_HAS_BACKUP : integer := 0;
C_HAS_DATA_COUNT : integer := 0;
C_HAS_INT_CLK : integer := 0;
C_HAS_MEMINIT_FILE : integer := 0;
C_HAS_OVERFLOW : integer := 0;
C_HAS_RD_DATA_COUNT : integer := 0;
C_HAS_RD_RST : integer := 0;
C_HAS_RST : integer := 1;
C_HAS_SRST : integer := 0;
C_HAS_UNDERFLOW : integer := 0;
C_HAS_VALID : integer := 0;
C_HAS_WR_ACK : integer := 0;
C_HAS_WR_DATA_COUNT : integer := 0;
C_HAS_WR_RST : integer := 0;
C_IMPLEMENTATION_TYPE : integer := 0;
C_INIT_WR_PNTR_VAL : integer := 0;
C_MEMORY_TYPE : integer := 1;
C_MIF_FILE_NAME : string := "";
C_OPTIMIZATION_MODE : integer := 0;
C_OVERFLOW_LOW : integer := 0;
C_PRELOAD_LATENCY : integer := 1;
C_PRELOAD_REGS : integer := 0;
C_PRIM_FIFO_TYPE : string := "4kx4";
C_PROG_EMPTY_THRESH_ASSERT_VAL : integer := 0;
C_PROG_EMPTY_THRESH_NEGATE_VAL : integer := 0;
C_PROG_EMPTY_TYPE : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL : integer := 0;
C_PROG_FULL_THRESH_NEGATE_VAL : integer := 0;
C_PROG_FULL_TYPE : integer := 0;
C_RD_DATA_COUNT_WIDTH : integer := 2;
C_RD_DEPTH : integer := 256;
C_RD_FREQ : integer := 1;
C_RD_PNTR_WIDTH : integer := 8;
C_UNDERFLOW_LOW : integer := 0;
C_USE_DOUT_RST : integer := 0;
C_USE_ECC : integer := 0;
C_USE_EMBEDDED_REG : integer := 0;
C_USE_FIFO16_FLAGS : integer := 0;
C_USE_FWFT_DATA_COUNT : integer := 0;
C_VALID_LOW : integer := 0;
C_WR_ACK_LOW : integer := 0;
C_WR_DATA_COUNT_WIDTH : integer := 2;
C_WR_DEPTH : integer := 256;
C_WR_FREQ : integer := 1;
C_WR_PNTR_WIDTH : integer := 8;
C_WR_RESPONSE_LATENCY : integer := 1;
C_MSGON_VAL : integer := 1;
C_ENABLE_RST_SYNC : integer := 1;
C_ERROR_INJECTION_TYPE : integer := 0;
C_SYNCHRONIZER_STAGE : integer := 2;
-- AXI Interface related parameters start here
C_INTERFACE_TYPE : integer := 0; -- 0: Native Interface; 1: AXI Interface
C_AXI_TYPE : integer := 0; -- 0: AXI Stream; 1: AXI Full; 2: AXI Lite
C_HAS_AXI_WR_CHANNEL : integer := 0;
C_HAS_AXI_RD_CHANNEL : integer := 0;
C_HAS_SLAVE_CE : integer := 0;
C_HAS_MASTER_CE : integer := 0;
C_ADD_NGC_CONSTRAINT : integer := 0;
C_USE_COMMON_OVERFLOW : integer := 0;
C_USE_COMMON_UNDERFLOW : integer := 0;
C_USE_DEFAULT_SETTINGS : integer := 0;
-- AXI Full/Lite
C_AXI_ID_WIDTH : integer := 4;
C_AXI_ADDR_WIDTH : integer := 32;
C_AXI_DATA_WIDTH : integer := 64;
C_AXI_LEN_WIDTH : integer := 8;
C_AXI_LOCK_WIDTH : integer := 2;
C_HAS_AXI_ID : integer := 0;
C_HAS_AXI_AWUSER : integer := 0;
C_HAS_AXI_WUSER : integer := 0;
C_HAS_AXI_BUSER : integer := 0;
C_HAS_AXI_ARUSER : integer := 0;
C_HAS_AXI_RUSER : integer := 0;
C_AXI_ARUSER_WIDTH : integer := 1;
C_AXI_AWUSER_WIDTH : integer := 1;
C_AXI_WUSER_WIDTH : integer := 1;
C_AXI_BUSER_WIDTH : integer := 1;
C_AXI_RUSER_WIDTH : integer := 1;
-- AXI Streaming
C_HAS_AXIS_TDATA : integer := 0;
C_HAS_AXIS_TID : integer := 0;
C_HAS_AXIS_TDEST : integer := 0;
C_HAS_AXIS_TUSER : integer := 0;
C_HAS_AXIS_TREADY : integer := 1;
C_HAS_AXIS_TLAST : integer := 0;
C_HAS_AXIS_TSTRB : integer := 0;
C_HAS_AXIS_TKEEP : integer := 0;
C_AXIS_TDATA_WIDTH : integer := 64;
C_AXIS_TID_WIDTH : integer := 8;
C_AXIS_TDEST_WIDTH : integer := 4;
C_AXIS_TUSER_WIDTH : integer := 4;
C_AXIS_TSTRB_WIDTH : integer := 4;
C_AXIS_TKEEP_WIDTH : integer := 4;
-- AXI Channel Type
-- WACH --> Write Address Channel
-- WDCH --> Write Data Channel
-- WRCH --> Write Response Channel
-- RACH --> Read Address Channel
-- RDCH --> Read Data Channel
-- AXIS --> AXI Streaming
C_WACH_TYPE : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic
C_WDCH_TYPE : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_WRCH_TYPE : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_RACH_TYPE : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_RDCH_TYPE : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_AXIS_TYPE : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
-- AXI Implementation Type
-- 1 = Common Clock Block RAM FIFO
-- 2 = Common Clock Distributed RAM FIFO
-- 5 = Common Clock Built-in FIFO
-- 11 = Independent Clock Block RAM FIFO
-- 12 = Independent Clock Distributed RAM FIFO
C_IMPLEMENTATION_TYPE_WACH : integer := 1;
C_IMPLEMENTATION_TYPE_WDCH : integer := 1;
C_IMPLEMENTATION_TYPE_WRCH : integer := 1;
C_IMPLEMENTATION_TYPE_RACH : integer := 1;
C_IMPLEMENTATION_TYPE_RDCH : integer := 1;
C_IMPLEMENTATION_TYPE_AXIS : integer := 1;
-- AXI FIFO Type
-- 0 = Data FIFO
-- 1 = Packet FIFO
-- 2 = Low Latency Sync FIFO
-- 3 = Low Latency Async FIFO
C_APPLICATION_TYPE_WACH : integer := 0;
C_APPLICATION_TYPE_WDCH : integer := 0;
C_APPLICATION_TYPE_WRCH : integer := 0;
C_APPLICATION_TYPE_RACH : integer := 0;
C_APPLICATION_TYPE_RDCH : integer := 0;
C_APPLICATION_TYPE_AXIS : integer := 0;
-- AXI Built-in FIFO Primitive Type
-- 512x36, 1kx18, 2kx9, 4kx4, etc
C_PRIM_FIFO_TYPE_WACH : string := "512x36";
C_PRIM_FIFO_TYPE_WDCH : string := "512x36";
C_PRIM_FIFO_TYPE_WRCH : string := "512x36";
C_PRIM_FIFO_TYPE_RACH : string := "512x36";
C_PRIM_FIFO_TYPE_RDCH : string := "512x36";
C_PRIM_FIFO_TYPE_AXIS : string := "512x36";
-- Enable ECC
-- 0 = ECC disabled
-- 1 = ECC enabled
C_USE_ECC_WACH : integer := 0;
C_USE_ECC_WDCH : integer := 0;
C_USE_ECC_WRCH : integer := 0;
C_USE_ECC_RACH : integer := 0;
C_USE_ECC_RDCH : integer := 0;
C_USE_ECC_AXIS : integer := 0;
-- ECC Error Injection Type
-- 0 = No Error Injection
-- 1 = Single Bit Error Injection
-- 2 = Double Bit Error Injection
-- 3 = Single Bit and Double Bit Error Injection
C_ERROR_INJECTION_TYPE_WACH : integer := 0;
C_ERROR_INJECTION_TYPE_WDCH : integer := 0;
C_ERROR_INJECTION_TYPE_WRCH : integer := 0;
C_ERROR_INJECTION_TYPE_RACH : integer := 0;
C_ERROR_INJECTION_TYPE_RDCH : integer := 0;
C_ERROR_INJECTION_TYPE_AXIS : integer := 0;
-- Input Data Width
-- Accumulation of all AXI input signal's width
C_DIN_WIDTH_WACH : integer := 32;
C_DIN_WIDTH_WDCH : integer := 64;
C_DIN_WIDTH_WRCH : integer := 2;
C_DIN_WIDTH_RACH : integer := 32;
C_DIN_WIDTH_RDCH : integer := 64;
C_DIN_WIDTH_AXIS : integer := 1;
C_WR_DEPTH_WACH : integer := 16;
C_WR_DEPTH_WDCH : integer := 1024;
C_WR_DEPTH_WRCH : integer := 16;
C_WR_DEPTH_RACH : integer := 16;
C_WR_DEPTH_RDCH : integer := 1024;
C_WR_DEPTH_AXIS : integer := 1024;
C_WR_PNTR_WIDTH_WACH : integer := 4;
C_WR_PNTR_WIDTH_WDCH : integer := 10;
C_WR_PNTR_WIDTH_WRCH : integer := 4;
C_WR_PNTR_WIDTH_RACH : integer := 4;
C_WR_PNTR_WIDTH_RDCH : integer := 10;
C_WR_PNTR_WIDTH_AXIS : integer := 10;
C_HAS_DATA_COUNTS_WACH : integer := 0;
C_HAS_DATA_COUNTS_WDCH : integer := 0;
C_HAS_DATA_COUNTS_WRCH : integer := 0;
C_HAS_DATA_COUNTS_RACH : integer := 0;
C_HAS_DATA_COUNTS_RDCH : integer := 0;
C_HAS_DATA_COUNTS_AXIS : integer := 0;
C_HAS_PROG_FLAGS_WACH : integer := 0;
C_HAS_PROG_FLAGS_WDCH : integer := 0;
C_HAS_PROG_FLAGS_WRCH : integer := 0;
C_HAS_PROG_FLAGS_RACH : integer := 0;
C_HAS_PROG_FLAGS_RDCH : integer := 0;
C_HAS_PROG_FLAGS_AXIS : integer := 0;
-- 0: No Programmable FULL
-- 1: Single Programmable FULL Threshold Constant
-- 3: Single Programmable FULL Threshold Input Port
C_PROG_FULL_TYPE_WACH : integer := 5;
C_PROG_FULL_TYPE_WDCH : integer := 5;
C_PROG_FULL_TYPE_WRCH : integer := 5;
C_PROG_FULL_TYPE_RACH : integer := 5;
C_PROG_FULL_TYPE_RDCH : integer := 5;
C_PROG_FULL_TYPE_AXIS : integer := 5;
-- Single Programmable FULL Threshold Constant Assert Value
C_PROG_FULL_THRESH_ASSERT_VAL_WACH : integer := 1023;
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : integer := 1023;
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : integer := 1023;
C_PROG_FULL_THRESH_ASSERT_VAL_RACH : integer := 1023;
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : integer := 1023;
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : integer := 1023;
-- 0: No Programmable EMPTY
-- 1: Single Programmable EMPTY Threshold Constant
-- 3: Single Programmable EMPTY Threshold Input Port
C_PROG_EMPTY_TYPE_WACH : integer := 5;
C_PROG_EMPTY_TYPE_WDCH : integer := 5;
C_PROG_EMPTY_TYPE_WRCH : integer := 5;
C_PROG_EMPTY_TYPE_RACH : integer := 5;
C_PROG_EMPTY_TYPE_RDCH : integer := 5;
C_PROG_EMPTY_TYPE_AXIS : integer := 5;
-- Single Programmable EMPTY Threshold Constant Assert Value
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : integer := 1022;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : integer := 1022;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : integer := 1022;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : integer := 1022;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : integer := 1022;
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : integer := 1022;
C_REG_SLICE_MODE_WACH : integer := 0;
C_REG_SLICE_MODE_WDCH : integer := 0;
C_REG_SLICE_MODE_WRCH : integer := 0;
C_REG_SLICE_MODE_RACH : integer := 0;
C_REG_SLICE_MODE_RDCH : integer := 0;
C_REG_SLICE_MODE_AXIS : integer := 0
);
PORT(
------------------------------------------------------------------------------
-- Input and Output Declarations
------------------------------------------------------------------------------
-- Conventional FIFO Interface Signals
BACKUP : IN std_logic := '0';
BACKUP_MARKER : IN std_logic := '0';
CLK : IN std_logic := '0';
RST : IN std_logic := '0';
SRST : IN std_logic := '0';
WR_CLK : IN std_logic := '0';
WR_RST : IN std_logic := '0';
RD_CLK : IN std_logic := '0';
RD_RST : IN std_logic := '0';
DIN : IN std_logic_vector(C_DIN_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
WR_EN : IN std_logic := '0';
RD_EN : IN std_logic := '0';
-- Optional inputs
PROG_EMPTY_THRESH : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
PROG_EMPTY_THRESH_ASSERT : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
PROG_EMPTY_THRESH_NEGATE : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
PROG_FULL_THRESH : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
PROG_FULL_THRESH_ASSERT : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
PROG_FULL_THRESH_NEGATE : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
INT_CLK : IN std_logic := '0';
INJECTDBITERR : IN std_logic := '0';
INJECTSBITERR : IN std_logic := '0';
DOUT : OUT std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
FULL : OUT std_logic := '0';
ALMOST_FULL : OUT std_logic := '0';
WR_ACK : OUT std_logic := '0';
OVERFLOW : OUT std_logic := '0';
EMPTY : OUT std_logic := '1';
ALMOST_EMPTY : OUT std_logic := '1';
VALID : OUT std_logic := '0';
UNDERFLOW : OUT std_logic := '0';
DATA_COUNT : OUT std_logic_vector(C_DATA_COUNT_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
RD_DATA_COUNT : OUT std_logic_vector(C_RD_DATA_COUNT_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
WR_DATA_COUNT : OUT std_logic_vector(C_WR_DATA_COUNT_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
PROG_FULL : OUT std_logic := '0';
PROG_EMPTY : OUT std_logic := '1';
SBITERR : OUT std_logic := '0';
DBITERR : OUT std_logic := '0';
WR_RST_BUSY : OUT std_logic := '0';
RD_RST_BUSY : OUT std_logic := '0';
-- AXI Global Signal
M_ACLK : IN std_logic := '0';
S_ACLK : IN std_logic := '0';
S_ARESETN : IN std_logic := '1'; -- Active low reset, default value set to 1
M_ACLK_EN : IN std_logic := '0';
S_ACLK_EN : IN std_logic := '0';
-- AXI Full/Lite Slave Write Channel (write side)
S_AXI_AWID : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_AWADDR : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_AWLEN : IN std_logic_vector(C_AXI_LEN_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_AWSIZE : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_AWBURST : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_AWLOCK : IN std_logic_vector(C_AXI_LOCK_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_AWCACHE : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_AWPROT : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_AWQOS : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_AWREGION : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_AWUSER : IN std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_AWVALID : IN std_logic := '0';
S_AXI_AWREADY : OUT std_logic := '0';
S_AXI_WID : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_WDATA : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_WSTRB : IN std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_WLAST : IN std_logic := '0';
S_AXI_WUSER : IN std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_WVALID : IN std_logic := '0';
S_AXI_WREADY : OUT std_logic := '0';
S_AXI_BID : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_BRESP : OUT std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_BUSER : OUT std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_BVALID : OUT std_logic := '0';
S_AXI_BREADY : IN std_logic := '0';
-- AXI Full/Lite Master Write Channel (Read side)
M_AXI_AWID : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
M_AXI_AWADDR : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
M_AXI_AWLEN : OUT std_logic_vector(C_AXI_LEN_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
M_AXI_AWSIZE : OUT std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
M_AXI_AWBURST : OUT std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
M_AXI_AWLOCK : OUT std_logic_vector(C_AXI_LOCK_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
M_AXI_AWCACHE : OUT std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
M_AXI_AWPROT : OUT std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
M_AXI_AWQOS : OUT std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
M_AXI_AWREGION : OUT std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
M_AXI_AWUSER : OUT std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
M_AXI_AWVALID : OUT std_logic := '0';
M_AXI_AWREADY : IN std_logic := '0';
M_AXI_WID : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
M_AXI_WDATA : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
M_AXI_WSTRB : OUT std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0');
M_AXI_WLAST : OUT std_logic := '0';
M_AXI_WUSER : OUT std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
M_AXI_WVALID : OUT std_logic := '0';
M_AXI_WREADY : IN std_logic := '0';
M_AXI_BID : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
M_AXI_BRESP : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
M_AXI_BUSER : IN std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
M_AXI_BVALID : IN std_logic := '0';
M_AXI_BREADY : OUT std_logic := '0';
-- AXI Full/Lite Slave Read Channel (Write side)
S_AXI_ARID : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_ARADDR : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_ARLEN : IN std_logic_vector(C_AXI_LEN_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_ARSIZE : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_ARBURST : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_ARLOCK : IN std_logic_vector(C_AXI_LOCK_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_ARCACHE : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_ARPROT : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_ARQOS : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_ARREGION : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_ARUSER : IN std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_ARVALID : IN std_logic := '0';
S_AXI_ARREADY : OUT std_logic := '0';
S_AXI_RID : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_RDATA : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_RRESP : OUT std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_RLAST : OUT std_logic := '0';
S_AXI_RUSER : OUT std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_RVALID : OUT std_logic := '0';
S_AXI_RREADY : IN std_logic := '0';
-- AXI Full/Lite Master Read Channel (Read side)
M_AXI_ARID : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
M_AXI_ARADDR : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
M_AXI_ARLEN : OUT std_logic_vector(C_AXI_LEN_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
M_AXI_ARSIZE : OUT std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
M_AXI_ARBURST : OUT std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
M_AXI_ARLOCK : OUT std_logic_vector(C_AXI_LOCK_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
M_AXI_ARCACHE : OUT std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
M_AXI_ARPROT : OUT std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
M_AXI_ARQOS : OUT std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
M_AXI_ARREGION : OUT std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
M_AXI_ARUSER : OUT std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
M_AXI_ARVALID : OUT std_logic := '0';
M_AXI_ARREADY : IN std_logic := '0';
M_AXI_RID : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
M_AXI_RDATA : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
M_AXI_RRESP : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
M_AXI_RLAST : IN std_logic := '0';
M_AXI_RUSER : IN std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
M_AXI_RVALID : IN std_logic := '0';
M_AXI_RREADY : OUT std_logic := '0';
-- AXI Streaming Slave Signals (Write side)
S_AXIS_TVALID : IN std_logic := '0';
S_AXIS_TREADY : OUT std_logic := '0';
S_AXIS_TDATA : IN std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXIS_TSTRB : IN std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXIS_TKEEP : IN std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXIS_TLAST : IN std_logic := '0';
S_AXIS_TID : IN std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXIS_TDEST : IN std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXIS_TUSER : IN std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
-- AXI Streaming Master Signals (Read side)
M_AXIS_TVALID : OUT std_logic := '0';
M_AXIS_TREADY : IN std_logic := '0';
M_AXIS_TDATA : OUT std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
M_AXIS_TSTRB : OUT std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
M_AXIS_TKEEP : OUT std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
M_AXIS_TLAST : OUT std_logic := '0';
M_AXIS_TID : OUT std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
M_AXIS_TDEST : OUT std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
M_AXIS_TUSER : OUT std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
-- AXI Full/Lite Write Address Channel Signals
AXI_AW_INJECTSBITERR : IN std_logic := '0';
AXI_AW_INJECTDBITERR : IN std_logic := '0';
AXI_AW_PROG_FULL_THRESH : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0');
AXI_AW_PROG_EMPTY_THRESH : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0');
AXI_AW_DATA_COUNT : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0) := (OTHERS => '0');
AXI_AW_WR_DATA_COUNT : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0) := (OTHERS => '0');
AXI_AW_RD_DATA_COUNT : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0) := (OTHERS => '0');
AXI_AW_SBITERR : OUT std_logic := '0';
AXI_AW_DBITERR : OUT std_logic := '0';
AXI_AW_OVERFLOW : OUT std_logic := '0';
AXI_AW_UNDERFLOW : OUT std_logic := '0';
AXI_AW_PROG_FULL : OUT STD_LOGIC := '0';
AXI_AW_PROG_EMPTY : OUT STD_LOGIC := '1';
-- AXI Full/Lite Write Data Channel Signals
AXI_W_INJECTSBITERR : IN std_logic := '0';
AXI_W_INJECTDBITERR : IN std_logic := '0';
AXI_W_PROG_FULL_THRESH : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0');
AXI_W_PROG_EMPTY_THRESH : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0');
AXI_W_DATA_COUNT : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0) := (OTHERS => '0');
AXI_W_WR_DATA_COUNT : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0) := (OTHERS => '0');
AXI_W_RD_DATA_COUNT : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0) := (OTHERS => '0');
AXI_W_SBITERR : OUT std_logic := '0';
AXI_W_DBITERR : OUT std_logic := '0';
AXI_W_OVERFLOW : OUT std_logic := '0';
AXI_W_UNDERFLOW : OUT std_logic := '0';
AXI_W_PROG_FULL : OUT STD_LOGIC := '0';
AXI_W_PROG_EMPTY : OUT STD_LOGIC := '1';
-- AXI Full/Lite Write Response Channel Signals
AXI_B_INJECTSBITERR : IN std_logic := '0';
AXI_B_INJECTDBITERR : IN std_logic := '0';
AXI_B_PROG_FULL_THRESH : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0');
AXI_B_PROG_EMPTY_THRESH : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0');
AXI_B_DATA_COUNT : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0) := (OTHERS => '0');
AXI_B_WR_DATA_COUNT : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0) := (OTHERS => '0');
AXI_B_RD_DATA_COUNT : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0) := (OTHERS => '0');
AXI_B_SBITERR : OUT std_logic := '0';
AXI_B_DBITERR : OUT std_logic := '0';
AXI_B_OVERFLOW : OUT std_logic := '0';
AXI_B_UNDERFLOW : OUT std_logic := '0';
AXI_B_PROG_FULL : OUT STD_LOGIC := '0';
AXI_B_PROG_EMPTY : OUT STD_LOGIC := '1';
-- AXI Full/Lite Read Address Channel Signals
AXI_AR_INJECTSBITERR : IN std_logic := '0';
AXI_AR_INJECTDBITERR : IN std_logic := '0';
AXI_AR_PROG_FULL_THRESH : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0');
AXI_AR_PROG_EMPTY_THRESH : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0');
AXI_AR_DATA_COUNT : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0) := (OTHERS => '0');
AXI_AR_WR_DATA_COUNT : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0) := (OTHERS => '0');
AXI_AR_RD_DATA_COUNT : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0) := (OTHERS => '0');
AXI_AR_SBITERR : OUT std_logic := '0';
AXI_AR_DBITERR : OUT std_logic := '0';
AXI_AR_OVERFLOW : OUT std_logic := '0';
AXI_AR_UNDERFLOW : OUT std_logic := '0';
AXI_AR_PROG_FULL : OUT STD_LOGIC := '0';
AXI_AR_PROG_EMPTY : OUT STD_LOGIC := '1';
-- AXI Full/Lite Read Data Channel Signals
AXI_R_INJECTSBITERR : IN std_logic := '0';
AXI_R_INJECTDBITERR : IN std_logic := '0';
AXI_R_PROG_FULL_THRESH : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0');
AXI_R_PROG_EMPTY_THRESH : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0');
AXI_R_DATA_COUNT : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0) := (OTHERS => '0');
AXI_R_WR_DATA_COUNT : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0) := (OTHERS => '0');
AXI_R_RD_DATA_COUNT : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0) := (OTHERS => '0');
AXI_R_SBITERR : OUT std_logic := '0';
AXI_R_DBITERR : OUT std_logic := '0';
AXI_R_OVERFLOW : OUT std_logic := '0';
AXI_R_UNDERFLOW : OUT std_logic := '0';
AXI_R_PROG_FULL : OUT STD_LOGIC := '0';
AXI_R_PROG_EMPTY : OUT STD_LOGIC := '1';
-- AXI Streaming FIFO Related Signals
AXIS_INJECTSBITERR : IN std_logic := '0';
AXIS_INJECTDBITERR : IN std_logic := '0';
AXIS_PROG_FULL_THRESH : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0');
AXIS_PROG_EMPTY_THRESH : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0');
AXIS_DATA_COUNT : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0) := (OTHERS => '0');
AXIS_WR_DATA_COUNT : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0) := (OTHERS => '0');
AXIS_RD_DATA_COUNT : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0) := (OTHERS => '0');
AXIS_SBITERR : OUT std_logic := '0';
AXIS_DBITERR : OUT std_logic := '0';
AXIS_OVERFLOW : OUT std_logic := '0';
AXIS_UNDERFLOW : OUT std_logic := '0';
AXIS_PROG_FULL : OUT STD_LOGIC := '0';
AXIS_PROG_EMPTY : OUT STD_LOGIC := '1'
);
END fifo_generator_v11_0;
ARCHITECTURE behavioral OF fifo_generator_v11_0 IS
COMPONENT fifo_generator_v11_0_conv IS
GENERIC (
---------------------------------------------------------------------------
-- Generic Declarations
---------------------------------------------------------------------------
C_COMMON_CLOCK : integer := 0;
C_COUNT_TYPE : integer := 0; --not used
C_DATA_COUNT_WIDTH : integer := 2;
C_DEFAULT_VALUE : string := ""; --not used
C_DIN_WIDTH : integer := 8;
C_DOUT_RST_VAL : string := "";
C_DOUT_WIDTH : integer := 8;
C_ENABLE_RLOCS : integer := 0; --not used
C_FAMILY : string := ""; --not used in bhv model
C_FULL_FLAGS_RST_VAL : integer := 0;
C_HAS_ALMOST_EMPTY : integer := 0;
C_HAS_ALMOST_FULL : integer := 0;
C_HAS_BACKUP : integer := 0; --not used
C_HAS_DATA_COUNT : integer := 0;
C_HAS_INT_CLK : integer := 0; --not used in bhv model
C_HAS_MEMINIT_FILE : integer := 0; --not used
C_HAS_OVERFLOW : integer := 0;
C_HAS_RD_DATA_COUNT : integer := 0;
C_HAS_RD_RST : integer := 0; --not used
C_HAS_RST : integer := 1;
C_HAS_SRST : integer := 0;
C_HAS_UNDERFLOW : integer := 0;
C_HAS_VALID : integer := 0;
C_HAS_WR_ACK : integer := 0;
C_HAS_WR_DATA_COUNT : integer := 0;
C_HAS_WR_RST : integer := 0; --not used
C_IMPLEMENTATION_TYPE : integer := 0;
C_INIT_WR_PNTR_VAL : integer := 0; --not used
C_MEMORY_TYPE : integer := 1;
C_MIF_FILE_NAME : string := ""; --not used
C_OPTIMIZATION_MODE : integer := 0; --not used
C_OVERFLOW_LOW : integer := 0;
C_PRELOAD_LATENCY : integer := 1;
C_PRELOAD_REGS : integer := 0;
C_PRIM_FIFO_TYPE : string := "4kx4"; --not used in bhv model
C_PROG_EMPTY_THRESH_ASSERT_VAL: integer := 0;
C_PROG_EMPTY_THRESH_NEGATE_VAL: integer := 0;
C_PROG_EMPTY_TYPE : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL : integer := 0;
C_PROG_FULL_THRESH_NEGATE_VAL : integer := 0;
C_PROG_FULL_TYPE : integer := 0;
C_RD_DATA_COUNT_WIDTH : integer := 2;
C_RD_DEPTH : integer := 256;
C_RD_FREQ : integer := 1; --not used in bhv model
C_RD_PNTR_WIDTH : integer := 8;
C_UNDERFLOW_LOW : integer := 0;
C_USE_DOUT_RST : integer := 0;
C_USE_ECC : integer := 0;
C_USE_EMBEDDED_REG : integer := 0;
C_USE_FIFO16_FLAGS : integer := 0; --not used in bhv model
C_USE_FWFT_DATA_COUNT : integer := 0;
C_VALID_LOW : integer := 0;
C_WR_ACK_LOW : integer := 0;
C_WR_DATA_COUNT_WIDTH : integer := 2;
C_WR_DEPTH : integer := 256;
C_WR_FREQ : integer := 1; --not used in bhv model
C_WR_PNTR_WIDTH : integer := 8;
C_WR_RESPONSE_LATENCY : integer := 1; --not used
C_MSGON_VAL : integer := 1; --not used in bhv model
C_ENABLE_RST_SYNC : integer := 1;
C_ERROR_INJECTION_TYPE : integer := 0;
C_FIFO_TYPE : integer := 0;
C_SYNCHRONIZER_STAGE : integer := 2;
C_AXI_TYPE : integer := 0
);
PORT(
--------------------------------------------------------------------------------
-- Input and Output Declarations
--------------------------------------------------------------------------------
BACKUP : IN std_logic := '0';
BACKUP_MARKER : IN std_logic := '0';
CLK : IN std_logic := '0';
RST : IN std_logic := '0';
SRST : IN std_logic := '0';
WR_CLK : IN std_logic := '0';
WR_RST : IN std_logic := '0';
RD_CLK : IN std_logic := '0';
RD_RST : IN std_logic := '0';
DIN : IN std_logic_vector(C_DIN_WIDTH-1 DOWNTO 0); --
WR_EN : IN std_logic; --Mandatory input
RD_EN : IN std_logic; --Mandatory input
--Mandatory input
PROG_EMPTY_THRESH : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
PROG_EMPTY_THRESH_ASSERT : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
PROG_EMPTY_THRESH_NEGATE : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
PROG_FULL_THRESH : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
PROG_FULL_THRESH_ASSERT : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
PROG_FULL_THRESH_NEGATE : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
INT_CLK : IN std_logic := '0';
INJECTDBITERR : IN std_logic := '0';
INJECTSBITERR : IN std_logic := '0';
DOUT : OUT std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0);
FULL : OUT std_logic;
ALMOST_FULL : OUT std_logic;
WR_ACK : OUT std_logic;
OVERFLOW : OUT std_logic;
EMPTY : OUT std_logic;
ALMOST_EMPTY : OUT std_logic;
VALID : OUT std_logic;
UNDERFLOW : OUT std_logic;
DATA_COUNT : OUT std_logic_vector(C_DATA_COUNT_WIDTH-1 DOWNTO 0);
RD_DATA_COUNT : OUT std_logic_vector(C_RD_DATA_COUNT_WIDTH-1 DOWNTO 0);
WR_DATA_COUNT : OUT std_logic_vector(C_WR_DATA_COUNT_WIDTH-1 DOWNTO 0);
PROG_FULL : OUT std_logic;
PROG_EMPTY : OUT std_logic;
SBITERR : OUT std_logic := '0';
DBITERR : OUT std_logic := '0';
WR_RST_BUSY : OUT std_logic := '0';
RD_RST_BUSY : OUT std_logic := '0'
);
END COMPONENT;
COMPONENT fifo_generator_v11_0_axic_reg_slice IS
GENERIC (
C_FAMILY : string := "";
C_DATA_WIDTH : integer := 32;
C_REG_CONFIG : integer := 0
);
PORT (
-- System Signals
ACLK : IN STD_LOGIC;
ARESET : IN STD_LOGIC;
-- Slave side
S_PAYLOAD_DATA : IN STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0);
S_VALID : IN STD_LOGIC;
S_READY : OUT STD_LOGIC := '0';
-- Master side
M_PAYLOAD_DATA : OUT STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
M_VALID : OUT STD_LOGIC := '0';
M_READY : IN STD_LOGIC
);
END COMPONENT;
-- CONSTANT C_AXI_LEN_WIDTH : integer := 8;
CONSTANT C_AXI_SIZE_WIDTH : integer := 3;
CONSTANT C_AXI_BURST_WIDTH : integer := 2;
-- CONSTANT C_AXI_LOCK_WIDTH : integer := 2;
CONSTANT C_AXI_CACHE_WIDTH : integer := 4;
CONSTANT C_AXI_PROT_WIDTH : integer := 3;
CONSTANT C_AXI_QOS_WIDTH : integer := 4;
CONSTANT C_AXI_REGION_WIDTH : integer := 4;
CONSTANT C_AXI_BRESP_WIDTH : integer := 2;
CONSTANT C_AXI_RRESP_WIDTH : integer := 2;
CONSTANT TFF : time := 100 ps;
-----------------------------------------------------------------------------
-- FUNCTION if_then_else
-- Returns a true case or flase case based on the condition
-------------------------------------------------------------------------------
FUNCTION if_then_else (
condition : boolean;
true_case : integer;
false_case : integer)
RETURN integer IS
VARIABLE retval : integer := 0;
BEGIN
IF NOT condition THEN
retval:=false_case;
ELSE
retval:=true_case;
END IF;
RETURN retval;
END if_then_else;
------------------------------------------------------------------------------
-- This function is used to implement an IF..THEN when such a statement is not
-- allowed and returns string.
------------------------------------------------------------------------------
FUNCTION if_then_else (
condition : boolean;
true_case : string;
false_case : string)
RETURN string IS
BEGIN
IF NOT condition THEN
RETURN false_case;
ELSE
RETURN true_case;
END IF;
END if_then_else;
--------------------------------------------------------
-- FUNCION : map_ready_valid
-- Returns the READY signal that is mapped out of FULL or ALMOST_FULL or PROG_FULL
-- Returns the VALID signal that is mapped out of EMPTY or ALMOST_EMPTY or PROG_EMPTY
--------------------------------------------------------
FUNCTION map_ready_valid(
pf_pe_type : integer;
full_empty : std_logic;
af_ae : std_logic;
pf_pe : std_logic)
RETURN std_logic IS
BEGIN
IF (pf_pe_type = 5) THEN
RETURN NOT full_empty;
ELSIF (pf_pe_type = 6) THEN
RETURN NOT af_ae;
ELSE
RETURN NOT pf_pe;
END IF;
END map_ready_valid;
SIGNAL inverted_reset : std_logic := '0';
SIGNAL axi_rs_rst : std_logic := '0';
CONSTANT IS_V8 : INTEGER := if_then_else((C_FAMILY = "virtex8"),1,0);
CONSTANT IS_K8 : INTEGER := if_then_else((C_FAMILY = "kintex8"),1,0);
CONSTANT IS_8SERIES : INTEGER := if_then_else((IS_V8 = 1 OR IS_K8 = 1),1,0);
BEGIN
inverted_reset <= NOT S_ARESETN;
gaxi_rs_rst: IF (C_INTERFACE_TYPE > 0 AND (C_AXIS_TYPE = 1 OR C_WACH_TYPE = 1 OR
C_WDCH_TYPE = 1 OR C_WRCH_TYPE = 1 OR C_RACH_TYPE = 1 OR C_RDCH_TYPE = 1)) GENERATE
SIGNAL rst_d1 : STD_LOGIC := '1';
SIGNAL rst_d2 : STD_LOGIC := '1';
BEGIN
prst: PROCESS (inverted_reset, S_ACLK)
BEGIN
IF (inverted_reset = '1') THEN
rst_d1 <= '1';
rst_d2 <= '1';
ELSIF (S_ACLK'event AND S_ACLK = '1') THEN
rst_d1 <= '0' AFTER TFF;
rst_d2 <= rst_d1 AFTER TFF;
END IF;
END PROCESS prst;
axi_rs_rst <= rst_d2;
END GENERATE gaxi_rs_rst;
---------------------------------------------------------------------------
-- Top level instance for Conventional FIFO.
---------------------------------------------------------------------------
gconvfifo: IF (C_INTERFACE_TYPE = 0) GENERATE
inst_conv_fifo: fifo_generator_v11_0_conv
GENERIC map(
C_COMMON_CLOCK => C_COMMON_CLOCK,
C_COUNT_TYPE => C_COUNT_TYPE,
C_DATA_COUNT_WIDTH => C_DATA_COUNT_WIDTH,
C_DEFAULT_VALUE => C_DEFAULT_VALUE,
C_DIN_WIDTH => C_DIN_WIDTH,
C_DOUT_RST_VAL => if_then_else(C_USE_DOUT_RST = 1, C_DOUT_RST_VAL, "0"),
C_DOUT_WIDTH => C_DOUT_WIDTH,
C_ENABLE_RLOCS => C_ENABLE_RLOCS,
C_FAMILY => C_FAMILY,
C_FULL_FLAGS_RST_VAL => C_FULL_FLAGS_RST_VAL,
C_HAS_ALMOST_EMPTY => C_HAS_ALMOST_EMPTY,
C_HAS_ALMOST_FULL => C_HAS_ALMOST_FULL,
C_HAS_BACKUP => C_HAS_BACKUP,
C_HAS_DATA_COUNT => C_HAS_DATA_COUNT,
C_HAS_INT_CLK => C_HAS_INT_CLK,
C_HAS_MEMINIT_FILE => C_HAS_MEMINIT_FILE,
C_HAS_OVERFLOW => C_HAS_OVERFLOW,
C_HAS_RD_DATA_COUNT => C_HAS_RD_DATA_COUNT,
C_HAS_RD_RST => C_HAS_RD_RST,
C_HAS_RST => C_HAS_RST,
C_HAS_SRST => C_HAS_SRST,
C_HAS_UNDERFLOW => C_HAS_UNDERFLOW,
C_HAS_VALID => C_HAS_VALID,
C_HAS_WR_ACK => C_HAS_WR_ACK,
C_HAS_WR_DATA_COUNT => C_HAS_WR_DATA_COUNT,
C_HAS_WR_RST => C_HAS_WR_RST,
C_IMPLEMENTATION_TYPE => C_IMPLEMENTATION_TYPE,
C_INIT_WR_PNTR_VAL => C_INIT_WR_PNTR_VAL,
C_MEMORY_TYPE => C_MEMORY_TYPE,
C_MIF_FILE_NAME => C_MIF_FILE_NAME,
C_OPTIMIZATION_MODE => C_OPTIMIZATION_MODE,
C_OVERFLOW_LOW => C_OVERFLOW_LOW,
C_PRELOAD_LATENCY => C_PRELOAD_LATENCY,
C_PRELOAD_REGS => C_PRELOAD_REGS,
C_PRIM_FIFO_TYPE => C_PRIM_FIFO_TYPE,
C_PROG_EMPTY_THRESH_ASSERT_VAL => C_PROG_EMPTY_THRESH_ASSERT_VAL,
C_PROG_EMPTY_THRESH_NEGATE_VAL => C_PROG_EMPTY_THRESH_NEGATE_VAL,
C_PROG_EMPTY_TYPE => C_PROG_EMPTY_TYPE,
C_PROG_FULL_THRESH_ASSERT_VAL => C_PROG_FULL_THRESH_ASSERT_VAL,
C_PROG_FULL_THRESH_NEGATE_VAL => C_PROG_FULL_THRESH_NEGATE_VAL,
C_PROG_FULL_TYPE => C_PROG_FULL_TYPE,
C_RD_DATA_COUNT_WIDTH => C_RD_DATA_COUNT_WIDTH,
C_RD_DEPTH => C_RD_DEPTH,
C_RD_FREQ => C_RD_FREQ,
C_RD_PNTR_WIDTH => C_RD_PNTR_WIDTH,
C_UNDERFLOW_LOW => C_UNDERFLOW_LOW,
C_USE_DOUT_RST => C_USE_DOUT_RST,
C_USE_ECC => C_USE_ECC,
C_USE_EMBEDDED_REG => C_USE_EMBEDDED_REG,
C_USE_FIFO16_FLAGS => C_USE_FIFO16_FLAGS,
C_USE_FWFT_DATA_COUNT => C_USE_FWFT_DATA_COUNT,
C_VALID_LOW => C_VALID_LOW,
C_WR_ACK_LOW => C_WR_ACK_LOW,
C_WR_DATA_COUNT_WIDTH => C_WR_DATA_COUNT_WIDTH,
C_WR_DEPTH => C_WR_DEPTH,
C_WR_FREQ => C_WR_FREQ,
C_WR_PNTR_WIDTH => C_WR_PNTR_WIDTH,
C_WR_RESPONSE_LATENCY => C_WR_RESPONSE_LATENCY,
C_MSGON_VAL => C_MSGON_VAL,
C_ENABLE_RST_SYNC => C_ENABLE_RST_SYNC,
C_ERROR_INJECTION_TYPE => C_ERROR_INJECTION_TYPE,
C_AXI_TYPE => C_AXI_TYPE,
C_SYNCHRONIZER_STAGE => C_SYNCHRONIZER_STAGE
)
PORT MAP(
--Inputs
BACKUP => BACKUP,
BACKUP_MARKER => BACKUP_MARKER,
CLK => CLK,
RST => RST,
SRST => SRST,
WR_CLK => WR_CLK,
WR_RST => WR_RST,
RD_CLK => RD_CLK,
RD_RST => RD_RST,
DIN => DIN,
WR_EN => WR_EN,
RD_EN => RD_EN,
PROG_EMPTY_THRESH => PROG_EMPTY_THRESH,
PROG_EMPTY_THRESH_ASSERT => PROG_EMPTY_THRESH_ASSERT,
PROG_EMPTY_THRESH_NEGATE => PROG_EMPTY_THRESH_NEGATE,
PROG_FULL_THRESH => PROG_FULL_THRESH,
PROG_FULL_THRESH_ASSERT => PROG_FULL_THRESH_ASSERT,
PROG_FULL_THRESH_NEGATE => PROG_FULL_THRESH_NEGATE,
INT_CLK => INT_CLK,
INJECTDBITERR => INJECTDBITERR,
INJECTSBITERR => INJECTSBITERR,
--Outputs
DOUT => DOUT,
FULL => FULL,
ALMOST_FULL => ALMOST_FULL,
WR_ACK => WR_ACK,
OVERFLOW => OVERFLOW,
EMPTY => EMPTY,
ALMOST_EMPTY => ALMOST_EMPTY,
VALID => VALID,
UNDERFLOW => UNDERFLOW,
DATA_COUNT => DATA_COUNT,
RD_DATA_COUNT => RD_DATA_COUNT,
WR_DATA_COUNT => WR_DATA_COUNT,
PROG_FULL => PROG_FULL,
PROG_EMPTY => PROG_EMPTY,
SBITERR => SBITERR,
DBITERR => DBITERR,
WR_RST_BUSY => WR_RST_BUSY,
RD_RST_BUSY => RD_RST_BUSY
);
END GENERATE gconvfifo; -- End of conventional FIFO
---------------------------------------------------------------------------
---------------------------------------------------------------------------
---------------------------------------------------------------------------
-- Top level instance for ramfifo in AXI Streaming FIFO core. It implements:
-- * BRAM based FIFO
-- * Dist RAM based FIFO
---------------------------------------------------------------------------
---------------------------------------------------------------------------
---------------------------------------------------------------------------
gaxis_fifo: IF ((C_INTERFACE_TYPE = 1) AND (C_AXIS_TYPE < 2)) GENERATE
SIGNAL axis_din : std_logic_vector(C_DIN_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL axis_dout : std_logic_vector(C_DIN_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL axis_full : std_logic := '0';
SIGNAL axis_almost_full : std_logic := '0';
SIGNAL axis_empty : std_logic := '0';
SIGNAL axis_s_axis_tready : std_logic := '0';
SIGNAL axis_m_axis_tvalid : std_logic := '0';
SIGNAL axis_wr_en : std_logic := '0';
SIGNAL axis_rd_en : std_logic := '0';
SIGNAL axis_dc : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH_AXIS DOWNTO 0) := (OTHERS => '0');
SIGNAL axis_pkt_read : STD_LOGIC := '0';
SIGNAL wr_rst_busy_axis : STD_LOGIC := '0';
SIGNAL rd_rst_busy_axis : STD_LOGIC := '0';
CONSTANT TDATA_OFFSET : integer := if_then_else(C_HAS_AXIS_TDATA = 1,C_DIN_WIDTH_AXIS-C_AXIS_TDATA_WIDTH,C_DIN_WIDTH_AXIS);
CONSTANT TSTRB_OFFSET : integer := if_then_else(C_HAS_AXIS_TSTRB = 1,TDATA_OFFSET-C_AXIS_TSTRB_WIDTH,TDATA_OFFSET);
CONSTANT TKEEP_OFFSET : integer := if_then_else(C_HAS_AXIS_TKEEP = 1,TSTRB_OFFSET-C_AXIS_TKEEP_WIDTH,TSTRB_OFFSET);
CONSTANT TID_OFFSET : integer := if_then_else(C_HAS_AXIS_TID = 1,TKEEP_OFFSET-C_AXIS_TID_WIDTH,TKEEP_OFFSET);
CONSTANT TDEST_OFFSET : integer := if_then_else(C_HAS_AXIS_TDEST = 1,TID_OFFSET-C_AXIS_TDEST_WIDTH,TID_OFFSET);
CONSTANT TUSER_OFFSET : integer := if_then_else(C_HAS_AXIS_TUSER = 1,TDEST_OFFSET-C_AXIS_TUSER_WIDTH,TDEST_OFFSET);
BEGIN
-- Generate the DIN to FIFO by concatinating the AXIS optional ports
gdin1: IF (C_HAS_AXIS_TDATA = 1) GENERATE
axis_din(C_DIN_WIDTH_AXIS-1 DOWNTO TDATA_OFFSET) <= S_AXIS_TDATA;
M_AXIS_TDATA <= axis_dout(C_DIN_WIDTH_AXIS-1 DOWNTO TDATA_OFFSET);
END GENERATE gdin1;
gdin2: IF (C_HAS_AXIS_TSTRB = 1) GENERATE
axis_din(TDATA_OFFSET-1 DOWNTO TSTRB_OFFSET) <= S_AXIS_TSTRB;
M_AXIS_TSTRB <= axis_dout(TDATA_OFFSET-1 DOWNTO TSTRB_OFFSET);
END GENERATE gdin2;
gdin3: IF (C_HAS_AXIS_TKEEP = 1) GENERATE
axis_din(TSTRB_OFFSET-1 DOWNTO TKEEP_OFFSET) <= S_AXIS_TKEEP;
M_AXIS_TKEEP <= axis_dout(TSTRB_OFFSET-1 DOWNTO TKEEP_OFFSET);
END GENERATE gdin3;
gdin4: IF (C_HAS_AXIS_TID = 1) GENERATE
axis_din(TKEEP_OFFSET-1 DOWNTO TID_OFFSET) <= S_AXIS_TID;
M_AXIS_TID <= axis_dout(TKEEP_OFFSET-1 DOWNTO TID_OFFSET);
END GENERATE gdin4;
gdin5: IF (C_HAS_AXIS_TDEST = 1) GENERATE
axis_din(TID_OFFSET-1 DOWNTO TDEST_OFFSET) <= S_AXIS_TDEST;
M_AXIS_TDEST <= axis_dout(TID_OFFSET-1 DOWNTO TDEST_OFFSET);
END GENERATE gdin5;
gdin6: IF (C_HAS_AXIS_TUSER = 1) GENERATE
axis_din(TDEST_OFFSET-1 DOWNTO TUSER_OFFSET) <= S_AXIS_TUSER;
M_AXIS_TUSER <= axis_dout(TDEST_OFFSET-1 DOWNTO TUSER_OFFSET);
END GENERATE gdin6;
gdin7: IF (C_HAS_AXIS_TLAST = 1) GENERATE
axis_din(0) <= S_AXIS_TLAST;
M_AXIS_TLAST <= axis_dout(0);
END GENERATE gdin7;
-- Write protection
-- When FULL is high, pass VALID as a WR_EN to the FIFO to get OVERFLOW interrupt
gaxis_wr_en1: IF (C_PROG_FULL_TYPE_AXIS = 0) GENERATE
gwe_pkt: IF (C_APPLICATION_TYPE_AXIS = 1) GENERATE
axis_wr_en <= S_AXIS_TVALID AND axis_s_axis_tready;
END GENERATE gwe_pkt;
gwe: IF (C_APPLICATION_TYPE_AXIS /= 1) GENERATE
axis_wr_en <= S_AXIS_TVALID;
END GENERATE gwe;
END GENERATE gaxis_wr_en1;
-- When ALMOST_FULL or PROG_FULL is high, then shield the FIFO from becoming FULL
gaxis_wr_en2: IF (C_PROG_FULL_TYPE_AXIS /= 0) GENERATE
axis_wr_en <= axis_s_axis_tready AND S_AXIS_TVALID;
END GENERATE gaxis_wr_en2;
-- Read protection
-- When EMPTY is low, pass READY as a RD_EN to the FIFO to get UNDERFLOW interrupt
gaxis_rd_en1: IF (C_PROG_EMPTY_TYPE_AXIS = 0) GENERATE
gre_pkt: IF (C_APPLICATION_TYPE_AXIS = 1) GENERATE
axis_rd_en <= M_AXIS_TREADY AND axis_m_axis_tvalid;
END GENERATE gre_pkt;
gre_npkt: IF (C_APPLICATION_TYPE_AXIS /= 1) GENERATE
axis_rd_en <= M_AXIS_TREADY;
END GENERATE gre_npkt;
END GENERATE gaxis_rd_en1;
-- When ALMOST_EMPTY or PROG_EMPTY is low, then shield the FIFO from becoming EMPTY
gaxis_rd_en2: IF (C_PROG_EMPTY_TYPE_AXIS /= 0) GENERATE
axis_rd_en <= axis_m_axis_tvalid AND M_AXIS_TREADY;
END GENERATE gaxis_rd_en2;
gaxisf: IF (C_AXIS_TYPE = 0) GENERATE
SIGNAL axis_we : STD_LOGIC := '0';
SIGNAL axis_re : STD_LOGIC := '0';
BEGIN
axis_we <= axis_wr_en WHEN (C_HAS_SLAVE_CE = 0) ELSE axis_wr_en AND S_ACLK_EN;
axis_re <= axis_rd_en WHEN (C_HAS_MASTER_CE = 0) ELSE axis_rd_en AND M_ACLK_EN;
axisf : fifo_generator_v11_0_conv
GENERIC MAP (
C_FAMILY => C_FAMILY,
C_COMMON_CLOCK => C_COMMON_CLOCK,
C_MEMORY_TYPE => if_then_else((C_IMPLEMENTATION_TYPE_AXIS = 1 OR C_IMPLEMENTATION_TYPE_AXIS = 11),1,
if_then_else((C_IMPLEMENTATION_TYPE_AXIS = 2 OR C_IMPLEMENTATION_TYPE_AXIS = 12),2,4)),
C_IMPLEMENTATION_TYPE => if_then_else((C_IMPLEMENTATION_TYPE_AXIS = 1 OR C_IMPLEMENTATION_TYPE_AXIS = 2),0,
if_then_else((C_IMPLEMENTATION_TYPE_AXIS = 11 OR C_IMPLEMENTATION_TYPE_AXIS = 12),2,6)),
C_PRELOAD_REGS => 1, -- Always FWFT for AXI
C_PRELOAD_LATENCY => 0, -- Always FWFT for AXI
C_DIN_WIDTH => C_DIN_WIDTH_AXIS,
C_WR_DEPTH => C_WR_DEPTH_AXIS,
C_WR_PNTR_WIDTH => C_WR_PNTR_WIDTH_AXIS,
C_DOUT_WIDTH => C_DIN_WIDTH_AXIS,
C_RD_DEPTH => C_WR_DEPTH_AXIS,
C_RD_PNTR_WIDTH => C_WR_PNTR_WIDTH_AXIS,
C_PROG_FULL_TYPE => C_PROG_FULL_TYPE_AXIS,
C_PROG_FULL_THRESH_ASSERT_VAL => C_PROG_FULL_THRESH_ASSERT_VAL_AXIS,
C_PROG_EMPTY_TYPE => C_PROG_EMPTY_TYPE_AXIS,
C_PROG_EMPTY_THRESH_ASSERT_VAL => C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS,
C_USE_ECC => C_USE_ECC_AXIS,
C_ERROR_INJECTION_TYPE => C_ERROR_INJECTION_TYPE_AXIS,
C_HAS_ALMOST_EMPTY => 0,
C_HAS_ALMOST_FULL => if_then_else(C_APPLICATION_TYPE_AXIS = 1,1,0),
-- Enable Low Latency Sync FIFO for Common Clock Built-in FIFO
C_FIFO_TYPE => if_then_else(C_APPLICATION_TYPE_AXIS = 1,0,C_APPLICATION_TYPE_AXIS),
C_SYNCHRONIZER_STAGE => C_SYNCHRONIZER_STAGE,
C_AXI_TYPE => if_then_else(C_INTERFACE_TYPE = 1, 0, C_AXI_TYPE),
C_HAS_WR_RST => 0,
C_HAS_RD_RST => 0,
C_HAS_RST => 1,
C_HAS_SRST => 0,
C_DOUT_RST_VAL => "0",
C_HAS_VALID => 0,
C_VALID_LOW => C_VALID_LOW,
C_HAS_UNDERFLOW => C_HAS_UNDERFLOW,
C_UNDERFLOW_LOW => C_UNDERFLOW_LOW,
C_HAS_WR_ACK => 0,
C_WR_ACK_LOW => C_WR_ACK_LOW,
C_HAS_OVERFLOW => C_HAS_OVERFLOW,
C_OVERFLOW_LOW => C_OVERFLOW_LOW,
C_HAS_DATA_COUNT => if_then_else((C_COMMON_CLOCK = 1 AND C_HAS_DATA_COUNTS_AXIS = 1), 1, 0),
C_DATA_COUNT_WIDTH => C_WR_PNTR_WIDTH_AXIS+1,
C_HAS_RD_DATA_COUNT => if_then_else((C_COMMON_CLOCK = 0 AND C_HAS_DATA_COUNTS_AXIS = 1), 1, 0),
C_RD_DATA_COUNT_WIDTH => C_WR_PNTR_WIDTH_AXIS+1,
C_USE_FWFT_DATA_COUNT => 1, -- use extra logic is always true
C_HAS_WR_DATA_COUNT => if_then_else((C_COMMON_CLOCK = 0 AND C_HAS_DATA_COUNTS_AXIS = 1), 1, 0),
C_WR_DATA_COUNT_WIDTH => C_WR_PNTR_WIDTH_AXIS+1,
C_FULL_FLAGS_RST_VAL => 1,
C_USE_EMBEDDED_REG => C_USE_EMBEDDED_REG,
C_USE_DOUT_RST => 0,
C_MSGON_VAL => C_MSGON_VAL,
C_ENABLE_RST_SYNC => 1,
C_COUNT_TYPE => C_COUNT_TYPE,
C_DEFAULT_VALUE => C_DEFAULT_VALUE,
C_ENABLE_RLOCS => C_ENABLE_RLOCS,
C_HAS_BACKUP => C_HAS_BACKUP,
C_HAS_INT_CLK => C_HAS_INT_CLK,
C_HAS_MEMINIT_FILE => C_HAS_MEMINIT_FILE,
C_INIT_WR_PNTR_VAL => C_INIT_WR_PNTR_VAL,
C_MIF_FILE_NAME => C_MIF_FILE_NAME,
C_OPTIMIZATION_MODE => C_OPTIMIZATION_MODE,
C_RD_FREQ => C_RD_FREQ,
C_USE_FIFO16_FLAGS => C_USE_FIFO16_FLAGS,
C_WR_FREQ => C_WR_FREQ,
C_WR_RESPONSE_LATENCY => C_WR_RESPONSE_LATENCY
)
PORT MAP(
--Inputs
BACKUP => BACKUP,
BACKUP_MARKER => BACKUP_MARKER,
INT_CLK => INT_CLK,
CLK => S_ACLK,
WR_CLK => S_ACLK,
RD_CLK => M_ACLK,
RST => inverted_reset,
SRST => '0',
WR_RST => inverted_reset,
RD_RST => inverted_reset,
WR_EN => axis_we,
RD_EN => axis_re,
PROG_FULL_THRESH => AXIS_PROG_FULL_THRESH,
PROG_FULL_THRESH_ASSERT => (OTHERS => '0'),
PROG_FULL_THRESH_NEGATE => (OTHERS => '0'),
PROG_EMPTY_THRESH => AXIS_PROG_EMPTY_THRESH,
PROG_EMPTY_THRESH_ASSERT => (OTHERS => '0'),
PROG_EMPTY_THRESH_NEGATE => (OTHERS => '0'),
INJECTDBITERR => AXIS_INJECTDBITERR,
INJECTSBITERR => AXIS_INJECTSBITERR,
DIN => axis_din,
DOUT => axis_dout,
FULL => axis_full,
EMPTY => axis_empty,
ALMOST_FULL => axis_almost_full,
PROG_FULL => AXIS_PROG_FULL,
ALMOST_EMPTY => OPEN,
PROG_EMPTY => AXIS_PROG_EMPTY,
WR_ACK => OPEN,
OVERFLOW => AXIS_OVERFLOW,
VALID => OPEN,
UNDERFLOW => AXIS_UNDERFLOW,
DATA_COUNT => axis_dc,
RD_DATA_COUNT => AXIS_RD_DATA_COUNT,
WR_DATA_COUNT => AXIS_WR_DATA_COUNT,
SBITERR => AXIS_SBITERR,
DBITERR => AXIS_DBITERR,
WR_RST_BUSY => wr_rst_busy_axis,
RD_RST_BUSY => rd_rst_busy_axis
);
g8s_axis_rdy: IF (IS_8SERIES = 1) GENERATE
g8s_bi_axis_rdy: IF (C_IMPLEMENTATION_TYPE_AXIS = 5 OR C_IMPLEMENTATION_TYPE_AXIS = 13) GENERATE
axis_s_axis_tready <= NOT (axis_full OR wr_rst_busy_axis);
END GENERATE g8s_bi_axis_rdy;
g8s_nbi_axis_rdy: IF (NOT (C_IMPLEMENTATION_TYPE_AXIS = 5 OR C_IMPLEMENTATION_TYPE_AXIS = 13)) GENERATE
axis_s_axis_tready <= NOT (axis_full);
END GENERATE g8s_nbi_axis_rdy;
END GENERATE g8s_axis_rdy;
g7s_axis_rdy: IF (IS_8SERIES = 0) GENERATE
axis_s_axis_tready <= NOT (axis_full);
END GENERATE g7s_axis_rdy;
axis_m_axis_tvalid <= NOT axis_empty WHEN (C_APPLICATION_TYPE_AXIS /= 1) ELSE NOT axis_empty AND axis_pkt_read;
S_AXIS_TREADY <= axis_s_axis_tready;
M_AXIS_TVALID <= axis_m_axis_tvalid;
gaxis_pkt_fifo: IF (C_APPLICATION_TYPE_AXIS = 1 AND C_COMMON_CLOCK = 1) GENERATE
SIGNAL axis_wr_eop : STD_LOGIC := '0';
SIGNAL axis_wr_eop_d1 : STD_LOGIC := '0';
SIGNAL axis_rd_eop : STD_LOGIC := '0';
SIGNAL axis_pkt_cnt : INTEGER := 0;
BEGIN
axis_wr_eop <= axis_we AND S_AXIS_TLAST;
axis_rd_eop <= axis_re AND axis_dout(0);
-- Packet Read Generation logic
PROCESS (S_ACLK, inverted_reset)
BEGIN
IF (inverted_reset = '1') THEN
axis_pkt_read <= '0';
axis_wr_eop_d1 <= '0';
ELSIF (S_ACLK = '1' AND S_ACLK'EVENT) THEN
axis_wr_eop_d1 <= axis_wr_eop;
IF (axis_rd_eop = '1' AND (axis_pkt_cnt = 1) AND axis_wr_eop_d1 = '0') THEN
axis_pkt_read <= '0' AFTER TFF;
ELSIF ((axis_pkt_cnt > 0) OR (axis_almost_full = '1' AND axis_empty = '0')) THEN
axis_pkt_read <= '1' AFTER TFF;
END IF;
END IF;
END PROCESS;
-- Packet count logic
PROCESS (S_ACLK, inverted_reset)
BEGIN
IF (inverted_reset = '1') THEN
axis_pkt_cnt <= 0;
ELSIF (S_ACLK = '1' AND S_ACLK'EVENT) THEN
IF (axis_wr_eop_d1 = '1' AND axis_rd_eop = '0') THEN
axis_pkt_cnt <= axis_pkt_cnt + 1 AFTER TFF;
ELSIF (axis_rd_eop = '1' AND axis_wr_eop_d1 = '0') THEN
axis_pkt_cnt <= axis_pkt_cnt - 1 AFTER TFF;
END IF;
END IF;
END PROCESS;
END GENERATE gaxis_pkt_fifo;
gdc_pkt: IF (C_HAS_DATA_COUNTS_AXIS = 1 AND C_APPLICATION_TYPE_AXIS = 1) GENERATE
SIGNAL axis_dc_pkt_fifo : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH_AXIS DOWNTO 0) := (OTHERS => '0');
BEGIN
PROCESS (S_ACLK, inverted_reset)
BEGIN
IF (inverted_reset = '1') THEN
axis_dc_pkt_fifo <= (OTHERS => '0');
ELSIF (S_ACLK = '1' AND S_ACLK'EVENT) THEN
IF (axis_we = '1' AND axis_re = '0') THEN
axis_dc_pkt_fifo <= axis_dc_pkt_fifo + "1" AFTER TFF;
ELSIF (axis_we = '0' AND axis_re = '1') THEN
axis_dc_pkt_fifo <= axis_dc_pkt_fifo - "1" AFTER TFF;
END IF;
END IF;
END PROCESS;
AXIS_DATA_COUNT <= axis_dc_pkt_fifo;
END GENERATE gdc_pkt;
gndc_pkt: IF (C_HAS_DATA_COUNTS_AXIS = 0 AND C_APPLICATION_TYPE_AXIS = 1) GENERATE
AXIS_DATA_COUNT <= (OTHERS => '0');
END GENERATE gndc_pkt;
gdc: IF (C_APPLICATION_TYPE_AXIS /= 1) GENERATE
AXIS_DATA_COUNT <= axis_dc;
END GENERATE gdc;
END GENERATE gaxisf;
-- Register Slice for AXI Streaming
gaxis_reg_slice: IF (C_AXIS_TYPE = 1) GENERATE
SIGNAL axis_we : STD_LOGIC := '0';
SIGNAL axis_re : STD_LOGIC := '0';
BEGIN
axis_we <= S_AXIS_TVALID WHEN (C_HAS_SLAVE_CE = 0) ELSE S_AXIS_TVALID AND S_ACLK_EN;
axis_re <= M_AXIS_TREADY WHEN (C_HAS_MASTER_CE = 0) ELSE M_AXIS_TREADY AND M_ACLK_EN;
axis_reg_slice: fifo_generator_v11_0_axic_reg_slice
GENERIC MAP (
C_FAMILY => C_FAMILY,
C_DATA_WIDTH => C_DIN_WIDTH_AXIS,
C_REG_CONFIG => C_REG_SLICE_MODE_AXIS
)
PORT MAP(
-- System Signals
ACLK => S_ACLK,
ARESET => axi_rs_rst,
-- Slave side
S_PAYLOAD_DATA => axis_din,
S_VALID => axis_we,
S_READY => S_AXIS_TREADY,
-- Master side
M_PAYLOAD_DATA => axis_dout,
M_VALID => M_AXIS_TVALID,
M_READY => axis_re
);
END GENERATE gaxis_reg_slice;
END GENERATE gaxis_fifo;
gaxifull: IF (C_INTERFACE_TYPE = 2) GENERATE
SIGNAL axi_rd_underflow_i : std_logic := '0';
SIGNAL axi_rd_overflow_i : std_logic := '0';
SIGNAL axi_wr_underflow_i : std_logic := '0';
SIGNAL axi_wr_overflow_i : std_logic := '0';
BEGIN
gwrch: IF (C_HAS_AXI_WR_CHANNEL = 1) GENERATE
SIGNAL wach_din : std_logic_vector(C_DIN_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL wach_dout : std_logic_vector(C_DIN_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL wach_dout_pkt : std_logic_vector(C_DIN_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL wach_full : std_logic := '0';
SIGNAL wach_almost_full : std_logic := '0';
SIGNAL wach_prog_full : std_logic := '0';
SIGNAL wach_empty : std_logic := '0';
SIGNAL wach_almost_empty : std_logic := '0';
SIGNAL wach_prog_empty : std_logic := '0';
SIGNAL wdch_din : std_logic_vector(C_DIN_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL wdch_dout : std_logic_vector(C_DIN_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL wdch_full : std_logic := '0';
SIGNAL wdch_almost_full : std_logic := '0';
SIGNAL wdch_prog_full : std_logic := '0';
SIGNAL wdch_empty : std_logic := '0';
SIGNAL wdch_almost_empty : std_logic := '0';
SIGNAL wdch_prog_empty : std_logic := '0';
SIGNAL wrch_din : std_logic_vector(C_DIN_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL wrch_dout : std_logic_vector(C_DIN_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL wrch_full : std_logic := '0';
SIGNAL wrch_almost_full : std_logic := '0';
SIGNAL wrch_prog_full : std_logic := '0';
SIGNAL wrch_empty : std_logic := '0';
SIGNAL wrch_almost_empty : std_logic := '0';
SIGNAL wrch_prog_empty : std_logic := '0';
SIGNAL axi_aw_underflow_i : std_logic := '0';
SIGNAL axi_w_underflow_i : std_logic := '0';
SIGNAL axi_b_underflow_i : std_logic := '0';
SIGNAL axi_aw_overflow_i : std_logic := '0';
SIGNAL axi_w_overflow_i : std_logic := '0';
SIGNAL axi_b_overflow_i : std_logic := '0';
SIGNAL wach_s_axi_awready : std_logic := '0';
SIGNAL wach_m_axi_awvalid : std_logic := '0';
SIGNAL wach_wr_en : std_logic := '0';
SIGNAL wach_rd_en : std_logic := '0';
SIGNAL wdch_s_axi_wready : std_logic := '0';
SIGNAL wdch_m_axi_wvalid : std_logic := '0';
SIGNAL wdch_wr_en : std_logic := '0';
SIGNAL wdch_rd_en : std_logic := '0';
SIGNAL wrch_s_axi_bvalid : std_logic := '0';
SIGNAL wrch_m_axi_bready : std_logic := '0';
SIGNAL wrch_wr_en : std_logic := '0';
SIGNAL wrch_rd_en : std_logic := '0';
SIGNAL awvalid_en : std_logic := '0';
SIGNAL awready_pkt : std_logic := '0';
SIGNAL wdch_we : STD_LOGIC := '0';
SIGNAL wr_rst_busy_wach : std_logic := '0';
SIGNAL wr_rst_busy_wdch : std_logic := '0';
SIGNAL wr_rst_busy_wrch : std_logic := '0';
SIGNAL rd_rst_busy_wach : std_logic := '0';
SIGNAL rd_rst_busy_wdch : std_logic := '0';
SIGNAL rd_rst_busy_wrch : std_logic := '0';
CONSTANT AWID_OFFSET : integer := if_then_else(C_AXI_TYPE /= 2 AND C_HAS_AXI_ID = 1,C_DIN_WIDTH_WACH - C_AXI_ID_WIDTH,C_DIN_WIDTH_WACH);
CONSTANT AWADDR_OFFSET : integer := AWID_OFFSET - C_AXI_ADDR_WIDTH;
CONSTANT AWLEN_OFFSET : integer := if_then_else(C_AXI_TYPE /= 2,AWADDR_OFFSET - C_AXI_LEN_WIDTH,AWADDR_OFFSET);
CONSTANT AWSIZE_OFFSET : integer := if_then_else(C_AXI_TYPE /= 2,AWLEN_OFFSET - C_AXI_SIZE_WIDTH,AWLEN_OFFSET);
CONSTANT AWBURST_OFFSET : integer := if_then_else(C_AXI_TYPE /= 2,AWSIZE_OFFSET - C_AXI_BURST_WIDTH,AWSIZE_OFFSET);
CONSTANT AWLOCK_OFFSET : integer := if_then_else(C_AXI_TYPE /= 2,AWBURST_OFFSET - C_AXI_LOCK_WIDTH,AWBURST_OFFSET);
CONSTANT AWCACHE_OFFSET : integer := if_then_else(C_AXI_TYPE /= 2,AWLOCK_OFFSET - C_AXI_CACHE_WIDTH,AWLOCK_OFFSET);
CONSTANT AWPROT_OFFSET : integer := AWCACHE_OFFSET - C_AXI_PROT_WIDTH;
CONSTANT AWQOS_OFFSET : integer := AWPROT_OFFSET - C_AXI_QOS_WIDTH;
CONSTANT AWREGION_OFFSET : integer := if_then_else(C_AXI_TYPE = 1,AWQOS_OFFSET - C_AXI_REGION_WIDTH, AWQOS_OFFSET);
CONSTANT AWUSER_OFFSET : integer := if_then_else(C_HAS_AXI_AWUSER = 1,AWREGION_OFFSET-C_AXI_AWUSER_WIDTH,AWREGION_OFFSET);
CONSTANT WID_OFFSET : integer := if_then_else(C_AXI_TYPE = 3 AND C_HAS_AXI_ID = 1,C_DIN_WIDTH_WDCH - C_AXI_ID_WIDTH,C_DIN_WIDTH_WDCH);
CONSTANT WDATA_OFFSET : integer := WID_OFFSET - C_AXI_DATA_WIDTH;
CONSTANT WSTRB_OFFSET : integer := WDATA_OFFSET - C_AXI_DATA_WIDTH/8;
CONSTANT WUSER_OFFSET : integer := if_then_else(C_HAS_AXI_WUSER = 1,WSTRB_OFFSET-C_AXI_WUSER_WIDTH,WSTRB_OFFSET);
CONSTANT BID_OFFSET : integer := if_then_else(C_AXI_TYPE /= 2 AND C_HAS_AXI_ID = 1,C_DIN_WIDTH_WRCH - C_AXI_ID_WIDTH,C_DIN_WIDTH_WRCH);
CONSTANT BRESP_OFFSET : integer := BID_OFFSET - C_AXI_BRESP_WIDTH;
CONSTANT BUSER_OFFSET : integer := if_then_else(C_HAS_AXI_BUSER = 1,BRESP_OFFSET-C_AXI_BUSER_WIDTH,BRESP_OFFSET);
BEGIN
-- Form the DIN to FIFO by concatinating the AXI Full Write Address Channel optional ports
axi_full_din_wr_ch: IF (C_AXI_TYPE /= 2) GENERATE
gwach1: IF (C_WACH_TYPE < 2) GENERATE
gwach_din1: IF (C_HAS_AXI_AWUSER = 1) GENERATE
wach_din(AWREGION_OFFSET-1 DOWNTO AWUSER_OFFSET) <= S_AXI_AWUSER;
M_AXI_AWUSER <= wach_dout(AWREGION_OFFSET-1 DOWNTO AWUSER_OFFSET);
END GENERATE gwach_din1;
gwach_din2: IF (C_HAS_AXI_AWUSER = 0) GENERATE
M_AXI_AWUSER <= (OTHERS => '0');
END GENERATE gwach_din2;
gwach_din3: IF (C_HAS_AXI_ID = 1) GENERATE
wach_din(C_DIN_WIDTH_WACH-1 DOWNTO AWID_OFFSET) <= S_AXI_AWID;
M_AXI_AWID <= wach_dout(C_DIN_WIDTH_WACH-1 DOWNTO AWID_OFFSET);
END GENERATE gwach_din3;
gwach_din4: IF (C_HAS_AXI_ID = 0) GENERATE
M_AXI_AWID <= (OTHERS => '0');
END GENERATE gwach_din4;
gwach_din5: IF (C_AXI_TYPE = 1) GENERATE
wach_din(AWQOS_OFFSET-1 DOWNTO AWREGION_OFFSET) <= S_AXI_AWREGION;
M_AXI_AWREGION <= wach_dout(AWQOS_OFFSET-1 DOWNTO AWREGION_OFFSET);
END GENERATE gwach_din5;
gwach_din6: IF (C_AXI_TYPE = 0) GENERATE
M_AXI_AWREGION <= (OTHERS => '0');
END GENERATE gwach_din6;
wach_din(AWID_OFFSET-1 DOWNTO AWADDR_OFFSET) <= S_AXI_AWADDR;
wach_din(AWADDR_OFFSET-1 DOWNTO AWLEN_OFFSET) <= S_AXI_AWLEN;
wach_din(AWLEN_OFFSET-1 DOWNTO AWSIZE_OFFSET) <= S_AXI_AWSIZE;
wach_din(AWSIZE_OFFSET-1 DOWNTO AWBURST_OFFSET) <= S_AXI_AWBURST;
wach_din(AWBURST_OFFSET-1 DOWNTO AWLOCK_OFFSET) <= S_AXI_AWLOCK;
wach_din(AWLOCK_OFFSET-1 DOWNTO AWCACHE_OFFSET) <= S_AXI_AWCACHE;
wach_din(AWCACHE_OFFSET-1 DOWNTO AWPROT_OFFSET) <= S_AXI_AWPROT;
wach_din(AWPROT_OFFSET-1 DOWNTO AWQOS_OFFSET) <= S_AXI_AWQOS;
M_AXI_AWADDR <= wach_dout(AWID_OFFSET-1 DOWNTO AWADDR_OFFSET);
M_AXI_AWLEN <= wach_dout(AWADDR_OFFSET-1 DOWNTO AWLEN_OFFSET);
M_AXI_AWSIZE <= wach_dout(AWLEN_OFFSET-1 DOWNTO AWSIZE_OFFSET);
M_AXI_AWBURST <= wach_dout(AWSIZE_OFFSET-1 DOWNTO AWBURST_OFFSET);
M_AXI_AWLOCK <= wach_dout(AWBURST_OFFSET-1 DOWNTO AWLOCK_OFFSET);
M_AXI_AWCACHE <= wach_dout(AWLOCK_OFFSET-1 DOWNTO AWCACHE_OFFSET);
M_AXI_AWPROT <= wach_dout(AWCACHE_OFFSET-1 DOWNTO AWPROT_OFFSET);
M_AXI_AWQOS <= wach_dout(AWPROT_OFFSET-1 DOWNTO AWQOS_OFFSET);
END GENERATE gwach1;
-- Generate the DIN to FIFO by concatinating the AXI Full Write Data Channel optional ports
gwdch1: IF (C_WDCH_TYPE < 2) GENERATE
gwdch_din1: IF (C_HAS_AXI_WUSER = 1) GENERATE
wdch_din(WSTRB_OFFSET-1 DOWNTO WUSER_OFFSET) <= S_AXI_WUSER;
M_AXI_WUSER <= wdch_dout(WSTRB_OFFSET-1 DOWNTO WUSER_OFFSET);
END GENERATE gwdch_din1;
gwdch_din2: IF (C_HAS_AXI_WUSER = 0) GENERATE
M_AXI_WUSER <= (OTHERS => '0');
END GENERATE gwdch_din2;
gwdch_din3: IF (C_HAS_AXI_ID = 1 AND C_AXI_TYPE = 3) GENERATE
wdch_din(C_DIN_WIDTH_WDCH-1 DOWNTO WID_OFFSET) <= S_AXI_WID;
M_AXI_WID <= wdch_dout(C_DIN_WIDTH_WDCH-1 DOWNTO WID_OFFSET);
END GENERATE gwdch_din3;
gwdch_din4: IF NOT (C_HAS_AXI_ID = 1 AND C_AXI_TYPE = 3) GENERATE
M_AXI_WID <= (OTHERS => '0');
END GENERATE gwdch_din4;
wdch_din(WID_OFFSET-1 DOWNTO WDATA_OFFSET) <= S_AXI_WDATA;
wdch_din(WDATA_OFFSET-1 DOWNTO WSTRB_OFFSET) <= S_AXI_WSTRB;
wdch_din(0) <= S_AXI_WLAST;
M_AXI_WDATA <= wdch_dout(WID_OFFSET-1 DOWNTO WDATA_OFFSET);
M_AXI_WSTRB <= wdch_dout(WDATA_OFFSET-1 DOWNTO WSTRB_OFFSET);
M_AXI_WLAST <= wdch_dout(0);
END GENERATE gwdch1;
-- Generate the DIN to FIFO by concatinating the AXI Full Write Response Channel optional ports
gwrch1: IF (C_WRCH_TYPE < 2) GENERATE
gwrch_din1: IF (C_HAS_AXI_BUSER = 1) GENERATE
wrch_din(BRESP_OFFSET-1 DOWNTO BUSER_OFFSET) <= M_AXI_BUSER;
S_AXI_BUSER <= wrch_dout(BRESP_OFFSET-1 DOWNTO BUSER_OFFSET);
END GENERATE gwrch_din1;
gwrch_din2: IF (C_HAS_AXI_BUSER = 0) GENERATE
S_AXI_BUSER <= (OTHERS => '0');
END GENERATE gwrch_din2;
gwrch_din3: IF (C_HAS_AXI_ID = 1) GENERATE
wrch_din(C_DIN_WIDTH_WRCH-1 DOWNTO BID_OFFSET) <= M_AXI_BID;
S_AXI_BID <= wrch_dout(C_DIN_WIDTH_WRCH-1 DOWNTO BID_OFFSET);
END GENERATE gwrch_din3;
gwrch_din4: IF (C_HAS_AXI_ID = 0) GENERATE
S_AXI_BID <= (OTHERS => '0');
END GENERATE gwrch_din4;
wrch_din(BID_OFFSET-1 DOWNTO BRESP_OFFSET) <= M_AXI_BRESP;
S_AXI_BRESP <= wrch_dout(BID_OFFSET-1 DOWNTO BRESP_OFFSET);
END GENERATE gwrch1;
END GENERATE axi_full_din_wr_ch;
-- Form the DIN to FIFO by concatinating the AXI Lite Write Address Channel optional ports
axi_lite_din_wr_ch: IF (C_AXI_TYPE = 2) GENERATE
gwach1: IF (C_WACH_TYPE < 2) GENERATE
wach_din <= S_AXI_AWADDR & S_AXI_AWPROT;
M_AXI_AWADDR <= wach_dout(C_DIN_WIDTH_WACH-1 DOWNTO AWADDR_OFFSET);
M_AXI_AWPROT <= wach_dout(AWADDR_OFFSET-1 DOWNTO AWPROT_OFFSET);
END GENERATE gwach1;
gwdch1: IF (C_WDCH_TYPE < 2) GENERATE
wdch_din <= S_AXI_WDATA & S_AXI_WSTRB;
M_AXI_WDATA <= wdch_dout(C_DIN_WIDTH_WDCH-1 DOWNTO WDATA_OFFSET);
M_AXI_WSTRB <= wdch_dout(WDATA_OFFSET-1 DOWNTO WSTRB_OFFSET);
END GENERATE gwdch1;
gwrch1: IF (C_WRCH_TYPE < 2) GENERATE
wrch_din <= M_AXI_BRESP;
S_AXI_BRESP <= wrch_dout(C_DIN_WIDTH_WRCH-1 DOWNTO BRESP_OFFSET);
END GENERATE gwrch1;
END GENERATE axi_lite_din_wr_ch;
-- Write protection for Write Address Channel
-- When FULL is high, pass VALID as a WR_EN to the FIFO to get OVERFLOW interrupt
gwach_wr_en1: IF (C_PROG_FULL_TYPE_WACH = 0) GENERATE
wach_wr_en <= S_AXI_AWVALID;
END GENERATE gwach_wr_en1;
-- When ALMOST_FULL or PROG_FULL is high, then shield the FIFO from becoming FULL
gwach_wr_en2: IF (C_PROG_FULL_TYPE_WACH /= 0) GENERATE
wach_wr_en <= wach_s_axi_awready AND S_AXI_AWVALID;
END GENERATE gwach_wr_en2;
-- Write protection for Write Data Channel
-- When FULL is high, pass VALID as a WR_EN to the FIFO to get OVERFLOW interrupt
gwdch_wr_en1: IF (C_PROG_FULL_TYPE_WDCH = 0) GENERATE
wdch_wr_en <= S_AXI_WVALID;
END GENERATE gwdch_wr_en1;
-- When ALMOST_FULL or PROG_FULL is high, then shield the FIFO from becoming FULL
gwdch_wr_en2: IF (C_PROG_FULL_TYPE_WDCH /= 0) GENERATE
wdch_wr_en <= wdch_s_axi_wready AND S_AXI_WVALID;
END GENERATE gwdch_wr_en2;
-- Write protection for Write Response Channel
-- When FULL is high, pass VALID as a WR_EN to the FIFO to get OVERFLOW interrupt
gwrch_wr_en1: IF (C_PROG_FULL_TYPE_WRCH = 0) GENERATE
wrch_wr_en <= M_AXI_BVALID;
END GENERATE gwrch_wr_en1;
-- When ALMOST_FULL or PROG_FULL is high, then shield the FIFO from becoming FULL
gwrch_wr_en2: IF (C_PROG_FULL_TYPE_WRCH /= 0) GENERATE
wrch_wr_en <= wrch_m_axi_bready AND M_AXI_BVALID;
END GENERATE gwrch_wr_en2;
-- Read protection for Write Address Channel
-- When EMPTY is low, pass READY as a RD_EN to the FIFO to get UNDERFLOW interrupt
gwach_rd_en1: IF (C_PROG_EMPTY_TYPE_WACH = 0) GENERATE
gpkt_mm_wach_rd_en1: IF (C_APPLICATION_TYPE_WACH = 1) GENERATE
wach_rd_en <= awready_pkt AND awvalid_en;
END GENERATE;
gnpkt_mm_wach_rd_en1: IF (C_APPLICATION_TYPE_WACH /= 1) GENERATE
wach_rd_en <= M_AXI_AWREADY;
END GENERATE;
END GENERATE gwach_rd_en1;
-- When ALMOST_EMPTY or PROG_EMPTY is low, then shield the FIFO from becoming EMPTY
gwach_rd_en2: IF (C_PROG_EMPTY_TYPE_WACH /= 0) GENERATE
gaxi_mm_wach_rd_en2: IF (C_APPLICATION_TYPE_WACH = 1) GENERATE
wach_rd_en <= wach_m_axi_awvalid AND awready_pkt AND awvalid_en;
END GENERATE gaxi_mm_wach_rd_en2;
gnaxi_mm_wach_rd_en2: IF (C_APPLICATION_TYPE_WACH /= 1) GENERATE
wach_rd_en <= wach_m_axi_awvalid AND M_AXI_AWREADY;
END GENERATE gnaxi_mm_wach_rd_en2;
END GENERATE gwach_rd_en2;
-- Read protection for Write Data Channel
-- When EMPTY is low, pass READY as a RD_EN to the FIFO to get UNDERFLOW interrupt
gwdch_rd_en1: IF (C_PROG_EMPTY_TYPE_WDCH = 0) GENERATE
wdch_rd_en <= M_AXI_WREADY;
END GENERATE gwdch_rd_en1;
-- When ALMOST_EMPTY or PROG_EMPTY is low, then shield the FIFO from becoming EMPTY
gwdch_rd_en2: IF (C_PROG_EMPTY_TYPE_WDCH /= 0) GENERATE
wdch_rd_en <= wdch_m_axi_wvalid AND M_AXI_WREADY;
END GENERATE gwdch_rd_en2;
-- Read protection for Write Response Channel
-- When EMPTY is low, pass READY as a RD_EN to the FIFO to get UNDERFLOW interrupt
gwrch_rd_en1: IF (C_PROG_EMPTY_TYPE_WRCH = 0) GENERATE
wrch_rd_en <= S_AXI_BREADY;
END GENERATE gwrch_rd_en1;
-- When ALMOST_EMPTY or PROG_EMPTY is low, then shield the FIFO from becoming EMPTY
gwrch_rd_en2: IF (C_PROG_EMPTY_TYPE_WRCH /= 0) GENERATE
wrch_rd_en <= wrch_s_axi_bvalid AND S_AXI_BREADY;
END GENERATE gwrch_rd_en2;
gwach2: IF (C_WACH_TYPE = 0) GENERATE
SIGNAL wach_we : STD_LOGIC := '0';
SIGNAL wach_re : STD_LOGIC := '0';
BEGIN
wach_we <= wach_wr_en WHEN (C_HAS_SLAVE_CE = 0) ELSE wach_wr_en AND S_ACLK_EN;
wach_re <= wach_rd_en WHEN (C_HAS_MASTER_CE = 0) ELSE wach_rd_en AND M_ACLK_EN;
axi_wach : fifo_generator_v11_0_conv
GENERIC MAP (
C_FAMILY => C_FAMILY,
C_COMMON_CLOCK => C_COMMON_CLOCK,
C_MEMORY_TYPE => if_then_else((C_IMPLEMENTATION_TYPE_WACH = 1 OR C_IMPLEMENTATION_TYPE_WACH = 11),1,
if_then_else((C_IMPLEMENTATION_TYPE_WACH = 2 OR C_IMPLEMENTATION_TYPE_WACH = 12),2,4)),
C_IMPLEMENTATION_TYPE => if_then_else((C_IMPLEMENTATION_TYPE_WACH = 1 OR C_IMPLEMENTATION_TYPE_WACH = 2),0,
if_then_else((C_IMPLEMENTATION_TYPE_WACH = 11 OR C_IMPLEMENTATION_TYPE_WACH = 12),2,6)),
C_PRELOAD_REGS => 1, -- Always FWFT for AXI
C_PRELOAD_LATENCY => 0, -- Always FWFT for AXI
C_DIN_WIDTH => C_DIN_WIDTH_WACH,
C_WR_DEPTH => C_WR_DEPTH_WACH,
C_WR_PNTR_WIDTH => C_WR_PNTR_WIDTH_WACH,
C_DOUT_WIDTH => C_DIN_WIDTH_WACH,
C_RD_DEPTH => C_WR_DEPTH_WACH,
C_RD_PNTR_WIDTH => C_WR_PNTR_WIDTH_WACH,
C_PROG_FULL_TYPE => C_PROG_FULL_TYPE_WACH,
C_PROG_FULL_THRESH_ASSERT_VAL => C_PROG_FULL_THRESH_ASSERT_VAL_WACH,
C_PROG_EMPTY_TYPE => C_PROG_EMPTY_TYPE_WACH,
C_PROG_EMPTY_THRESH_ASSERT_VAL => C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH,
C_USE_ECC => C_USE_ECC_WACH,
C_ERROR_INJECTION_TYPE => C_ERROR_INJECTION_TYPE_WACH,
C_HAS_ALMOST_EMPTY => 0,
C_HAS_ALMOST_FULL => 0,
-- Enable Low Latency Sync FIFO for Common Clock Built-in FIFO
C_FIFO_TYPE => if_then_else((C_APPLICATION_TYPE_WACH = 1),0,C_APPLICATION_TYPE_WACH),
C_SYNCHRONIZER_STAGE => C_SYNCHRONIZER_STAGE,
C_AXI_TYPE => if_then_else(C_INTERFACE_TYPE = 1, 0, C_AXI_TYPE),
C_HAS_WR_RST => 0,
C_HAS_RD_RST => 0,
C_HAS_RST => 1,
C_HAS_SRST => 0,
C_DOUT_RST_VAL => "0",
C_HAS_VALID => C_HAS_VALID,
C_VALID_LOW => C_VALID_LOW,
C_HAS_UNDERFLOW => C_HAS_UNDERFLOW,
C_UNDERFLOW_LOW => C_UNDERFLOW_LOW,
C_HAS_WR_ACK => C_HAS_WR_ACK,
C_WR_ACK_LOW => C_WR_ACK_LOW,
C_HAS_OVERFLOW => C_HAS_OVERFLOW,
C_OVERFLOW_LOW => C_OVERFLOW_LOW,
C_HAS_DATA_COUNT => if_then_else((C_COMMON_CLOCK = 1 AND C_HAS_DATA_COUNTS_WACH = 1), 1, 0),
C_DATA_COUNT_WIDTH => C_WR_PNTR_WIDTH_WACH+1,
C_HAS_RD_DATA_COUNT => if_then_else((C_COMMON_CLOCK = 0 AND C_HAS_DATA_COUNTS_WACH = 1), 1, 0),
C_RD_DATA_COUNT_WIDTH => C_WR_PNTR_WIDTH_WACH+1,
C_USE_FWFT_DATA_COUNT => 1, -- use extra logic is always true
C_HAS_WR_DATA_COUNT => if_then_else((C_COMMON_CLOCK = 0 AND C_HAS_DATA_COUNTS_WACH = 1), 1, 0),
C_WR_DATA_COUNT_WIDTH => C_WR_PNTR_WIDTH_WACH+1,
C_FULL_FLAGS_RST_VAL => 1,
C_USE_EMBEDDED_REG => 0,
C_USE_DOUT_RST => 0,
C_MSGON_VAL => C_MSGON_VAL,
C_ENABLE_RST_SYNC => 1,
C_COUNT_TYPE => C_COUNT_TYPE,
C_DEFAULT_VALUE => C_DEFAULT_VALUE,
C_ENABLE_RLOCS => C_ENABLE_RLOCS,
C_HAS_BACKUP => C_HAS_BACKUP,
C_HAS_INT_CLK => C_HAS_INT_CLK,
C_HAS_MEMINIT_FILE => C_HAS_MEMINIT_FILE,
C_INIT_WR_PNTR_VAL => C_INIT_WR_PNTR_VAL,
C_MIF_FILE_NAME => C_MIF_FILE_NAME,
C_OPTIMIZATION_MODE => C_OPTIMIZATION_MODE,
C_RD_FREQ => C_RD_FREQ,
C_USE_FIFO16_FLAGS => C_USE_FIFO16_FLAGS,
C_WR_FREQ => C_WR_FREQ,
C_WR_RESPONSE_LATENCY => C_WR_RESPONSE_LATENCY
)
PORT MAP(
--Inputs
BACKUP => BACKUP,
BACKUP_MARKER => BACKUP_MARKER,
INT_CLK => INT_CLK,
CLK => S_ACLK,
WR_CLK => S_ACLK,
RD_CLK => M_ACLK,
RST => inverted_reset,
SRST => '0',
WR_RST => inverted_reset,
RD_RST => inverted_reset,
WR_EN => wach_we,
RD_EN => wach_re,
PROG_FULL_THRESH => AXI_AW_PROG_FULL_THRESH,
PROG_FULL_THRESH_ASSERT => (OTHERS => '0'),
PROG_FULL_THRESH_NEGATE => (OTHERS => '0'),
PROG_EMPTY_THRESH => AXI_AW_PROG_EMPTY_THRESH,
PROG_EMPTY_THRESH_ASSERT => (OTHERS => '0'),
PROG_EMPTY_THRESH_NEGATE => (OTHERS => '0'),
INJECTDBITERR => AXI_AW_INJECTDBITERR,
INJECTSBITERR => AXI_AW_INJECTSBITERR,
DIN => wach_din,
DOUT => wach_dout_pkt,
FULL => wach_full,
EMPTY => wach_empty,
ALMOST_FULL => OPEN,
PROG_FULL => AXI_AW_PROG_FULL,
ALMOST_EMPTY => OPEN,
PROG_EMPTY => AXI_AW_PROG_EMPTY,
WR_ACK => OPEN,
OVERFLOW => axi_aw_overflow_i,
VALID => OPEN,
UNDERFLOW => axi_aw_underflow_i,
DATA_COUNT => AXI_AW_DATA_COUNT,
RD_DATA_COUNT => AXI_AW_RD_DATA_COUNT,
WR_DATA_COUNT => AXI_AW_WR_DATA_COUNT,
SBITERR => AXI_AW_SBITERR,
DBITERR => AXI_AW_DBITERR,
WR_RST_BUSY => wr_rst_busy_wach,
RD_RST_BUSY => rd_rst_busy_wach
);
g8s_wach_rdy: IF (IS_8SERIES = 1) GENERATE
g8s_bi_wach_rdy: IF (C_IMPLEMENTATION_TYPE_WACH = 5 OR C_IMPLEMENTATION_TYPE_WACH = 13) GENERATE
wach_s_axi_awready <= NOT (wach_full OR wr_rst_busy_wach);
END GENERATE g8s_bi_wach_rdy;
g8s_nbi_wach_rdy: IF (NOT (C_IMPLEMENTATION_TYPE_WACH = 5 OR C_IMPLEMENTATION_TYPE_WACH = 13)) GENERATE
wach_s_axi_awready <= NOT (wach_full);
END GENERATE g8s_nbi_wach_rdy;
END GENERATE g8s_wach_rdy;
g7s_wach_rdy: IF (IS_8SERIES = 0) GENERATE
wach_s_axi_awready <= NOT (wach_full);
END GENERATE g7s_wach_rdy;
wach_m_axi_awvalid <= NOT wach_empty;
S_AXI_AWREADY <= wach_s_axi_awready;
gawvld_pkt_fifo: IF (C_APPLICATION_TYPE_WACH = 1) GENERATE
SIGNAL awvalid_pkt : STD_LOGIC := '0';
BEGIN
awvalid_pkt <= wach_m_axi_awvalid AND awvalid_en;
wach_pkt_reg_slice: fifo_generator_v11_0_axic_reg_slice
GENERIC MAP (
C_FAMILY => C_FAMILY,
C_DATA_WIDTH => C_DIN_WIDTH_WACH,
C_REG_CONFIG => 1
)
PORT MAP(
-- System Signals
ACLK => S_ACLK,
ARESET => inverted_reset,
-- Slave side
S_PAYLOAD_DATA => wach_dout_pkt,
S_VALID => awvalid_pkt,
S_READY => awready_pkt,
-- Master side
M_PAYLOAD_DATA => wach_dout,
M_VALID => M_AXI_AWVALID,
M_READY => M_AXI_AWREADY
);
END GENERATE gawvld_pkt_fifo;
gnawvld_pkt_fifo: IF (C_APPLICATION_TYPE_WACH /= 1) GENERATE
M_AXI_AWVALID <= wach_m_axi_awvalid;
wach_dout <= wach_dout_pkt;
END GENERATE gnawvld_pkt_fifo;
gaxi_wr_ch_uf1: IF (C_USE_COMMON_UNDERFLOW = 0) GENERATE
AXI_AW_UNDERFLOW <= axi_aw_underflow_i;
END GENERATE gaxi_wr_ch_uf1;
gaxi_wr_ch_of1: IF (C_USE_COMMON_OVERFLOW = 0) GENERATE
AXI_AW_OVERFLOW <= axi_aw_overflow_i;
END GENERATE gaxi_wr_ch_of1;
END GENERATE gwach2;
-- Register Slice for Write Address Channel
gwach_reg_slice: IF (C_WACH_TYPE = 1) GENERATE
wach_reg_slice: fifo_generator_v11_0_axic_reg_slice
GENERIC MAP (
C_FAMILY => C_FAMILY,
C_DATA_WIDTH => C_DIN_WIDTH_WACH,
C_REG_CONFIG => C_REG_SLICE_MODE_WACH
)
PORT MAP(
-- System Signals
ACLK => S_ACLK,
ARESET => axi_rs_rst,
-- Slave side
S_PAYLOAD_DATA => wach_din,
S_VALID => S_AXI_AWVALID,
S_READY => S_AXI_AWREADY,
-- Master side
M_PAYLOAD_DATA => wach_dout,
M_VALID => M_AXI_AWVALID,
M_READY => M_AXI_AWREADY
);
END GENERATE gwach_reg_slice;
gwdch2: IF (C_WDCH_TYPE = 0) GENERATE
SIGNAL wdch_re : STD_LOGIC := '0';
BEGIN
wdch_we <= wdch_wr_en WHEN (C_HAS_SLAVE_CE = 0) ELSE wdch_wr_en AND S_ACLK_EN;
wdch_re <= wdch_rd_en WHEN (C_HAS_MASTER_CE = 0) ELSE wdch_rd_en AND M_ACLK_EN;
axi_wdch : fifo_generator_v11_0_conv
GENERIC MAP (
C_FAMILY => C_FAMILY,
C_COMMON_CLOCK => C_COMMON_CLOCK,
C_MEMORY_TYPE => if_then_else((C_IMPLEMENTATION_TYPE_WDCH = 1 OR C_IMPLEMENTATION_TYPE_WDCH = 11),1,
if_then_else((C_IMPLEMENTATION_TYPE_WDCH = 2 OR C_IMPLEMENTATION_TYPE_WDCH = 12),2,4)),
C_IMPLEMENTATION_TYPE => if_then_else((C_IMPLEMENTATION_TYPE_WDCH = 1 OR C_IMPLEMENTATION_TYPE_WDCH = 2),0,
if_then_else((C_IMPLEMENTATION_TYPE_WDCH = 11 OR C_IMPLEMENTATION_TYPE_WDCH = 12),2,6)),
C_PRELOAD_REGS => 1, -- Always FWFT for AXI
C_PRELOAD_LATENCY => 0, -- Always FWFT for AXI
C_DIN_WIDTH => C_DIN_WIDTH_WDCH,
C_WR_DEPTH => C_WR_DEPTH_WDCH,
C_WR_PNTR_WIDTH => C_WR_PNTR_WIDTH_WDCH,
C_DOUT_WIDTH => C_DIN_WIDTH_WDCH,
C_RD_DEPTH => C_WR_DEPTH_WDCH,
C_RD_PNTR_WIDTH => C_WR_PNTR_WIDTH_WDCH,
C_PROG_FULL_TYPE => C_PROG_FULL_TYPE_WDCH,
C_PROG_FULL_THRESH_ASSERT_VAL => C_PROG_FULL_THRESH_ASSERT_VAL_WDCH,
C_PROG_EMPTY_TYPE => C_PROG_EMPTY_TYPE_WDCH,
C_PROG_EMPTY_THRESH_ASSERT_VAL => C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH,
C_USE_ECC => C_USE_ECC_WDCH,
C_ERROR_INJECTION_TYPE => C_ERROR_INJECTION_TYPE_WDCH,
C_HAS_ALMOST_EMPTY => 0,
C_HAS_ALMOST_FULL => 0,
-- Enable Low Latency Sync FIFO for Common Clock Built-in FIFO
C_FIFO_TYPE => C_APPLICATION_TYPE_WDCH,
C_SYNCHRONIZER_STAGE => C_SYNCHRONIZER_STAGE,
C_AXI_TYPE => if_then_else(C_INTERFACE_TYPE = 1, 0, C_AXI_TYPE),
C_HAS_WR_RST => 0,
C_HAS_RD_RST => 0,
C_HAS_RST => 1,
C_HAS_SRST => 0,
C_DOUT_RST_VAL => "0",
C_HAS_VALID => C_HAS_VALID,
C_VALID_LOW => C_VALID_LOW,
C_HAS_UNDERFLOW => C_HAS_UNDERFLOW,
C_UNDERFLOW_LOW => C_UNDERFLOW_LOW,
C_HAS_WR_ACK => C_HAS_WR_ACK,
C_WR_ACK_LOW => C_WR_ACK_LOW,
C_HAS_OVERFLOW => C_HAS_OVERFLOW,
C_OVERFLOW_LOW => C_OVERFLOW_LOW,
C_HAS_DATA_COUNT => if_then_else((C_COMMON_CLOCK = 1 AND C_HAS_DATA_COUNTS_WDCH = 1), 1, 0),
C_DATA_COUNT_WIDTH => C_WR_PNTR_WIDTH_WDCH+1,
C_HAS_RD_DATA_COUNT => if_then_else((C_COMMON_CLOCK = 0 AND C_HAS_DATA_COUNTS_WDCH = 1), 1, 0),
C_RD_DATA_COUNT_WIDTH => C_WR_PNTR_WIDTH_WDCH+1,
C_USE_FWFT_DATA_COUNT => 1, -- use extra logic is always true
C_HAS_WR_DATA_COUNT => if_then_else((C_COMMON_CLOCK = 0 AND C_HAS_DATA_COUNTS_WDCH = 1), 1, 0),
C_WR_DATA_COUNT_WIDTH => C_WR_PNTR_WIDTH_WDCH+1,
C_FULL_FLAGS_RST_VAL => 1,
C_USE_EMBEDDED_REG => 0,
C_USE_DOUT_RST => 0,
C_MSGON_VAL => C_MSGON_VAL,
C_ENABLE_RST_SYNC => 1,
C_COUNT_TYPE => C_COUNT_TYPE,
C_DEFAULT_VALUE => C_DEFAULT_VALUE,
C_ENABLE_RLOCS => C_ENABLE_RLOCS,
C_HAS_BACKUP => C_HAS_BACKUP,
C_HAS_INT_CLK => C_HAS_INT_CLK,
C_HAS_MEMINIT_FILE => C_HAS_MEMINIT_FILE,
C_INIT_WR_PNTR_VAL => C_INIT_WR_PNTR_VAL,
C_MIF_FILE_NAME => C_MIF_FILE_NAME,
C_OPTIMIZATION_MODE => C_OPTIMIZATION_MODE,
C_RD_FREQ => C_RD_FREQ,
C_USE_FIFO16_FLAGS => C_USE_FIFO16_FLAGS,
C_WR_FREQ => C_WR_FREQ,
C_WR_RESPONSE_LATENCY => C_WR_RESPONSE_LATENCY
)
PORT MAP(
--Inputs
BACKUP => BACKUP,
BACKUP_MARKER => BACKUP_MARKER,
INT_CLK => INT_CLK,
CLK => S_ACLK,
WR_CLK => S_ACLK,
RD_CLK => M_ACLK,
RST => inverted_reset,
SRST => '0',
WR_RST => inverted_reset,
RD_RST => inverted_reset,
WR_EN => wdch_we,
RD_EN => wdch_re,
PROG_FULL_THRESH => AXI_W_PROG_FULL_THRESH,
PROG_FULL_THRESH_ASSERT => (OTHERS => '0'),
PROG_FULL_THRESH_NEGATE => (OTHERS => '0'),
PROG_EMPTY_THRESH => AXI_W_PROG_EMPTY_THRESH,
PROG_EMPTY_THRESH_ASSERT => (OTHERS => '0'),
PROG_EMPTY_THRESH_NEGATE => (OTHERS => '0'),
INJECTDBITERR => AXI_W_INJECTDBITERR,
INJECTSBITERR => AXI_W_INJECTSBITERR,
DIN => wdch_din,
DOUT => wdch_dout,
FULL => wdch_full,
EMPTY => wdch_empty,
ALMOST_FULL => OPEN,
PROG_FULL => AXI_W_PROG_FULL,
ALMOST_EMPTY => OPEN,
PROG_EMPTY => AXI_W_PROG_EMPTY,
WR_ACK => OPEN,
OVERFLOW => axi_w_overflow_i,
VALID => OPEN,
UNDERFLOW => axi_w_underflow_i,
DATA_COUNT => AXI_W_DATA_COUNT,
RD_DATA_COUNT => AXI_W_RD_DATA_COUNT,
WR_DATA_COUNT => AXI_W_WR_DATA_COUNT,
SBITERR => AXI_W_SBITERR,
DBITERR => AXI_W_DBITERR,
WR_RST_BUSY => wr_rst_busy_wdch,
RD_RST_BUSY => rd_rst_busy_wdch
);
g8s_wdch_rdy: IF (IS_8SERIES = 1) GENERATE
g8s_bi_wdch_rdy: IF (C_IMPLEMENTATION_TYPE_WDCH = 5 OR C_IMPLEMENTATION_TYPE_WDCH = 13) GENERATE
wdch_s_axi_wready <= NOT (wdch_full OR wr_rst_busy_wdch);
END GENERATE g8s_bi_wdch_rdy;
g8s_nbi_wdch_rdy: IF (NOT (C_IMPLEMENTATION_TYPE_WDCH = 5 OR C_IMPLEMENTATION_TYPE_WDCH = 13)) GENERATE
wdch_s_axi_wready <= NOT (wdch_full);
END GENERATE g8s_nbi_wdch_rdy;
END GENERATE g8s_wdch_rdy;
g7s_wdch_rdy: IF (IS_8SERIES = 0) GENERATE
wdch_s_axi_wready <= NOT (wdch_full);
END GENERATE g7s_wdch_rdy;
wdch_m_axi_wvalid <= NOT wdch_empty;
S_AXI_WREADY <= wdch_s_axi_wready;
M_AXI_WVALID <= wdch_m_axi_wvalid;
gaxi_wr_ch_uf2: IF (C_USE_COMMON_UNDERFLOW = 0) GENERATE
AXI_W_UNDERFLOW <= axi_w_underflow_i;
END GENERATE gaxi_wr_ch_uf2;
gaxi_wr_ch_of2: IF (C_USE_COMMON_OVERFLOW = 0) GENERATE
AXI_W_OVERFLOW <= axi_w_overflow_i;
END GENERATE gaxi_wr_ch_of2;
END GENERATE gwdch2;
-- Register Slice for Write Data Channel
gwdch_reg_slice: IF (C_WDCH_TYPE = 1) GENERATE
wdch_reg_slice: fifo_generator_v11_0_axic_reg_slice
GENERIC MAP (
C_FAMILY => C_FAMILY,
C_DATA_WIDTH => C_DIN_WIDTH_WDCH,
C_REG_CONFIG => C_REG_SLICE_MODE_WDCH
)
PORT MAP(
-- System Signals
ACLK => S_ACLK,
ARESET => axi_rs_rst,
-- Slave side
S_PAYLOAD_DATA => wdch_din,
S_VALID => S_AXI_WVALID,
S_READY => S_AXI_WREADY,
-- Master side
M_PAYLOAD_DATA => wdch_dout,
M_VALID => M_AXI_WVALID,
M_READY => M_AXI_WREADY
);
END GENERATE gwdch_reg_slice;
gwrch2: IF (C_WRCH_TYPE = 0) GENERATE
SIGNAL wrch_we : STD_LOGIC := '0';
SIGNAL wrch_re : STD_LOGIC := '0';
BEGIN
wrch_we <= wrch_wr_en WHEN (C_HAS_SLAVE_CE = 0) ELSE wrch_wr_en AND S_ACLK_EN;
wrch_re <= wrch_rd_en WHEN (C_HAS_MASTER_CE = 0) ELSE wrch_rd_en AND M_ACLK_EN;
axi_wrch : fifo_generator_v11_0_conv -- Write Response Channel
GENERIC MAP (
C_FAMILY => C_FAMILY,
C_COMMON_CLOCK => C_COMMON_CLOCK,
C_MEMORY_TYPE => if_then_else((C_IMPLEMENTATION_TYPE_WRCH = 1 OR C_IMPLEMENTATION_TYPE_WRCH = 11),1,
if_then_else((C_IMPLEMENTATION_TYPE_WRCH = 2 OR C_IMPLEMENTATION_TYPE_WRCH = 12),2,4)),
C_IMPLEMENTATION_TYPE => if_then_else((C_IMPLEMENTATION_TYPE_WRCH = 1 OR C_IMPLEMENTATION_TYPE_WRCH = 2),0,
if_then_else((C_IMPLEMENTATION_TYPE_WRCH = 11 OR C_IMPLEMENTATION_TYPE_WRCH = 12),2,6)),
C_PRELOAD_REGS => 1, -- Always FWFT for AXI
C_PRELOAD_LATENCY => 0, -- Always FWFT for AXI
C_DIN_WIDTH => C_DIN_WIDTH_WRCH,
C_WR_DEPTH => C_WR_DEPTH_WRCH,
C_WR_PNTR_WIDTH => C_WR_PNTR_WIDTH_WRCH,
C_DOUT_WIDTH => C_DIN_WIDTH_WRCH,
C_RD_DEPTH => C_WR_DEPTH_WRCH,
C_RD_PNTR_WIDTH => C_WR_PNTR_WIDTH_WRCH,
C_PROG_FULL_TYPE => C_PROG_FULL_TYPE_WRCH,
C_PROG_FULL_THRESH_ASSERT_VAL => C_PROG_FULL_THRESH_ASSERT_VAL_WRCH,
C_PROG_EMPTY_TYPE => C_PROG_EMPTY_TYPE_WRCH,
C_PROG_EMPTY_THRESH_ASSERT_VAL => C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH,
C_USE_ECC => C_USE_ECC_WRCH,
C_ERROR_INJECTION_TYPE => C_ERROR_INJECTION_TYPE_WRCH,
C_HAS_ALMOST_EMPTY => 0,
C_HAS_ALMOST_FULL => 0,
-- Enable Low Latency Sync FIFO for Common Clock Built-in FIFO
C_FIFO_TYPE => C_APPLICATION_TYPE_WRCH,
C_SYNCHRONIZER_STAGE => C_SYNCHRONIZER_STAGE,
C_AXI_TYPE => if_then_else(C_INTERFACE_TYPE = 1, 0, C_AXI_TYPE),
C_HAS_WR_RST => 0,
C_HAS_RD_RST => 0,
C_HAS_RST => 1,
C_HAS_SRST => 0,
C_DOUT_RST_VAL => "0",
C_HAS_VALID => C_HAS_VALID,
C_VALID_LOW => C_VALID_LOW,
C_HAS_UNDERFLOW => C_HAS_UNDERFLOW,
C_UNDERFLOW_LOW => C_UNDERFLOW_LOW,
C_HAS_WR_ACK => C_HAS_WR_ACK,
C_WR_ACK_LOW => C_WR_ACK_LOW,
C_HAS_OVERFLOW => C_HAS_OVERFLOW,
C_OVERFLOW_LOW => C_OVERFLOW_LOW,
C_HAS_DATA_COUNT => if_then_else((C_COMMON_CLOCK = 1 AND C_HAS_DATA_COUNTS_WRCH = 1), 1, 0),
C_DATA_COUNT_WIDTH => C_WR_PNTR_WIDTH_WRCH+1,
C_HAS_RD_DATA_COUNT => if_then_else((C_COMMON_CLOCK = 0 AND C_HAS_DATA_COUNTS_WRCH = 1), 1, 0),
C_RD_DATA_COUNT_WIDTH => C_WR_PNTR_WIDTH_WRCH+1,
C_USE_FWFT_DATA_COUNT => 1, -- use extra logic is always true
C_HAS_WR_DATA_COUNT => if_then_else((C_COMMON_CLOCK = 0 AND C_HAS_DATA_COUNTS_WRCH = 1), 1, 0),
C_WR_DATA_COUNT_WIDTH => C_WR_PNTR_WIDTH_WRCH+1,
C_FULL_FLAGS_RST_VAL => 1,
C_USE_EMBEDDED_REG => 0,
C_USE_DOUT_RST => 0,
C_MSGON_VAL => C_MSGON_VAL,
C_ENABLE_RST_SYNC => 1,
C_COUNT_TYPE => C_COUNT_TYPE,
C_DEFAULT_VALUE => C_DEFAULT_VALUE,
C_ENABLE_RLOCS => C_ENABLE_RLOCS,
C_HAS_BACKUP => C_HAS_BACKUP,
C_HAS_INT_CLK => C_HAS_INT_CLK,
C_HAS_MEMINIT_FILE => C_HAS_MEMINIT_FILE,
C_INIT_WR_PNTR_VAL => C_INIT_WR_PNTR_VAL,
C_MIF_FILE_NAME => C_MIF_FILE_NAME,
C_OPTIMIZATION_MODE => C_OPTIMIZATION_MODE,
C_RD_FREQ => C_RD_FREQ,
C_USE_FIFO16_FLAGS => C_USE_FIFO16_FLAGS,
C_WR_FREQ => C_WR_FREQ,
C_WR_RESPONSE_LATENCY => C_WR_RESPONSE_LATENCY
)
PORT MAP(
--Inputs
BACKUP => BACKUP,
BACKUP_MARKER => BACKUP_MARKER,
INT_CLK => INT_CLK,
CLK => S_ACLK,
WR_CLK => M_ACLK,
RD_CLK => S_ACLK,
RST => inverted_reset,
SRST => '0',
WR_RST => inverted_reset,
RD_RST => inverted_reset,
WR_EN => wrch_we,
RD_EN => wrch_re,
PROG_FULL_THRESH => AXI_B_PROG_FULL_THRESH,
PROG_FULL_THRESH_ASSERT => (OTHERS => '0'),
PROG_FULL_THRESH_NEGATE => (OTHERS => '0'),
PROG_EMPTY_THRESH => AXI_B_PROG_EMPTY_THRESH,
PROG_EMPTY_THRESH_ASSERT => (OTHERS => '0'),
PROG_EMPTY_THRESH_NEGATE => (OTHERS => '0'),
INJECTDBITERR => AXI_B_INJECTDBITERR,
INJECTSBITERR => AXI_B_INJECTSBITERR,
DIN => wrch_din,
DOUT => wrch_dout,
FULL => wrch_full,
EMPTY => wrch_empty,
ALMOST_FULL => OPEN,
PROG_FULL => AXI_B_PROG_FULL,
ALMOST_EMPTY => OPEN,
PROG_EMPTY => AXI_B_PROG_EMPTY,
WR_ACK => OPEN,
OVERFLOW => axi_b_overflow_i,
VALID => OPEN,
UNDERFLOW => axi_b_underflow_i,
DATA_COUNT => AXI_B_DATA_COUNT,
RD_DATA_COUNT => AXI_B_RD_DATA_COUNT,
WR_DATA_COUNT => AXI_B_WR_DATA_COUNT,
SBITERR => AXI_B_SBITERR,
DBITERR => AXI_B_DBITERR,
WR_RST_BUSY => wr_rst_busy_wrch,
RD_RST_BUSY => rd_rst_busy_wrch
);
wrch_s_axi_bvalid <= NOT wrch_empty;
g8s_wrch_rdy: IF (IS_8SERIES = 1) GENERATE
g8s_bi_wrch_rdy: IF (C_IMPLEMENTATION_TYPE_WRCH = 5 OR C_IMPLEMENTATION_TYPE_WRCH = 13) GENERATE
wrch_m_axi_bready <= NOT (wrch_full OR wr_rst_busy_wrch);
END GENERATE g8s_bi_wrch_rdy;
g8s_nbi_wrch_rdy: IF (NOT (C_IMPLEMENTATION_TYPE_WRCH = 5 OR C_IMPLEMENTATION_TYPE_WRCH = 13)) GENERATE
wrch_m_axi_bready <= NOT (wrch_full);
END GENERATE g8s_nbi_wrch_rdy;
END GENERATE g8s_wrch_rdy;
g7s_wrch_rdy: IF (IS_8SERIES = 0) GENERATE
wrch_m_axi_bready <= NOT (wrch_full);
END GENERATE g7s_wrch_rdy;
S_AXI_BVALID <= wrch_s_axi_bvalid;
M_AXI_BREADY <= wrch_m_axi_bready;
gaxi_wr_ch_uf3: IF (C_USE_COMMON_UNDERFLOW = 0) GENERATE
AXI_B_UNDERFLOW <= axi_b_underflow_i;
END GENERATE gaxi_wr_ch_uf3;
gaxi_wr_ch_of3: IF (C_USE_COMMON_OVERFLOW = 0) GENERATE
AXI_B_OVERFLOW <= axi_b_overflow_i;
END GENERATE gaxi_wr_ch_of3;
END GENERATE gwrch2;
-- Register Slice for Write Response Channel
gwrch_reg_slice: IF (C_WRCH_TYPE = 1) GENERATE
wrch_reg_slice: fifo_generator_v11_0_axic_reg_slice
GENERIC MAP (
C_FAMILY => C_FAMILY,
C_DATA_WIDTH => C_DIN_WIDTH_WRCH,
C_REG_CONFIG => C_REG_SLICE_MODE_WRCH
)
PORT MAP(
-- System Signals
ACLK => S_ACLK,
ARESET => axi_rs_rst,
-- Slave side
S_PAYLOAD_DATA => wrch_din,
S_VALID => M_AXI_BVALID,
S_READY => M_AXI_BREADY,
-- Master side
M_PAYLOAD_DATA => wrch_dout,
M_VALID => S_AXI_BVALID,
M_READY => S_AXI_BREADY
);
END GENERATE gwrch_reg_slice;
gaxi_wr_ch_uf4: IF (C_USE_COMMON_UNDERFLOW = 1) GENERATE
axi_wr_underflow_i <= axi_aw_underflow_i OR axi_w_underflow_i OR axi_b_underflow_i;
END GENERATE gaxi_wr_ch_uf4;
gaxi_wr_ch_of4: IF (C_USE_COMMON_OVERFLOW = 1) GENERATE
axi_wr_overflow_i <= axi_aw_overflow_i OR axi_w_overflow_i OR axi_b_overflow_i;
END GENERATE gaxi_wr_ch_of4;
gaxi_pkt_fifo_wr: IF (C_APPLICATION_TYPE_WACH = 1) GENERATE
SIGNAL wr_pkt_count : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH_WDCH DOWNTO 0) := (OTHERS => '0');
SIGNAL txn_count_en_up : STD_LOGIC := '0';
SIGNAL txn_count_en_down : STD_LOGIC := '0';
BEGIN
txn_count_en_up <= wdch_s_axi_wready AND wdch_we AND wdch_din(0);
txn_count_en_down <= wach_m_axi_awvalid AND awready_pkt AND awvalid_en;
gaxi_mm_cc_pkt_wr: IF (C_COMMON_CLOCK = 1) GENERATE
proc_wr_txn_cnt: PROCESS (S_ACLK, inverted_reset)
BEGIN
IF (inverted_reset = '1') THEN
wr_pkt_count <= (OTHERS => '0');
ELSIF (S_ACLK'EVENT AND S_ACLK = '1') THEN
IF (txn_count_en_up = '1' AND txn_count_en_down = '0') THEN
wr_pkt_count <= wr_pkt_count + conv_std_logic_vector(1,C_WR_PNTR_WIDTH_WDCH+1);
ELSIF (txn_count_en_down = '1' AND txn_count_en_up = '0') THEN
wr_pkt_count <= wr_pkt_count - conv_std_logic_vector(1,C_WR_PNTR_WIDTH_WDCH+1);
END IF;
END IF;
END PROCESS proc_wr_txn_cnt;
awvalid_en <= '1' WHEN (wr_pkt_count > conv_std_logic_vector(0,C_WR_PNTR_WIDTH_WDCH)) ELSE '0';
END GENERATE gaxi_mm_cc_pkt_wr;
END GENERATE gaxi_pkt_fifo_wr;
END GENERATE gwrch;
grdch: IF (C_HAS_AXI_RD_CHANNEL = 1) GENERATE
SIGNAL rach_din : std_logic_vector(C_DIN_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL rach_dout : std_logic_vector(C_DIN_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL rach_dout_pkt : std_logic_vector(C_DIN_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL rach_full : std_logic := '0';
SIGNAL rach_almost_full : std_logic := '0';
SIGNAL rach_prog_full : std_logic := '0';
SIGNAL rach_empty : std_logic := '0';
SIGNAL rach_almost_empty : std_logic := '0';
SIGNAL rach_prog_empty : std_logic := '0';
SIGNAL rdch_din : std_logic_vector(C_DIN_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL rdch_dout : std_logic_vector(C_DIN_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL rdch_full : std_logic := '0';
SIGNAL rdch_almost_full : std_logic := '0';
SIGNAL rdch_prog_full : std_logic := '0';
SIGNAL rdch_empty : std_logic := '0';
SIGNAL rdch_almost_empty : std_logic := '0';
SIGNAL rdch_prog_empty : std_logic := '0';
SIGNAL axi_ar_underflow_i : std_logic := '0';
SIGNAL axi_ar_overflow_i : std_logic := '0';
SIGNAL axi_r_underflow_i : std_logic := '0';
SIGNAL axi_r_overflow_i : std_logic := '0';
SIGNAL rach_s_axi_arready : std_logic := '0';
SIGNAL rach_m_axi_arvalid : std_logic := '0';
SIGNAL rach_wr_en : std_logic := '0';
SIGNAL rach_rd_en : std_logic := '0';
SIGNAL rdch_m_axi_rready : std_logic := '0';
SIGNAL rdch_s_axi_rvalid : std_logic := '0';
SIGNAL rdch_wr_en : std_logic := '0';
SIGNAL rdch_rd_en : std_logic := '0';
SIGNAL arvalid_en : std_logic := '0';
SIGNAL arready_pkt : std_logic := '0';
SIGNAL rdch_re : STD_LOGIC := '0';
SIGNAL wr_rst_busy_rach : STD_LOGIC := '0';
SIGNAL wr_rst_busy_rdch : STD_LOGIC := '0';
SIGNAL rd_rst_busy_rach : STD_LOGIC := '0';
SIGNAL rd_rst_busy_rdch : STD_LOGIC := '0';
CONSTANT ARID_OFFSET : integer := if_then_else(C_AXI_TYPE /= 2 AND C_HAS_AXI_ID = 1,C_DIN_WIDTH_RACH - C_AXI_ID_WIDTH,C_DIN_WIDTH_RACH);
CONSTANT ARADDR_OFFSET : integer := ARID_OFFSET - C_AXI_ADDR_WIDTH;
CONSTANT ARLEN_OFFSET : integer := if_then_else(C_AXI_TYPE /= 2,ARADDR_OFFSET - C_AXI_LEN_WIDTH,ARADDR_OFFSET);
CONSTANT ARSIZE_OFFSET : integer := if_then_else(C_AXI_TYPE /= 2,ARLEN_OFFSET - C_AXI_SIZE_WIDTH,ARLEN_OFFSET);
CONSTANT ARBURST_OFFSET : integer := if_then_else(C_AXI_TYPE /= 2,ARSIZE_OFFSET - C_AXI_BURST_WIDTH,ARSIZE_OFFSET);
CONSTANT ARLOCK_OFFSET : integer := if_then_else(C_AXI_TYPE /= 2,ARBURST_OFFSET - C_AXI_LOCK_WIDTH,ARBURST_OFFSET);
CONSTANT ARCACHE_OFFSET : integer := if_then_else(C_AXI_TYPE /= 2,ARLOCK_OFFSET - C_AXI_CACHE_WIDTH,ARLOCK_OFFSET);
CONSTANT ARPROT_OFFSET : integer := ARCACHE_OFFSET - C_AXI_PROT_WIDTH;
CONSTANT ARQOS_OFFSET : integer := ARPROT_OFFSET - C_AXI_QOS_WIDTH;
CONSTANT ARREGION_OFFSET : integer := if_then_else(C_AXI_TYPE = 1,ARQOS_OFFSET - C_AXI_REGION_WIDTH,ARQOS_OFFSET);
CONSTANT ARUSER_OFFSET : integer := if_then_else(C_HAS_AXI_ARUSER = 1,ARREGION_OFFSET-C_AXI_ARUSER_WIDTH,ARREGION_OFFSET);
CONSTANT RID_OFFSET : integer := if_then_else(C_AXI_TYPE /= 2 AND C_HAS_AXI_ID = 1,C_DIN_WIDTH_RDCH - C_AXI_ID_WIDTH,C_DIN_WIDTH_RDCH);
CONSTANT RDATA_OFFSET : integer := RID_OFFSET - C_AXI_DATA_WIDTH;
CONSTANT RRESP_OFFSET : integer := RDATA_OFFSET - C_AXI_RRESP_WIDTH;
CONSTANT RUSER_OFFSET : integer := if_then_else(C_HAS_AXI_RUSER = 1,RRESP_OFFSET-C_AXI_RUSER_WIDTH,RRESP_OFFSET);
BEGIN
-- Form the DIN to FIFO by concatinating the AXI Full Write Address Channel optional ports
axi_full_din_rd_ch: IF (C_AXI_TYPE /= 2) GENERATE
grach1: IF (C_RACH_TYPE < 2) GENERATE
grach_din1: IF (C_HAS_AXI_ARUSER = 1) GENERATE
rach_din(ARREGION_OFFSET-1 DOWNTO ARUSER_OFFSET) <= S_AXI_ARUSER;
M_AXI_ARUSER <= rach_dout(ARREGION_OFFSET-1 DOWNTO ARUSER_OFFSET);
END GENERATE grach_din1;
grach_din2: IF (C_HAS_AXI_ARUSER = 0) GENERATE
M_AXI_ARUSER <= (OTHERS => '0');
END GENERATE grach_din2;
grach_din3: IF (C_HAS_AXI_ID = 1) GENERATE
rach_din(C_DIN_WIDTH_RACH-1 DOWNTO ARID_OFFSET) <= S_AXI_ARID;
M_AXI_ARID <= rach_dout(C_DIN_WIDTH_RACH-1 DOWNTO ARID_OFFSET);
END GENERATE grach_din3;
grach_din4: IF (C_HAS_AXI_ID = 0) GENERATE
M_AXI_ARID <= (OTHERS => '0');
END GENERATE grach_din4;
grach_din5: IF (C_AXI_TYPE = 1) GENERATE
rach_din(ARQOS_OFFSET-1 DOWNTO ARREGION_OFFSET) <= S_AXI_ARREGION;
M_AXI_ARREGION <= rach_dout(ARQOS_OFFSET-1 DOWNTO ARREGION_OFFSET);
END GENERATE grach_din5;
grach_din6: IF (C_AXI_TYPE = 0) GENERATE
M_AXI_ARREGION <= (OTHERS => '0');
END GENERATE grach_din6;
rach_din(ARID_OFFSET-1 DOWNTO ARADDR_OFFSET) <= S_AXI_ARADDR;
rach_din(ARADDR_OFFSET-1 DOWNTO ARLEN_OFFSET) <= S_AXI_ARLEN;
rach_din(ARLEN_OFFSET-1 DOWNTO ARSIZE_OFFSET) <= S_AXI_ARSIZE;
rach_din(ARSIZE_OFFSET-1 DOWNTO ARBURST_OFFSET) <= S_AXI_ARBURST;
rach_din(ARBURST_OFFSET-1 DOWNTO ARLOCK_OFFSET) <= S_AXI_ARLOCK;
rach_din(ARLOCK_OFFSET-1 DOWNTO ARCACHE_OFFSET) <= S_AXI_ARCACHE;
rach_din(ARCACHE_OFFSET-1 DOWNTO ARPROT_OFFSET) <= S_AXI_ARPROT;
rach_din(ARPROT_OFFSET-1 DOWNTO ARQOS_OFFSET) <= S_AXI_ARQOS;
M_AXI_ARADDR <= rach_dout(ARID_OFFSET-1 DOWNTO ARADDR_OFFSET);
M_AXI_ARLEN <= rach_dout(ARADDR_OFFSET-1 DOWNTO ARLEN_OFFSET);
M_AXI_ARSIZE <= rach_dout(ARLEN_OFFSET-1 DOWNTO ARSIZE_OFFSET);
M_AXI_ARBURST <= rach_dout(ARSIZE_OFFSET-1 DOWNTO ARBURST_OFFSET);
M_AXI_ARLOCK <= rach_dout(ARBURST_OFFSET-1 DOWNTO ARLOCK_OFFSET);
M_AXI_ARCACHE <= rach_dout(ARLOCK_OFFSET-1 DOWNTO ARCACHE_OFFSET);
M_AXI_ARPROT <= rach_dout(ARCACHE_OFFSET-1 DOWNTO ARPROT_OFFSET);
M_AXI_ARQOS <= rach_dout(ARPROT_OFFSET-1 DOWNTO ARQOS_OFFSET);
END GENERATE grach1;
-- Generate the DIN to FIFO by concatinating the AXI Full Write Data Channel optional ports
grdch1: IF (C_RDCH_TYPE < 2) GENERATE
grdch_din1: IF (C_HAS_AXI_RUSER = 1) GENERATE
rdch_din(RRESP_OFFSET-1 DOWNTO RUSER_OFFSET) <= M_AXI_RUSER;
S_AXI_RUSER <= rdch_dout(RRESP_OFFSET-1 DOWNTO RUSER_OFFSET);
END GENERATE grdch_din1;
grdch_din2: IF (C_HAS_AXI_RUSER = 0) GENERATE
S_AXI_RUSER <= (OTHERS => '0');
END GENERATE grdch_din2;
grdch_din3: IF (C_HAS_AXI_ID = 1) GENERATE
rdch_din(C_DIN_WIDTH_RDCH-1 DOWNTO RID_OFFSET) <= M_AXI_RID;
S_AXI_RID <= rdch_dout(C_DIN_WIDTH_RDCH-1 DOWNTO RID_OFFSET);
END GENERATE grdch_din3;
grdch_din4: IF (C_HAS_AXI_ID = 0) GENERATE
S_AXI_RID <= (OTHERS => '0');
END GENERATE grdch_din4;
rdch_din(RID_OFFSET-1 DOWNTO RDATA_OFFSET) <= M_AXI_RDATA;
rdch_din(RDATA_OFFSET-1 DOWNTO RRESP_OFFSET) <= M_AXI_RRESP;
rdch_din(0) <= M_AXI_RLAST;
S_AXI_RDATA <= rdch_dout(RID_OFFSET-1 DOWNTO RDATA_OFFSET);
S_AXI_RRESP <= rdch_dout(RDATA_OFFSET-1 DOWNTO RRESP_OFFSET);
S_AXI_RLAST <= rdch_dout(0);
END GENERATE grdch1;
END GENERATE axi_full_din_rd_ch;
-- Form the DIN to FIFO by concatinating the AXI Lite Read Address Channel optional ports
axi_lite_din_rd_ch: IF (C_AXI_TYPE = 2) GENERATE
grach1: IF (C_RACH_TYPE < 2) GENERATE
rach_din <= S_AXI_ARADDR & S_AXI_ARPROT;
M_AXI_ARADDR <= rach_dout(C_DIN_WIDTH_RACH-1 DOWNTO ARADDR_OFFSET);
M_AXI_ARPROT <= rach_dout(ARADDR_OFFSET-1 DOWNTO ARPROT_OFFSET);
END GENERATE grach1;
grdch1: IF (C_RDCH_TYPE < 2) GENERATE
rdch_din <= M_AXI_RDATA & M_AXI_RRESP;
S_AXI_RDATA <= rdch_dout(C_DIN_WIDTH_RDCH-1 DOWNTO RDATA_OFFSET);
S_AXI_RRESP <= rdch_dout(RDATA_OFFSET-1 DOWNTO RRESP_OFFSET);
END GENERATE grdch1;
END GENERATE axi_lite_din_rd_ch;
-- Write protection for Read Address Channel
-- When FULL is high, pass VALID as a WR_EN to the FIFO to get OVERFLOW interrupt
grach_wr_en1: IF (C_PROG_FULL_TYPE_RACH = 0) GENERATE
rach_wr_en <= S_AXI_ARVALID;
END GENERATE grach_wr_en1;
-- When ALMOST_FULL or PROG_FULL is high, then shield the FIFO from becoming FULL
grach_wr_en2: IF (C_PROG_FULL_TYPE_RACH /= 0) GENERATE
rach_wr_en <= rach_s_axi_arready AND S_AXI_ARVALID;
END GENERATE grach_wr_en2;
-- Write protection for Read Data Channel
-- When FULL is high, pass VALID as a WR_EN to the FIFO to get OVERFLOW interrupt
grdch_wr_en1: IF (C_PROG_FULL_TYPE_RDCH = 0) GENERATE
rdch_wr_en <= M_AXI_RVALID;
END GENERATE grdch_wr_en1;
-- When ALMOST_FULL or PROG_FULL is high, then shield the FIFO from becoming FULL
grdch_wr_en2: IF (C_PROG_FULL_TYPE_RDCH /= 0) GENERATE
rdch_wr_en <= rdch_m_axi_rready AND M_AXI_RVALID;
END GENERATE grdch_wr_en2;
-- Read protection for Read Address Channel
-- When EMPTY is low, pass READY as a RD_EN to the FIFO to get UNDERFLOW interrupt
grach_rd_en1: IF (C_PROG_EMPTY_TYPE_RACH = 0) GENERATE
gpkt_mm_rach_rd_en1: IF (C_APPLICATION_TYPE_RACH = 1) GENERATE
rach_rd_en <= arready_pkt AND arvalid_en;
END GENERATE;
gnpkt_mm_rach_rd_en1: IF (C_APPLICATION_TYPE_RACH /= 1) GENERATE
rach_rd_en <= M_AXI_ARREADY;
END GENERATE;
END GENERATE grach_rd_en1;
-- When ALMOST_EMPTY or PROG_EMPTY is low, then shield the FIFO from becoming EMPTY
grach_rd_en2: IF (C_PROG_EMPTY_TYPE_RACH /= 0) GENERATE
gaxi_mm_rach_rd_en2: IF (C_APPLICATION_TYPE_RACH = 1) GENERATE
rach_rd_en <= rach_m_axi_arvalid AND arready_pkt AND arvalid_en;
END GENERATE gaxi_mm_rach_rd_en2;
gnaxi_mm_rach_rd_en2: IF (C_APPLICATION_TYPE_RACH /= 1) GENERATE
rach_rd_en <= rach_m_axi_arvalid AND M_AXI_ARREADY;
END GENERATE gnaxi_mm_rach_rd_en2;
END GENERATE grach_rd_en2;
-- Read protection for Read Data Channel
-- When EMPTY is low, pass READY as a RD_EN to the FIFO to get UNDERFLOW interrupt
grdch_rd_en1: IF (C_PROG_EMPTY_TYPE_RDCH = 0) GENERATE
rdch_rd_en <= S_AXI_RREADY;
END GENERATE grdch_rd_en1;
-- When ALMOST_EMPTY or PROG_EMPTY is low, then shield the FIFO from becoming EMPTY
grdch_rd_en2: IF (C_PROG_EMPTY_TYPE_RDCH /= 0) GENERATE
rdch_rd_en <= rdch_s_axi_rvalid AND S_AXI_RREADY;
END GENERATE grdch_rd_en2;
grach2: IF (C_RACH_TYPE = 0) GENERATE
SIGNAL rach_we : STD_LOGIC := '0';
SIGNAL rach_re : STD_LOGIC := '0';
BEGIN
rach_we <= rach_wr_en WHEN (C_HAS_SLAVE_CE = 0) ELSE rach_wr_en AND S_ACLK_EN;
rach_re <= rach_rd_en WHEN (C_HAS_MASTER_CE = 0) ELSE rach_rd_en AND M_ACLK_EN;
axi_rach : fifo_generator_v11_0_conv
GENERIC MAP (
C_FAMILY => C_FAMILY,
C_COMMON_CLOCK => C_COMMON_CLOCK,
C_MEMORY_TYPE => if_then_else((C_IMPLEMENTATION_TYPE_RACH = 1 OR C_IMPLEMENTATION_TYPE_RACH = 11),1,
if_then_else((C_IMPLEMENTATION_TYPE_RACH = 2 OR C_IMPLEMENTATION_TYPE_RACH = 12),2,4)),
C_IMPLEMENTATION_TYPE => if_then_else((C_IMPLEMENTATION_TYPE_RACH = 1 OR C_IMPLEMENTATION_TYPE_RACH = 2),0,
if_then_else((C_IMPLEMENTATION_TYPE_RACH = 11 OR C_IMPLEMENTATION_TYPE_RACH = 12),2,6)),
C_PRELOAD_REGS => 1, -- Always FWFT for AXI
C_PRELOAD_LATENCY => 0, -- Always FWFT for AXI
C_DIN_WIDTH => C_DIN_WIDTH_RACH,
C_WR_DEPTH => C_WR_DEPTH_RACH,
C_WR_PNTR_WIDTH => C_WR_PNTR_WIDTH_RACH,
C_DOUT_WIDTH => C_DIN_WIDTH_RACH,
C_RD_DEPTH => C_WR_DEPTH_RACH,
C_RD_PNTR_WIDTH => C_WR_PNTR_WIDTH_RACH,
C_PROG_FULL_TYPE => C_PROG_FULL_TYPE_RACH,
C_PROG_FULL_THRESH_ASSERT_VAL => C_PROG_FULL_THRESH_ASSERT_VAL_RACH,
C_PROG_EMPTY_TYPE => C_PROG_EMPTY_TYPE_RACH,
C_PROG_EMPTY_THRESH_ASSERT_VAL => C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH,
C_USE_ECC => C_USE_ECC_RACH,
C_ERROR_INJECTION_TYPE => C_ERROR_INJECTION_TYPE_RACH,
C_HAS_ALMOST_EMPTY => 0,
C_HAS_ALMOST_FULL => 0,
-- Enable Low Latency Sync FIFO for Common Clock Built-in FIFO
C_FIFO_TYPE => if_then_else((C_APPLICATION_TYPE_RACH = 1),0,C_APPLICATION_TYPE_RACH),
C_SYNCHRONIZER_STAGE => C_SYNCHRONIZER_STAGE,
C_AXI_TYPE => if_then_else(C_INTERFACE_TYPE = 1, 0, C_AXI_TYPE),
C_HAS_WR_RST => 0,
C_HAS_RD_RST => 0,
C_HAS_RST => 1,
C_HAS_SRST => 0,
C_DOUT_RST_VAL => "0",
C_HAS_VALID => C_HAS_VALID,
C_VALID_LOW => C_VALID_LOW,
C_HAS_UNDERFLOW => C_HAS_UNDERFLOW,
C_UNDERFLOW_LOW => C_UNDERFLOW_LOW,
C_HAS_WR_ACK => C_HAS_WR_ACK,
C_WR_ACK_LOW => C_WR_ACK_LOW,
C_HAS_OVERFLOW => C_HAS_OVERFLOW,
C_OVERFLOW_LOW => C_OVERFLOW_LOW,
C_HAS_DATA_COUNT => if_then_else((C_COMMON_CLOCK = 1 AND C_HAS_DATA_COUNTS_RACH = 1), 1, 0),
C_DATA_COUNT_WIDTH => C_WR_PNTR_WIDTH_RACH+1,
C_HAS_RD_DATA_COUNT => if_then_else((C_COMMON_CLOCK = 0 AND C_HAS_DATA_COUNTS_RACH = 1), 1, 0),
C_RD_DATA_COUNT_WIDTH => C_WR_PNTR_WIDTH_RACH+1,
C_USE_FWFT_DATA_COUNT => 1, -- use extra logic is always true
C_HAS_WR_DATA_COUNT => if_then_else((C_COMMON_CLOCK = 0 AND C_HAS_DATA_COUNTS_RACH = 1), 1, 0),
C_WR_DATA_COUNT_WIDTH => C_WR_PNTR_WIDTH_RACH+1,
C_FULL_FLAGS_RST_VAL => 1,
C_USE_EMBEDDED_REG => 0,
C_USE_DOUT_RST => 0,
C_MSGON_VAL => C_MSGON_VAL,
C_ENABLE_RST_SYNC => 1,
C_COUNT_TYPE => C_COUNT_TYPE,
C_DEFAULT_VALUE => C_DEFAULT_VALUE,
C_ENABLE_RLOCS => C_ENABLE_RLOCS,
C_HAS_BACKUP => C_HAS_BACKUP,
C_HAS_INT_CLK => C_HAS_INT_CLK,
C_HAS_MEMINIT_FILE => C_HAS_MEMINIT_FILE,
C_INIT_WR_PNTR_VAL => C_INIT_WR_PNTR_VAL,
C_MIF_FILE_NAME => C_MIF_FILE_NAME,
C_OPTIMIZATION_MODE => C_OPTIMIZATION_MODE,
C_WR_FREQ => C_WR_FREQ,
C_USE_FIFO16_FLAGS => C_USE_FIFO16_FLAGS,
C_RD_FREQ => C_RD_FREQ,
C_WR_RESPONSE_LATENCY => C_WR_RESPONSE_LATENCY
)
PORT MAP(
--Inputs
BACKUP => BACKUP,
BACKUP_MARKER => BACKUP_MARKER,
INT_CLK => INT_CLK,
CLK => S_ACLK,
WR_CLK => S_ACLK,
RD_CLK => M_ACLK,
RST => inverted_reset,
SRST => '0',
WR_RST => inverted_reset,
RD_RST => inverted_reset,
WR_EN => rach_we,
RD_EN => rach_re,
PROG_FULL_THRESH => AXI_AR_PROG_FULL_THRESH,
PROG_FULL_THRESH_ASSERT => (OTHERS => '0'),
PROG_FULL_THRESH_NEGATE => (OTHERS => '0'),
PROG_EMPTY_THRESH => AXI_AR_PROG_EMPTY_THRESH,
PROG_EMPTY_THRESH_ASSERT => (OTHERS => '0'),
PROG_EMPTY_THRESH_NEGATE => (OTHERS => '0'),
INJECTDBITERR => AXI_AR_INJECTDBITERR,
INJECTSBITERR => AXI_AR_INJECTSBITERR,
DIN => rach_din,
DOUT => rach_dout_pkt,
FULL => rach_full,
EMPTY => rach_empty,
ALMOST_FULL => OPEN,
PROG_FULL => AXI_AR_PROG_FULL,
ALMOST_EMPTY => OPEN,
PROG_EMPTY => AXI_AR_PROG_EMPTY,
WR_ACK => OPEN,
OVERFLOW => axi_ar_overflow_i,
VALID => OPEN,
UNDERFLOW => axi_ar_underflow_i,
DATA_COUNT => AXI_AR_DATA_COUNT,
RD_DATA_COUNT => AXI_AR_RD_DATA_COUNT,
WR_DATA_COUNT => AXI_AR_WR_DATA_COUNT,
SBITERR => AXI_AR_SBITERR,
DBITERR => AXI_AR_DBITERR,
WR_RST_BUSY => wr_rst_busy_rach,
RD_RST_BUSY => rd_rst_busy_rach
);
g8s_rach_rdy: IF (IS_8SERIES = 1) GENERATE
g8s_bi_rach_rdy: IF (C_IMPLEMENTATION_TYPE_RACH = 5 OR C_IMPLEMENTATION_TYPE_RACH = 13) GENERATE
rach_s_axi_arready <= NOT (rach_full OR wr_rst_busy_rach);
END GENERATE g8s_bi_rach_rdy;
g8s_nbi_rach_rdy: IF (NOT (C_IMPLEMENTATION_TYPE_RACH = 5 OR C_IMPLEMENTATION_TYPE_RACH = 13)) GENERATE
rach_s_axi_arready <= NOT (rach_full);
END GENERATE g8s_nbi_rach_rdy;
END GENERATE g8s_rach_rdy;
g7s_rach_rdy: IF (IS_8SERIES = 0) GENERATE
rach_s_axi_arready <= NOT (rach_full);
END GENERATE g7s_rach_rdy;
rach_m_axi_arvalid <= NOT rach_empty;
S_AXI_ARREADY <= rach_s_axi_arready;
gaxi_arvld: IF (C_APPLICATION_TYPE_RACH = 1) GENERATE
SIGNAL arvalid_pkt : STD_LOGIC := '0';
BEGIN
arvalid_pkt <= rach_m_axi_arvalid AND arvalid_en;
rach_pkt_reg_slice: fifo_generator_v11_0_axic_reg_slice
GENERIC MAP (
C_FAMILY => C_FAMILY,
C_DATA_WIDTH => C_DIN_WIDTH_RACH,
C_REG_CONFIG => 1
)
PORT MAP(
-- System Signals
ACLK => S_ACLK,
ARESET => inverted_reset,
-- Slave side
S_PAYLOAD_DATA => rach_dout_pkt,
S_VALID => arvalid_pkt,
S_READY => arready_pkt,
-- Master side
M_PAYLOAD_DATA => rach_dout,
M_VALID => M_AXI_ARVALID,
M_READY => M_AXI_ARREADY
);
END GENERATE gaxi_arvld;
gnaxi_arvld: IF (C_APPLICATION_TYPE_RACH /= 1) GENERATE
M_AXI_ARVALID <= rach_m_axi_arvalid;
rach_dout <= rach_dout_pkt;
END GENERATE gnaxi_arvld;
gaxi_rd_ch_uf1: IF (C_USE_COMMON_UNDERFLOW = 0) GENERATE
AXI_AR_UNDERFLOW <= axi_ar_underflow_i;
END GENERATE gaxi_rd_ch_uf1;
gaxi_rd_ch_of1: IF (C_USE_COMMON_OVERFLOW = 0) GENERATE
AXI_AR_OVERFLOW <= axi_ar_overflow_i;
END GENERATE gaxi_rd_ch_of1;
END GENERATE grach2;
-- Register Slice for Read Address Channel
grach_reg_slice: IF (C_RACH_TYPE = 1) GENERATE
rach_reg_slice: fifo_generator_v11_0_axic_reg_slice
GENERIC MAP (
C_FAMILY => C_FAMILY,
C_DATA_WIDTH => C_DIN_WIDTH_RACH,
C_REG_CONFIG => C_REG_SLICE_MODE_RACH
)
PORT MAP(
-- System Signals
ACLK => S_ACLK,
ARESET => axi_rs_rst,
-- Slave side
S_PAYLOAD_DATA => rach_din,
S_VALID => S_AXI_ARVALID,
S_READY => S_AXI_ARREADY,
-- Master side
M_PAYLOAD_DATA => rach_dout,
M_VALID => M_AXI_ARVALID,
M_READY => M_AXI_ARREADY
);
END GENERATE grach_reg_slice;
grdch2: IF (C_RDCH_TYPE = 0) GENERATE
SIGNAL rdch_we : STD_LOGIC := '0';
BEGIN
rdch_we <= rdch_wr_en WHEN (C_HAS_SLAVE_CE = 0) ELSE rdch_wr_en AND S_ACLK_EN;
rdch_re <= rdch_rd_en WHEN (C_HAS_MASTER_CE = 0) ELSE rdch_rd_en AND M_ACLK_EN;
axi_rdch : fifo_generator_v11_0_conv
GENERIC MAP (
C_FAMILY => C_FAMILY,
C_COMMON_CLOCK => C_COMMON_CLOCK,
C_MEMORY_TYPE => if_then_else((C_IMPLEMENTATION_TYPE_RDCH = 1 OR C_IMPLEMENTATION_TYPE_RDCH = 11),1,
if_then_else((C_IMPLEMENTATION_TYPE_RDCH = 2 OR C_IMPLEMENTATION_TYPE_RDCH = 12),2,4)),
C_IMPLEMENTATION_TYPE => if_then_else((C_IMPLEMENTATION_TYPE_RDCH = 1 OR C_IMPLEMENTATION_TYPE_RDCH = 2),0,
if_then_else((C_IMPLEMENTATION_TYPE_RDCH = 11 OR C_IMPLEMENTATION_TYPE_RDCH = 12),2,6)),
C_PRELOAD_REGS => 1, -- Always FWFT for AXI
C_PRELOAD_LATENCY => 0, -- Always FWFT for AXI
C_DIN_WIDTH => C_DIN_WIDTH_RDCH,
C_WR_DEPTH => C_WR_DEPTH_RDCH,
C_WR_PNTR_WIDTH => C_WR_PNTR_WIDTH_RDCH,
C_DOUT_WIDTH => C_DIN_WIDTH_RDCH,
C_RD_DEPTH => C_WR_DEPTH_RDCH,
C_RD_PNTR_WIDTH => C_WR_PNTR_WIDTH_RDCH,
C_PROG_FULL_TYPE => C_PROG_FULL_TYPE_RDCH,
C_PROG_FULL_THRESH_ASSERT_VAL => C_PROG_FULL_THRESH_ASSERT_VAL_RDCH,
C_PROG_EMPTY_TYPE => C_PROG_EMPTY_TYPE_RDCH,
C_PROG_EMPTY_THRESH_ASSERT_VAL => C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH,
C_USE_ECC => C_USE_ECC_RDCH,
C_ERROR_INJECTION_TYPE => C_ERROR_INJECTION_TYPE_RDCH,
C_HAS_ALMOST_EMPTY => 0,
C_HAS_ALMOST_FULL => 0,
-- Enable Low Latency Sync FIFO for Common Clock Built-in FIFO
C_FIFO_TYPE => C_APPLICATION_TYPE_RDCH,
C_SYNCHRONIZER_STAGE => C_SYNCHRONIZER_STAGE,
C_AXI_TYPE => if_then_else(C_INTERFACE_TYPE = 1, 0, C_AXI_TYPE),
C_HAS_WR_RST => 0,
C_HAS_RD_RST => 0,
C_HAS_RST => 1,
C_HAS_SRST => 0,
C_DOUT_RST_VAL => "0",
C_HAS_VALID => C_HAS_VALID,
C_VALID_LOW => C_VALID_LOW,
C_HAS_UNDERFLOW => C_HAS_UNDERFLOW,
C_UNDERFLOW_LOW => C_UNDERFLOW_LOW,
C_HAS_WR_ACK => C_HAS_WR_ACK,
C_WR_ACK_LOW => C_WR_ACK_LOW,
C_HAS_OVERFLOW => C_HAS_OVERFLOW,
C_OVERFLOW_LOW => C_OVERFLOW_LOW,
C_HAS_DATA_COUNT => if_then_else((C_COMMON_CLOCK = 1 AND C_HAS_DATA_COUNTS_RDCH = 1), 1, 0),
C_DATA_COUNT_WIDTH => C_WR_PNTR_WIDTH_RDCH+1,
C_HAS_RD_DATA_COUNT => if_then_else((C_COMMON_CLOCK = 0 AND C_HAS_DATA_COUNTS_RDCH = 1), 1, 0),
C_RD_DATA_COUNT_WIDTH => C_WR_PNTR_WIDTH_RDCH+1,
C_USE_FWFT_DATA_COUNT => 1, -- use extra logic is always true
C_HAS_WR_DATA_COUNT => if_then_else((C_COMMON_CLOCK = 0 AND C_HAS_DATA_COUNTS_RDCH = 1), 1, 0),
C_WR_DATA_COUNT_WIDTH => C_WR_PNTR_WIDTH_RDCH+1,
C_FULL_FLAGS_RST_VAL => 1,
C_USE_EMBEDDED_REG => 0,
C_USE_DOUT_RST => 0,
C_MSGON_VAL => C_MSGON_VAL,
C_ENABLE_RST_SYNC => 1,
C_COUNT_TYPE => C_COUNT_TYPE,
C_DEFAULT_VALUE => C_DEFAULT_VALUE,
C_ENABLE_RLOCS => C_ENABLE_RLOCS,
C_HAS_BACKUP => C_HAS_BACKUP,
C_HAS_INT_CLK => C_HAS_INT_CLK,
C_HAS_MEMINIT_FILE => C_HAS_MEMINIT_FILE,
C_INIT_WR_PNTR_VAL => C_INIT_WR_PNTR_VAL,
C_MIF_FILE_NAME => C_MIF_FILE_NAME,
C_OPTIMIZATION_MODE => C_OPTIMIZATION_MODE,
C_WR_FREQ => C_WR_FREQ,
C_USE_FIFO16_FLAGS => C_USE_FIFO16_FLAGS,
C_RD_FREQ => C_RD_FREQ,
C_WR_RESPONSE_LATENCY => C_WR_RESPONSE_LATENCY
)
PORT MAP(
--Inputs
BACKUP => BACKUP,
BACKUP_MARKER => BACKUP_MARKER,
INT_CLK => INT_CLK,
CLK => S_ACLK,
WR_CLK => M_ACLK,
RD_CLK => S_ACLK,
RST => inverted_reset,
SRST => '0',
WR_RST => inverted_reset,
RD_RST => inverted_reset,
WR_EN => rdch_we,
RD_EN => rdch_re,
PROG_FULL_THRESH => AXI_R_PROG_FULL_THRESH,
PROG_FULL_THRESH_ASSERT => (OTHERS => '0'),
PROG_FULL_THRESH_NEGATE => (OTHERS => '0'),
PROG_EMPTY_THRESH => AXI_R_PROG_EMPTY_THRESH,
PROG_EMPTY_THRESH_ASSERT => (OTHERS => '0'),
PROG_EMPTY_THRESH_NEGATE => (OTHERS => '0'),
INJECTDBITERR => AXI_R_INJECTDBITERR,
INJECTSBITERR => AXI_R_INJECTSBITERR,
DIN => rdch_din,
DOUT => rdch_dout,
FULL => rdch_full,
EMPTY => rdch_empty,
ALMOST_FULL => OPEN,
PROG_FULL => AXI_R_PROG_FULL,
ALMOST_EMPTY => OPEN,
PROG_EMPTY => AXI_R_PROG_EMPTY,
WR_ACK => OPEN,
OVERFLOW => axi_r_overflow_i,
VALID => OPEN,
UNDERFLOW => axi_r_underflow_i,
DATA_COUNT => AXI_R_DATA_COUNT,
RD_DATA_COUNT => AXI_R_RD_DATA_COUNT,
WR_DATA_COUNT => AXI_R_WR_DATA_COUNT,
SBITERR => AXI_R_SBITERR,
DBITERR => AXI_R_DBITERR,
WR_RST_BUSY => wr_rst_busy_rdch,
RD_RST_BUSY => rd_rst_busy_rdch
);
rdch_s_axi_rvalid <= NOT rdch_empty;
g8s_rdch_rdy: IF (IS_8SERIES = 1) GENERATE
g8s_bi_rdch_rdy: IF (C_IMPLEMENTATION_TYPE_RDCH = 5 OR C_IMPLEMENTATION_TYPE_RDCH = 13) GENERATE
rdch_m_axi_rready <= NOT (rdch_full OR wr_rst_busy_rdch);
END GENERATE g8s_bi_rdch_rdy;
g8s_nbi_rdch_rdy: IF (NOT (C_IMPLEMENTATION_TYPE_RDCH = 5 OR C_IMPLEMENTATION_TYPE_RDCH = 13)) GENERATE
rdch_m_axi_rready <= NOT (rdch_full);
END GENERATE g8s_nbi_rdch_rdy;
END GENERATE g8s_rdch_rdy;
g7s_rdch_rdy: IF (IS_8SERIES = 0) GENERATE
rdch_m_axi_rready <= NOT (rdch_full);
END GENERATE g7s_rdch_rdy;
S_AXI_RVALID <= rdch_s_axi_rvalid;
M_AXI_RREADY <= rdch_m_axi_rready;
gaxi_rd_ch_uf2: IF (C_USE_COMMON_UNDERFLOW = 0) GENERATE
AXI_R_UNDERFLOW <= axi_r_underflow_i;
END GENERATE gaxi_rd_ch_uf2;
gaxi_rd_ch_of2: IF (C_USE_COMMON_OVERFLOW = 0) GENERATE
AXI_R_OVERFLOW <= axi_r_overflow_i;
END GENERATE gaxi_rd_ch_of2;
END GENERATE grdch2;
-- Register Slice for Read Data Channel
grdch_reg_slice: IF (C_RDCH_TYPE = 1) GENERATE
rdch_reg_slice: fifo_generator_v11_0_axic_reg_slice
GENERIC MAP (
C_FAMILY => C_FAMILY,
C_DATA_WIDTH => C_DIN_WIDTH_RDCH,
C_REG_CONFIG => C_REG_SLICE_MODE_RDCH
)
PORT MAP(
-- System Signals
ACLK => S_ACLK,
ARESET => axi_rs_rst,
-- Slave side
S_PAYLOAD_DATA => rdch_din,
S_VALID => M_AXI_RVALID,
S_READY => M_AXI_RREADY,
-- Master side
M_PAYLOAD_DATA => rdch_dout,
M_VALID => S_AXI_RVALID,
M_READY => S_AXI_RREADY
);
END GENERATE grdch_reg_slice;
gaxi_rd_ch_uf3: IF (C_USE_COMMON_UNDERFLOW = 1) GENERATE
axi_rd_underflow_i <= axi_ar_underflow_i OR axi_r_underflow_i;
END GENERATE gaxi_rd_ch_uf3;
gaxi_rd_ch_of3: IF (C_USE_COMMON_OVERFLOW = 1) GENERATE
axi_rd_overflow_i <= axi_ar_overflow_i OR axi_r_overflow_i;
END GENERATE gaxi_rd_ch_of3;
gaxi_pkt_fifo_rd: IF (C_APPLICATION_TYPE_RACH = 1) GENERATE
SIGNAL rd_burst_length : STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0');
SIGNAL rd_fifo_free_space : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH_RDCH DOWNTO 0) := (OTHERS => '0');
SIGNAL rd_fifo_committed_space : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH_RDCH DOWNTO 0) := (OTHERS => '0');
SIGNAL txn_count_en_up : STD_LOGIC := '0';
SIGNAL txn_count_en_down : STD_LOGIC := '0';
SIGNAL rdch_rd_ok : STD_LOGIC := '0';
SIGNAL accept_next_pkt : STD_LOGIC := '0';
SIGNAL decrement_val : INTEGER := 0;
BEGIN
rd_burst_length <= ('0' & rach_dout_pkt(ARADDR_OFFSET-1 DOWNTO ARLEN_OFFSET)) + conv_std_logic_vector(1,9);
accept_next_pkt <= rach_m_axi_arvalid AND arready_pkt AND arvalid_en;
rdch_rd_ok <= rdch_re AND rdch_s_axi_rvalid;
arvalid_en <= '1' WHEN (rd_fifo_free_space >= rd_burst_length) ELSE '0';
gaxi_mm_cc_pkt_rd: IF (C_COMMON_CLOCK = 1) GENERATE
rd_fifo_free_space <= conv_std_logic_vector(C_WR_DEPTH_RDCH-conv_integer(rd_fifo_committed_space),C_WR_PNTR_WIDTH_RDCH+1);
decrement_val <= 1 WHEN (rdch_rd_ok = '1') ELSE 0;
proc_rd_txn_cnt: PROCESS (S_ACLK, inverted_reset)
BEGIN
IF (inverted_reset = '1') THEN
rd_fifo_committed_space <= (OTHERS => '0');
ELSIF (S_ACLK'EVENT AND S_ACLK = '1') THEN
IF (accept_next_pkt = '1') THEN
-- Subtract 1 if read happens on read data FIFO while adding ARLEN
rd_fifo_committed_space <= rd_fifo_committed_space + conv_std_logic_vector((conv_integer(rd_burst_length) - decrement_val), C_WR_PNTR_WIDTH_RDCH+1);
ELSIF (rdch_rd_ok = '1') THEN
-- Subtract 1 whenever read happens on read data FIFO
rd_fifo_committed_space <= rd_fifo_committed_space - conv_std_logic_vector(1,C_WR_PNTR_WIDTH_RDCH+1);
END IF;
END IF;
END PROCESS proc_rd_txn_cnt;
END GENERATE gaxi_mm_cc_pkt_rd;
END GENERATE gaxi_pkt_fifo_rd;
END GENERATE grdch;
gaxi_comm_uf: IF (C_USE_COMMON_UNDERFLOW = 1) GENERATE
grdwr_uf1: IF (C_HAS_AXI_WR_CHANNEL = 1 AND C_HAS_AXI_RD_CHANNEL = 1) GENERATE
UNDERFLOW <= axi_wr_underflow_i OR axi_rd_underflow_i;
END GENERATE grdwr_uf1;
grdwr_uf2: IF (C_HAS_AXI_WR_CHANNEL = 1 AND C_HAS_AXI_RD_CHANNEL = 0) GENERATE
UNDERFLOW <= axi_wr_underflow_i;
END GENERATE grdwr_uf2;
grdwr_uf3: IF (C_HAS_AXI_WR_CHANNEL = 0 AND C_HAS_AXI_RD_CHANNEL = 1) GENERATE
UNDERFLOW <= axi_rd_underflow_i;
END GENERATE grdwr_uf3;
END GENERATE gaxi_comm_uf;
gaxi_comm_of: IF (C_USE_COMMON_OVERFLOW = 1) GENERATE
grdwr_of1: IF (C_HAS_AXI_WR_CHANNEL = 1 AND C_HAS_AXI_RD_CHANNEL = 1) GENERATE
OVERFLOW <= axi_wr_overflow_i OR axi_rd_overflow_i;
END GENERATE grdwr_of1;
grdwr_of2: IF (C_HAS_AXI_WR_CHANNEL = 1 AND C_HAS_AXI_RD_CHANNEL = 0) GENERATE
OVERFLOW <= axi_wr_overflow_i;
END GENERATE grdwr_of2;
grdwr_of3: IF (C_HAS_AXI_WR_CHANNEL = 0 AND C_HAS_AXI_RD_CHANNEL = 1) GENERATE
OVERFLOW <= axi_rd_overflow_i;
END GENERATE grdwr_of3;
END GENERATE gaxi_comm_of;
END GENERATE gaxifull;
---------------------------------------------------------------------------
---------------------------------------------------------------------------
---------------------------------------------------------------------------
-- Pass Through Logic or Wiring Logic
---------------------------------------------------------------------------
---------------------------------------------------------------------------
---------------------------------------------------------------------------
gaxi_pass_through: IF (C_WACH_TYPE = 2 OR C_WDCH_TYPE = 2 OR C_WRCH_TYPE = 2 OR
C_RACH_TYPE = 2 OR C_RDCH_TYPE = 2 OR C_AXIS_TYPE = 2) GENERATE
gwach_pass_through: IF (C_WACH_TYPE = 2) GENERATE -- Wiring logic for Write Address Channel
M_AXI_AWID <= S_AXI_AWID;
M_AXI_AWADDR <= S_AXI_AWADDR;
M_AXI_AWLEN <= S_AXI_AWLEN;
M_AXI_AWSIZE <= S_AXI_AWSIZE;
M_AXI_AWBURST <= S_AXI_AWBURST;
M_AXI_AWLOCK <= S_AXI_AWLOCK;
M_AXI_AWCACHE <= S_AXI_AWCACHE;
M_AXI_AWPROT <= S_AXI_AWPROT;
M_AXI_AWQOS <= S_AXI_AWQOS;
M_AXI_AWREGION <= S_AXI_AWREGION;
M_AXI_AWUSER <= S_AXI_AWUSER;
S_AXI_AWREADY <= M_AXI_AWREADY;
M_AXI_AWVALID <= S_AXI_AWVALID;
END GENERATE gwach_pass_through;
-- Wiring logic for Write Data Channel
gwdch_pass_through: IF (C_WDCH_TYPE = 2) GENERATE
M_AXI_WID <= S_AXI_WID;
M_AXI_WDATA <= S_AXI_WDATA;
M_AXI_WSTRB <= S_AXI_WSTRB;
M_AXI_WLAST <= S_AXI_WLAST;
M_AXI_WUSER <= S_AXI_WUSER;
S_AXI_WREADY <= M_AXI_WREADY;
M_AXI_WVALID <= S_AXI_WVALID;
END GENERATE gwdch_pass_through;
-- Wiring logic for Write Response Channel
gwrch_pass_through: IF (C_WRCH_TYPE = 2) GENERATE
S_AXI_BID <= M_AXI_BID;
S_AXI_BRESP <= M_AXI_BRESP;
S_AXI_BUSER <= M_AXI_BUSER;
M_AXI_BREADY <= S_AXI_BREADY;
S_AXI_BVALID <= M_AXI_BVALID;
END GENERATE gwrch_pass_through;
-- Pass Through Logic for Read Channel
grach_pass_through: IF (C_RACH_TYPE = 2) GENERATE -- Wiring logic for Read Address Channel
M_AXI_ARID <= S_AXI_ARID;
M_AXI_ARADDR <= S_AXI_ARADDR;
M_AXI_ARLEN <= S_AXI_ARLEN;
M_AXI_ARSIZE <= S_AXI_ARSIZE;
M_AXI_ARBURST <= S_AXI_ARBURST;
M_AXI_ARLOCK <= S_AXI_ARLOCK;
M_AXI_ARCACHE <= S_AXI_ARCACHE;
M_AXI_ARPROT <= S_AXI_ARPROT;
M_AXI_ARQOS <= S_AXI_ARQOS;
M_AXI_ARREGION <= S_AXI_ARREGION;
M_AXI_ARUSER <= S_AXI_ARUSER;
S_AXI_ARREADY <= M_AXI_ARREADY;
M_AXI_ARVALID <= S_AXI_ARVALID;
END GENERATE grach_pass_through;
grdch_pass_through: IF (C_RDCH_TYPE = 2) GENERATE -- Wiring logic for Read Data Channel
S_AXI_RID <= M_AXI_RID;
S_AXI_RLAST <= M_AXI_RLAST;
S_AXI_RUSER <= M_AXI_RUSER;
S_AXI_RDATA <= M_AXI_RDATA;
S_AXI_RRESP <= M_AXI_RRESP;
S_AXI_RVALID <= M_AXI_RVALID;
M_AXI_RREADY <= S_AXI_RREADY;
END GENERATE grdch_pass_through;
gaxis_pass_through: IF (C_AXIS_TYPE = 2) GENERATE -- Wiring logic for AXI Streaming
M_AXIS_TDATA <= S_AXIS_TDATA;
M_AXIS_TSTRB <= S_AXIS_TSTRB;
M_AXIS_TKEEP <= S_AXIS_TKEEP;
M_AXIS_TID <= S_AXIS_TID;
M_AXIS_TDEST <= S_AXIS_TDEST;
M_AXIS_TUSER <= S_AXIS_TUSER;
M_AXIS_TLAST <= S_AXIS_TLAST;
S_AXIS_TREADY <= M_AXIS_TREADY;
M_AXIS_TVALID <= S_AXIS_TVALID;
END GENERATE gaxis_pass_through;
END GENERATE gaxi_pass_through;
END behavioral;
| mit | 672d8d58f95e70b5b7b6a4c717bba63a | 0.467039 | 3.774528 | false | false | false | false |
julioamerico/prj_crc_ip | src/SoC/component/Actel/DirectCore/CoreAHBLite/5.0.100/mti/user_vlog/COREAHBLITE_LIB/@b@f@m_@a@h@b@l/_primary.vhd | 2 | 12,883 | library verilog;
use verilog.vl_types.all;
entity BFM_AHBL is
generic(
VECTFILE : string := "test.vec";
MAX_INSTRUCTIONS: integer := 16384;
MAX_STACK : integer := 1024;
MAX_MEMTEST : integer := 65536;
TPD : integer := 1;
DEBUGLEVEL : integer := -1;
ARGVALUE0 : integer := 0;
ARGVALUE1 : integer := 0;
ARGVALUE2 : integer := 0;
ARGVALUE3 : integer := 0;
ARGVALUE4 : integer := 0;
ARGVALUE5 : integer := 0;
ARGVALUE6 : integer := 0;
ARGVALUE7 : integer := 0;
ARGVALUE8 : integer := 0;
ARGVALUE9 : integer := 0;
ARGVALUE10 : integer := 0;
ARGVALUE11 : integer := 0;
ARGVALUE12 : integer := 0;
ARGVALUE13 : integer := 0;
ARGVALUE14 : integer := 0;
ARGVALUE15 : integer := 0;
ARGVALUE16 : integer := 0;
ARGVALUE17 : integer := 0;
ARGVALUE18 : integer := 0;
ARGVALUE19 : integer := 0;
ARGVALUE20 : integer := 0;
ARGVALUE21 : integer := 0;
ARGVALUE22 : integer := 0;
ARGVALUE23 : integer := 0;
ARGVALUE24 : integer := 0;
ARGVALUE25 : integer := 0;
ARGVALUE26 : integer := 0;
ARGVALUE27 : integer := 0;
ARGVALUE28 : integer := 0;
ARGVALUE29 : integer := 0;
ARGVALUE30 : integer := 0;
ARGVALUE31 : integer := 0;
ARGVALUE32 : integer := 0;
ARGVALUE33 : integer := 0;
ARGVALUE34 : integer := 0;
ARGVALUE35 : integer := 0;
ARGVALUE36 : integer := 0;
ARGVALUE37 : integer := 0;
ARGVALUE38 : integer := 0;
ARGVALUE39 : integer := 0;
ARGVALUE40 : integer := 0;
ARGVALUE41 : integer := 0;
ARGVALUE42 : integer := 0;
ARGVALUE43 : integer := 0;
ARGVALUE44 : integer := 0;
ARGVALUE45 : integer := 0;
ARGVALUE46 : integer := 0;
ARGVALUE47 : integer := 0;
ARGVALUE48 : integer := 0;
ARGVALUE49 : integer := 0;
ARGVALUE50 : integer := 0;
ARGVALUE51 : integer := 0;
ARGVALUE52 : integer := 0;
ARGVALUE53 : integer := 0;
ARGVALUE54 : integer := 0;
ARGVALUE55 : integer := 0;
ARGVALUE56 : integer := 0;
ARGVALUE57 : integer := 0;
ARGVALUE58 : integer := 0;
ARGVALUE59 : integer := 0;
ARGVALUE60 : integer := 0;
ARGVALUE61 : integer := 0;
ARGVALUE62 : integer := 0;
ARGVALUE63 : integer := 0;
ARGVALUE64 : integer := 0;
ARGVALUE65 : integer := 0;
ARGVALUE66 : integer := 0;
ARGVALUE67 : integer := 0;
ARGVALUE68 : integer := 0;
ARGVALUE69 : integer := 0;
ARGVALUE70 : integer := 0;
ARGVALUE71 : integer := 0;
ARGVALUE72 : integer := 0;
ARGVALUE73 : integer := 0;
ARGVALUE74 : integer := 0;
ARGVALUE75 : integer := 0;
ARGVALUE76 : integer := 0;
ARGVALUE77 : integer := 0;
ARGVALUE78 : integer := 0;
ARGVALUE79 : integer := 0;
ARGVALUE80 : integer := 0;
ARGVALUE81 : integer := 0;
ARGVALUE82 : integer := 0;
ARGVALUE83 : integer := 0;
ARGVALUE84 : integer := 0;
ARGVALUE85 : integer := 0;
ARGVALUE86 : integer := 0;
ARGVALUE87 : integer := 0;
ARGVALUE88 : integer := 0;
ARGVALUE89 : integer := 0;
ARGVALUE90 : integer := 0;
ARGVALUE91 : integer := 0;
ARGVALUE92 : integer := 0;
ARGVALUE93 : integer := 0;
ARGVALUE94 : integer := 0;
ARGVALUE95 : integer := 0;
ARGVALUE96 : integer := 0;
ARGVALUE97 : integer := 0;
ARGVALUE98 : integer := 0;
ARGVALUE99 : integer := 0
);
port(
SYSCLK : in vl_logic;
SYSRSTN : in vl_logic;
HADDR : out vl_logic_vector(31 downto 0);
HCLK : out vl_logic;
HRESETN : out vl_logic;
HBURST : out vl_logic_vector(2 downto 0);
HMASTLOCK : out vl_logic;
HPROT : out vl_logic_vector(3 downto 0);
HSIZE : out vl_logic_vector(2 downto 0);
HTRANS : out vl_logic_vector(1 downto 0);
HWRITE : out vl_logic;
HWDATA : out vl_logic_vector(31 downto 0);
HRDATA : in vl_logic_vector(31 downto 0);
HREADY : in vl_logic;
HRESP : in vl_logic;
HSEL : out vl_logic_vector(15 downto 0);
INTERRUPT : in vl_logic_vector(255 downto 0);
GP_OUT : out vl_logic_vector(31 downto 0);
GP_IN : in vl_logic_vector(31 downto 0);
EXT_WR : out vl_logic;
EXT_RD : out vl_logic;
EXT_ADDR : out vl_logic_vector(31 downto 0);
EXT_DATA : inout vl_logic_vector(31 downto 0);
EXT_WAIT : in vl_logic;
FINISHED : out vl_logic;
FAILED : out vl_logic
);
attribute mti_svvh_generic_type : integer;
attribute mti_svvh_generic_type of VECTFILE : constant is 1;
attribute mti_svvh_generic_type of MAX_INSTRUCTIONS : constant is 1;
attribute mti_svvh_generic_type of MAX_STACK : constant is 1;
attribute mti_svvh_generic_type of MAX_MEMTEST : constant is 1;
attribute mti_svvh_generic_type of TPD : constant is 1;
attribute mti_svvh_generic_type of DEBUGLEVEL : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE0 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE1 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE2 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE3 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE4 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE5 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE6 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE7 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE8 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE9 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE10 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE11 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE12 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE13 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE14 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE15 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE16 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE17 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE18 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE19 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE20 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE21 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE22 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE23 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE24 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE25 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE26 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE27 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE28 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE29 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE30 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE31 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE32 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE33 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE34 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE35 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE36 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE37 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE38 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE39 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE40 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE41 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE42 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE43 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE44 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE45 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE46 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE47 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE48 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE49 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE50 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE51 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE52 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE53 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE54 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE55 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE56 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE57 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE58 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE59 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE60 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE61 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE62 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE63 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE64 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE65 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE66 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE67 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE68 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE69 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE70 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE71 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE72 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE73 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE74 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE75 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE76 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE77 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE78 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE79 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE80 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE81 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE82 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE83 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE84 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE85 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE86 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE87 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE88 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE89 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE90 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE91 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE92 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE93 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE94 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE95 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE96 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE97 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE98 : constant is 1;
attribute mti_svvh_generic_type of ARGVALUE99 : constant is 1;
end BFM_AHBL;
| gpl-3.0 | 092399e1ee3f084047319a5f6f682c39 | 0.613056 | 4.067888 | false | false | false | false |
6769/VHDL | Lab_5/Modelsim/Roll_Sum.vhd | 1 | 732 | entity Roll_Sum is
port(Rb,CLK,Reset:in bit;
hex0,hex1:out integer range 6 downto 1;
Sum:out integer range 12 downto 2
);
end entity Roll_Sum;
architecture Behavior of Roll_Sum is
signal count0,count1:integer range 6 downto 1:=1;
signal Run:bit;
begin
Run<=Rb and CLK;
hex0<=count0;
hex1<=count1;
Sum<=count0+count1;
process(Run,Reset)
begin
if(Reset='1')then count0<=1;count1<=1;
elsif(Run'event and Run='1')then
if(count0=6)then count0<=1;
if(count1=6)then count1<=1;
else count1<=count1+1;
end if;
else count0<=count0+1;
end if;
end if;
end process;
end architecture Behavior;
| gpl-2.0 | 34fef966008d01f2560d165e75c7935b | 0.59153 | 3.312217 | false | false | false | false |
sunoc/vhdl-lz4-variation | lz4_entryDict.vhdl | 1 | 8,586 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.lz4_pkg.all;
entity lz4_entryDict is
generic (
constant FIFO_DEPTH : positive := 1000 -- 1 KB fifo
);
port (
clk_i : in std_logic;
reset_i : in std_logic;
entryBytes_i : in std_logic_vector(7 downto 0);
litLength_o : out std_logic_vector(9 downto 0);
offset_o : out std_logic_vector(9 downto 0);
matchLength_o : out std_logic_vector(9 downto 0);
internalStream_o : out std_logic_vector(7 downto 0)
);
end lz4_entryDict;
architecture behavior of lz4_entryDict is
-- buffer for the part that will be streamed to the assembly module
type out_memory is array (0 to FIFO_DEPTH -1) of std_logic_vector (7 downto 0);
signal outputBuffer : out_memory;
-- fifo memory
type fifo_memory is array (0 to FIFO_DEPTH -1) of std_logic_vector (7 downto 0);
signal memory : fifo_memory;
-- FSM
type States is (Beginning, Match, NoMatch, NoMoreMatch, Ending);
signal currentstate, nextstate: States;
-- store the pointers
signal dict_d_s : std_logic_vector(9 downto 0);
signal dict_u_s : std_logic_vector(9 downto 0);
signal ut_d_s : std_logic_vector(9 downto 0);
signal ut_u_s : std_logic_vector(9 downto 0);
signal output_p : integer range 0 to FIFO_DEPTH -1 := 0;
begin
-- ##################################################
-- synchronous process
process (clk_i, reset_i)
begin
-- Reset
if (reset_i = '1') then
currentstate <= Beginning;
elsif clk_i'event then
-- State update
currentstate <= nextstate;
end if;
end process;
-- ##################################################
-- output memory management process
process
variable readout_p : integer range 0 to FIFO_DEPTH-1 := 0;
begin
if outputBuffer(readout_p) /= "UUUUUUUU" then
internalStream_o <= outputBuffer(readout_p);
readout_p := readout_p + 1;
end if;
wait for 4*clk_period;
end process;
-- ##################################################
-- fifo process
process (currentstate, entryBytes_i, clk_i)
-- pointers
variable wr_p : integer range 0 to FIFO_DEPTH-1 := 0; -- write entry
variable rd_p : integer range 0 to FIFO_DEPTH-1 := 0; -- read output
variable dict_d_p : integer range 0 to FIFO_DEPTH-1 := 0;
variable dict_u_p : integer range 0 to FIFO_DEPTH-1 := 0;
variable ut_d_p : integer range 0 to FIFO_DEPTH-1 := 0;
variable ut_u_p : integer range 0 to FIFO_DEPTH-1 := 0;
-- previous value of the entry byte
variable previousB : std_logic_vector(7 downto 0);
-------------------------------------------------------------------------------------
begin
-- write the entry byte in the fifo
-- [[ BAD!! ]]: skips if two simlar bytes following...
if entryBytes_i /= previousB and entryBytes_i /= "UUUUUUUU" then
memory(wr_p) <= entryBytes_i;
wr_p := wr_p + 1;
previousB := entryBytes_i;
end if;
if rising_edge(clk_i) then
-- beginning of the FSM
case currentstate is
when Beginning =>
-- wait for a minimal numbre of values in the fifo
if wr_p >= 20 then
-- start position of the pointer couples
dict_u_p := 0;
dict_d_p := 3;
ut_u_p := 4;
ut_d_p := 7;
-- check of a minmatch (4 bytes of match)
if memory(ut_u_p) = memory(dict_u_p) and
memory(ut_d_p-2) = memory(dict_d_p-2) and
memory(ut_d_p-1) = memory(dict_d_p-1) and
memory(ut_d_p) = memory(dict_d_p) then
nextstate <= Match;
else
nextstate <= NoMatch;
end if;
else
if entryBytes_i = "UUUUUUUU" and wr_p > 1 then
nextstate <= Ending;
else
nextstate <= Beginning;
end if;
end if;
-- ************
-- NoMatch state
when NoMatch =>
-- report "write pointer = " & integer'image(wr_p);
-- report "under test pointer: up/down = " & integer'image(ut_u_p) & "/" & integer'image(ut_d_p);
-- report "dictionary pointer: up/down = " & integer'image(dict_u_p) & "/" & integer'image(dict_d_p);
-- tests if the pointer read the last written value
if ut_d_p <= wr_p then
-- test for a new minmatch
if memory(ut_u_p) = memory(dict_u_p) and
memory(ut_d_p-2) = memory(dict_d_p-2) and
memory(ut_d_p-1) = memory(dict_d_p-1) and
memory(ut_d_p) = memory(dict_d_p) then
dict_u_s <= std_logic_vector(to_unsigned(dict_u_p, 10));
dict_d_s <= std_logic_vector(to_unsigned(dict_d_p, 10));
ut_u_s <= std_logic_vector(to_unsigned(ut_u_p, 10));
ut_d_s <= std_logic_vector(to_unsigned(ut_d_p, 10));
nextstate <= Match;
else
-- move the "ut" pointers one byte down
ut_u_p := ut_u_p + 1;
ut_d_p := ut_d_p + 1;
nextstate <= NoMatch;
end if;
elsif dict_d_p <= wr_p + 5 then
-- test for a new minmatch
if memory(ut_u_p) = memory(dict_u_p) and
memory(ut_d_p-2) = memory(dict_d_p-2) and
memory(ut_d_p-1) = memory(dict_d_p-1) and
memory(ut_d_p) = memory(dict_d_p) then
nextstate <= Match;
else
nextstate <= NoMatch;
end if;
-- same position as in beginning
-- but 1 byte after
dict_u_p := dict_u_p + 1;
dict_d_p := dict_d_p + 1;
ut_u_p := dict_d_p + 1;
ut_d_p := dict_d_p + 4;
else
nextstate <= Ending;
end if;
-- ************
-- Match state
when Match =>
if memory(to_integer(unsigned(ut_d_s)) ) = memory(to_integer(unsigned(dict_d_s)) ) then
--enlarge the space between the couples of pointers
ut_d_p := ut_d_p + 1;
dict_d_p := dict_d_p + 1;
dict_u_s <= std_logic_vector(to_unsigned(dict_u_p, 10));
dict_d_s <= std_logic_vector(to_unsigned(dict_d_p, 10));
ut_u_s <= std_logic_vector(to_unsigned(ut_u_p, 10));
ut_d_s <= std_logic_vector(to_unsigned(ut_d_p, 10));
nextstate <= Match;
else
nextstate <= NoMoreMatch;
end if;
-- ************
-- NoMoreMatch state
when NoMoreMatch =>
litLength_o <= ut_u_s;
offset_o <= std_logic_vector(unsigned(ut_u_s) - unsigned(dict_u_s) );
matchLength_o <= std_logic_vector(unsigned(ut_d_s) - unsigned(ut_u_s));
-- stream out the literal in the output buffer
for i in 0 to to_integer(unsigned(ut_u_s)) - 1 loop
outputBuffer(i+output_p) <= memory(i);
end loop;
output_p <= to_integer(unsigned(ut_u_s));
-- move the uncheck part in the beginning of the fifo
for i in to_integer(unsigned(ut_d_s)) to wr_p-1 loop
memory(i - to_integer(unsigned(ut_d_s))) <= memory(i);
end loop;
-- jump te write pointer backward to the end of the already written part
wr_p := wr_p - to_integer(unsigned(ut_d_s));
nextstate <= Beginning;
-- ************
-- Ending state
when Ending =>
-- stream out the literal in the output buffer
for i in 0 to wr_p - 1 loop
outputBuffer(i+output_p) <= memory(i);
end loop;
output_p <= wr_p -1;
-- loop in there until the end once the file is completely compressed
nextstate <= Ending;
end case;
end if;
end process;
end;
| gpl-3.0 | 6e6a933ec1aef0af6d8c66d468776df0 | 0.481714 | 3.770751 | false | false | false | false |
6769/VHDL | Lab_1_partC/Segment7Decoder.vhd | 1 | 1,085 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity Segment7Decoder is
port (bcd : in std_logic_vector(3 downto 0); --BCD input
segment7 : out std_logic_vector(6 downto 0) -- 7 bit decoded output.
);
end Segment7Decoder;
--'a' corresponds to MSB of segment7 and g corresponds to LSB of segment7.
architecture Behavioral of Segment7Decoder is
begin
process (bcd)
BEGIN
case bcd is
when "0000"=> segment7 <="1000000"; -- '0'
when "0001"=> segment7 <="1111001"; -- '1'
when "0010"=> segment7 <="0100100"; -- '2'
when "0011"=> segment7 <="0110000"; -- '3'
when "0100"=> segment7 <="0011001"; -- '4'
when "0101"=> segment7 <="0010010"; -- '5'
when "0110"=> segment7 <="0000010"; -- '6'
when "0111"=> segment7 <="1111000"; -- '7'
when "1000"=> segment7 <="0000000"; -- '8'
when "1001"=> segment7 <="0010000"; -- '9'
--nothing is displayed when a number more than 9 is given as input.
when others=> segment7 <="1111111";
end case;
end process;
end Behavioral; | gpl-2.0 | d71b898e2bbac3df6c87c517623604ab | 0.632258 | 3.181818 | false | false | false | false |
frankvanbever/MIPS_processor | register_file.vhd | 1 | 4,283 | -------------------------------------------------------------------------------
-- Title : MIPS Register File
-- Project :
-------------------------------------------------------------------------------
-- File : read_register.vhd
-- Author : Frank Vanbever <frank@neuromancer>
-- Company :
-- Created : 2013-02-27
-- Last update: 2013-04-15
-- Platform :
-- Standard : VHDL'87
-------------------------------------------------------------------------------
-- Description: This is a MIPS register file implementation in VHDL
-------------------------------------------------------------------------------
-- Copyright (c) 2013
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author Description
-- 2013-02-27 1.0 frank Created
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--! @file
--! @brief Register file for a MIPS processor
--! @details There are 32 registers which can all be read. All registers except
--! for register 0 can be written to. Register 0 contains the constant value 0
--! which is needed for the correct functioning of the MIPS processor.
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
--! The register file contains all registers for a MIPS processor to function
entity register_file is
port (
clk : in std_logic; --! clock signal
-- input vectors
--! First read register adress input
Read_reg_1 : in std_logic_vector(25 downto 21);
--! Second read register adress input
Read_reg_2 : in std_logic_vector(20 downto 16);
--! Write register adress input
Write_reg : in std_logic_vector(15 downto 11);
--! Data to be written to the write adress
Write_data : in std_logic_vector(31 downto 0);
-- output vectors
--! Data read from read register 1
Read_data_1 : out std_logic_vector(31 downto 0);
--! Data read from read register 2
Read_data_2 : out std_logic_vector(31 downto 0);
-- control signals
--! Togles reading/writing of values to registers
write_enable : in std_logic
);
end register_file;
--! @brief The architecture of this component is based on an array of 32 32-bit words
--! @details There are 32 registers which can all be read. All registers except
--! for register 0 can be written to. Register 0 contains the constant value 0
--! which is needed for the correct functioning of the MIPS processor.
architecture behavioral of register_file is
-- Zero register: constant value 0
subtype word is std_logic_vector(31 downto 0); --! Each register consists of
--! a 32 bit word
type registerFile is array (0 to 31) of word;
shared variable register_file : registerFile :=
(X"00000000", -- 0
X"00000000", -- 1
X"00000000", -- 2
X"00000000", -- 3
X"00000000", -- 4
X"00000000", -- 5
X"00000000", -- 6
X"00000000", -- 7
X"00000000", -- 8
X"00000000", -- 9
X"00000000", -- 10
X"00000000", -- 11
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000",
X"00000000"); --! All registers are initialized to 0
begin -- behavioral
read_reg_proc : process ( Read_reg_1 , Read_reg_2 )
begin
Read_data_1 <= register_file(conv_integer(Read_reg_1));
Read_data_2 <= register_file(conv_integer(Read_reg_2));
end process read_reg_proc;
write_reg_proc : process (clk,Write_data)
begin -- process reg_file_proc
if write_enable = '1' then
if Write_reg /= X"00000000" then
register_file(conv_integer(Write_reg)) := Write_data;
end if;
end if;
end process write_reg_proc;
end behavioral;
| mit | ee92321a2aacd45eacc9d304b2b1fd7e | 0.530002 | 4.40185 | false | false | false | false |
hanw/Open-Source-FPGA-Bitcoin-Miner | projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/common/shft_wrapper.vhd | 9 | 13,719 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
DEnnGh9mJjXcPYHJwkIH/JZJD/qAuSlfHtLTbMeEF0EVl9w5R8AdpEIUCb66tbu53fg6SVu8bsEm
6G/4Dg4C5w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
VtOo9e3gaS3SM0u7x24jKIgPj6FEZMKTbkhSD4hu+OpwEaJxFI4oULNqUu/oThD4PsKcYfuJEVy3
IB0UvAz1Aq7l1qNolLk5IxXo2zzVBlRzgfeg93KKb+BWO7erm2ymii8S76e1zvFWlbElqdthLaYy
TlHyGnfCJym0GHEgxbY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
me2v5gKPtNf52JtFi9Wsx/QhN/L9aDakAiVBZr9lfK3pz7ajWb1O9mxn8evf2rPch3nNAkkxb6cb
fUqX0RddJJpWdqRidRlW6eJMR6NxA383Z4cPhNDnKnMCmKOD7uTa7g5aScGkeD+oy97PGatXvtc2
1vhG0qcNed2m4/ho/stBnAPLADbD7JKCfaiAdqTp0XOj3eWAzRUWnarX2HO1gOooCEUxqunWViC/
/Smcr70JvfDK8pF7GgIj9Vt/ITp1dac1kLmSqDNXQY7NI0xkZCyc2VhJEqPsgIZPY/PYjtJh/diR
vVrygP9CfYpUMrXslyZahfSYx+azwYUuw0MPRg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
loSvnMhFpGsdZAkZT8xztTweRmf0qFQ2E/XHxookY44FdRm3l0ltynnX2WS/4JTfrArtbxRFql8b
uaX4ArdRr5mI30EAViLWgEk4K/A+XRHxUd/jZ7VqUF8zHyqyhXQN7QVcJi+zqm4wNcO/DspchPzx
A9f47WyrLLf4o59SuLg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TlhU5o557lTeACOBcI6QsboDAPbaXMQ9OHhwTfOW3wNyMgmpuFyvUuHM3wU556O10QchzhsWXWGW
23o+mKC2d804qjKhlfSc26/Iz3kgWVKKk1+e8kfMS219+El6g0mdLxShRQqX5PIPBLFZ+ffFHQtU
YsNMA8++8DbpLci51xJ50gDxA4ShnczHQTpO1QjkctUuTvNEtnqAvHzRQGwGJF8CmerWdnD/V4uf
ksceiijjJ3ZiHl1u77sL7p+GL6vj50CDisTHO+Xe7KqadUvBav0UYSsGF3pRvp+LSM80lb991CBJ
kfgA7xTaAMJDGCT/T9ELjVnCCpk1gmQun9tzRA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8416)
`protect data_block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`protect end_protected
| gpl-3.0 | 2e3fd0b72995b907c158d9cfb36fab65 | 0.932065 | 1.900402 | false | false | false | false |
fpgaddicted/car_taillights_animation-engine | turnsignals_anim.vhd | 1 | 2,147 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer: Stefan Naco (fpgaddicted)
--
-- Create Date: 13:05:35 04/27/2017
-- Design Name:
-- Module Name: turnsignals_anim - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.3 - Optimization fix -FINAL
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity turnsignals_anim is
Port ( clk : in STD_LOGIC;
reset : in STD_LOGIC;
en : in STD_LOGIC;
led_out : out STD_LOGIC_VECTOR(2 downto 0));
end turnsignals_anim;
architecture animation_engine of turnsignals_anim is
type state is (s0,s1,s2,s3,s4,s5);
signal s : state;
begin
process(clk)
variable i: integer:=0;
begin
if rising_edge(clk) then
if (reset = '1') or (en = '0') then
s <= s0;
led_out <= "000";
else
case s is
when s0=>
i:=i+1;
led_out <="000";
if(i=6250000) then
i:=0;
s <= s1;
end if;
when s1=>
i:=i+1;
led_out <="100";
if(i=6250000) then
i:=0;
s <= s2;
end if;
when s2=>
i:=i+1;
led_out <="110";
if(i=6250000) then
s <= s3;
i:=0;
end if;
when s3=>
i:=i+1;
led_out <="111";
if(i=6250000) then
i:=0;
s <= s4;
end if;
when s4=>
i:=i+1;
led_out <="011";
if(i=6250000) then
i:=0;
s <= s5;
end if;
when s5=>
i:=i+1;
led_out <="001";
if(i=6250000) then
i:=0;
s <= s0;
end if;
end case;
end if;
end if;
end process;
end animation_engine;
| gpl-3.0 | b0d6215d97175ab5727b69f9b5a1df73 | 0.499767 | 3.023944 | false | false | false | false |
fupolarbear/THU-Class-CO-makecomputer | src/CPU/BranchSelector.vhd | 1 | 1,706 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 19:37:24 11/24/2013
-- Design Name:
-- Module Name: BranchSelector - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use work.Common.all;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity BranchSelector is
Port(
Op : in STD_LOGIC_VECTOR (4 downto 0);
RegInput : in STD_LOGIC_VECTOR (15 downto 0);
T : in STD_LOGIC;
Branch : out STD_LOGIC_VECTOR (1 downto 0)
);
end BranchSelector;
architecture Behavioral of BranchSelector is
begin
process(Op, RegInput, T)
begin
Branch <= "00";
case Op is
when "11000" => -- B
Branch <= "01";
when "11001" => -- BTEQZ
if T = '0' then
Branch <= "01";
end if;
when "11010" => -- BEQZ
if RegInput = Int16_zero then
Branch <= "01";
end if;
when "11011" => --BNEZ
if RegInput /= Int16_zero then
Branch <= "01";
end if;
when "11101" => --JR
Branch <= "10";
when "11100" => --JRRA*
Branch <= "10";
when others => null;
end case;
end process;
end Behavioral;
| mit | 95dfd7015c7be2cd02eee5811d9c6f7f | 0.546307 | 3.50308 | false | false | false | false |
hanw/Open-Source-FPGA-Bitcoin-Miner | projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/ramfifo/clk_x_pntrs.vhd | 9 | 34,835 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
R/wbSQy4O7zGNhR+oWLg81VIcEYLpzFYKMXHe0U3J0fb64Y3pIn/+I3T0JRit1EzAkE3zQP5jKxk
1nJA2+VWsA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
YPavo2fp3QaVUYTieZ6yOtkdIv5DrIfAh7EyJeY8ApbCq2AtdD2Km+pcugJ7x6gsfSfQobsdDvA1
vf1yWdktGISxiRg2ieUF2gKnBhG+Gm4cnOQpxkWphKqjDBXDqCcdM9liGUZJ6bO7U76Azo38xJtA
NRFJPFv5shQWJsi+2TY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
at4/hSh411Y45XcKOOTq5YsomxOsTFZR+tJAiPBaG5r2jNdoeU3dmkSWPCu+Ph1CvfiHwf53Vwez
G/ZSWWGYDc36HbJwrS2Ro1HActmNUkz5DcDrVC9jQasn80G+BDu9KjhK7uLhRcV5e3EXu95PcYjL
4m79Hr9Nl2Nogi2nUgdebK4ibBtP9FDZ2zVBVJ1SanoKR+3OiYIymr4OrIIxynlUtJP3JRvOriKG
dX0/Z28FujIvRhgOz6vyioEcFRVFbCTMYo5jmAaSxdoLDsZh3jumFmGTa+CYJshhxu39Ptity3ZV
Pp3+QWvVVUA8/OkaJsJDqvWvPp6oYqftMUkm+A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
xI7+zS1jk3XJvCPQ5tYV+jqM9pKUpRscEIOCmnuIOU0dEftVRt0i+mNMCmSUVdnXS5egYWGMCKwY
bQfSPTd6v5K4jOU1s22GxHU7d7TH7FXX9xw6FwV90xu7Be/C+mzySWyUrNx0Odmv2VXW6N3Uklwf
H2NTxNpoiw1k8eJAN4w=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
VfRdNU95NogiitXUQ5Ir3NRFd6voJN+qB4MsHC1IER0vIxEG94y3BD6syVnfVfMaM1J1z8Mb9WlF
KgszkFCVPrj3wG9RxYmPGWmal75d9fbOMdun23NGQAnobGuXd4v6IL4kHmBtJe/CoWfdL1l5k1Tw
rggnGkpzDVNLosqSU7Sgr+CH0kJVVDb1NNlTneoquujozxyZt39T2bMv9SAaQB6EEuF6eaLq1hNT
+b2PPEGLtYUrw3Mm60UYowsrR0hMHWb9uDPNeOVXka5sBobySLMJarewVPc1o4WHWabgxC0/EqoF
UEoRUJKO7qs9x4AfRNx645a9t+wLixZQx3pe0A==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 24048)
`protect data_block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`protect end_protected
| gpl-3.0 | e434a264adab5c6f890627dfc2df8e15 | 0.948098 | 1.847717 | false | false | false | false |
HighlandersFRC/fpga | led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_bram_ctrl_0_0/axi_bram_ctrl_v3_0/hdl/vhdl/parity.vhd | 7 | 11,625 | -------------------------------------------------------------------------------
-- parity.vhd
-------------------------------------------------------------------------------
--
--
-- (c) Copyright [2010 - 2013] Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--
------------------------------------------------------------------------------
-- Filename: parity.vhd
--
-- Description: Generate parity optimally for all target architectures.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- axi_bram_ctrl.vhd (v1_03_a)
-- |
-- |-- full_axi.vhd
-- | -- sng_port_arb.vhd
-- | -- lite_ecc_reg.vhd
-- | -- axi_lite_if.vhd
-- | -- wr_chnl.vhd
-- | -- wrap_brst.vhd
-- | -- ua_narrow.vhd
-- | -- checkbit_handler.vhd
-- | -- xor18.vhd
-- | -- parity.vhd
-- | -- checkbit_handler_64.vhd
-- | -- (same helper components as checkbit_handler)
-- | -- parity.vhd
-- | -- correct_one_bit.vhd
-- | -- correct_one_bit_64.vhd
-- |
-- | -- rd_chnl.vhd
-- | -- wrap_brst.vhd
-- | -- ua_narrow.vhd
-- | -- checkbit_handler.vhd
-- | -- xor18.vhd
-- | -- parity.vhd
-- | -- checkbit_handler_64.vhd
-- | -- (same helper components as checkbit_handler)
-- | -- parity.vhd
-- | -- correct_one_bit.vhd
-- | -- correct_one_bit_64.vhd
-- |
-- |-- axi_lite.vhd
-- | -- lite_ecc_reg.vhd
-- | -- axi_lite_if.vhd
-- | -- checkbit_handler.vhd
-- | -- xor18.vhd
-- | -- parity.vhd
-- | -- checkbit_handler_64.vhd
-- | -- (same helper components as checkbit_handler)
-- | -- correct_one_bit.vhd
-- | -- correct_one_bit_64.vhd
--
--
--
-------------------------------------------------------------------------------
--
-- History:
--
-- ^^^^^^
-- JLJ 2/2/2011 v1.03a
-- ~~~~~~
-- Migrate to v1.03a.
-- Plus minor code cleanup.
-- ^^^^^^
--
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity Parity is
generic (
C_USE_LUT6 : boolean := true;
C_SIZE : integer := 6
);
port (
InA : in std_logic_vector(0 to C_SIZE - 1);
Res : out std_logic
);
end entity Parity;
library unisim;
use unisim.vcomponents.all;
architecture IMP of Parity is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of IMP : architecture is "yes";
-- Non-recursive loop implementation
function ParityGen (InA : std_logic_vector) return std_logic is
variable result : std_logic;
begin
result := '0';
for I in InA'range loop
result := result xor InA(I);
end loop;
return result;
end function ParityGen;
begin -- architecture IMP
Using_LUT6 : if (C_USE_LUT6) generate
--------------------------------------------------------------------------------------------------
-- Single LUT6
--------------------------------------------------------------------------------------------------
Single_LUT6 : if C_SIZE > 1 and C_SIZE <= 6 generate
signal inA6 : std_logic_vector(0 to 5);
begin
Assign_InA : process (InA) is
begin
inA6 <= (others => '0');
inA6(0 to InA'length - 1) <= InA;
end process Assign_InA;
XOR6_LUT : LUT6
generic map(
INIT => X"6996966996696996")
port map(
O => Res,
I0 => inA6(5),
I1 => inA6(4),
I2 => inA6(3),
I3 => inA6(2),
I4 => inA6(1),
I5 => inA6(0));
end generate Single_LUT6;
--------------------------------------------------------------------------------------------------
-- Two LUT6 and one MUXF7
--------------------------------------------------------------------------------------------------
Use_MUXF7 : if C_SIZE = 7 generate
signal inA7 : std_logic_vector(0 to 6);
signal result6 : std_logic;
signal result6n : std_logic;
begin
Assign_InA : process (InA) is
begin
inA7 <= (others => '0');
inA7(0 to InA'length - 1) <= InA;
end process Assign_InA;
XOR6_LUT : LUT6
generic map(
INIT => X"6996966996696996")
port map(
O => result6,
I0 => inA7(5),
I1 => inA7(4),
I2 => inA7(3),
I3 => inA7(2),
I4 => inA7(1),
I5 => inA7(0));
XOR6_LUT_N : LUT6
generic map(
INIT => X"9669699669969669")
port map(
O => result6n,
I0 => inA7(5),
I1 => inA7(4),
I2 => inA7(3),
I3 => inA7(2),
I4 => inA7(1),
I5 => inA7(0));
MUXF7_LUT : MUXF7
port map (
O => Res,
I0 => result6,
I1 => result6n,
S => inA7(6));
end generate Use_MUXF7;
--------------------------------------------------------------------------------------------------
-- Four LUT6, two MUXF7 and one MUXF8
--------------------------------------------------------------------------------------------------
Use_MUXF8 : if C_SIZE = 8 generate
signal inA8 : std_logic_vector(0 to 7);
signal result6_1 : std_logic;
signal result6_1n : std_logic;
signal result6_2 : std_logic;
signal result6_2n : std_logic;
signal result7_1 : std_logic;
signal result7_1n : std_logic;
begin
Assign_InA : process (InA) is
begin
inA8 <= (others => '0');
inA8(0 to InA'length - 1) <= InA;
end process Assign_InA;
XOR6_LUT1 : LUT6
generic map(
INIT => X"6996966996696996")
port map(
O => result6_1,
I0 => inA8(5),
I1 => inA8(4),
I2 => inA8(3),
I3 => inA8(2),
I4 => inA8(1),
I5 => inA8(0));
XOR6_LUT2_N : LUT6
generic map(
INIT => X"9669699669969669")
port map(
O => result6_1n,
I0 => inA8(5),
I1 => inA8(4),
I2 => inA8(3),
I3 => inA8(2),
I4 => inA8(1),
I5 => inA8(0));
MUXF7_LUT1 : MUXF7
port map (
O => result7_1,
I0 => result6_1,
I1 => result6_1n,
S => inA8(6));
XOR6_LUT3 : LUT6
generic map(
INIT => X"6996966996696996")
port map(
O => result6_2,
I0 => inA8(5),
I1 => inA8(4),
I2 => inA8(3),
I3 => inA8(2),
I4 => inA8(1),
I5 => inA8(0));
XOR6_LUT4_N : LUT6
generic map(
INIT => X"9669699669969669")
port map(
O => result6_2n,
I0 => inA8(5),
I1 => inA8(4),
I2 => inA8(3),
I3 => inA8(2),
I4 => inA8(1),
I5 => inA8(0));
MUXF7_LUT2 : MUXF7
port map (
O => result7_1n,
I0 => result6_2n,
I1 => result6_2,
S => inA8(6));
MUXF8_LUT : MUXF8
port map (
O => res,
I0 => result7_1,
I1 => result7_1n,
S => inA8(7));
end generate Use_MUXF8;
end generate Using_LUT6;
-- Fall-back implementation without LUT6
Not_Using_LUT6 : if not C_USE_LUT6 or C_SIZE > 8 generate
begin
Res <= ParityGen(InA);
end generate Not_Using_LUT6;
end architecture IMP;
| mit | 0a027e868bb9a0a7541ba8d11b25987e | 0.43957 | 4.267621 | false | false | false | false |
julioamerico/prj_crc_ip | src/SoC/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/@m@s@s_@a@h@b_@f060_@i@p/_primary.vhd | 3 | 9,663 | library verilog;
use verilog.vl_types.all;
entity MSS_AHB_F060_IP is
generic(
ACT_CONFIG : integer := 0;
ACT_FCLK : integer := 0;
ACT_DIE : string := "";
ACT_PKG : string := "";
VECTFILE : string := "test.vec"
);
port(
MSSHADDR : out vl_logic_vector(19 downto 0);
MSSHWDATA : out vl_logic_vector(31 downto 0);
MSSHTRANS1 : out vl_logic;
MSSHSIZE : out vl_logic_vector(1 downto 0);
MSSHLOCK : out vl_logic;
MSSHWRITE : out vl_logic;
MSSHRDATA : in vl_logic_vector(31 downto 0);
MSSHREADY : in vl_logic;
MSSHRESP : in vl_logic;
FABHADDR : in vl_logic_vector(31 downto 0);
FABHWDATA : in vl_logic_vector(31 downto 0);
FABHTRANS1 : in vl_logic;
FABHSIZE : in vl_logic_vector(1 downto 0);
FABHMASTLOCK : in vl_logic;
FABHWRITE : in vl_logic;
FABHSEL : in vl_logic;
FABHREADY : in vl_logic;
FABHRDATA : out vl_logic_vector(31 downto 0);
FABHREADYOUT : out vl_logic;
FABHRESP : out vl_logic;
SYNCCLKFDBK : in vl_logic;
CALIBOUT : out vl_logic;
CALIBIN : in vl_logic;
FABINT : in vl_logic;
MSSINT : out vl_logic_vector(7 downto 0);
WDINT : out vl_logic;
F2MRESETn : in vl_logic;
DMAREADY : in vl_logic_vector(1 downto 0);
RXEV : in vl_logic;
VRON : in vl_logic;
M2FRESETn : out vl_logic;
DEEPSLEEP : out vl_logic;
SLEEP : out vl_logic;
TXEV : out vl_logic;
UART0CTSn : in vl_logic;
UART0DSRn : in vl_logic;
UART0RIn : in vl_logic;
UART0DCDn : in vl_logic;
UART0RTSn : out vl_logic;
UART0DTRn : out vl_logic;
UART1CTSn : in vl_logic;
UART1DSRn : in vl_logic;
UART1RIn : in vl_logic;
UART1DCDn : in vl_logic;
UART1RTSn : out vl_logic;
UART1DTRn : out vl_logic;
I2C0SMBUSNI : in vl_logic;
I2C0SMBALERTNI : in vl_logic;
I2C0BCLK : in vl_logic;
I2C0SMBUSNO : out vl_logic;
I2C0SMBALERTNO : out vl_logic;
I2C1SMBUSNI : in vl_logic;
I2C1SMBALERTNI : in vl_logic;
I2C1BCLK : in vl_logic;
I2C1SMBUSNO : out vl_logic;
I2C1SMBALERTNO : out vl_logic;
MACM2FTXD : out vl_logic_vector(1 downto 0);
MACF2MRXD : in vl_logic_vector(1 downto 0);
MACM2FTXEN : out vl_logic;
MACF2MCRSDV : in vl_logic;
MACF2MRXER : in vl_logic;
MACF2MMDI : in vl_logic;
MACM2FMDO : out vl_logic;
MACM2FMDEN : out vl_logic;
MACM2FMDC : out vl_logic;
FABSDD0D : in vl_logic;
FABSDD1D : in vl_logic;
FABSDD2D : in vl_logic;
FABSDD0CLK : in vl_logic;
FABSDD1CLK : in vl_logic;
FABSDD2CLK : in vl_logic;
FABACETRIG : in vl_logic;
ACEFLAGS : out vl_logic_vector(31 downto 0);
CMP0 : out vl_logic;
CMP1 : out vl_logic;
CMP2 : out vl_logic;
CMP3 : out vl_logic;
CMP4 : out vl_logic;
CMP5 : out vl_logic;
CMP6 : out vl_logic;
CMP7 : out vl_logic;
CMP8 : out vl_logic;
CMP9 : out vl_logic;
CMP10 : out vl_logic;
CMP11 : out vl_logic;
LVTTL0EN : in vl_logic;
LVTTL1EN : in vl_logic;
LVTTL2EN : in vl_logic;
LVTTL3EN : in vl_logic;
LVTTL4EN : in vl_logic;
LVTTL5EN : in vl_logic;
LVTTL6EN : in vl_logic;
LVTTL7EN : in vl_logic;
LVTTL8EN : in vl_logic;
LVTTL9EN : in vl_logic;
LVTTL10EN : in vl_logic;
LVTTL11EN : in vl_logic;
LVTTL0 : out vl_logic;
LVTTL1 : out vl_logic;
LVTTL2 : out vl_logic;
LVTTL3 : out vl_logic;
LVTTL4 : out vl_logic;
LVTTL5 : out vl_logic;
LVTTL6 : out vl_logic;
LVTTL7 : out vl_logic;
LVTTL8 : out vl_logic;
LVTTL9 : out vl_logic;
LVTTL10 : out vl_logic;
LVTTL11 : out vl_logic;
PUFABn : out vl_logic;
VCC15GOOD : out vl_logic;
VCC33GOOD : out vl_logic;
FCLK : in vl_logic;
MACCLKCCC : in vl_logic;
RCOSC : in vl_logic;
MACCLK : in vl_logic;
PLLLOCK : in vl_logic;
MSSRESETn : in vl_logic;
GPI : in vl_logic_vector(31 downto 0);
GPO : out vl_logic_vector(31 downto 0);
GPOE : out vl_logic_vector(31 downto 0);
SPI0DO : out vl_logic;
SPI0DOE : out vl_logic;
SPI0DI : in vl_logic;
SPI0CLKI : in vl_logic;
SPI0CLKO : out vl_logic;
SPI0MODE : out vl_logic;
SPI0SSI : in vl_logic;
SPI0SSO : out vl_logic_vector(7 downto 0);
UART0TXD : out vl_logic;
UART0RXD : in vl_logic;
I2C0SDAI : in vl_logic;
I2C0SDAO : out vl_logic;
I2C0SCLI : in vl_logic;
I2C0SCLO : out vl_logic;
SPI1DO : out vl_logic;
SPI1DOE : out vl_logic;
SPI1DI : in vl_logic;
SPI1CLKI : in vl_logic;
SPI1CLKO : out vl_logic;
SPI1MODE : out vl_logic;
SPI1SSI : in vl_logic;
SPI1SSO : out vl_logic_vector(7 downto 0);
UART1TXD : out vl_logic;
UART1RXD : in vl_logic;
I2C1SDAI : in vl_logic;
I2C1SDAO : out vl_logic;
I2C1SCLI : in vl_logic;
I2C1SCLO : out vl_logic;
MACTXD : out vl_logic_vector(1 downto 0);
MACRXD : in vl_logic_vector(1 downto 0);
MACTXEN : out vl_logic;
MACCRSDV : in vl_logic;
MACRXER : in vl_logic;
MACMDI : in vl_logic;
MACMDO : out vl_logic;
MACMDEN : out vl_logic;
MACMDC : out vl_logic;
EMCCLK : out vl_logic;
EMCCLKRTN : in vl_logic;
EMCRDB : in vl_logic_vector(15 downto 0);
EMCAB : out vl_logic_vector(25 downto 0);
EMCWDB : out vl_logic_vector(15 downto 0);
EMCRWn : out vl_logic;
EMCCS0n : out vl_logic;
EMCCS1n : out vl_logic;
EMCOEN0n : out vl_logic;
EMCOEN1n : out vl_logic;
EMCBYTEN : out vl_logic_vector(1 downto 0);
EMCDBOE : out vl_logic;
ADC0 : in vl_logic;
ADC1 : in vl_logic;
ADC2 : in vl_logic;
ADC3 : in vl_logic;
ADC4 : in vl_logic;
ADC5 : in vl_logic;
ADC6 : in vl_logic;
ADC7 : in vl_logic;
ADC8 : in vl_logic;
ADC9 : in vl_logic;
ADC10 : in vl_logic;
ADC11 : in vl_logic;
ADC12 : in vl_logic;
ADC13 : in vl_logic;
ADC14 : in vl_logic;
ADC15 : in vl_logic;
ADC16 : in vl_logic;
ADC17 : in vl_logic;
ADC18 : in vl_logic;
ADC19 : in vl_logic;
ADC20 : in vl_logic;
ADC21 : in vl_logic;
ADC22 : in vl_logic;
ADC23 : in vl_logic;
ADC24 : in vl_logic;
ADC25 : in vl_logic;
SDD0 : out vl_logic;
ABPS0 : in vl_logic;
ABPS1 : in vl_logic;
TM0 : in vl_logic;
CM0 : in vl_logic;
GNDTM0 : in vl_logic;
VAREF0 : in vl_logic;
VAREFOUT : out vl_logic;
GNDVAREF : in vl_logic;
PUn : in vl_logic
);
end MSS_AHB_F060_IP;
| gpl-3.0 | 670abb657cadbf2e4084212088871a25 | 0.406189 | 3.657456 | false | false | false | false |
frankvanbever/MIPS_processor | testbenches/mux_tb.vhd | 1 | 1,573 | -- Frank Vanbever 06/03/2013
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity mux_tb is
end mux_tb;
architecture behavior of mux_tb is
component MUX is
port (
-- input signals
clk : in std_logic;
selector : in std_logic;
-- input vectors
vector_in_1 : in std_logic_vector(31 downto 0);
vector_in_2 : in std_logic_vector(31 downto 0);
-- output vectors
vector_out : out std_logic_vector(31 downto 0)
);
end component;
signal clk : std_logic := '0';
signal tb_vec_in_1 : std_logic_vector(31 downto 0);
signal tb_vec_in_2 : std_logic_vector(31 downto 0);
signal tb_selector : std_logic;
signal tb_vec_out : std_logic_vector(31 downto 0);
constant clk_period : time := 10 ns;
begin
uut : MUX port map(
clk => clk,
selector => tb_selector,
vector_in_1 => tb_vec_in_1,
vector_in_2 => tb_vec_in_2,
vector_out => tb_vec_out
);
clk_process :process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
stim_proc : process
begin
wait for 100 ns;
wait for clk_period*10;
tb_selector <= '0';
tb_vec_in_1 <= X"FFFFFFFF";
tb_vec_in_2 <= X"00000000";
wait for clk_period;
assert tb_vec_out = X"FFFFFFFF" report "first test error";
wait until rising_edge(clk);
tb_selector <= '1';
wait for clk_period*2;
assert tb_vec_out = X"00000000" report "second test error";
wait;
end process;
end;
| mit | 5b3b6bcb513b69e2008bbb76799dc329 | 0.612842 | 2.929236 | false | false | false | false |
1995parham/FPGA-Homework | HW-4/src/p8/p8.vhd | 1 | 836 | --------------------------------------------------------------------------------
-- Author: Parham Alvani ([email protected])
--
-- Create Date: 05-05-2016
-- Module Name: p8.vhd
--------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
entity p8 is
port (clk, reset_a, reset_s : in std_logic;
d : in std_logic;
q : out std_logic);
end entity p8;
architecture rtl of p8 is
begin
-- the process sensitivity list must contain
-- clock and asynchronous signals
process (clk, reset_a)
begin
-- asynchronous reset, it must come
-- before clock
if reset_a = 1 then
q <= '0';
elsif clk'event and clk = '1' then
-- synchronous reset
if reset_s = '0' then
q <= '0';
else
q <= d;
end if;
end if;
end
end architecture;
| gpl-3.0 | 88530d8c890b5d9659b0b7b753f2cb25 | 0.513158 | 3.497908 | false | false | false | false |
6769/VHDL | Lab_4/Part2/frequency.vhd | 1 | 544 | library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity frequency is
port(clk50M:in std_logic;
clk_1hz:out std_logic);
end entity frequency;
architecture behave of frequency is
signal t:std_logic_vector(24 downto 0);
signal clk:std_logic;
begin
process(clk50M)
begin
if rising_edge(clk50M) then
if t="1011111010111100000111111" then
t<="0000000000000000000000000";
clk<=not clk;
else t<=t+1;
end if;
end if;
end process;
clk_1hz<=clk;
end architecture behave;
| gpl-2.0 | 6b74b0fb5dda1c8db5df72a0334c9914 | 0.694853 | 3.29697 | false | false | false | false |
sorgelig/SAMCoupe_MIST | t80/T80_MCode.vhd | 1 | 53,879 | --------------------------------------------------------------------------------
-- ****
-- T80(c) core. Attempt to finish all undocumented features and provide
-- accurate timings.
-- Version 350.
-- Copyright (c) 2018 Sorgelig
-- Test passed: ZEXDOC, ZEXALL, Z80Full(*), Z80memptr
-- (*) Currently only SCF and CCF instructions aren't passed X/Y flags check as
-- correct implementation is still unclear.
--
-- ****
-- T80(b) core. In an effort to merge and maintain bug fixes ....
--
-- Ver 303 add undocumented DDCB and FDCB opcodes by TobiFlex 20.04.2010
-- Ver 300 started tidyup
-- MikeJ March 2005
-- Latest version from www.fpgaarcade.com (original www.opencores.org)
--
-- ****
-- Z80 compatible microprocessor core
--
-- Version : 0242
-- Copyright (c) 2001-2002 Daniel Wallner ([email protected])
-- All rights reserved
--
-- Redistribution and use in source and synthezised forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
--
-- Redistributions in synthesized form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
-- specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-- Please report bugs to the author, but before you do so, please
-- make sure that this is not a derivative work and that
-- you have the latest version of this file.
--
-- The latest version of this file can be found at:
-- http://www.opencores.org/cvsweb.shtml/t80/
--
-- Limitations :
--
-- File history :
--
-- 0208 : First complete release
-- 0211 : Fixed IM 1
-- 0214 : Fixed mostly flags, only the block instructions now fail the zex regression test
-- 0235 : Added IM 2 fix by Mike Johnson
-- 0238 : Added NoRead signal
-- 0238b: Fixed instruction timing for POP and DJNZ
-- 0240 : Added (IX/IY+d) states, removed op-codes from mode 2 and added all remaining mode 3 op-codes
-- 0240mj1 fix for HL inc/dec for INI, IND, INIR, INDR, OUTI, OUTD, OTIR, OTDR
-- 0242 : Fixed I/O instruction timing, cleanup
--
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.T80_Pack.all;
entity T80_MCode is
generic(
Mode : integer := 0;
Flag_C : integer := 0;
Flag_N : integer := 1;
Flag_P : integer := 2;
Flag_X : integer := 3;
Flag_H : integer := 4;
Flag_Y : integer := 5;
Flag_Z : integer := 6;
Flag_S : integer := 7
);
port(
IR : in std_logic_vector(7 downto 0);
ISet : in std_logic_vector(1 downto 0);
MCycle : in std_logic_vector(2 downto 0);
F : in std_logic_vector(7 downto 0);
NMICycle : in std_logic;
IntCycle : in std_logic;
XY_State : in std_logic_vector(1 downto 0);
MCycles : out std_logic_vector(2 downto 0);
TStates : out std_logic_vector(2 downto 0);
Prefix : out std_logic_vector(1 downto 0); -- None,CB,ED,DD/FD
Inc_PC : out std_logic;
Inc_WZ : out std_logic;
IncDec_16 : out std_logic_vector(3 downto 0); -- BC,DE,HL,SP 0 is inc
Read_To_Reg : out std_logic;
Read_To_Acc : out std_logic;
Set_BusA_To : out std_logic_vector(3 downto 0); -- B,C,D,E,H,L,DI/DB,A,SP(L),SP(M),0,F
Set_BusB_To : out std_logic_vector(3 downto 0); -- B,C,D,E,H,L,DI,A,SP(L),SP(M),1,F,PC(L),PC(M),0
ALU_Op : out std_logic_vector(3 downto 0);
-- ADD, ADC, SUB, SBC, AND, XOR, OR, CP, ROT, BIT, SET, RES, DAA, RLD, RRD, None
Save_ALU : out std_logic;
PreserveC : out std_logic;
Arith16 : out std_logic;
Set_Addr_To : out std_logic_vector(2 downto 0); -- aNone,aXY,aIOA,aSP,aBC,aDE,aZI
IORQ : out std_logic;
Jump : out std_logic;
JumpE : out std_logic;
JumpXY : out std_logic;
Call : out std_logic;
RstP : out std_logic;
LDZ : out std_logic;
LDW : out std_logic;
LDSPHL : out std_logic;
Special_LD : out std_logic_vector(2 downto 0); -- A,I;A,R;I,A;R,A;None
ExchangeDH : out std_logic;
ExchangeRp : out std_logic;
ExchangeAF : out std_logic;
ExchangeRS : out std_logic;
I_DJNZ : out std_logic;
I_CPL : out std_logic;
I_CCF : out std_logic;
I_SCF : out std_logic;
I_RETN : out std_logic;
I_BT : out std_logic;
I_BC : out std_logic;
I_BTR : out std_logic;
I_RLD : out std_logic;
I_RRD : out std_logic;
I_INRC : out std_logic;
SetWZ : out std_logic_vector(1 downto 0);
SetDI : out std_logic;
SetEI : out std_logic;
IMode : out std_logic_vector(1 downto 0);
Halt : out std_logic;
NoRead : out std_logic;
Write : out std_logic;
XYbit_undoc : out std_logic
);
end T80_MCode;
architecture rtl of T80_MCode is
constant aNone : std_logic_vector(2 downto 0) := "111";
constant aBC : std_logic_vector(2 downto 0) := "000";
constant aDE : std_logic_vector(2 downto 0) := "001";
constant aXY : std_logic_vector(2 downto 0) := "010";
constant aIOA : std_logic_vector(2 downto 0) := "100";
constant aSP : std_logic_vector(2 downto 0) := "101";
constant aZI : std_logic_vector(2 downto 0) := "110";
function is_cc_true(
F : std_logic_vector(7 downto 0);
cc : bit_vector(2 downto 0)
) return boolean is
begin
if Mode = 3 then
case cc is
when "000" => return F(Flag_S) = '0'; -- NZ
when "001" => return F(Flag_S) = '1'; -- Z
when "010" => return F(Flag_H) = '0'; -- NC
when "011" => return F(Flag_H) = '1'; -- C
when "100" => return false;
when "101" => return false;
when "110" => return false;
when "111" => return false;
end case;
else
case cc is
when "000" => return F(Flag_Z) = '0'; -- NZ
when "001" => return F(Flag_Z) = '1'; -- Z
when "010" => return F(Flag_C) = '0'; -- NC
when "011" => return F(Flag_C) = '1'; -- C
when "100" => return F(Flag_P) = '0'; -- PO
when "101" => return F(Flag_P) = '1'; -- PE
when "110" => return F(Flag_S) = '0'; -- P
when "111" => return F(Flag_S) = '1'; -- M
end case;
end if;
end;
begin
process (IR, ISet, MCycle, F, NMICycle, IntCycle, XY_State)
variable DDD : std_logic_vector(2 downto 0);
variable SSS : std_logic_vector(2 downto 0);
variable DPair : std_logic_vector(1 downto 0);
variable IRB : bit_vector(7 downto 0);
begin
DDD := IR(5 downto 3);
SSS := IR(2 downto 0);
DPair := IR(5 downto 4);
IRB := to_bitvector(IR);
MCycles <= "001";
if MCycle = "001" then
TStates <= "100";
else
TStates <= "011";
end if;
Prefix <= "00";
Inc_PC <= '0';
Inc_WZ <= '0';
IncDec_16 <= "0000";
Read_To_Acc <= '0';
Read_To_Reg <= '0';
Set_BusB_To <= "0000";
Set_BusA_To <= "0000";
ALU_Op <= "0" & IR(5 downto 3);
Save_ALU <= '0';
PreserveC <= '0';
Arith16 <= '0';
IORQ <= '0';
Set_Addr_To <= aNone;
Jump <= '0';
JumpE <= '0';
JumpXY <= '0';
Call <= '0';
RstP <= '0';
LDZ <= '0';
LDW <= '0';
LDSPHL <= '0';
Special_LD <= "000";
ExchangeDH <= '0';
ExchangeRp <= '0';
ExchangeAF <= '0';
ExchangeRS <= '0';
I_DJNZ <= '0';
I_CPL <= '0';
I_CCF <= '0';
I_SCF <= '0';
I_RETN <= '0';
I_BT <= '0';
I_BC <= '0';
I_BTR <= '0';
I_RLD <= '0';
I_RRD <= '0';
I_INRC <= '0';
SetDI <= '0';
SetEI <= '0';
IMode <= "11";
Halt <= '0';
NoRead <= '0';
Write <= '0';
XYbit_undoc <= '0';
SetWZ <= "00";
case ISet is
when "00" =>
------------------------------------------------------------------------------
--
-- Unprefixed instructions
--
------------------------------------------------------------------------------
case IRB is
-- 8 BIT LOAD GROUP
when "01000000"|"01000001"|"01000010"|"01000011"|"01000100"|"01000101"|"01000111"
|"01001000"|"01001001"|"01001010"|"01001011"|"01001100"|"01001101"|"01001111"
|"01010000"|"01010001"|"01010010"|"01010011"|"01010100"|"01010101"|"01010111"
|"01011000"|"01011001"|"01011010"|"01011011"|"01011100"|"01011101"|"01011111"
|"01100000"|"01100001"|"01100010"|"01100011"|"01100100"|"01100101"|"01100111"
|"01101000"|"01101001"|"01101010"|"01101011"|"01101100"|"01101101"|"01101111"
|"01111000"|"01111001"|"01111010"|"01111011"|"01111100"|"01111101"|"01111111" =>
-- LD r,r'
Set_BusB_To(2 downto 0) <= SSS;
ExchangeRp <= '1';
Set_BusA_To(2 downto 0) <= DDD;
Read_To_Reg <= '1';
when "00000110"|"00001110"|"00010110"|"00011110"|"00100110"|"00101110"|"00111110" =>
-- LD r,n
MCycles <= "010";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
Set_BusA_To(2 downto 0) <= DDD;
Read_To_Reg <= '1';
when others => null;
end case;
when "01000110"|"01001110"|"01010110"|"01011110"|"01100110"|"01101110"|"01111110" =>
-- LD r,(HL)
MCycles <= "010";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_To <= aXY;
when 2 =>
Set_BusA_To(2 downto 0) <= DDD;
Read_To_Reg <= '1';
when others => null;
end case;
when "01110000"|"01110001"|"01110010"|"01110011"|"01110100"|"01110101"|"01110111" =>
-- LD (HL),r
MCycles <= "010";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_To <= aXY;
Set_BusB_To(2 downto 0) <= SSS;
Set_BusB_To(3) <= '0';
when 2 =>
Write <= '1';
when others => null;
end case;
when "00110110" =>
-- LD (HL),n
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
Set_Addr_To <= aXY;
Set_BusB_To(2 downto 0) <= SSS;
Set_BusB_To(3) <= '0';
when 3 =>
Write <= '1';
when others => null;
end case;
when "00001010" =>
-- LD A,(BC)
MCycles <= "010";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_To <= aBC;
when 2 =>
Read_To_Acc <= '1';
when others => null;
end case;
when "00011010" =>
-- LD A,(DE)
MCycles <= "010";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_To <= aDE;
when 2 =>
Read_To_Acc <= '1';
when others => null;
end case;
when "00111010" =>
if Mode = 3 then
-- LDD A,(HL)
MCycles <= "010";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_To <= aXY;
when 2 =>
Read_To_Acc <= '1';
IncDec_16 <= "1110";
when others => null;
end case;
else
-- LD A,(nn)
MCycles <= "100";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
LDZ <= '1';
when 3 =>
Set_Addr_To <= aZI;
Inc_PC <= '1';
when 4 =>
Read_To_Acc <= '1';
when others => null;
end case;
end if;
when "00000010" =>
-- LD (BC),A
MCycles <= "010";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_To <= aBC;
Set_BusB_To <= "0111";
SetWZ <= "10";
when 2 =>
Write <= '1';
when others => null;
end case;
when "00010010" =>
-- LD (DE),A
MCycles <= "010";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_To <= aDE;
Set_BusB_To <= "0111";
SetWZ <= "10";
when 2 =>
Write <= '1';
when others => null;
end case;
when "00110010" =>
if Mode = 3 then
-- LDD (HL),A
MCycles <= "010";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_To <= aXY;
Set_BusB_To <= "0111";
when 2 =>
Write <= '1';
IncDec_16 <= "1110";
when others => null;
end case;
else
-- LD (nn),A
MCycles <= "100";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
LDZ <= '1';
when 3 =>
Set_Addr_To <= aZI;
SetWZ <= "10";
Inc_PC <= '1';
Set_BusB_To <= "0111";
when 4 =>
Write <= '1';
when others => null;
end case;
end if;
-- 16 BIT LOAD GROUP
when "00000001"|"00010001"|"00100001"|"00110001" =>
-- LD dd,nn
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
Read_To_Reg <= '1';
if DPAIR = "11" then
Set_BusA_To(3 downto 0) <= "1000";
else
Set_BusA_To(2 downto 1) <= DPAIR;
Set_BusA_To(0) <= '1';
end if;
when 3 =>
Inc_PC <= '1';
Read_To_Reg <= '1';
if DPAIR = "11" then
Set_BusA_To(3 downto 0) <= "1001";
else
Set_BusA_To(2 downto 1) <= DPAIR;
Set_BusA_To(0) <= '0';
end if;
when others => null;
end case;
when "00101010" =>
if Mode = 3 then
-- LDI A,(HL)
MCycles <= "010";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_To <= aXY;
when 2 =>
Read_To_Acc <= '1';
IncDec_16 <= "0110";
when others => null;
end case;
else
-- LD HL,(nn)
MCycles <= "101";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
LDZ <= '1';
when 3 =>
Set_Addr_To <= aZI;
Inc_PC <= '1';
LDW <= '1';
when 4 =>
Set_BusA_To(2 downto 0) <= "101"; -- L
Read_To_Reg <= '1';
Inc_WZ <= '1';
Set_Addr_To <= aZI;
when 5 =>
Set_BusA_To(2 downto 0) <= "100"; -- H
Read_To_Reg <= '1';
when others => null;
end case;
end if;
when "00100010" =>
if Mode = 3 then
-- LDI (HL),A
MCycles <= "010";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_To <= aXY;
Set_BusB_To <= "0111";
when 2 =>
Write <= '1';
IncDec_16 <= "0110";
when others => null;
end case;
else
-- LD (nn),HL
MCycles <= "101";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
LDZ <= '1';
when 3 =>
Set_Addr_To <= aZI;
Inc_PC <= '1';
LDW <= '1';
Set_BusB_To <= "0101"; -- L
when 4 =>
Inc_WZ <= '1';
Set_Addr_To <= aZI;
Write <= '1';
Set_BusB_To <= "0100"; -- H
when 5 =>
Write <= '1';
when others => null;
end case;
end if;
when "11111001" =>
-- LD SP,HL
TStates <= "110";
LDSPHL <= '1';
when "11000101"|"11010101"|"11100101"|"11110101" =>
-- PUSH qq
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 1 =>
TStates <= "101";
IncDec_16 <= "1111";
Set_Addr_TO <= aSP;
if DPAIR = "11" then
Set_BusB_To <= "0111";
else
Set_BusB_To(2 downto 1) <= DPAIR;
Set_BusB_To(0) <= '0';
Set_BusB_To(3) <= '0';
end if;
when 2 =>
IncDec_16 <= "1111";
Set_Addr_To <= aSP;
if DPAIR = "11" then
Set_BusB_To <= "1011";
else
Set_BusB_To(2 downto 1) <= DPAIR;
Set_BusB_To(0) <= '1';
Set_BusB_To(3) <= '0';
end if;
Write <= '1';
when 3 =>
Write <= '1';
when others => null;
end case;
when "11000001"|"11010001"|"11100001"|"11110001" =>
-- POP qq
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_To <= aSP;
when 2 =>
IncDec_16 <= "0111";
Set_Addr_To <= aSP;
Read_To_Reg <= '1';
if DPAIR = "11" then
Set_BusA_To(3 downto 0) <= "1011";
else
Set_BusA_To(2 downto 1) <= DPAIR;
Set_BusA_To(0) <= '1';
end if;
when 3 =>
IncDec_16 <= "0111";
Read_To_Reg <= '1';
if DPAIR = "11" then
Set_BusA_To(3 downto 0) <= "0111";
else
Set_BusA_To(2 downto 1) <= DPAIR;
Set_BusA_To(0) <= '0';
end if;
when others => null;
end case;
-- EXCHANGE, BLOCK TRANSFER AND SEARCH GROUP
when "11101011" =>
if Mode /= 3 then
-- EX DE,HL
ExchangeDH <= '1';
end if;
when "00001000" =>
if Mode = 3 then
-- LD (nn),SP
MCycles <= "101";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
LDZ <= '1';
when 3 =>
Set_Addr_To <= aZI;
Inc_PC <= '1';
LDW <= '1';
Set_BusB_To <= "1000";
when 4 =>
Inc_WZ <= '1';
Set_Addr_To <= aZI;
Write <= '1';
Set_BusB_To <= "1001";
when 5 =>
Write <= '1';
when others => null;
end case;
elsif Mode < 2 then
-- EX AF,AF'
ExchangeAF <= '1';
end if;
when "11011001" =>
if Mode = 3 then
-- RETI
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_TO <= aSP;
when 2 =>
IncDec_16 <= "0111";
Set_Addr_To <= aSP;
LDZ <= '1';
when 3 =>
Jump <= '1';
IncDec_16 <= "0111";
I_RETN <= '1';
SetEI <= '1';
when others => null;
end case;
elsif Mode < 2 then
-- EXX
ExchangeRS <= '1';
end if;
when "11100011" =>
if Mode /= 3 then
-- EX (SP),HL
MCycles <= "101";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_To <= aSP;
when 2 =>
Read_To_Reg <= '1';
Set_BusA_To <= "0101";
Set_BusB_To <= "0101";
Set_Addr_To <= aSP;
LDZ <= '1';
when 3 =>
IncDec_16 <= "0111";
Set_Addr_To <= aSP;
TStates <= "100";
Write <= '1';
when 4 =>
Read_To_Reg <= '1';
Set_BusA_To <= "0100";
Set_BusB_To <= "0100";
Set_Addr_To <= aSP;
LDW <= '1';
when 5 =>
IncDec_16 <= "1111";
TStates <= "101";
Write <= '1';
when others => null;
end case;
end if;
-- 8 BIT ARITHMETIC AND LOGICAL GROUP
when "10000000"|"10000001"|"10000010"|"10000011"|"10000100"|"10000101"|"10000111"
|"10001000"|"10001001"|"10001010"|"10001011"|"10001100"|"10001101"|"10001111"
|"10010000"|"10010001"|"10010010"|"10010011"|"10010100"|"10010101"|"10010111"
|"10011000"|"10011001"|"10011010"|"10011011"|"10011100"|"10011101"|"10011111"
|"10100000"|"10100001"|"10100010"|"10100011"|"10100100"|"10100101"|"10100111"
|"10101000"|"10101001"|"10101010"|"10101011"|"10101100"|"10101101"|"10101111"
|"10110000"|"10110001"|"10110010"|"10110011"|"10110100"|"10110101"|"10110111"
|"10111000"|"10111001"|"10111010"|"10111011"|"10111100"|"10111101"|"10111111" =>
-- ADD A,r
-- ADC A,r
-- SUB A,r
-- SBC A,r
-- AND A,r
-- OR A,r
-- XOR A,r
-- CP A,r
Set_BusB_To(2 downto 0) <= SSS;
Set_BusA_To(2 downto 0) <= "111";
Read_To_Reg <= '1';
Save_ALU <= '1';
when "10000110"|"10001110"|"10010110"|"10011110"|"10100110"|"10101110"|"10110110"|"10111110" =>
-- ADD A,(HL)
-- ADC A,(HL)
-- SUB A,(HL)
-- SBC A,(HL)
-- AND A,(HL)
-- OR A,(HL)
-- XOR A,(HL)
-- CP A,(HL)
MCycles <= "010";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_To <= aXY;
when 2 =>
Read_To_Reg <= '1';
Save_ALU <= '1';
Set_BusB_To(2 downto 0) <= SSS;
Set_BusA_To(2 downto 0) <= "111";
when others => null;
end case;
when "11000110"|"11001110"|"11010110"|"11011110"|"11100110"|"11101110"|"11110110"|"11111110" =>
-- ADD A,n
-- ADC A,n
-- SUB A,n
-- SBC A,n
-- AND A,n
-- OR A,n
-- XOR A,n
-- CP A,n
MCycles <= "010";
if MCycle = "010" then
Inc_PC <= '1';
Read_To_Reg <= '1';
Save_ALU <= '1';
Set_BusB_To(2 downto 0) <= SSS;
Set_BusA_To(2 downto 0) <= "111";
end if;
when "00000100"|"00001100"|"00010100"|"00011100"|"00100100"|"00101100"|"00111100" =>
-- INC r
Set_BusB_To <= "1010";
Set_BusA_To(2 downto 0) <= DDD;
Read_To_Reg <= '1';
Save_ALU <= '1';
PreserveC <= '1';
ALU_Op <= "0000";
when "00110100" =>
-- INC (HL)
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_To <= aXY;
when 2 =>
TStates <= "100";
Set_Addr_To <= aXY;
Read_To_Reg <= '1';
Save_ALU <= '1';
PreserveC <= '1';
ALU_Op <= "0000";
Set_BusB_To <= "1010";
Set_BusA_To(2 downto 0) <= DDD;
when 3 =>
Write <= '1';
when others => null;
end case;
when "00000101"|"00001101"|"00010101"|"00011101"|"00100101"|"00101101"|"00111101" =>
-- DEC r
Set_BusB_To <= "1010";
Set_BusA_To(2 downto 0) <= DDD;
Read_To_Reg <= '1';
Save_ALU <= '1';
PreserveC <= '1';
ALU_Op <= "0010";
when "00110101" =>
-- DEC (HL)
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_To <= aXY;
when 2 =>
TStates <= "100";
Set_Addr_To <= aXY;
ALU_Op <= "0010";
Read_To_Reg <= '1';
Save_ALU <= '1';
PreserveC <= '1';
Set_BusB_To <= "1010";
Set_BusA_To(2 downto 0) <= DDD;
when 3 =>
Write <= '1';
when others => null;
end case;
-- GENERAL PURPOSE ARITHMETIC AND CPU CONTROL GROUPS
when "00100111" =>
-- DAA
Set_BusA_To(2 downto 0) <= "111";
Read_To_Reg <= '1';
ALU_Op <= "1100";
Save_ALU <= '1';
when "00101111" =>
-- CPL
I_CPL <= '1';
when "00111111" =>
-- CCF
I_CCF <= '1';
when "00110111" =>
-- SCF
I_SCF <= '1';
when "00000000" =>
if NMICycle = '1' then
-- NMI
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 1 =>
TStates <= "101";
IncDec_16 <= "1111";
Set_Addr_To <= aSP;
Set_BusB_To <= "1101";
when 2 =>
TStates <= "100";
Write <= '1';
IncDec_16 <= "1111";
Set_Addr_To <= aSP;
Set_BusB_To <= "1100";
when 3 =>
TStates <= "100";
Write <= '1';
when others => null;
end case;
elsif IntCycle = '1' then
-- INT (IM 2)
MCycles <= "101";
case to_integer(unsigned(MCycle)) is
when 1 =>
LDZ <= '1';
TStates <= "101";
IncDec_16 <= "1111";
Set_Addr_To <= aSP;
Set_BusB_To <= "1101";
when 2 =>
--TStates <= "100";
Write <= '1';
IncDec_16 <= "1111";
Set_Addr_To <= aSP;
Set_BusB_To <= "1100";
when 3 =>
--TStates <= "100";
Write <= '1';
when 4 =>
Inc_PC <= '1';
LDZ <= '1';
when 5 =>
Jump <= '1';
when others => null;
end case;
else
-- NOP
end if;
when "01110110" =>
-- HALT
Halt <= '1';
when "11110011" =>
-- DI
SetDI <= '1';
when "11111011" =>
-- EI
SetEI <= '1';
-- 16 BIT ARITHMETIC GROUP
when "00001001"|"00011001"|"00101001"|"00111001" =>
-- ADD HL,ss
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 2 =>
NoRead <= '1';
ALU_Op <= "0000";
Read_To_Reg <= '1';
Save_ALU <= '1';
Set_BusA_To(2 downto 0) <= "101";
case to_integer(unsigned(IR(5 downto 4))) is
when 0|1|2 =>
Set_BusB_To(2 downto 1) <= IR(5 downto 4);
Set_BusB_To(0) <= '1';
when others =>
Set_BusB_To <= "1000";
end case;
TStates <= "100";
Arith16 <= '1';
SetWZ <= "11";
when 3 =>
NoRead <= '1';
Read_To_Reg <= '1';
Save_ALU <= '1';
ALU_Op <= "0001";
Set_BusA_To(2 downto 0) <= "100";
case to_integer(unsigned(IR(5 downto 4))) is
when 0|1|2 =>
Set_BusB_To(2 downto 1) <= IR(5 downto 4);
when others =>
Set_BusB_To <= "1001";
end case;
Arith16 <= '1';
when others =>
end case;
when "00000011"|"00010011"|"00100011"|"00110011" =>
-- INC ss
TStates <= "110";
IncDec_16(3 downto 2) <= "01";
IncDec_16(1 downto 0) <= DPair;
when "00001011"|"00011011"|"00101011"|"00111011" =>
-- DEC ss
TStates <= "110";
IncDec_16(3 downto 2) <= "11";
IncDec_16(1 downto 0) <= DPair;
-- ROTATE AND SHIFT GROUP
when "00000111"
-- RLCA
|"00010111"
-- RLA
|"00001111"
-- RRCA
|"00011111" =>
-- RRA
Set_BusA_To(2 downto 0) <= "111";
ALU_Op <= "1000";
Read_To_Reg <= '1';
Save_ALU <= '1';
-- JUMP GROUP
when "11000011" =>
-- JP nn
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
LDZ <= '1';
when 3 =>
Inc_PC <= '1';
Jump <= '1';
LDW <= '1';
when others => null;
end case;
when "11000010"|"11001010"|"11010010"|"11011010"|"11100010"|"11101010"|"11110010"|"11111010" =>
if IR(5) = '1' and Mode = 3 then
case IRB(4 downto 3) is
when "00" =>
-- LD ($FF00+C),A
MCycles <= "010";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_To <= aBC;
Set_BusB_To <= "0111";
when 2 =>
Write <= '1';
IORQ <= '1';
when others =>
end case;
when "01" =>
-- LD (nn),A
MCycles <= "100";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
LDZ <= '1';
when 3 =>
Set_Addr_To <= aZI;
Inc_PC <= '1';
Set_BusB_To <= "0111";
when 4 =>
Write <= '1';
when others => null;
end case;
when "10" =>
-- LD A,($FF00+C)
MCycles <= "010";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_To <= aBC;
when 2 =>
Read_To_Acc <= '1';
IORQ <= '1';
when others =>
end case;
when "11" =>
-- LD A,(nn)
MCycles <= "100";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
LDZ <= '1';
when 3 =>
Set_Addr_To <= aZI;
Inc_PC <= '1';
when 4 =>
Read_To_Acc <= '1';
when others => null;
end case;
end case;
else
-- JP cc,nn
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
LDZ <= '1';
when 3 =>
LDW <= '1';
Inc_PC <= '1';
if is_cc_true(F, to_bitvector(IR(5 downto 3))) then
Jump <= '1';
end if;
when others => null;
end case;
end if;
when "00011000" =>
if Mode /= 2 then
-- JR e
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
when 3 =>
NoRead <= '1';
JumpE <= '1';
TStates <= "101";
when others => null;
end case;
end if;
when "00111000" =>
if Mode /= 2 then
-- JR C,e
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
if F(Flag_C) = '0' then
MCycles <= "010";
end if;
when 3 =>
NoRead <= '1';
JumpE <= '1';
TStates <= "101";
when others => null;
end case;
end if;
when "00110000" =>
if Mode /= 2 then
-- JR NC,e
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
if F(Flag_C) = '1' then
MCycles <= "010";
end if;
when 3 =>
NoRead <= '1';
JumpE <= '1';
TStates <= "101";
when others => null;
end case;
end if;
when "00101000" =>
if Mode /= 2 then
-- JR Z,e
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
if F(Flag_Z) = '0' then
MCycles <= "010";
end if;
when 3 =>
NoRead <= '1';
JumpE <= '1';
TStates <= "101";
when others => null;
end case;
end if;
when "00100000" =>
if Mode /= 2 then
-- JR NZ,e
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
if F(Flag_Z) = '1' then
MCycles <= "010";
end if;
when 3 =>
NoRead <= '1';
JumpE <= '1';
TStates <= "101";
when others => null;
end case;
end if;
when "11101001" =>
-- JP (HL)
JumpXY <= '1';
when "00010000" =>
if Mode = 3 then
I_DJNZ <= '1';
elsif Mode < 2 then
-- DJNZ,e
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 1 =>
TStates <= "101";
I_DJNZ <= '1';
Set_BusB_To <= "1010";
Set_BusA_To(2 downto 0) <= "000";
Read_To_Reg <= '1';
Save_ALU <= '1';
ALU_Op <= "0010";
when 2 =>
I_DJNZ <= '1';
Inc_PC <= '1';
when 3 =>
NoRead <= '1';
JumpE <= '1';
TStates <= "101";
when others => null;
end case;
end if;
-- CALL AND RETURN GROUP
when "11001101" =>
-- CALL nn
MCycles <= "101";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
LDZ <= '1';
when 3 =>
IncDec_16 <= "1111";
Inc_PC <= '1';
TStates <= "100";
Set_Addr_To <= aSP;
LDW <= '1';
Set_BusB_To <= "1101";
when 4 =>
Write <= '1';
IncDec_16 <= "1111";
Set_Addr_To <= aSP;
Set_BusB_To <= "1100";
when 5 =>
Write <= '1';
Call <= '1';
when others => null;
end case;
when "11000100"|"11001100"|"11010100"|"11011100"|"11100100"|"11101100"|"11110100"|"11111100" =>
if IR(5) = '0' or Mode /= 3 then
-- CALL cc,nn
MCycles <= "101";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
LDZ <= '1';
when 3 =>
Inc_PC <= '1';
LDW <= '1';
if is_cc_true(F, to_bitvector(IR(5 downto 3))) then
IncDec_16 <= "1111";
Set_Addr_TO <= aSP;
TStates <= "100";
Set_BusB_To <= "1101";
else
MCycles <= "011";
end if;
when 4 =>
Write <= '1';
IncDec_16 <= "1111";
Set_Addr_To <= aSP;
Set_BusB_To <= "1100";
when 5 =>
Write <= '1';
Call <= '1';
when others => null;
end case;
end if;
when "11001001" =>
-- RET
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 1 =>
--TStates <= "101";
Set_Addr_TO <= aSP;
when 2 =>
IncDec_16 <= "0111";
Set_Addr_To <= aSP;
LDZ <= '1';
when 3 =>
Jump <= '1';
IncDec_16 <= "0111";
when others => null;
end case;
when "11000000"|"11001000"|"11010000"|"11011000"|"11100000"|"11101000"|"11110000"|"11111000" =>
if IR(5) = '1' and Mode = 3 then
case IRB(4 downto 3) is
when "00" =>
-- LD ($FF00+nn),A
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
Set_Addr_To <= aIOA;
Set_BusB_To <= "0111";
when 3 =>
Write <= '1';
when others => null;
end case;
when "01" =>
-- ADD SP,n
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 2 =>
ALU_Op <= "0000";
Inc_PC <= '1';
Read_To_Reg <= '1';
Save_ALU <= '1';
Set_BusA_To <= "1000";
Set_BusB_To <= "0110";
when 3 =>
NoRead <= '1';
Read_To_Reg <= '1';
Save_ALU <= '1';
ALU_Op <= "0001";
Set_BusA_To <= "1001";
Set_BusB_To <= "1110"; -- Incorrect unsigned !!!!!!!!!!!!!!!!!!!!!
when others =>
end case;
when "10" =>
-- LD A,($FF00+nn)
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
Set_Addr_To <= aIOA;
when 3 =>
Read_To_Acc <= '1';
when others => null;
end case;
when "11" =>
-- LD HL,SP+n -- Not correct !!!!!!!!!!!!!!!!!!!
MCycles <= "101";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
LDZ <= '1';
when 3 =>
Set_Addr_To <= aZI;
Inc_PC <= '1';
LDW <= '1';
when 4 =>
Set_BusA_To(2 downto 0) <= "101"; -- L
Read_To_Reg <= '1';
Inc_WZ <= '1';
Set_Addr_To <= aZI;
when 5 =>
Set_BusA_To(2 downto 0) <= "100"; -- H
Read_To_Reg <= '1';
when others => null;
end case;
end case;
else
-- RET cc
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 1 =>
if is_cc_true(F, to_bitvector(IR(5 downto 3))) then
Set_Addr_TO <= aSP;
else
MCycles <= "001";
end if;
TStates <= "101";
when 2 =>
IncDec_16 <= "0111";
Set_Addr_To <= aSP;
LDZ <= '1';
when 3 =>
Jump <= '1';
IncDec_16 <= "0111";
when others => null;
end case;
end if;
when "11000111"|"11001111"|"11010111"|"11011111"|"11100111"|"11101111"|"11110111"|"11111111" =>
-- RST p
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 1 =>
TStates <= "101";
IncDec_16 <= "1111";
Set_Addr_To <= aSP;
Set_BusB_To <= "1101";
when 2 =>
Write <= '1';
IncDec_16 <= "1111";
Set_Addr_To <= aSP;
Set_BusB_To <= "1100";
when 3 =>
Write <= '1';
RstP <= '1';
when others => null;
end case;
-- INPUT AND OUTPUT GROUP
when "11011011" =>
if Mode /= 3 then
-- IN A,(n)
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
Set_Addr_To <= aIOA;
when 3 =>
Read_To_Acc <= '1';
IORQ <= '1';
when others => null;
end case;
end if;
when "11010011" =>
if Mode /= 3 then
-- OUT (n),A
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
Set_Addr_To <= aIOA;
Set_BusB_To <= "0111";
when 3 =>
Write <= '1';
IORQ <= '1';
when others => null;
end case;
end if;
------------------------------------------------------------------------------
------------------------------------------------------------------------------
-- MULTIBYTE INSTRUCTIONS
------------------------------------------------------------------------------
------------------------------------------------------------------------------
when "11001011" =>
if Mode /= 2 then
Prefix <= "01";
end if;
when "11101101" =>
if Mode < 2 then
Prefix <= "10";
end if;
when "11011101"|"11111101" =>
if Mode < 2 then
Prefix <= "11";
end if;
end case;
when "01" =>
------------------------------------------------------------------------------
--
-- CB prefixed instructions
--
------------------------------------------------------------------------------
Set_BusA_To(2 downto 0) <= IR(2 downto 0);
Set_BusB_To(2 downto 0) <= IR(2 downto 0);
case IRB is
when "00000000"|"00000001"|"00000010"|"00000011"|"00000100"|"00000101"|"00000111"
|"00010000"|"00010001"|"00010010"|"00010011"|"00010100"|"00010101"|"00010111"
|"00001000"|"00001001"|"00001010"|"00001011"|"00001100"|"00001101"|"00001111"
|"00011000"|"00011001"|"00011010"|"00011011"|"00011100"|"00011101"|"00011111"
|"00100000"|"00100001"|"00100010"|"00100011"|"00100100"|"00100101"|"00100111"
|"00101000"|"00101001"|"00101010"|"00101011"|"00101100"|"00101101"|"00101111"
|"00110000"|"00110001"|"00110010"|"00110011"|"00110100"|"00110101"|"00110111"
|"00111000"|"00111001"|"00111010"|"00111011"|"00111100"|"00111101"|"00111111" =>
-- RLC r
-- RL r
-- RRC r
-- RR r
-- SLA r
-- SRA r
-- SRL r
-- SLL r (Undocumented) / SWAP r
if XY_State="00" then
if MCycle = "001" then
ALU_Op <= "1000";
Read_To_Reg <= '1';
Save_ALU <= '1';
end if;
else
-- R/S (IX+d),Reg, undocumented
MCycles <= "011";
XYbit_undoc <= '1';
case to_integer(unsigned(MCycle)) is
when 1 | 7=>
Set_Addr_To <= aXY;
when 2 =>
ALU_Op <= "1000";
Read_To_Reg <= '1';
Save_ALU <= '1';
Set_Addr_To <= aXY;
TStates <= "100";
when 3 =>
Write <= '1';
when others => null;
end case;
end if;
when "00000110"|"00010110"|"00001110"|"00011110"|"00101110"|"00111110"|"00100110"|"00110110" =>
-- RLC (HL)
-- RL (HL)
-- RRC (HL)
-- RR (HL)
-- SRA (HL)
-- SRL (HL)
-- SLA (HL)
-- SLL (HL) (Undocumented) / SWAP (HL)
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 1 | 7 =>
Set_Addr_To <= aXY;
when 2 =>
ALU_Op <= "1000";
Read_To_Reg <= '1';
Save_ALU <= '1';
Set_Addr_To <= aXY;
TStates <= "100";
when 3 =>
Write <= '1';
when others =>
end case;
when "01000000"|"01000001"|"01000010"|"01000011"|"01000100"|"01000101"|"01000111"
|"01001000"|"01001001"|"01001010"|"01001011"|"01001100"|"01001101"|"01001111"
|"01010000"|"01010001"|"01010010"|"01010011"|"01010100"|"01010101"|"01010111"
|"01011000"|"01011001"|"01011010"|"01011011"|"01011100"|"01011101"|"01011111"
|"01100000"|"01100001"|"01100010"|"01100011"|"01100100"|"01100101"|"01100111"
|"01101000"|"01101001"|"01101010"|"01101011"|"01101100"|"01101101"|"01101111"
|"01110000"|"01110001"|"01110010"|"01110011"|"01110100"|"01110101"|"01110111"
|"01111000"|"01111001"|"01111010"|"01111011"|"01111100"|"01111101"|"01111111" =>
-- BIT b,r
if XY_State="00" then
if MCycle = "001" then
Set_BusB_To(2 downto 0) <= IR(2 downto 0);
ALU_Op <= "1001";
end if;
else
-- BIT b,(IX+d), undocumented
MCycles <= "010";
XYbit_undoc <= '1';
case to_integer(unsigned(MCycle)) is
when 1 | 7=>
Set_Addr_To <= aXY;
when 2 =>
ALU_Op <= "1001";
TStates <= "100";
when others => null;
end case;
end if;
when "01000110"|"01001110"|"01010110"|"01011110"|"01100110"|"01101110"|"01110110"|"01111110" =>
-- BIT b,(HL)
MCycles <= "010";
case to_integer(unsigned(MCycle)) is
when 1 | 7 =>
Set_Addr_To <= aXY;
when 2 =>
ALU_Op <= "1001";
TStates <= "100";
when others => null;
end case;
when "11000000"|"11000001"|"11000010"|"11000011"|"11000100"|"11000101"|"11000111"
|"11001000"|"11001001"|"11001010"|"11001011"|"11001100"|"11001101"|"11001111"
|"11010000"|"11010001"|"11010010"|"11010011"|"11010100"|"11010101"|"11010111"
|"11011000"|"11011001"|"11011010"|"11011011"|"11011100"|"11011101"|"11011111"
|"11100000"|"11100001"|"11100010"|"11100011"|"11100100"|"11100101"|"11100111"
|"11101000"|"11101001"|"11101010"|"11101011"|"11101100"|"11101101"|"11101111"
|"11110000"|"11110001"|"11110010"|"11110011"|"11110100"|"11110101"|"11110111"
|"11111000"|"11111001"|"11111010"|"11111011"|"11111100"|"11111101"|"11111111" =>
-- SET b,r
if XY_State="00" then
if MCycle = "001" then
ALU_Op <= "1010";
Read_To_Reg <= '1';
Save_ALU <= '1';
end if;
else
-- SET b,(IX+d),Reg, undocumented
MCycles <= "011";
XYbit_undoc <= '1';
case to_integer(unsigned(MCycle)) is
when 1 | 7=>
Set_Addr_To <= aXY;
when 2 =>
ALU_Op <= "1010";
Read_To_Reg <= '1';
Save_ALU <= '1';
Set_Addr_To <= aXY;
TStates <= "100";
when 3 =>
Write <= '1';
when others => null;
end case;
end if;
when "11000110"|"11001110"|"11010110"|"11011110"|"11100110"|"11101110"|"11110110"|"11111110" =>
-- SET b,(HL)
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 1 | 7 =>
Set_Addr_To <= aXY;
when 2 =>
ALU_Op <= "1010";
Read_To_Reg <= '1';
Save_ALU <= '1';
Set_Addr_To <= aXY;
TStates <= "100";
when 3 =>
Write <= '1';
when others => null;
end case;
when "10000000"|"10000001"|"10000010"|"10000011"|"10000100"|"10000101"|"10000111"
|"10001000"|"10001001"|"10001010"|"10001011"|"10001100"|"10001101"|"10001111"
|"10010000"|"10010001"|"10010010"|"10010011"|"10010100"|"10010101"|"10010111"
|"10011000"|"10011001"|"10011010"|"10011011"|"10011100"|"10011101"|"10011111"
|"10100000"|"10100001"|"10100010"|"10100011"|"10100100"|"10100101"|"10100111"
|"10101000"|"10101001"|"10101010"|"10101011"|"10101100"|"10101101"|"10101111"
|"10110000"|"10110001"|"10110010"|"10110011"|"10110100"|"10110101"|"10110111"
|"10111000"|"10111001"|"10111010"|"10111011"|"10111100"|"10111101"|"10111111" =>
-- RES b,r
if XY_State="00" then
if MCycle = "001" then
ALU_Op <= "1011";
Read_To_Reg <= '1';
Save_ALU <= '1';
end if;
else
-- RES b,(IX+d),Reg, undocumented
MCycles <= "011";
XYbit_undoc <= '1';
case to_integer(unsigned(MCycle)) is
when 1 | 7=>
Set_Addr_To <= aXY;
when 2 =>
ALU_Op <= "1011";
Read_To_Reg <= '1';
Save_ALU <= '1';
Set_Addr_To <= aXY;
TStates <= "100";
when 3 =>
Write <= '1';
when others => null;
end case;
end if;
when "10000110"|"10001110"|"10010110"|"10011110"|"10100110"|"10101110"|"10110110"|"10111110" =>
-- RES b,(HL)
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 1 | 7 =>
Set_Addr_To <= aXY;
when 2 =>
ALU_Op <= "1011";
Read_To_Reg <= '1';
Save_ALU <= '1';
Set_Addr_To <= aXY;
TStates <= "100";
when 3 =>
Write <= '1';
when others => null;
end case;
end case;
when others =>
------------------------------------------------------------------------------
--
-- ED prefixed instructions
--
------------------------------------------------------------------------------
case IRB is
when "00000000"|"00000001"|"00000010"|"00000011"|"00000100"|"00000101"|"00000110"|"00000111"
|"00001000"|"00001001"|"00001010"|"00001011"|"00001100"|"00001101"|"00001110"|"00001111"
|"00010000"|"00010001"|"00010010"|"00010011"|"00010100"|"00010101"|"00010110"|"00010111"
|"00011000"|"00011001"|"00011010"|"00011011"|"00011100"|"00011101"|"00011110"|"00011111"
|"00100000"|"00100001"|"00100010"|"00100011"|"00100100"|"00100101"|"00100110"|"00100111"
|"00101000"|"00101001"|"00101010"|"00101011"|"00101100"|"00101101"|"00101110"|"00101111"
|"00110000"|"00110001"|"00110010"|"00110011"|"00110100"|"00110101"|"00110110"|"00110111"
|"00111000"|"00111001"|"00111010"|"00111011"|"00111100"|"00111101"|"00111110"|"00111111"
|"10000000"|"10000001"|"10000010"|"10000011"|"10000100"|"10000101"|"10000110"|"10000111"
|"10001000"|"10001001"|"10001010"|"10001011"|"10001100"|"10001101"|"10001110"|"10001111"
|"10010000"|"10010001"|"10010010"|"10010011"|"10010100"|"10010101"|"10010110"|"10010111"
|"10011000"|"10011001"|"10011010"|"10011011"|"10011100"|"10011101"|"10011110"|"10011111"
| "10100100"|"10100101"|"10100110"|"10100111"
| "10101100"|"10101101"|"10101110"|"10101111"
| "10110100"|"10110101"|"10110110"|"10110111"
| "10111100"|"10111101"|"10111110"|"10111111"
|"11000000"|"11000001"|"11000010"|"11000011"|"11000100"|"11000101"|"11000110"|"11000111"
|"11001000"|"11001001"|"11001010"|"11001011"|"11001100"|"11001101"|"11001110"|"11001111"
|"11010000"|"11010001"|"11010010"|"11010011"|"11010100"|"11010101"|"11010110"|"11010111"
|"11011000"|"11011001"|"11011010"|"11011011"|"11011100"|"11011101"|"11011110"|"11011111"
|"11100000"|"11100001"|"11100010"|"11100011"|"11100100"|"11100101"|"11100110"|"11100111"
|"11101000"|"11101001"|"11101010"|"11101011"|"11101100"|"11101101"|"11101110"|"11101111"
|"11110000"|"11110001"|"11110010"|"11110011"|"11110100"|"11110101"|"11110110"|"11110111"
|"11111000"|"11111001"|"11111010"|"11111011"|"11111100"|"11111101"|"11111110"|"11111111" =>
null; -- NOP, undocumented
when "01111110"|"01111111" =>
-- NOP, undocumented
null;
-- 8 BIT LOAD GROUP
when "01010111" =>
-- LD A,I
Special_LD <= "100";
TStates <= "101";
when "01011111" =>
-- LD A,R
Special_LD <= "101";
TStates <= "101";
when "01000111" =>
-- LD I,A
Special_LD <= "110";
TStates <= "101";
when "01001111" =>
-- LD R,A
Special_LD <= "111";
TStates <= "101";
-- 16 BIT LOAD GROUP
when "01001011"|"01011011"|"01101011"|"01111011" =>
-- LD dd,(nn)
MCycles <= "101";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
LDZ <= '1';
when 3 =>
Set_Addr_To <= aZI;
Inc_PC <= '1';
LDW <= '1';
when 4 =>
Read_To_Reg <= '1';
if IR(5 downto 4) = "11" then
Set_BusA_To <= "1000";
else
Set_BusA_To(2 downto 1) <= IR(5 downto 4);
Set_BusA_To(0) <= '1';
end if;
Inc_WZ <= '1';
Set_Addr_To <= aZI;
when 5 =>
Read_To_Reg <= '1';
if IR(5 downto 4) = "11" then
Set_BusA_To <= "1001";
else
Set_BusA_To(2 downto 1) <= IR(5 downto 4);
Set_BusA_To(0) <= '0';
end if;
when others => null;
end case;
when "01000011"|"01010011"|"01100011"|"01110011" =>
-- LD (nn),dd
MCycles <= "101";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
LDZ <= '1';
when 3 =>
Set_Addr_To <= aZI;
Inc_PC <= '1';
LDW <= '1';
if IR(5 downto 4) = "11" then
Set_BusB_To <= "1000";
else
Set_BusB_To(2 downto 1) <= IR(5 downto 4);
Set_BusB_To(0) <= '1';
Set_BusB_To(3) <= '0';
end if;
when 4 =>
Inc_WZ <= '1';
Set_Addr_To <= aZI;
Write <= '1';
if IR(5 downto 4) = "11" then
Set_BusB_To <= "1001";
else
Set_BusB_To(2 downto 1) <= IR(5 downto 4);
Set_BusB_To(0) <= '0';
Set_BusB_To(3) <= '0';
end if;
when 5 =>
Write <= '1';
when others => null;
end case;
when "10100000" | "10101000" | "10110000" | "10111000" =>
-- LDI, LDD, LDIR, LDDR
MCycles <= "100";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_To <= aXY;
IncDec_16 <= "1100"; -- BC
when 2 =>
Set_BusB_To <= "0110";
Set_BusA_To(2 downto 0) <= "111";
ALU_Op <= "0000";
Set_Addr_To <= aDE;
if IR(3) = '0' then
IncDec_16 <= "0110"; -- IX
else
IncDec_16 <= "1110";
end if;
when 3 =>
I_BT <= '1';
TStates <= "101";
Write <= '1';
if IR(3) = '0' then
IncDec_16 <= "0101"; -- DE
else
IncDec_16 <= "1101";
end if;
when 4 =>
NoRead <= '1';
TStates <= "101";
when others => null;
end case;
when "10100001" | "10101001" | "10110001" | "10111001" =>
-- CPI, CPD, CPIR, CPDR
MCycles <= "100";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_To <= aXY;
IncDec_16 <= "1100"; -- BC
when 2 =>
Set_BusB_To <= "0110";
Set_BusA_To(2 downto 0) <= "111";
ALU_Op <= "0111";
Save_ALU <= '1';
PreserveC <= '1';
if IR(3) = '0' then
IncDec_16 <= "0110";
else
IncDec_16 <= "1110";
end if;
when 3 =>
NoRead <= '1';
I_BC <= '1';
TStates <= "101";
when 4 =>
NoRead <= '1';
TStates <= "101";
when others => null;
end case;
when "01000100"|"01001100"|"01010100"|"01011100"|"01100100"|"01101100"|"01110100"|"01111100" =>
-- NEG
Alu_OP <= "0010";
Set_BusB_To <= "0111";
Set_BusA_To <= "1010";
Read_To_Acc <= '1';
Save_ALU <= '1';
when "01000110"|"01001110"|"01100110"|"01101110" =>
-- IM 0
IMode <= "00";
when "01010110"|"01110110" =>
-- IM 1
IMode <= "01";
when "01011110"|"01110111" =>
-- IM 2
IMode <= "10";
-- 16 bit arithmetic
when "01001010"|"01011010"|"01101010"|"01111010" =>
-- ADC HL,ss
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 2 =>
NoRead <= '1';
ALU_Op <= "0001";
Read_To_Reg <= '1';
Save_ALU <= '1';
Set_BusA_To(2 downto 0) <= "101";
case to_integer(unsigned(IR(5 downto 4))) is
when 0|1|2 =>
Set_BusB_To(2 downto 1) <= IR(5 downto 4);
Set_BusB_To(0) <= '1';
when others =>
Set_BusB_To <= "1000";
end case;
TStates <= "100";
SetWZ <= "11";
when 3 =>
NoRead <= '1';
Read_To_Reg <= '1';
Save_ALU <= '1';
ALU_Op <= "0001";
Set_BusA_To(2 downto 0) <= "100";
case to_integer(unsigned(IR(5 downto 4))) is
when 0|1|2 =>
Set_BusB_To(2 downto 1) <= IR(5 downto 4);
Set_BusB_To(0) <= '0';
when others =>
Set_BusB_To <= "1001";
end case;
when others =>
end case;
when "01000010"|"01010010"|"01100010"|"01110010" =>
-- SBC HL,ss
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 2 =>
NoRead <= '1';
ALU_Op <= "0011";
Read_To_Reg <= '1';
Save_ALU <= '1';
Set_BusA_To(2 downto 0) <= "101";
case to_integer(unsigned(IR(5 downto 4))) is
when 0|1|2 =>
Set_BusB_To(2 downto 1) <= IR(5 downto 4);
Set_BusB_To(0) <= '1';
when others =>
Set_BusB_To <= "1000";
end case;
TStates <= "100";
SetWZ <= "11";
when 3 =>
NoRead <= '1';
ALU_Op <= "0011";
Read_To_Reg <= '1';
Save_ALU <= '1';
Set_BusA_To(2 downto 0) <= "100";
case to_integer(unsigned(IR(5 downto 4))) is
when 0|1|2 =>
Set_BusB_To(2 downto 1) <= IR(5 downto 4);
when others =>
Set_BusB_To <= "1001";
end case;
when others =>
end case;
when "01101111" =>
-- RLD -- Read in M2, not M3! fixed by Sorgelig
MCycles <= "100";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_To <= aXY;
when 2 =>
Read_To_Reg <= '1';
Set_BusB_To(2 downto 0) <= "110";
Set_BusA_To(2 downto 0) <= "111";
ALU_Op <= "1101";
Save_ALU <= '1';
when 3 =>
TStates <= "100";
I_RLD <= '1';
NoRead <= '1';
Set_Addr_To <= aXY;
when 4 =>
Write <= '1';
when others =>
end case;
when "01100111" =>
-- RRD -- Read in M2, not M3! fixed by Sorgelig
MCycles <= "100";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_To <= aXY;
when 2 =>
Read_To_Reg <= '1';
Set_BusB_To(2 downto 0) <= "110";
Set_BusA_To(2 downto 0) <= "111";
ALU_Op <= "1110";
Save_ALU <= '1';
when 3 =>
TStates <= "100";
I_RRD <= '1';
NoRead <= '1';
Set_Addr_To <= aXY;
when 4 =>
Write <= '1';
when others =>
end case;
when "01000101"|"01001101"|"01010101"|"01011101"|"01100101"|"01101101"|"01110101"|"01111101" =>
-- RETI/RETN
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_TO <= aSP;
when 2 =>
IncDec_16 <= "0111";
Set_Addr_To <= aSP;
LDZ <= '1';
when 3 =>
Jump <= '1';
IncDec_16 <= "0111";
LDW <= '1';
I_RETN <= '1';
when others => null;
end case;
when "01000000"|"01001000"|"01010000"|"01011000"|"01100000"|"01101000"|"01110000"|"01111000" =>
-- IN r,(C)
MCycles <= "010";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_To <= aBC;
SetWZ <= "01";
when 2 =>
IORQ <= '1';
if IR(5 downto 3) /= "110" then
Read_To_Reg <= '1';
Set_BusA_To(2 downto 0) <= IR(5 downto 3);
end if;
I_INRC <= '1';
when others =>
end case;
when "01000001"|"01001001"|"01010001"|"01011001"|"01100001"|"01101001"|"01110001"|"01111001" =>
-- OUT (C),r
-- OUT (C),0
MCycles <= "010";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_To <= aBC;
SetWZ <= "01";
Set_BusB_To(2 downto 0) <= IR(5 downto 3);
if IR(5 downto 3) = "110" then
Set_BusB_To(3) <= '1';
end if;
when 2 =>
Write <= '1';
IORQ <= '1';
when others =>
end case;
when "10100010" | "10101010" | "10110010" | "10111010" =>
-- INI, IND, INIR, INDR
MCycles <= "100";
case to_integer(unsigned(MCycle)) is
when 1 =>
TStates <= "101";
Set_Addr_To <= aBC;
Set_BusB_To <= "1010";
Set_BusA_To <= "0000";
Read_To_Reg <= '1';
Save_ALU <= '1';
ALU_Op <= "0010";
SetWZ <= "11";
IncDec_16(3) <= IR(3);
when 2 =>
IORQ <= '1';
Set_BusB_To <= "0110";
Set_Addr_To <= aXY;
when 3 =>
if IR(3) = '0' then
IncDec_16 <= "0110";
else
IncDec_16 <= "1110";
end if;
Write <= '1';
I_BTR <= '1';
when 4 =>
NoRead <= '1';
TStates <= "101";
when others => null;
end case;
when "10100011" | "10101011" | "10110011" | "10111011" =>
-- OUTI, OUTD, OTIR, OTDR
MCycles <= "100";
case to_integer(unsigned(MCycle)) is
when 1 =>
TStates <= "101";
Set_Addr_To <= aXY;
Set_BusB_To <= "1010";
Set_BusA_To <= "0000";
Read_To_Reg <= '1';
Save_ALU <= '1';
ALU_Op <= "0010";
when 2 =>
Set_BusB_To <= "0110";
Set_Addr_To <= aBC;
SetWZ <= "11";
IncDec_16(3) <= IR(3);
when 3 =>
if IR(3) = '0' then
IncDec_16 <= "0110";
else
IncDec_16 <= "1110";
end if;
IORQ <= '1';
Write <= '1';
I_BTR <= '1';
when 4 =>
NoRead <= '1';
TStates <= "101";
when others => null;
end case;
end case;
end case;
if Mode = 1 then
if MCycle = "001" then
-- TStates <= "100";
else
TStates <= "011";
end if;
end if;
if Mode = 3 then
if MCycle = "001" then
-- TStates <= "100";
else
TStates <= "100";
end if;
end if;
if Mode < 2 then
if MCycle = "110" then
Inc_PC <= '1';
if Mode = 1 then
Set_Addr_To <= aXY;
TStates <= "100";
Set_BusB_To(2 downto 0) <= SSS;
Set_BusB_To(3) <= '0';
end if;
if IRB = "00110110" or IRB = "11001011" then
Set_Addr_To <= aNone;
end if;
end if;
if MCycle = "111" then
if Mode = 0 then
TStates <= "101";
end if;
if ISet /= "01" then
Set_Addr_To <= aXY;
end if;
Set_BusB_To(2 downto 0) <= SSS;
Set_BusB_To(3) <= '0';
if IRB = "00110110" or ISet = "01" then
-- LD (HL),n
Inc_PC <= '1';
else
NoRead <= '1';
end if;
end if;
end if;
end process;
end;
| gpl-2.0 | f56be5eef729055a3f4efe7935447ac2 | 0.512742 | 3.02742 | false | false | false | false |
Project-Bonfire/EHA | RTL/Chip_Designs/IMMORTAL_Chip_2017/IJTAG_files/immortal_sensor_IJTAG_interface.vhd | 3 | 13,320 | --Copyright (C) 2017 Konstantin Shibin
------------------------------------------------------------
-- File name: immortal_sensor_IJTAG_interface.vhd
------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
USE ieee.numeric_std.ALL;
entity immortal_sensor_IJTAG_interface is
port (
-- IJTAG connection
TCK : in std_logic;
RST : in std_logic;
SEL : in std_logic;
SI : in std_logic;
SE : in std_logic;
UE : in std_logic;
CE : in std_logic;
SO : out std_logic;
toF : out std_logic;
toC : out std_logic;
-- Monitor connections
temperature_control : out std_logic_vector(2 downto 0);
temperature_data : in std_logic_vector(12 downto 0);
iddt_control : out std_logic_vector(2 downto 0);
iddt_data : in std_logic_vector(12 downto 0);
slack_control : out std_logic_vector(2 downto 0);
slack_data : in std_logic_vector(31 downto 0);
voltage_control : out std_logic_vector(2 downto 0);
voltage_data : in std_logic_vector(31 downto 0)
);
end immortal_sensor_IJTAG_interface;
architecture rtl of immortal_sensor_IJTAG_interface is
component SIB_mux_pre_FCX_SELgate is
Port ( -- Scan Interface client --------------
SI : in STD_LOGIC; -- ScanInPort
CE : in STD_LOGIC; -- CaptureEnPort
SE : in STD_LOGIC; -- ShiftEnPort
UE : in STD_LOGIC; -- UpdateEnPort
SEL : in STD_LOGIC; -- SelectPort
RST : in STD_LOGIC; -- ResetPort
TCK : in STD_LOGIC; -- TCKPort
SO : out STD_LOGIC; -- ScanOutPort
toF : out STD_LOGIC; -- To F flag of the upper hierarchical level
toC : out STD_LOGIC; -- To C flag of the upper hierarchical level
-- Scan Interface host ----------------
fromSO : in STD_LOGIC; -- ScanInPort
toCE : out STD_LOGIC; -- ToCaptureEnPort
toSE : out STD_LOGIC; -- ToShiftEnPort
toUE : out STD_LOGIC; -- ToUpdateEnPort
toSEL : out STD_LOGIC; -- ToSelectPort
toRST : out STD_LOGIC; -- ToResetPort
toTCK : out STD_LOGIC; -- ToTCKPort
toSI : out STD_LOGIC; -- ScanOutPort
fromF : in STD_LOGIC; -- From an OR of all F flags in the underlying network segment
fromC : in STD_LOGIC); -- From an AND of all C flags in the underlying network segment
end component;
component immortal_slack_monitor_instrument is
port (
-- IJTAG connection
TCK : in std_logic;
RST : in std_logic;
SEL : in std_logic;
SI : in std_logic;
SE : in std_logic;
UE : in std_logic;
CE : in std_logic;
SO : out std_logic;
toF : out std_logic;
toC : out std_logic;
-- Monitor connections
control : out std_logic_vector(2 downto 0);
data : in std_logic_vector(31 downto 0)
);
end component;
component immortal_volt_monitor_instrument is
port (
-- IJTAG connection
TCK : in std_logic;
RST : in std_logic;
SEL : in std_logic;
SI : in std_logic;
SE : in std_logic;
UE : in std_logic;
CE : in std_logic;
SO : out std_logic;
toF : out std_logic;
toC : out std_logic;
-- Monitor connections
control : out std_logic_vector(2 downto 0);
data : in std_logic_vector(31 downto 0)
);
end component;
component immortal_temp_iddt_monitor_instrument is
port (
-- IJTAG connection
TCK : in std_logic;
RST : in std_logic;
SEL : in std_logic;
SI : in std_logic;
SE : in std_logic;
UE : in std_logic;
CE : in std_logic;
SO : out std_logic;
toF : out std_logic;
toC : out std_logic;
-- Monitor connections
control : out std_logic_vector(2 downto 0);
adc_data : in std_logic_vector(11 downto 0);
adc_drdy : in std_logic
);
end component;
signal SIB_temp_SO, SIB_iddt_SO, SIB_slack_SO, SIB_voltage_SO : std_logic;
signal SIB_temp_toF, SIB_iddt_toF, SIB_slack_toF, SIB_voltage_toF : std_logic;
signal SIB_temp_toC, SIB_iddt_toC, SIB_slack_toC, SIB_voltage_toC : std_logic;
signal SIB_main_toSI, SIB_temp_toSI, SIB_iddt_toSI, SIB_slack_toSI, SIB_voltage_toSI : std_logic;
signal SIB_main_toTCK, SIB_temp_toTCK, SIB_iddt_toTCK, SIB_slack_toTCK, SIB_voltage_toTCK : std_logic;
signal SIB_main_toSEL, SIB_temp_toSEL, SIB_iddt_toSEL, SIB_slack_toSEL, SIB_voltage_toSEL : std_logic;
signal SIB_main_toRST, SIB_temp_toRST, SIB_iddt_toRST, SIB_slack_toRST, SIB_voltage_toRST : std_logic;
signal SIB_main_toUE, SIB_temp_toUE, SIB_iddt_toUE, SIB_slack_toUE, SIB_voltage_toUE : std_logic;
signal SIB_main_toSE, SIB_temp_toSE, SIB_iddt_toSE, SIB_slack_toSE, SIB_voltage_toSE : std_logic;
signal SIB_main_toCE, SIB_temp_toCE, SIB_iddt_toCE, SIB_slack_toCE, SIB_voltage_toCE : std_logic;
signal temp_monitor_SO, iddt_monitor_SO, slack_monitor_SO, voltage_monitor_SO : std_logic;
signal temp_monitor_toF, iddt_monitor_toF, slack_monitor_toF, voltage_monitor_toF, toF_SIB_main : std_logic;
signal temp_monitor_toC, iddt_monitor_toC, slack_monitor_toC, voltage_monitor_toC, toC_SIB_main : std_logic;
begin
-- .-----------.
-- SI ----| sib_main |---------------------------------------------- SO
-- '-----------'
-- | |_____________________________________________.
-- | |
-- | .----------. .----------. .----------. .----------. |
-- '-| sib_temp |-| sib_iddt |-| sib_slck |-| sib_volt |-'
-- '----------' '----------' '----------' '----------'
--
-- the order of bits in each sib is: SXCF where S is opening bit!
------------------------------------------------------------
-- Main SIB connected to the top interface
------------------------------------------------------------
SIB_main : SIB_mux_pre_FCX_SELgate
port map ( -- Scan Interface client --------------
SI => SI,
CE => CE,
SE => SE,
UE => UE,
SEL => SEL,
RST => RST,
TCK => TCK,
SO => SO,
toF => toF,
toC => toC,
-- Scan Interface host ----------------
fromSO => SIB_voltage_SO,
toCE => SIB_main_toCE,
toSE => SIB_main_toSE,
toUE => SIB_main_toUE,
toSEL => SIB_main_toSEL,
toRST => SIB_main_toRST,
toTCK => SIB_main_toTCK,
toSI => SIB_main_toSI,
fromF => toF_SIB_main,
fromC => toC_SIB_main
);
toF_SIB_main <= SIB_temp_toF or SIB_iddt_toF or SIB_slack_toF or SIB_voltage_toF;
toC_SIB_main <= SIB_temp_toC and SIB_iddt_toC and SIB_slack_toC and SIB_voltage_toC;
------------------------------------------------------------
-- Temperature SIB and monitor interface
------------------------------------------------------------
SIB_temp : SIB_mux_pre_FCX_SELgate
port map ( -- Scan Interface client --------------
SI => SIB_main_toSI,
CE => SIB_main_toCE,
SE => SIB_main_toSE,
UE => SIB_main_toUE,
SEL => SIB_main_toSEL,
RST => SIB_main_toRST,
TCK => SIB_main_toTCK,
SO => SIB_temp_SO,
toF => SIB_temp_toF,
toC => SIB_temp_toC,
-- Scan Interface host ----------------
fromSO => temp_monitor_SO,
toCE => SIB_temp_toCE,
toSE => SIB_temp_toSE,
toUE => SIB_temp_toUE,
toSEL => SIB_temp_toSEL,
toRST => SIB_temp_toRST,
toTCK => SIB_temp_toTCK,
toSI => SIB_temp_toSI,
fromF => temp_monitor_toF,
fromC => temp_monitor_toC
);
temp_monitor: immortal_temp_iddt_monitor_instrument
port map (
-- IJTAG connection
TCK => SIB_temp_toTCK,
RST => SIB_temp_toRST,
SEL => SIB_temp_toSEL,
SI => SIB_temp_toSI,
SE => SIB_temp_toSE,
UE => SIB_temp_toUE,
CE => SIB_temp_toCE,
SO => temp_monitor_SO,
toF => temp_monitor_toF,
toC => temp_monitor_toC,
-- Monitor connections
control => temperature_control,
adc_data => temperature_data(12 downto 1),
adc_drdy => temperature_data(0)
);
------------------------------------------------------------
-- IDDt SIB and monitor interface
------------------------------------------------------------
SIB_iddt : SIB_mux_pre_FCX_SELgate
port map ( -- Scan Interface client --------------
SI => SIB_temp_SO,
CE => SIB_main_toCE,
SE => SIB_main_toSE,
UE => SIB_main_toUE,
SEL => SIB_main_toSEL,
RST => SIB_main_toRST,
TCK => SIB_main_toTCK,
SO => SIB_iddt_SO,
toF => SIB_iddt_toF,
toC => SIB_iddt_toC,
-- Scan Interface host ----------------
fromSO => iddt_monitor_SO,
toCE => SIB_iddt_toCE,
toSE => SIB_iddt_toSE,
toUE => SIB_iddt_toUE,
toSEL => SIB_iddt_toSEL,
toRST => SIB_iddt_toRST,
toTCK => SIB_iddt_toTCK,
toSI => SIB_iddt_toSI,
fromF => iddt_monitor_toF,
fromC => iddt_monitor_toC
);
iddt_monitor: immortal_temp_iddt_monitor_instrument
port map (
-- IJTAG connection
TCK => SIB_iddt_toTCK,
RST => SIB_iddt_toRST,
SEL => SIB_iddt_toSEL,
SI => SIB_iddt_toSI,
SE => SIB_iddt_toSE,
UE => SIB_iddt_toUE,
CE => SIB_iddt_toCE,
SO => iddt_monitor_SO,
toF => iddt_monitor_toF,
toC => iddt_monitor_toC,
-- Monitor connections
control => iddt_control,
adc_data => iddt_data(12 downto 1),
adc_drdy => iddt_data(0)
);
------------------------------------------------------------
-- Slack SIB and monitor interface
------------------------------------------------------------
SIB_slack : SIB_mux_pre_FCX_SELgate
port map ( -- Scan Interface client --------------
SI => SIB_iddt_SO,
CE => SIB_main_toCE,
SE => SIB_main_toSE,
UE => SIB_main_toUE,
SEL => SIB_main_toSEL,
RST => SIB_main_toRST,
TCK => SIB_main_toTCK,
SO => SIB_slack_SO,
toF => SIB_slack_toF,
toC => SIB_slack_toC,
-- Scan Interface host ----------------
fromSO => slack_monitor_SO,
toCE => SIB_slack_toCE,
toSE => SIB_slack_toSE,
toUE => SIB_slack_toUE,
toSEL => SIB_slack_toSEL,
toRST => SIB_slack_toRST,
toTCK => SIB_slack_toTCK,
toSI => SIB_slack_toSI,
fromF => slack_monitor_toF,
fromC => slack_monitor_toC
);
slack_monitor : immortal_slack_monitor_instrument
port map (
-- IJTAG connection
TCK => SIB_slack_toTCK,
RST => SIB_slack_toRST,
SEL => SIB_slack_toSEL,
SI => SIB_slack_toSI,
SE => SIB_slack_toSE,
UE => SIB_slack_toUE,
CE => SIB_slack_toCE,
SO => slack_monitor_SO,
toF => slack_monitor_toF,
toC => slack_monitor_toC,
-- Monitor connections
control => slack_control,
data => slack_data
);
------------------------------------------------------------
-- Voltage SIB and monitor interface
------------------------------------------------------------
SIB_voltage : SIB_mux_pre_FCX_SELgate
port map ( -- Scan Interface client --------------
SI => SIB_slack_SO,
CE => SIB_main_toCE,
SE => SIB_main_toSE,
UE => SIB_main_toUE,
SEL => SIB_main_toSEL,
RST => SIB_main_toRST,
TCK => SIB_main_toTCK,
SO => SIB_voltage_SO,
toF => SIB_voltage_toF,
toC => SIB_voltage_toC,
-- Scan Interface host ----------------
fromSO => voltage_monitor_SO,
toCE => SIB_voltage_toCE,
toSE => SIB_voltage_toSE,
toUE => SIB_voltage_toUE,
toSEL => SIB_voltage_toSEL,
toRST => SIB_voltage_toRST,
toTCK => SIB_voltage_toTCK,
toSI => SIB_voltage_toSI,
fromF => voltage_monitor_toF,
fromC => voltage_monitor_toC
);
voltage_monitor : immortal_volt_monitor_instrument
port map (
-- IJTAG connection
TCK => SIB_voltage_toTCK,
RST => SIB_voltage_toRST,
SEL => SIB_voltage_toSEL,
SI => SIB_voltage_toSI,
SE => SIB_voltage_toSE,
UE => SIB_voltage_toUE,
CE => SIB_voltage_toCE,
SO => voltage_monitor_SO,
toF => voltage_monitor_toF,
toC => voltage_monitor_toC,
-- Monitor connections
control => voltage_control,
data => voltage_data
);
end; | gpl-3.0 | bdbd72034977c003e94326e1d58098e0 | 0.494595 | 3.75317 | false | false | false | false |
HighlandersFRC/fpga | led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_bram_ctrl_0_0/axi_bram_ctrl_v3_0/hdl/vhdl/axi_lite_if.vhd | 7 | 11,619 | -------------------------------------------------------------------------------
-- axi_lite_if.vhd
-------------------------------------------------------------------------------
--
--
-- (c) Copyright [2010 - 2013] Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--
-------------------------------------------------------------------------------
-- Filename: axi_lite_if.vhd
--
-- Description: Derived AXI-Lite interface module.
--
-- VHDL-Standard: VHDL'93
--
-------------------------------------------------------------------------------
-- Structure:
-- axi_bram_ctrl.vhd (v1_03_a)
-- |
-- |-- full_axi.vhd
-- | -- sng_port_arb.vhd
-- | -- lite_ecc_reg.vhd
-- | -- axi_lite_if.vhd
-- | -- wr_chnl.vhd
-- | -- wrap_brst.vhd
-- | -- ua_narrow.vhd
-- | -- checkbit_handler.vhd
-- | -- xor18.vhd
-- | -- parity.vhd
-- | -- checkbit_handler_64.vhd
-- | -- (same helper components as checkbit_handler)
-- | -- parity.vhd
-- | -- correct_one_bit.vhd
-- | -- correct_one_bit_64.vhd
-- |
-- | -- rd_chnl.vhd
-- | -- wrap_brst.vhd
-- | -- ua_narrow.vhd
-- | -- checkbit_handler.vhd
-- | -- xor18.vhd
-- | -- parity.vhd
-- | -- checkbit_handler_64.vhd
-- | -- (same helper components as checkbit_handler)
-- | -- parity.vhd
-- | -- correct_one_bit.vhd
-- | -- correct_one_bit_64.vhd
-- |
-- |-- axi_lite.vhd
-- | -- lite_ecc_reg.vhd
-- | -- axi_lite_if.vhd
-- | -- checkbit_handler.vhd
-- | -- xor18.vhd
-- | -- parity.vhd
-- | -- checkbit_handler_64.vhd
-- | -- (same helper components as checkbit_handler)
-- | -- correct_one_bit.vhd
-- | -- correct_one_bit_64.vhd
--
--
--
-------------------------------------------------------------------------------
--
-- History:
--
-- ^^^^^^
-- JLJ 2/1/2011 v1.03a
-- ~~~~~~
-- Migrate to v1.03a.
-- Plus minor code cleanup.
-- ^^^^^^
--
--
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
entity axi_lite_if is
generic (
-- AXI4-Lite slave generics
-- C_S_AXI_BASEADDR : std_logic_vector := X"FFFF_FFFF";
-- C_S_AXI_HIGHADDR : std_logic_vector := X"0000_0000";
C_S_AXI_ADDR_WIDTH : integer := 32;
C_S_AXI_DATA_WIDTH : integer := 32;
C_REGADDR_WIDTH : integer := 4; -- Address bits including register offset.
C_DWIDTH : integer := 32); -- Width of data bus.
port (
LMB_Clk : in std_logic;
LMB_Rst : in std_logic;
-- AXI4-Lite SLAVE SINGLE INTERFACE
S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
S_AXI_AWVALID : in std_logic;
S_AXI_AWREADY : out std_logic;
S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0);
S_AXI_WVALID : in std_logic;
S_AXI_WREADY : out std_logic;
S_AXI_BRESP : out std_logic_vector(1 downto 0);
S_AXI_BVALID : out std_logic;
S_AXI_BREADY : in std_logic;
S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
S_AXI_ARVALID : in std_logic;
S_AXI_ARREADY : out std_logic;
S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
S_AXI_RRESP : out std_logic_vector(1 downto 0);
S_AXI_RVALID : out std_logic;
S_AXI_RREADY : in std_logic;
-- lmb_bram_if_cntlr signals
RegWr : out std_logic;
RegWrData : out std_logic_vector(0 to C_DWIDTH - 1);
RegAddr : out std_logic_vector(0 to C_REGADDR_WIDTH-1);
RegRdData : in std_logic_vector(0 to C_DWIDTH - 1));
end entity axi_lite_if;
library unisim;
use unisim.vcomponents.all;
architecture IMP of axi_lite_if is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of IMP : architecture is "yes";
-----------------------------------------------------------------------------
-- Signal declaration
-----------------------------------------------------------------------------
signal new_write_access : std_logic;
signal new_read_access : std_logic;
signal ongoing_write : std_logic;
signal ongoing_read : std_logic;
signal S_AXI_RVALID_i : std_logic;
signal RegRdData_i : std_logic_vector(C_DWIDTH - 1 downto 0);
begin -- architecture IMP
-----------------------------------------------------------------------------
-- Handling the AXI4-Lite bus interface (AR/AW/W)
-----------------------------------------------------------------------------
-- Detect new transaction.
-- Only allow one access at a time
new_write_access <= not (ongoing_read or ongoing_write) and S_AXI_AWVALID and S_AXI_WVALID;
new_read_access <= not (ongoing_read or ongoing_write) and S_AXI_ARVALID and not new_write_access;
-- Acknowledge new transaction.
S_AXI_AWREADY <= new_write_access;
S_AXI_WREADY <= new_write_access;
S_AXI_ARREADY <= new_read_access;
-- Store register address and write data
Reg: process (LMB_Clk) is
begin
if LMB_Clk'event and LMB_Clk = '1' then
if LMB_Rst = '1' then
RegAddr <= (others => '0');
RegWrData <= (others => '0');
elsif new_write_access = '1' then
RegAddr <= S_AXI_AWADDR(C_REGADDR_WIDTH-1+2 downto 2);
RegWrData <= S_AXI_WDATA(C_DWIDTH-1 downto 0);
elsif new_read_access = '1' then
RegAddr <= S_AXI_ARADDR(C_REGADDR_WIDTH-1+2 downto 2);
end if;
end if;
end process Reg;
-- Handle write access.
WriteAccess: process (LMB_Clk) is
begin
if LMB_Clk'event and LMB_Clk = '1' then
if LMB_Rst = '1' then
ongoing_write <= '0';
elsif new_write_access = '1' then
ongoing_write <= '1';
elsif ongoing_write = '1' and S_AXI_BREADY = '1' then
ongoing_write <= '0';
end if;
RegWr <= new_write_access;
end if;
end process WriteAccess;
S_AXI_BVALID <= ongoing_write;
S_AXI_BRESP <= (others => '0');
-- Handle read access
ReadAccess: process (LMB_Clk) is
begin
if LMB_Clk'event and LMB_Clk = '1' then
if LMB_Rst = '1' then
ongoing_read <= '0';
S_AXI_RVALID_i <= '0';
elsif new_read_access = '1' then
ongoing_read <= '1';
S_AXI_RVALID_i <= '0';
elsif ongoing_read = '1' then
if S_AXI_RREADY = '1' and S_AXI_RVALID_i = '1' then
ongoing_read <= '0';
S_AXI_RVALID_i <= '0';
else
S_AXI_RVALID_i <= '1'; -- Asserted one cycle after ongoing_read to match S_AXI_RDDATA
end if;
end if;
end if;
end process ReadAccess;
S_AXI_RVALID <= S_AXI_RVALID_i;
S_AXI_RRESP <= (others => '0');
Not_All_Bits_Are_Used: if (C_DWIDTH < C_S_AXI_DATA_WIDTH) generate
begin
S_AXI_RDATA(C_S_AXI_DATA_WIDTH-1 downto C_S_AXI_DATA_WIDTH - C_DWIDTH) <= (others=>'0');
end generate Not_All_Bits_Are_Used;
RegRdData_i <= RegRdData; -- Swap to - downto
S_AXI_RDATA_DFF : for I in C_DWIDTH - 1 downto 0 generate
begin
S_AXI_RDATA_FDRE : FDRE
port map (
Q => S_AXI_RDATA(I),
C => LMB_Clk,
CE => ongoing_read,
D => RegRdData_i(I),
R => LMB_Rst);
end generate S_AXI_RDATA_DFF;
end architecture IMP;
| mit | ad612924cd32483abef638086927de74 | 0.498322 | 4.00379 | false | false | false | false |
frankvanbever/MIPS_processor | ALUControl.vhd | 1 | 2,819 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 14:07:31 02/27/2013
-- Design Name:
-- Module Name: ALU - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision: v 1.0 -- Steven Vanden Branden
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
--! Use standard library
library IEEE;
--! use logic elements
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.all;
use IEEE.std_logic_unsigned.all;
--! the ALU_Control takes as input the 6 first bitS from the instruction and the 2 bits code from the controller. It outputs a 4 bits code to control the ALU
entity ALU_Control is
Port ( ALU_OP : in STD_logic_vector(1 downto 0); --! Opcode from controller
ALU_Funct_In : in STD_LOGIC_VECTOR (5 downto 0); --! funt_in from instruction (first 6 bits)
ALU_Control_out : out STD_LOGIC_VECTOR (3 downto 0) --! alu_control output
);
end ALU_Control;
--! @brief This is the ALU control that generates the 4 control bits for the ALU
--! @details opcode 00: load/store functions (add for calculating adress)
--! @details opcode 01: branch on equal (substract for comparing inputs)
--! @details opcode 10: R-type instruction (depends on ALU_Funct_In)
--! @details ALU_Funct_In 100000: add
--! @details ALU_Funct_In 100000: substract
--! @details ALU_Funct_In 100000: AND
--! @details ALU_Funct_In 100000: OR
--! @details ALU_Funct_In 100000: set less then
architecture Behavioral of ALU_Control is
begin
ALU_Control_Output: process(ALU_OP,ALU_Funct_In)
begin
if (ALU_OP="00") then -- load/store functions
ALU_Control_Out<="0010"; -- set to add to calculate adress
elsif (ALU_OP="01") then -- branch on equal
ALU_Control_Out<="0110"; -- set to substract
elsif (ALU_OP="10") then -- R-type instruction
CASE ALU_Funct_In IS
WHEN "100000" => ALU_Control_Out <= "0010"; --add
WHEN "100010" => ALU_Control_Out <= "0110"; --substract
WHEN "100100" => ALU_Control_Out <= "0000"; --AND
WHEN "100101" => ALU_Control_Out <= "0001"; --OR
WHEN "101010" => ALU_Control_Out <= "0111"; --slt
WHEN "100111" => ALU_Control_Out <= "1100"; --NOR
WHEN "011000" => ALU_Control_Out <= "1101"; --mult(values chosen at will)
WHEN "010000" => ALU_Control_Out <= "1111"; --output Hi (chosen at will)
WHEN "010010" => ALU_Control_Out <= "1110"; --output Lo (chosen at will)
WHEN OTHERS => ALU_Control_Out <= "0100"; --error value
END CASE;
else
ALU_Control_Out<="1111"; --error value
end if;
end process ALU_Control_Output;
end Behavioral;
| mit | 30dda5a1a95d84095e6cf93143c5d028 | 0.609791 | 3.359952 | false | false | false | false |
fupolarbear/THU-Class-CO-makecomputer | src/CPU/ALU.vhd | 1 | 1,737 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer: Fu Zuoyou.
--
-- Create Date: 19:15:30 11/21/2013
-- Design Name:
-- Module Name: ALU - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity ALU is
Port(
Input1 : in STD_LOGIC_VECTOR (15 downto 0);
Input2 : in STD_LOGIC_VECTOR (15 downto 0);
Output : out STD_LOGIC_VECTOR (15 downto 0) := "0000000000000000";
ALUop : in STD_LOGIC_VECTOR (2 downto 0)
);
end ALU;
architecture Behavioral of ALU is
begin
process(ALUop, Input1, Input2)
begin
case ALUop is
when "000" =>
output <= input1 + input2;
when "001" =>
output <= input1 - input2;
when "010" =>
output <= input1 and input2;
when "011" =>
output <= input1 or input2;
when "100" =>
output <= not input1;
when "101" =>
output <= TO_STDLOGICVECTOR(TO_BITVECTOR(input1) sll CONV_INTEGER(input2));
when "110" =>
output <= TO_STDLOGICVECTOR(TO_BITVECTOR(input1) srl CONV_INTEGER(input2));
when others =>
output <= (others => '0');
end case;
end process;
end Behavioral;
| mit | ed296e16c0709d0409e059042be74ee9 | 0.601612 | 3.487952 | false | false | false | false |
1995parham/FPGA-Homework | HW-1/src/p4-5/t-flipflop.vhd | 1 | 665 | --------------------------------------------------------------------------------
-- Author: Parham Alvani ([email protected])
--
-- Create Date: 04-03-2016
-- Module Name: t-flipflop.vhd
--------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
entity t_flipflop is
port( t, clk : in std_logic;
q, q_bar : out std_logic);
end entity;
architecture behavioral of t_flipflop is
signal buff : std_logic := '0';
begin
q <= buff;
q_bar <= not buff;
process (clk)
begin
if clk'event and clk = '1' and t = '1' then
buff <= not buff;
end if;
end process;
end architecture;
| gpl-3.0 | dba6587bb031c8f5e8633657dbecf3ac | 0.493233 | 3.61413 | false | false | false | false |
sbates130272/capi-textswap | rtl/proc_lfsr.vhd | 1 | 3,681 | --------------------------------------------------------------------------------
--
-- Copyright 2015 PMC-Sierra, Inc.
--
-- Licensed under the Apache License, Version 2.0 (the "License"); you
-- may not use this file except in compliance with the License. You may
-- obtain a copy of the License at
-- http://www.apache.org/licenses/LICENSE-2.0 Unless required by
-- applicable law or agreed to in writing, software distributed under the
-- License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR
-- CONDITIONS OF ANY KIND, either express or implied. See the License for
-- the specific language governing permissions and limitations under the
-- License.
--
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- Company: PMC-Sierra, Inc.
-- Engineer: Logan Gunthorpe
--
-- Description:
-- LFSR Processor. Write free flowing LFSR data, discard
-- any read data.
--
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity proc_lfsr is
port (
clk : in std_logic;
en : in std_logic;
idata : in std_logic_vector(0 to 511);
ivalid : in std_logic;
idone : in std_logic;
iready : out std_logic;
odata : out std_logic_vector(0 to 511);
ovalid : out std_logic;
odirty : out std_logic;
oready : in std_logic;
odone : out std_logic;
len : in unsigned(0 to 31);
reg_lfsr_seed : in std_logic_vector(0 to 63);
reg_lfsr_seed_set : in std_logic
);
end entity proc_lfsr;
architecture main of proc_lfsr is
signal lfsr_d : std_logic_vector(0 to 511);
signal count : unsigned(0 to 30);
signal ovalid_i : std_logic;
component lfsr is
port (
clk : in std_logic;
reset : in std_logic;
i_seed : in std_logic_vector(127 downto 0);
i_init : in std_logic;
i_advance : in std_logic;
o_lfsr : out std_logic_vector(511 downto 0)
);
end component lfsr;
signal seed : std_logic_vector(127 downto 0) := (others=>'1');
begin
ovalid <= ovalid_i;
seed(63 downto 0) <= reg_lfsr_seed;
lfsr_i: component lfsr
port map (
clk => clk,
reset => '0',
i_seed => seed,
i_init => reg_lfsr_seed_set,
i_advance => '1',
o_lfsr => lfsr_d);
process (clk) is
variable vcount : unsigned(count'range);
begin
if rising_edge(clk) then
if en = '0' then
iready <= '0';
odata <= (others=>'0');
ovalid_i <= '0';
odirty <= '0';
odone <= '0';
count <= (others=>'0');
else
iready <= '1';
odata <= lfsr_d;
odirty <= '0';
vcount := count;
if oready = '1' and ovalid_i = '1' then
vcount := vcount + 1;
end if;
count <= vcount;
if vcount < (len&'0') then
odone <= '0';
ovalid_i <= '1';
else
odone <= '1';
ovalid_i <= '0';
end if;
end if;
end if;
end process;
end architecture main;
| apache-2.0 | 456ee1f70f5e54cb510e7f79df70354c | 0.447976 | 4.325499 | false | false | false | false |
HighlandersFRC/fpga | led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_quad_spi_0_0/axi_quad_spi_v3_1/hdl/src/vhdl/qspi_status_slave_sel_reg.vhd | 1 | 17,797 | -------------------------------------------------------------------------------
-- SPI Status Register Module - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *******************************************************************
-- ** (c) Copyright [2010] - [2012] Xilinx, Inc. All rights reserved.*
-- ** *
-- ** This file contains confidential and proprietary information *
-- ** of Xilinx, Inc. and is protected under U.S. and *
-- ** international copyright and other intellectual property *
-- ** laws. *
-- ** *
-- ** DISCLAIMER *
-- ** This disclaimer is not a license and does not grant any *
-- ** rights to the materials distributed herewith. Except as *
-- ** otherwise provided in a valid license issued to you by *
-- ** Xilinx, and to the maximum extent permitted by applicable *
-- ** law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND *
-- ** WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES *
-- ** AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING *
-- ** BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- *
-- ** INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and *
-- ** (2) Xilinx shall not be liable (whether in contract or tort, *
-- ** including negligence, or under any other theory of *
-- ** liability) for any loss or damage of any kind or nature *
-- ** related to, arising under or in connection with these *
-- ** materials, including for any direct, or any indirect, *
-- ** special, incidental, or consequential loss or damage *
-- ** (including loss of data, profits, goodwill, or any type of *
-- ** loss or damage suffered as a result of any action brought *
-- ** by a third party) even if such damage or loss was *
-- ** reasonably foreseeable or Xilinx had been advised of the *
-- ** possibility of the same. *
-- ** *
-- ** CRITICAL APPLICATIONS *
-- ** Xilinx products are not designed or intended to be fail- *
-- ** safe, or for use in any application requiring fail-safe *
-- ** performance, such as life-support or safety devices or *
-- ** systems, Class III medical devices, nuclear facilities, *
-- ** applications related to the deployment of airbags, or any *
-- ** other applications that could lead to death, personal *
-- ** injury, or severe property or environmental damage *
-- ** (individually and collectively, "Critical *
-- ** Applications"). Customer assumes the sole risk and *
-- ** liability of any use of Xilinx products in Critical *
-- ** Applications, subject only to applicable laws and *
-- ** regulations governing limitations on product liability. *
-- ** *
-- ** THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS *
-- ** PART OF THIS FILE AT ALL TIMES. *
-- *******************************************************************
--
-------------------------------------------------------------------------------
-- Filename: spi_status_reg.vhd
-- Version: v3.0
-- Description: Serial Peripheral Interface (SPI) Module for interfacing
-- with a 32-bit AXI4 Bus. The file defines the logic for
-- status and slave select register.
-------------------------------------------------------------------------------
-- Structure: This section shows the hierarchical structure of axi_spi.
--
-- axi_quad_spi.vhd
-- |--Legacy_mode
-- |-- axi_lite_ipif.vhd
-- |-- qspi_core_interface.vhd
-- |-- qspi_cntrl_reg.vhd
-- |-- qspi_status_slave_sel_reg.vhd
-- |-- qspi_occupancy_reg.vhd
-- |-- qspi_fifo_ifmodule.vhd
-- |-- qspi_mode_0_module.vhd
-- |-- qspi_receive_transmit_reg.vhd
-- |-- qspi_startup_block.vhd
-- |-- comp_defs.vhd -- (helper lib)
-- |-- async_fifo_fg.vhd -- (helper lib)
-- |-- qspi_look_up_logic.vhd
-- |-- qspi_mode_control_logic.vhd
-- |-- interrupt_control.vhd
-- |-- soft_reset.vhd
-- |--Enhanced_mode
-- |--axi_qspi_enhanced_mode.vhd
-- |-- qspi_addr_decoder.vhd
-- |-- qspi_core_interface.vhd
-- |-- qspi_cntrl_reg.vhd
-- |-- qspi_status_slave_sel_reg.vhd
-- |-- qspi_occupancy_reg.vhd
-- |-- qspi_fifo_ifmodule.vhd
-- |-- qspi_mode_0_module.vhd
-- |-- qspi_receive_transmit_reg.vhd
-- |-- qspi_startup_block.vhd
-- |-- comp_defs.vhd -- (helper lib)
-- |-- async_fifo_fg.vhd -- (helper lib)
-- |-- qspi_look_up_logic.vhd
-- |-- qspi_mode_control_logic.vhd
-- |-- interrupt_control.vhd
-- |-- soft_reset.vhd
-- |--XIP_mode
-- |-- axi_lite_ipif.vhd
-- |-- xip_cntrl_reg.vhd
-- |-- reset_sync_module.vhd
-- |-- xip_status_reg.vhd
-- |-- axi_qspi_xip_if.vhd
-- |-- qspi_addr_decoder.vhd
-- |-- async_fifo_fg.vhd -- (helper lib)
-- |-- comp_defs.vhd -- (helper lib)
-------------------------------------------------------------------------------
-- Author: SK
-- ~~~~~~
-- - First version of axi_quad_spi.
-- ^^^^^^
-- ~~~~~~
-- SK 12/16/12 -- v3.0
-- 1. up reved to major version for 2013.1 Vivado release. No logic updates.
-- 2. Updated the version of AXI LITE IPIF to v2.0 in X.Y format
-- 3. updated the proc common version to proc_common_v4_0
-- 4. No Logic Updates
-- ^^^^^^
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library proc_common_v4_0;
use proc_common_v4_0.proc_common_pkg.RESET_ACTIVE;
library unisim;
use unisim.vcomponents.FDRE;
-------------------------------------------------------------------------------
-- Definition of Generics
-------------------------------------------------------------------------------
-- C_SPI_NUM_BITS_REG -- Width of SPI registers
-- C_S_AXI_DATA_WIDTH -- Native data bus width 32 bits only
-- C_NUM_SS_BITS -- Number of bits in slave select
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Definition of Ports
-------------------------------------------------------------------------------
-- SYSTEM
-- Bus2IP_Clk -- Bus to IP clock
-- Soft_Reset_op -- Soft_Reset_op Signal
-- STATUS REGISTER RELATED SIGNALS
--================================
-- REGISTER/FIFO INTERFACE
-- Bus2IP_SPISR_RdCE -- Status register Read Chip Enable
-- IP2Bus_SPISR_Data -- Status register data to PLB based on PLB read
-- SR_3_modf -- Mode fault error status flag
-- SR_4_Tx_Full -- Transmit register full status flag
-- SR_5_Tx_Empty -- Transmit register empty status flag
-- SR_6_Rx_Full -- Receive register full status flag
-- SR_7_Rx_Empty -- Receive register empty stauts flag
-- ModeFault_Strobe -- Mode fault strobe
-- SLAVE REGISTER RELATED SIGNALS
--===============================
-- Bus2IP_SPISSR_WrCE -- slave select register write chip enable
-- Bus2IP_SPISSR_RdCE -- slave select register read chip enable
-- Bus2IP_SPISSR_Data -- slave register data from PLB Bus
-- IP2Bus_SPISSR_Data -- Data from slave select register during PLB rd
-- SPISSR_Data_reg_op -- Data to SPI Module
-- Wr_ce_reduce_ack_gen -- commaon write ack generation signal
-- Rd_ce_reduce_ack_gen -- commaon read ack generation signal
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Entity Declaration
-------------------------------------------------------------------------------
entity qspi_status_slave_sel_reg is
generic
(
C_SPI_NUM_BITS_REG : integer; -- Number of bits in SR
------------------------
C_S_AXI_DATA_WIDTH : integer; -- 32 bits
------------------------
C_NUM_SS_BITS : integer; -- Number of bits in slave select
------------------------
C_SPISR_REG_WIDTH : integer
);
port
(
Bus2IP_Clk : in std_logic;
Soft_Reset_op : in std_logic;
-- I/P from control register
SPISR_0_Command_Error : in std_logic; -- bit0 of SPISR
SPISR_1_LOOP_Back_Error : in std_logic; -- bit1 of SPISR
SPISR_2_MSB_Error : in std_logic;
SPISR_3_Slave_Mode_Error : in std_logic;
SPISR_4_CPOL_CPHA_Error : in std_logic; -- bit 4 of SPISR
-- I/P from other modules
SPISR_Ext_SPISEL_slave : in std_logic; -- bit 5 of SPISR
SPISR_7_Tx_Full : in std_logic; -- bit 7 of SPISR
SPISR_8_Tx_Empty : in std_logic;
SPISR_9_Rx_Full : in std_logic;
SPISR_10_Rx_Empty : in std_logic; -- bit 10 of SPISR
-- Slave attachment ports
ModeFault_Strobe : in std_logic;
Rd_ce_reduce_ack_gen : in std_logic;
Bus2IP_SPISR_RdCE : in std_logic;
IP2Bus_SPISR_Data : out std_logic_vector(0 to (C_SPISR_REG_WIDTH-1));
SR_3_modf : out std_logic;
-- Reg/FIFO ports
-- SPI module ports
-----------------------------------
-- Slave Select Register ports
Bus2IP_SPISSR_WrCE : in std_logic;
Wr_ce_reduce_ack_gen : in std_logic;
Bus2IP_SPISSR_RdCE : in std_logic;
Bus2IP_SPISSR_Data : in std_logic_vector(0 to (C_S_AXI_DATA_WIDTH-1));
IP2Bus_SPISSR_Data : out std_logic_vector(0 to (C_NUM_SS_BITS-1));
-- SPI module ports
SPISSR_Data_reg_op : out std_logic_vector(0 to (C_NUM_SS_BITS-1))
);
end qspi_status_slave_sel_reg;
-------------------------------------------------------------------------------
-- Architecture
---------------
architecture imp of qspi_status_slave_sel_reg is
----------------------------------------------------------
----------------------------------------------------------------------------------
-- below attributes are added to reduce the synth warnings in Vivado tool
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes";
----------------------------------------------------------------------------------
-- Signal Declarations
----------------------
signal SPISR_reg : std_logic_vector(0 to (C_SPISR_REG_WIDTH-1));
signal modf : std_logic;
signal modf_Reset : std_logic;
----------------------
signal SPISSR_Data_reg : std_logic_vector(0 to (C_NUM_SS_BITS-1));
signal spissr_reg_en : std_logic;
----------------------
begin
-----
-- SPISR - 0 1 2 3 4 5 6 7 8 9 10
-- Command Loop BK MSB Slv Mode CPOL_CPHA Slave Mode MODF Tx_Full Tx_Empty Rx_Full Rx_Empty
-- Error Error Error Error Error Select
-- Default 0 0 0 1 0 1 0 0 1 0 1
-------------------------------------------------------------------------------
-- Combinatorial operations
-------------------------------------------------------------------------------
SPISR_reg(C_SPISR_REG_WIDTH - 11) <= SPISR_0_Command_Error; -- SPISR bit 0 @ C_SPISR_REG_WIDTH = 11
SPISR_reg(C_SPISR_REG_WIDTH - 10) <= SPISR_1_LOOP_Back_Error; -- SPISR bit 1
SPISR_reg(C_SPISR_REG_WIDTH - 9) <= SPISR_2_MSB_Error; -- SPISR bit 2
SPISR_reg(C_SPISR_REG_WIDTH - 8) <= SPISR_3_Slave_Mode_Error; -- SPISR bit 3
SPISR_reg(C_SPISR_REG_WIDTH - 7) <= SPISR_4_CPOL_CPHA_Error; -- SPISR bit 4
SPISR_reg(C_SPISR_REG_WIDTH - 6) <= SPISR_Ext_SPISEL_slave; -- SPISR bit 5
SPISR_reg(C_SPISR_REG_WIDTH - 5) <= modf; -- SPISR bit 6
SPISR_reg(C_SPISR_REG_WIDTH - 4) <= SPISR_7_Tx_Full; -- SPISR bit 7
SPISR_reg(C_SPISR_REG_WIDTH - 3) <= SPISR_8_Tx_Empty; -- SPISR bit 8
SPISR_reg(C_SPISR_REG_WIDTH - 2) <= SPISR_9_Rx_Full; -- SPISR bit 9
SPISR_reg(C_SPISR_REG_WIDTH - 1) <= SPISR_10_Rx_Empty; -- SPISR bit 10
SR_3_modf <= modf;
-------------------------------------------------------------------------------
-- STATUS_REG_RD_GENERATE : Status Register Read Generate
----------------------------
STATUS_REG_RD_GENERATE: for i in 0 to C_SPISR_REG_WIDTH-1 generate
-----
begin
-----
IP2Bus_SPISR_Data(i) <= SPISR_reg(i) and Bus2IP_SPISR_RdCE;
end generate STATUS_REG_RD_GENERATE;
-------------------------------------------------------------------------------
-- MODF_REG_PROCESS : Set and Clear modf
------------------------
MODF_REG_PROCESS:process(Bus2IP_Clk) is
-----
begin
-----
if (Bus2IP_Clk'event and Bus2IP_Clk='1') then
if (modf_Reset = RESET_ACTIVE) then
modf <= '0';
elsif (ModeFault_Strobe = '1') then
modf <= '1';
end if;
end if;
end process MODF_REG_PROCESS;
modf_Reset <= (Rd_ce_reduce_ack_gen and Bus2IP_SPISR_RdCE) or Soft_Reset_op;
--******************************************************************************
-- logic for Slave Select Register
-- Combinatorial operations
----------------------------
SPISSR_Data_reg_op <= SPISSR_Data_reg;
-------------------------------------------------------------------------------
-- SPISSR_WR_GEN : Slave Select Register Write Operation
----------------------------
SPISSR_WR_GEN: for i in 0 to C_NUM_SS_BITS-1 generate
-----
begin
-----
spissr_reg_en <= Wr_ce_reduce_ack_gen and Bus2IP_SPISSR_WrCE;
SPISSR_WR_PROCESS:process(Bus2IP_Clk) is
-----
begin
-----
if (Bus2IP_Clk'event and Bus2IP_Clk='1') then
if (Soft_Reset_op = RESET_ACTIVE) then
SPISSR_Data_reg(i) <= '1';
elsif ((Wr_ce_reduce_ack_gen and Bus2IP_SPISSR_WrCE) = '1') then
SPISSR_Data_reg(i) <=
Bus2IP_SPISSR_Data(C_S_AXI_DATA_WIDTH-C_NUM_SS_BITS+i);
end if;
end if;
end process SPISSR_WR_PROCESS;
--SPISSR_WR_PROCESS_I: component FDRE
-- generic map(
-- INIT => '1'
-- )
-- port map
-- (
-- Q => SPISSR_Data_reg(i) ,-- out:
-- C => Bus2IP_Clk ,--: in
-- CE => spissr_reg_en ,--: in
-- R => Soft_Reset_op ,-- : in
-- D => Bus2IP_SPISSR_Data(C_S_AXI_DATA_WIDTH-C_NUM_SS_BITS+i) --: in
-- );
---------------------------------
-----
end generate SPISSR_WR_GEN;
-------------------------------------------------------------------------------
-- SLAVE_SEL_REG_RD_GENERATE : Slave Select Register Read Generate
-------------------------------
SLAVE_SEL_REG_RD_GENERATE: for i in 0 to C_NUM_SS_BITS-1 generate
-----
begin
-----
IP2Bus_SPISSR_Data(i) <= SPISSR_Data_reg(i) and
Bus2IP_SPISSR_RdCE;
end generate SLAVE_SEL_REG_RD_GENERATE;
---------------------------------------
end imp;
--------------------------------------------------------------------------------
| mit | a16e87d0d726fe0a83f257163a3c9f6b | 0.436534 | 4.470485 | false | false | false | false |
HighlandersFRC/fpga | led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_quad_spi_0_0/axi_quad_spi_v3_1/hdl/src/vhdl/comp_defs.vhd | 1 | 20,445 | --
---- comp_defs - package
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
-- *******************************************************************
-- ** (c) Copyright [2010] - [2012] Xilinx, Inc. All rights reserved.*
-- ** *
-- ** This file contains confidential and proprietary information *
-- ** of Xilinx, Inc. and is protected under U.S. and *
-- ** international copyright and other intellectual property *
-- ** laws. *
-- ** *
-- ** DISCLAIMER *
-- ** This disclaimer is not a license and does not grant any *
-- ** rights to the materials distributed herewith. Except as *
-- ** otherwise provided in a valid license issued to you by *
-- ** Xilinx, and to the maximum extent permitted by applicable *
-- ** law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND *
-- ** WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES *
-- ** AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING *
-- ** BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- *
-- ** INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and *
-- ** (2) Xilinx shall not be liable (whether in contract or tort, *
-- ** including negligence, or under any other theory of *
-- ** liability) for any loss or damage of any kind or nature *
-- ** related to, arising under or in connection with these *
-- ** materials, including for any direct, or any indirect, *
-- ** special, incidental, or consequential loss or damage *
-- ** (including loss of data, profits, goodwill, or any type of *
-- ** loss or damage suffered as a result of any action brought *
-- ** by a third party) even if such damage or loss was *
-- ** reasonably foreseeable or Xilinx had been advised of the *
-- ** possibility of the same. *
-- ** *
-- ** CRITICAL APPLICATIONS *
-- ** Xilinx products are not designed or intended to be fail- *
-- ** safe, or for use in any application requiring fail-safe *
-- ** performance, such as life-support or safety devices or *
-- ** systems, Class III medical devices, nuclear facilities, *
-- ** applications related to the deployment of airbags, or any *
-- ** other applications that could lead to death, personal *
-- ** injury, or severe property or environmental damage *
-- ** (individually and collectively, "Critical *
-- ** Applications"). Customer assumes the sole risk and *
-- ** liability of any use of Xilinx products in Critical *
-- ** Applications, subject only to applicable laws and *
-- ** regulations governing limitations on product liability. *
-- ** *
-- ** THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS *
-- ** PART OF THIS FILE AT ALL TIMES. *
-- *******************************************************************
--
-------------------------------------------------------------------------------
---- Filename: comp_defs.vhd
---- Version: v3.0
-- Description: Component declarations for all black box netlists generated by
-- running COREGEN when XST elaborated the client core
----
-------------------------------------------------------------------------------
-- Structure: This section shows the hierarchical structure of axi_quad_spi.
--
-- Structure:
--
-- axi_quad_spi.vhd
-- |--Legacy_mode
-- |-- axi_lite_ipif.vhd
-- |-- qspi_core_interface.vhd
-- |-- qspi_cntrl_reg.vhd
-- |-- qspi_status_slave_sel_reg.vhd
-- |-- qspi_occupancy_reg.vhd
-- |-- qspi_fifo_ifmodule.vhd
-- |-- qspi_mode_0_module.vhd
-- |-- qspi_receive_transmit_reg.vhd
-- |-- qspi_startup_block.vhd
-- |-- comp_defs.vhd -- (helper lib)
-- |-- qspi_look_up_logic.vhd
-- |-- qspi_mode_control_logic.vhd
-- |-- interrupt_control.vhd
-- |-- soft_reset.vhd
-- |--Enhanced_mode
-- |--axi_qspi_enhanced_mode.vhd
-- |-- qspi_addr_decoder.vhd
-- |-- qspi_core_interface.vhd
-- |-- qspi_cntrl_reg.vhd
-- |-- qspi_status_slave_sel_reg.vhd
-- |-- qspi_occupancy_reg.vhd
-- |-- qspi_fifo_ifmodule.vhd
-- |-- qspi_mode_0_module.vhd
-- |-- qspi_receive_transmit_reg.vhd
-- |-- qspi_startup_block.vhd
-- |-- comp_defs.vhd -- (helper lib)
-- |-- async_fifo_fg.vhd -- (helper lib)
-- |-- qspi_look_up_logic.vhd
-- |-- qspi_mode_control_logic.vhd
-- |-- interrupt_control.vhd
-- |-- soft_reset.vhd
-- |--XIP_mode
-- |-- axi_lite_ipif.vhd
-- |-- xip_cntrl_reg.vhd
-- |-- reset_sync_module.vhd
-- |-- xip_status_reg.vhd
-- |-- axi_qspi_xip_if.vhd
-- |-- qspi_addr_decoder.vhd
-- |-- async_fifo_fg.vhd -- (helper lib)
-- |-- comp_defs.vhd -- (helper lib)
-------------------------------------------------------------------------------
-- Author:
-- ~~~~~~
-- SK - 19/01/2011
-- - This package is defined to have component instantiation of the
-- distributed memory used in the core.
-- ^^^^^^
-- ~~~~~~
-- SK - 12/12/2011
-- - Upgraded distributed memory generation instance from dist_mem_gen_v6_2 to
-- dist_mem_gen_v6_4.
-- ^^^^^^
-- ~~~~~~
-- SK 12/16/12 -- v3.0
-- 1. up reved to major version for 2013.1 Vivado release. No logic updates.
-- 2. Updated the version of AXI LITE IPIF to v2.0 in X.Y format
-- 3. updated the proc common version to proc_common_v4_0
-- 4. Instantiated the component dist_mem_gen_v8_0 - latest version
-- ^^^^^^
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synopsys translate_off
--library XilinxCoreLib;
--use XilinxCoreLib.all;
-- synopsys translate_on
--library dist_mem_gen_v6_3;
-- use dist_mem_gen_v6_3.all;
--
--library dist_mem_gen_v6_4;
-- use dist_mem_gen_v6_4.all;
library dist_mem_gen_v8_0;
use dist_mem_gen_v8_0.all;
package comp_defs is
--
-- -- component declaration
-- component dist_mem_gen_v6_3
-- -------------------
-- generic(
-- c_has_clk : integer := 1;
-- c_read_mif : integer := 0;
-- c_has_qspo : integer := 0;
-- c_addr_width : integer := 8;
-- c_width : integer := 15;
-- c_family : string := "virtex7"; -- "virtex6";
-- c_sync_enable : integer := 1;
-- c_depth : integer := 256;
-- c_has_qspo_srst : integer := 1;
-- c_mem_init_file : string := "null.mif";
-- c_default_data : string := "0";
-- ------------------------
-- c_has_qdpo_clk : integer := 0;
-- c_has_qdpo_ce : integer := 0;
-- c_parser_type : integer := 1;
-- c_has_d : integer := 0;
-- c_has_spo : integer := 0;
-- c_reg_a_d_inputs : integer := 0;
-- c_has_we : integer := 0;
-- c_pipeline_stages : integer := 0;
-- c_has_qdpo_rst : integer := 0;
-- c_reg_dpra_input : integer := 0;
-- c_qualify_we : integer := 0;
-- c_has_qdpo_srst : integer := 0;
-- c_has_dpra : integer := 0;
-- c_qce_joined : integer := 0;
-- c_mem_type : integer := 0;
-- c_has_i_ce : integer := 0;
-- c_has_dpo : integer := 0;
-- c_has_spra : integer := 0;
-- c_has_qspo_ce : integer := 0;
-- c_has_qspo_rst : integer := 0;
-- c_has_qdpo : integer := 0
-- -------------------------
-- );
-- port(
-- a : in std_logic_vector(c_addr_width-1-(4*c_has_spra*boolean'pos(c_addr_width > 4)) downto 0) := (others => '0');
-- d : in std_logic_vector(c_width-1 downto 0) := (others => '0');
-- dpra : in std_logic_vector(c_addr_width-1 downto 0) := (others => '0');
-- spra : in std_logic_vector(c_addr_width-1 downto 0) := (others => '0');
-- clk : in std_logic := '0';
-- we : in std_logic := '0';
-- i_ce : in std_logic := '1';
-- qspo_ce : in std_logic := '1';
-- qdpo_ce : in std_logic := '1';
-- qdpo_clk : in std_logic := '0';
-- qspo_rst : in std_logic := '0';
-- qdpo_rst : in std_logic := '0';
-- qspo_srst : in std_logic := '0';
-- qdpo_srst : in std_logic := '0';
-- spo : out std_logic_vector(c_width-1 downto 0);
-- dpo : out std_logic_vector(c_width-1 downto 0);
-- qspo : out std_logic_vector(c_width-1 downto 0);
-- qdpo : out std_logic_vector(c_width-1 downto 0)
-- );
-- end component;
--
-- -- The following tells XST that dist_mem_gen_v6_2 is a black box which
-- -- should be generated. The command given by the value of this attribute
-- -- Note the fully qualified SIM (JAVA class) name that forms the
-- -- basis of the core
--
-- --xcc exclude
--
-- -- attribute box_type : string;
-- -- attribute GENERATOR_DEFAULT : string;
-- --
-- -- attribute box_type of dist_mem_gen_v6_3 : component is "black_box";
-- -- attribute GENERATOR_DEFAULT of dist_mem_gen_v6_3 : component is "generatecore com.xilinx.ip.dist_mem_gen_v6_3.dist_mem_gen_v6_3";
-- --xcc include
--
-- -- component declaration for dist_mem_gen_v6_4
-- component dist_mem_gen_v6_4
-- -------------------
-- generic(
-- c_has_clk : integer := 1;
-- c_read_mif : integer := 0;
-- c_has_qspo : integer := 0;
-- c_addr_width : integer := 8;
-- c_width : integer := 15;
-- c_family : string := "virtex7"; -- "virtex6";
-- c_sync_enable : integer := 1;
-- c_depth : integer := 256;
-- c_has_qspo_srst : integer := 1;
-- c_mem_init_file : string := "null.mif";
-- c_default_data : string := "0";
-- ------------------------
-- c_has_qdpo_clk : integer := 0;
-- c_has_qdpo_ce : integer := 0;
-- c_parser_type : integer := 1;
-- c_has_d : integer := 0;
-- c_has_spo : integer := 0;
-- c_reg_a_d_inputs : integer := 0;
-- c_has_we : integer := 0;
-- c_pipeline_stages : integer := 0;
-- c_has_qdpo_rst : integer := 0;
-- c_reg_dpra_input : integer := 0;
-- c_qualify_we : integer := 0;
-- c_has_qdpo_srst : integer := 0;
-- c_has_dpra : integer := 0;
-- c_qce_joined : integer := 0;
-- c_mem_type : integer := 0;
-- c_has_i_ce : integer := 0;
-- c_has_dpo : integer := 0;
-- c_has_spra : integer := 0;
-- c_has_qspo_ce : integer := 0;
-- c_has_qspo_rst : integer := 0;
-- c_has_qdpo : integer := 0
-- -------------------------
-- );
-- port(
-- a : in std_logic_vector(c_addr_width-1-(4*c_has_spra*boolean'pos(c_addr_width > 4)) downto 0) := (others => '0');
-- d : in std_logic_vector(c_width-1 downto 0) := (others => '0');
-- dpra : in std_logic_vector(c_addr_width-1 downto 0) := (others => '0');
-- spra : in std_logic_vector(c_addr_width-1 downto 0) := (others => '0');
-- clk : in std_logic := '0';
-- we : in std_logic := '0';
-- i_ce : in std_logic := '1';
-- qspo_ce : in std_logic := '1';
-- qdpo_ce : in std_logic := '1';
-- qdpo_clk : in std_logic := '0';
-- qspo_rst : in std_logic := '0';
-- qdpo_rst : in std_logic := '0';
-- qspo_srst : in std_logic := '0';
-- qdpo_srst : in std_logic := '0';
-- spo : out std_logic_vector(c_width-1 downto 0);
-- dpo : out std_logic_vector(c_width-1 downto 0);
-- qspo : out std_logic_vector(c_width-1 downto 0);
-- qdpo : out std_logic_vector(c_width-1 downto 0)
-- );
-- end component;
--
-- -- The following tells XST that dist_mem_gen_v6_4 is a black box which
-- -- should be generated. The command given by the value of this attribute
-- -- Note the fully qualified SIM (JAVA class) name that forms the
-- -- basis of the core
--
-- --xcc exclude
--
-- -- attribute box_type of dist_mem_gen_v6_4 : component is "black_box";
-- -- attribute GENERATOR_DEFAULT of dist_mem_gen_v6_4 : component is "generatecore com.xilinx.ip.dist_mem_gen_v6_4.dist_mem_gen_v6_4";
--
-- --xcc include
-- 1/8/2013 added the latest version of dist_mem_gen_v8_0
-- component declaration for dist_mem_gen_v8_0
component dist_mem_gen_v8_0
-------------------
generic(
C_HAS_CLK : integer := 1;
C_READ_MIF : integer := 0;
C_HAS_QSPO : integer := 0;
C_ADDR_WIDTH : integer := 8;
C_WIDTH : integer := 15;
C_FAMILY : string := "virtex7"; -- "virtex6";
C_SYNC_ENABLE : integer := 1;
C_DEPTH : integer := 256;
C_HAS_QSPO_SRST : integer := 1;
C_MEM_INIT_FILE : string := "null.mif";
C_DEFAULT_DATA : string := "0";
------------------------
C_HAS_QDPO_CLK : integer := 0;
C_HAS_QDPO_CE : integer := 0;
C_PARSER_TYPE : integer := 1;
C_HAS_D : integer := 0;
C_HAS_SPO : integer := 0;
C_REG_A_D_INPUTS : integer := 0;
C_HAS_WE : integer := 0;
C_PIPELINE_STAGES : integer := 0;
C_HAS_QDPO_RST : integer := 0;
C_REG_DPRA_INPUT : integer := 0;
C_QUALIFY_WE : integer := 0;
C_HAS_QDPO_SRST : integer := 0;
C_HAS_DPRA : integer := 0;
C_QCE_JOINED : integer := 0;
C_MEM_TYPE : integer := 0;
C_HAS_I_CE : integer := 0;
C_HAS_DPO : integer := 0;
-- C_HAS_SPRA : integer := 0; -- removed from dist mem gen core
C_HAS_QSPO_CE : integer := 0;
C_HAS_QSPO_RST : integer := 0;
C_HAS_QDPO : integer := 0
-------------------------
);
port(
a : in std_logic_vector(c_addr_width-1 downto 0) := (others => '0');
d : in std_logic_vector(c_width-1 downto 0) := (others => '0');
dpra : in std_logic_vector(c_addr_width-1 downto 0) := (others => '0');
-- spra : in std_logic_vector(c_addr_width-1 downto 0) := (others => '0'); -- 2/12/2013
clk : in std_logic := '0';
we : in std_logic := '0';
i_ce : in std_logic := '1';
qspo_ce : in std_logic := '1';
qdpo_ce : in std_logic := '1';
qdpo_clk : in std_logic := '0';
qspo_rst : in std_logic := '0';
qdpo_rst : in std_logic := '0';
qspo_srst : in std_logic := '0';
qdpo_srst : in std_logic := '0';
spo : out std_logic_vector(c_width-1 downto 0);
dpo : out std_logic_vector(c_width-1 downto 0);
qspo : out std_logic_vector(c_width-1 downto 0);
qdpo : out std_logic_vector(c_width-1 downto 0)
);
end component;
-- The following tells XST that dist_mem_gen_v8_0 is a black box which
-- should be generated. The command given by the value of this attribute
-- Note the fully qualified SIM (JAVA class) name that forms the
-- basis of the core
--xcc exclude
-- attribute box_type of dist_mem_gen_v8_0 : component is "black_box";
-- attribute GENERATOR_DEFAULT of dist_mem_gen_v8_0 : component is "generatecore com.xilinx.ip.dist_mem_gen_v8_0.dist_mem_gen_v8_0";
--xcc include
end comp_defs;
| mit | db0125ff65de88f55f79b560e74beb74 | 0.404891 | 4.083283 | false | false | false | false |
zzhou007/161lab | lab04/main.vhd | 1 | 2,102 | ----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
library IEEE;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.all;
USE ieee.numeric_std.ALL;
Entity main is
Port ( functionfield : in STD_LOGIC_VECTOR (5 downto 0);
instr_op_main : in STD_LOGIC_VECTOR (5 downto 0);
reg_dst : out STD_LOGIC;
branch : out STD_LOGIC;
mem_read : out STD_LOGIC;
mem_to_reg : out STD_LOGIC;
alu_output : out STD_LOGIC_VECTOR (3 downto 0);
mem_write : out STD_LOGIC;
alu_src : out STD_LOGIC;
reg_write : out STD_LOGIC);
end main;
architecture Behavioral of main is
component control_unit is
port (
instr_op : in std_logic_vector(5 downto 0);
reg_dst : out std_logic;
branch : out std_logic;
mem_read : out std_logic;
mem_to_reg : out std_logic;
alu_op : out std_logic_vector(1 downto 0);
mem_write : out std_logic;
alu_src : out std_logic;
reg_write : out std_logic
);
end component;
component alu_control is
port (
alu_op : in std_logic_vector(1 downto 0);
instruction_5_0 : in std_logic_vector(5 downto 0);
alu_out : out std_logic_vector(3 downto 0)
);
end component;
signal temp_alu_op : std_logic_vector(1 downto 0) := (others => '0');
begin
C_UNIT : control_unit port map(
instr_op => instr_op_main,
reg_dst => reg_dst,
branch => branch,
mem_read => mem_read,
mem_to_reg => mem_to_reg,
alu_op => temp_alu_op,
mem_write => mem_write,
alu_src => alu_src,
reg_write => reg_write);
ALU_UNIT : alu_control port map(
alu_op => temp_alu_op,
instruction_5_0 => functionfield,
alu_out => alu_output);
end Behavioral;
| gpl-2.0 | 10c29a80d391745238fa65b4b06d4cf1 | 0.483825 | 3.624138 | false | false | false | false |
6769/VHDL | Lab_2_part1/NbitCounter.vhd | 1 | 1,136 | library ieee;
entity NbitCounter is
port(
clear:in bit:='1';
clk,enable:in bit ;
Q:buffer bit_vector(15 downto 0):=( others=>'0') );
--Q:buffer bit_vector(15 downto 0):="1111111111111100");
end entity NbitCounter;
architecture bit16counter of NbitCounter is
signal T:bit_vector(15 downto 0);
signal QN:bit_vector(15 downto 0);
begin
T(0)<=enable;
QN<=not Q;
T(1)<=Q(0)and T(0);
T(2)<=Q(1)and T(1);
T(3)<=Q(2)and T(2);
T(4)<=Q(3)and T(3);
T(5)<=Q(4)and T(4);
T(6)<=Q(5)and T(5);
T(7)<=Q(6)and T(6);
T(8)<=Q(7)and T(7);
T(9)<=Q(8)and T(8);
T(10)<=Q(9)and T(9);
T(11)<=Q(10)and T(10);
T(12)<=Q(11)and T(11);
T(13)<=Q(12)and T(12);
T(14)<=Q(13)and T(13);
T(15)<=Q(14)and T(14);
process(clk,clear)
begin
if clear='0' then Q<=(others=>'0');
elsif (clk'event and clk='1') then
Bit16Loop:for i in 0 to 15 loop
if T(i)='1' then Q(i) <=QN(i);
end if;
-- if i>0 then T(i)<=Q(i-1)and T(i-1);
-- end if;
end loop;
end if;
end process;
end architecture bit16counter; | gpl-2.0 | d968654826e647212b3a4923a0f87d5d | 0.521127 | 2.480349 | false | false | false | false |
HighlandersFRC/fpga | led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_quad_spi_0_0/axi_quad_spi_v3_1/hdl/src/vhdl/qspi_look_up_logic.vhd | 1 | 58,604 | --
---- qspi_look_up_logic - entity/architecture pair
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
-- *******************************************************************
-- ** (c) Copyright [2010] - [2012] Xilinx, Inc. All rights reserved.*
-- ** *
-- ** This file contains confidential and proprietary information *
-- ** of Xilinx, Inc. and is protected under U.S. and *
-- ** international copyright and other intellectual property *
-- ** laws. *
-- ** *
-- ** DISCLAIMER *
-- ** This disclaimer is not a license and does not grant any *
-- ** rights to the materials distributed herewith. Except as *
-- ** otherwise provided in a valid license issued to you by *
-- ** Xilinx, and to the maximum extent permitted by applicable *
-- ** law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND *
-- ** WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES *
-- ** AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING *
-- ** BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- *
-- ** INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and *
-- ** (2) Xilinx shall not be liable (whether in contract or tort, *
-- ** including negligence, or under any other theory of *
-- ** liability) for any loss or damage of any kind or nature *
-- ** related to, arising under or in connection with these *
-- ** materials, including for any direct, or any indirect, *
-- ** special, incidental, or consequential loss or damage *
-- ** (including loss of data, profits, goodwill, or any type of *
-- ** loss or damage suffered as a result of any action brought *
-- ** by a third party) even if such damage or loss was *
-- ** reasonably foreseeable or Xilinx had been advised of the *
-- ** possibility of the same. *
-- ** *
-- ** CRITICAL APPLICATIONS *
-- ** Xilinx products are not designed or intended to be fail- *
-- ** safe, or for use in any application requiring fail-safe *
-- ** performance, such as life-support or safety devices or *
-- ** systems, Class III medical devices, nuclear facilities, *
-- ** applications related to the deployment of airbags, or any *
-- ** other applications that could lead to death, personal *
-- ** injury, or severe property or environmental damage *
-- ** (individually and collectively, "Critical *
-- ** Applications"). Customer assumes the sole risk and *
-- ** liability of any use of Xilinx products in Critical *
-- ** Applications, subject only to applicable laws and *
-- ** regulations governing limitations on product liability. *
-- ** *
-- ** THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS *
-- ** PART OF THIS FILE AT ALL TIMES. *
-- *******************************************************************
--
-------------------------------------------------------------------------------
---- Filename: qspi_look_up_logic.vhd
---- Version: v3.0
---- Description: Serial Peripheral Interface (SPI) Module for interfacing
---- with a 32-bit AXI4 Bus.
----
-------------------------------------------------------------------------------
-- Structure: This section shows the hierarchical structure of axi_spi.
--
-- axi_quad_spi.vhd
-- |--Legacy_mode
-- |-- axi_lite_ipif.vhd
-- |-- qspi_core_interface.vhd
-- |-- qspi_cntrl_reg.vhd
-- |-- qspi_status_slave_sel_reg.vhd
-- |-- qspi_occupancy_reg.vhd
-- |-- qspi_fifo_ifmodule.vhd
-- |-- qspi_mode_0_module.vhd
-- |-- qspi_receive_transmit_reg.vhd
-- |-- qspi_startup_block.vhd
-- |-- comp_defs.vhd -- (helper lib)
-- |-- async_fifo_fg.vhd -- (helper lib)
-- |-- qspi_look_up_logic.vhd
-- |-- qspi_mode_control_logic.vhd
-- |-- interrupt_control.vhd
-- |-- soft_reset.vhd
-- |--Enhanced_mode
-- |--axi_qspi_enhanced_mode.vhd
-- |-- qspi_addr_decoder.vhd
-- |-- qspi_core_interface.vhd
-- |-- qspi_cntrl_reg.vhd
-- |-- qspi_status_slave_sel_reg.vhd
-- |-- qspi_occupancy_reg.vhd
-- |-- qspi_fifo_ifmodule.vhd
-- |-- qspi_mode_0_module.vhd
-- |-- qspi_receive_transmit_reg.vhd
-- |-- qspi_startup_block.vhd
-- |-- comp_defs.vhd -- (helper lib)
-- |-- async_fifo_fg.vhd -- (helper lib)
-- |-- qspi_look_up_logic.vhd
-- |-- qspi_mode_control_logic.vhd
-- |-- interrupt_control.vhd
-- |-- soft_reset.vhd
-- |--XIP_mode
-- |-- axi_lite_ipif.vhd
-- |-- xip_cntrl_reg.vhd
-- |-- reset_sync_module.vhd
-- |-- xip_status_reg.vhd
-- |-- axi_qspi_xip_if.vhd
-- |-- qspi_addr_decoder.vhd
-- |-- async_fifo_fg.vhd -- (helper lib)
-- |-- comp_defs.vhd -- (helper lib)
-------------------------------------------------------------------------------
-- Author: SK 15-Feb-2011
-- ~~~~~~
-- - This is look up table logic for the Winbond and Numonyx memories
-- - this table supplies command error, modes of command,address, data bits
-- - It also provides information about the decoding of commands.
-- ^^^^^^
-- ~~~~~~
-- SK 12/16/12 -- v3.0
-- 1. up reved to major version for 2013.1 Vivado release. No logic updates.
-- 2. Updated the version of AXI LITE IPIF to v2.0 in X.Y format
-- 3. updated the proc common version to proc_common_v4_0
-- 4. Instantiated the component dist_mem_gen_v8_0 - latest version
-- ^^^^^^
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
library proc_common_v4_0;
use proc_common_v4_0.proc_common_pkg.log2;
use proc_common_v4_0.proc_common_pkg.RESET_ACTIVE;
library axi_quad_spi_v3_1;
use axi_quad_spi_v3_1.comp_defs.all;
library dist_mem_gen_v8_0;
use dist_mem_gen_v8_0.all;
-- Library declaration XilinxCoreLib
-- library XilinxCoreLib;
library unisim;
use unisim.vcomponents.FDRE;
-------------------------------------------------------------------------------
entity qspi_look_up_logic is
generic(
C_FAMILY : string;
C_SPI_MODE : integer;
C_SPI_MEMORY : integer;
C_NUM_TRANSFER_BITS : integer
);
port(
EXT_SPI_CLK : in std_logic;
Rst_to_spi : in std_logic;
TXFIFO_RST : in std_logic;
--------------------
DTR_FIFO_Data_Exists: in std_logic;
Data_From_TxFIFO : in std_logic_vector
(0 to (C_NUM_TRANSFER_BITS-1));
pr_state_idle : in std_logic;
--------------------
Data_Dir : out std_logic;
Data_Mode_1 : out std_logic;
Data_Mode_0 : out std_logic;
Data_Phase : out std_logic;
--------------------
Quad_Phase : out std_logic;
--------------------
Addr_Mode_1 : out std_logic;
Addr_Mode_0 : out std_logic;
Addr_Bit : out std_logic;
Addr_Phase : out std_logic;
--------------------
CMD_Mode_1 : out std_logic;
CMD_Mode_0 : out std_logic;
CMD_Error : out std_logic;
---------------------
CMD_decoded : out std_logic
);
end entity qspi_look_up_logic;
-----------------------------
architecture imp of qspi_look_up_logic is
----------------------------------------------------------------------------------
-- below attributes are added to reduce the synth warnings in Vivado tool
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes";
----------------------------------------------------------------------------------
-- constant declaration
constant C_LUT_DWIDTH : integer := 8;
constant C_LUT_DEPTH : integer := 256;
-- function declaration
-- type declaration
-- signal declaration
--Dummy_Output_Signals-----
signal Local_rst : std_logic;
signal Dummy_3 : std_logic;
signal Dummy_2 : std_logic;
signal Dummy_1 : std_logic;
signal Dummy_0 : std_logic;
signal CMD_decoded_int : std_logic;
-----
begin
-----
Local_rst <= TXFIFO_RST or Rst_to_spi;
-- LUT for C_SPI_MODE = 1 start --
-------------------------------------------------------------------------------
-- QSPI_LOOK_UP_MODE_1_MEMORY_0: Dual mode. Mixed memories are supported.
-------------------------------
QSPI_LOOK_UP_MODE_1_MEMORY_0 : if (C_SPI_MODE = 1 and C_SPI_MEMORY = 0) generate
----------------------------
-- constant declaration
constant C_LOOK_UP_TABLE_WIDTH : integer := 11;
-- signal declaration
signal Look_up_op : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal CMD_decoded_int_d1 : std_logic;
signal DTR_FIFO_Data_Exists_d1 : std_logic;
signal DTR_FIFO_Data_Exists_d2 : std_logic;
signal DTR_FIFO_Data_Exists_d3 : std_logic;
--signal DTR_FIFO_Data_Exists_d4 : std_logic;
---Dummy OUtput signals---------------
signal spo_1 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal dpo_1 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal qdpo_1 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal Store_DTR_FIFO_First_Data : std_logic;
signal Look_up_address : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1));
-----
begin
----- _________
-- __| -- DTR_FIFO_Data_Exists
-- ______
-- _____| -- DTR_FIFO_Data_Exists_d1
-- __
-- __| |______ -- Store_DTR_FIFO_First_Data
TRFIFO_DATA_EXIST_D1_PROCESS: process(EXT_SPI_CLK)is
-----
begin
-----
if (EXT_SPI_CLK'event and EXT_SPI_CLK='1') then
if (Rst_to_spi = RESET_ACTIVE) then
DTR_FIFO_Data_Exists_d1 <= '0';
DTR_FIFO_Data_Exists_d2 <= '0';
DTR_FIFO_Data_Exists_d3 <= '0';
--DTR_FIFO_Data_Exists_d4 <= '0';
CMD_decoded_int_d1 <= '0';
CMD_decoded_int <= '0';
else
DTR_FIFO_Data_Exists_d1 <= DTR_FIFO_Data_Exists and pr_state_idle;
CMD_decoded_int_d1 <= DTR_FIFO_Data_Exists_d1 and
not DTR_FIFO_Data_Exists_d2;
CMD_decoded_int <= CMD_decoded_int_d1;
--DTR_FIFO_Data_Exists_d2 <= DTR_FIFO_Data_Exists_d1;
--DTR_FIFO_Data_Exists_d3 <= DTR_FIFO_Data_Exists_d2;
--DTR_FIFO_Data_Exists_d4 <= DTR_FIFO_Data_Exists_d3;
--CMD_decoded_int <= DTR_FIFO_Data_Exists_d2 and
-- not(DTR_FIFO_Data_Exists_d3);
end if;
end if;
end process TRFIFO_DATA_EXIST_D1_PROCESS;
-----------------------------------------
CMD_decoded <= CMD_decoded_int;
Store_DTR_FIFO_First_Data <= DTR_FIFO_Data_Exists and
not(DTR_FIFO_Data_Exists_d1) and
Pr_state_idle;
-----------------------------------------
TXFIFO_ADDR_BITS_GENERATE: for i in 0 to (C_NUM_TRANSFER_BITS-1) generate
-----
begin
-----
TXFIFO_FIRST_ENTRY_REG_I: component FDRE
port map
(
Q => Look_up_address(i) ,--: out
C => EXT_SPI_CLK ,--: in
CE => Store_DTR_FIFO_First_Data ,--: in
R => Local_rst ,--: in
D => Data_From_TxFIFO(i) --: in
);
end generate TXFIFO_ADDR_BITS_GENERATE;
---------------------------------------
--C_SPI_MODE_1_MIXED_ROM_I: dist_mem_gen_v6_4
C_SPI_MODE_1_MIXED_ROM_I: entity dist_mem_gen_v8_0.dist_mem_gen_v8_0
-------------------
generic map(
C_HAS_CLK => 1,
C_READ_MIF => 1,
C_HAS_QSPO => 1,
C_ADDR_WIDTH => C_LUT_DWIDTH,
C_WIDTH => C_LOOK_UP_TABLE_WIDTH,
C_FAMILY => C_FAMILY,
C_SYNC_ENABLE => 1,
C_DEPTH => C_LUT_DEPTH,
C_HAS_QSPO_SRST => 1,
C_MEM_INIT_FILE => "mode_1_memory_0_mixed.mif",
C_DEFAULT_DATA => "0",
------------------------
C_HAS_QDPO_CLK => 0,
C_HAS_QDPO_CE => 0,
C_PARSER_TYPE => 1,
C_HAS_D => 0,
C_HAS_SPO => 0,
C_REG_A_D_INPUTS => 0,
C_HAS_WE => 0,
C_PIPELINE_STAGES => 0,
C_HAS_QDPO_RST => 0,
C_REG_DPRA_INPUT => 0,
C_QUALIFY_WE => 0,
C_HAS_QDPO_SRST => 0,
C_HAS_DPRA => 0,
C_QCE_JOINED => 0,
C_MEM_TYPE => 0,
C_HAS_I_CE => 0,
C_HAS_DPO => 0,
-- C_HAS_SPRA => 0, -- removed from dist mem gen
C_HAS_QSPO_CE => 0,
C_HAS_QSPO_RST => 0,
C_HAS_QDPO => 0
-------------------------
)
port map(
a => Look_up_address , -- a, -- in std_logic_vector(7 downto 0)
clk => EXT_SPI_CLK , -- clk, -- in
qspo_srst => Rst_to_spi , -- qspo_srst, -- in
qspo => Look_up_op , -- qspo -- out std_logic_vector(9 downto 0)
d => "00000000000",
dpra => "00000000",
we => '0',
i_ce => '1',
qspo_ce => '1',
qdpo_ce => '1',
qdpo_clk => '0',
qspo_rst => '0',
qdpo_rst => '0',
qdpo_srst => '0',
spo => spo_1,
dpo => dpo_1,
qdpo => qdpo_1
);
-- look up table arrangement is as below
-- 10 9 8 7 6 5 4 3 2 1 0
-- Data_Dir Data Mode_1 Data Mode_0 Data_Phase Addr Mode_1 Addr_Mode_0 Addr_Bit Addr_Ph CMD_Mode_1 CMD Mode_0 CMD_ERROR
-------------
Data_Dir <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 1); -- 10 14
Data_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 2); -- 9 13
Data_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 3); -- 8 12
Data_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 4); -- 7 11
-------------
Quad_Phase <= '0';
Addr_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 5); -- 6
Addr_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 6); -- 5
Addr_Bit <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 7); -- 4
Addr_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 8); -- 3
-------------
CMD_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 9); -- 2
CMD_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 10); -- 1
CMD_Error <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - C_LOOK_UP_TABLE_WIDTH)
and CMD_decoded_int; -- 0
-------------
-----------------------------------------
end generate QSPI_LOOK_UP_MODE_1_MEMORY_0;
-----------------------------------------
-------------------------------------------------------------------------------
-- QSPI_LOOK_UP_MODE_1_MEMORY_1: This is Dual mode. Dedicated Winbond memories are supported.
--------------------------------
QSPI_LOOK_UP_MODE_1_MEMORY_1 : if (C_SPI_MODE = 1 and C_SPI_MEMORY = 1) generate
----------------------------
-- constant declaration
constant C_LOOK_UP_TABLE_WIDTH : integer := 11;
-- signal declaration
signal spo_2 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal dpo_2 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal qdpo_2 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal Look_up_op : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal DTR_FIFO_Data_Exists_d1 : std_logic;
signal DTR_FIFO_Data_Exists_d2 : std_logic;
signal DTR_FIFO_Data_Exists_d3 : std_logic;
signal CMD_decoded_int_d1 : std_logic;
--signal DTR_FIFO_Data_Exists_d4 : std_logic;
signal Store_DTR_FIFO_First_Data : std_logic;
signal Look_up_address : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1));
-----
begin
----- _________
-- __| -- DTR_FIFO_Data_Exists
-- ______
-- _____| -- DTR_FIFO_Data_Exists_d1
-- __
-- __| |______ -- Store_DTR_FIFO_First_Data
TRFIFO_DATA_EXIST_D1_PROCESS: process(EXT_SPI_CLK)is
-----
begin
-----
if (EXT_SPI_CLK'event and EXT_SPI_CLK='1') then
if (Rst_to_spi = RESET_ACTIVE) then
DTR_FIFO_Data_Exists_d1 <= '0';
DTR_FIFO_Data_Exists_d2 <= '0';
DTR_FIFO_Data_Exists_d3 <= '0';
--DTR_FIFO_Data_Exists_d4 <= '0';
CMD_decoded_int_d1 <= '0';
CMD_decoded_int <= '0';
else
DTR_FIFO_Data_Exists_d1 <= DTR_FIFO_Data_Exists and pr_state_idle;
CMD_decoded_int_d1 <= DTR_FIFO_Data_Exists_d1 and not DTR_FIFO_Data_Exists_d2;
CMD_decoded_int <= CMD_decoded_int_d1;
-- DTR_FIFO_Data_Exists_d2 <= DTR_FIFO_Data_Exists_d1;
-- DTR_FIFO_Data_Exists_d3 <= DTR_FIFO_Data_Exists_d2;
--DTR_FIFO_Data_Exists_d4 <= DTR_FIFO_Data_Exists_d3;
-- CMD_decoded_int <= DTR_FIFO_Data_Exists_d2 and
-- not(DTR_FIFO_Data_Exists_d3);
end if;
end if;
end process TRFIFO_DATA_EXIST_D1_PROCESS;
-----------------------------------------
CMD_decoded <= CMD_decoded_int;
Store_DTR_FIFO_First_Data <= DTR_FIFO_Data_Exists and
not(DTR_FIFO_Data_Exists_d1) and
Pr_state_idle;
-----------------------------------------
TXFIFO_ADDR_BITS_GENERATE: for i in 0 to (C_NUM_TRANSFER_BITS-1) generate
-----
begin
-----
TXFIFO_FIRST_ENTRY_REG_I: component FDRE
port map
(
Q => Look_up_address(i) ,--: out
C => EXT_SPI_CLK ,--: in
CE => Store_DTR_FIFO_First_Data ,--: in
R => Local_rst ,--: in
D => Data_From_TxFIFO(i) --: in
);
end generate TXFIFO_ADDR_BITS_GENERATE;
---------------------------------------
--C_SPI_MODE_1_WB_ROM_I: dist_mem_gen_v6_4
C_SPI_MODE_1_MIXED_ROM_I: entity dist_mem_gen_v8_0.dist_mem_gen_v8_0
-------------------
generic map(
C_HAS_CLK => 1,
C_READ_MIF => 1,
C_HAS_QSPO => 1,
C_ADDR_WIDTH => C_LUT_DWIDTH,
C_WIDTH => C_LOOK_UP_TABLE_WIDTH,
C_FAMILY => C_FAMILY, -- "virtex6",
C_SYNC_ENABLE => 1,
C_DEPTH => C_LUT_DEPTH,
C_HAS_QSPO_SRST => 1,
C_MEM_INIT_FILE => "mode_1_memory_1_wb.mif",
C_DEFAULT_DATA => "0",
------------------------
C_HAS_QDPO_CLK => 0,
C_HAS_QDPO_CE => 0,
C_PARSER_TYPE => 1,
C_HAS_D => 0,
C_HAS_SPO => 0,
C_REG_A_D_INPUTS => 0,
C_HAS_WE => 0,
C_PIPELINE_STAGES => 0,
C_HAS_QDPO_RST => 0,
C_REG_DPRA_INPUT => 0,
C_QUALIFY_WE => 0,
C_HAS_QDPO_SRST => 0,
C_HAS_DPRA => 0,
C_QCE_JOINED => 0,
C_MEM_TYPE => 0,
C_HAS_I_CE => 0,
C_HAS_DPO => 0,
-- C_HAS_SPRA => 0, -- removed from dist mem gen
C_HAS_QSPO_CE => 0,
C_HAS_QSPO_RST => 0,
C_HAS_QDPO => 0
-------------------------
)
port map(
a => Look_up_address , -- a, -- in std_logic_vector(7 downto 0)
clk => EXT_SPI_CLK , -- clk, -- in
qspo_srst => Rst_to_spi , -- qspo_srst, -- in
qspo => Look_up_op , -- qspo -- out std_logic_vector(9 downto 0)
d => "00000000000",
dpra => "00000000",
we => '0',
i_ce => '1',
qspo_ce => '1',
qdpo_ce => '1',
qdpo_clk => '0',
qspo_rst => '0',
qdpo_rst => '0',
qdpo_srst => '0',
spo => spo_2,
dpo => dpo_2,
qdpo => qdpo_2
);
-- look up table arrangement is as below
-- 10 9 8 7 6 5 4 3 2 1 0
-- Data_Dir Data Mode_1 Data Mode_0 Data_Phase Addr_Mode_1 Addr_Mode_0 Addr_Bit Addr_Ph CMD_Mode_1 CMD Mode_0 CMD_ERROR
-------------
Data_Dir <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 1);-- 10 14
Data_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 2);-- 9 13
Data_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 3);-- 8 12
Data_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 4);-- 7 11
-------------
Quad_Phase <= '0';
Addr_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 5); -- 6
Addr_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 6); -- 5
Addr_Bit <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 7); -- 4
Addr_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 8); -- 3
-------------
CMD_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 9); -- 2
CMD_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 10); -- 1
CMD_Error <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - C_LOOK_UP_TABLE_WIDTH)
and CMD_decoded_int; -- 0
-------------
-----------------------------------------
end generate QSPI_LOOK_UP_MODE_1_MEMORY_1;
-----------------------------------------
-------------------------------------------------------------------------------
-- QSPI_LOOK_UP_MODE_1_MEMORY_2: This is Dual mode. Dedicated Numonyx memories are supported.
--------------------------------
QSPI_LOOK_UP_MODE_1_MEMORY_2 : if (C_SPI_MODE = 1 and C_SPI_MEMORY = 2) generate
----------------------------
-- constant declaration
constant C_LOOK_UP_TABLE_WIDTH : integer := 11;
-- signal declaration
signal Look_up_op : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal CMD_decoded_int_d1 : std_logic;
signal DTR_FIFO_Data_Exists_d1 : std_logic;
signal DTR_FIFO_Data_Exists_d2 : std_logic;
signal DTR_FIFO_Data_Exists_d3 : std_logic;
--signal DTR_FIFO_Data_Exists_d4 : std_logic;
signal spo_3 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal dpo_3 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal qdpo_3 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal Store_DTR_FIFO_First_Data : std_logic;
signal Look_up_address : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1));
-----
begin
----- _________
-- __| -- DTR_FIFO_Data_Exists
-- ______
-- _____| -- DTR_FIFO_Data_Exists_d1
-- __
-- __| |______ -- Store_DTR_FIFO_First_Data
TRFIFO_DATA_EXIST_D1_PROCESS: process(EXT_SPI_CLK)is
-----
begin
-----
if (EXT_SPI_CLK'event and EXT_SPI_CLK='1') then
if (Rst_to_spi = RESET_ACTIVE) then
DTR_FIFO_Data_Exists_d1 <= '0';
DTR_FIFO_Data_Exists_d2 <= '0';
DTR_FIFO_Data_Exists_d3 <= '0';
--DTR_FIFO_Data_Exists_d4 <= '0';
CMD_decoded_int_d1 <= '0';
CMD_decoded_int <= '0';
else
DTR_FIFO_Data_Exists_d1 <= DTR_FIFO_Data_Exists and pr_state_idle;
CMD_decoded_int_d1 <= DTR_FIFO_Data_Exists_d1 and not DTR_FIFO_Data_Exists_d2;
CMD_decoded_int <= CMD_decoded_int_d1;
--DTR_FIFO_Data_Exists_d2 <= DTR_FIFO_Data_Exists_d1;
--DTR_FIFO_Data_Exists_d3 <= DTR_FIFO_Data_Exists_d2;
--DTR_FIFO_Data_Exists_d4 <= DTR_FIFO_Data_Exists_d3;
--CMD_decoded_int <= DTR_FIFO_Data_Exists_d2 and
-- not(DTR_FIFO_Data_Exists_d3);
end if;
end if;
end process TRFIFO_DATA_EXIST_D1_PROCESS;
-----------------------------------------
CMD_decoded <= CMD_decoded_int;
Store_DTR_FIFO_First_Data <= DTR_FIFO_Data_Exists and
not(DTR_FIFO_Data_Exists_d1) and
Pr_state_idle;
-----------------------------------------
TXFIFO_ADDR_BITS_GENERATE: for i in 0 to (C_NUM_TRANSFER_BITS-1) generate
-----
begin
-----
TXFIFO_FIRST_ENTRY_REG_I: component FDRE
port map
(
Q => Look_up_address(i) ,--: out
C => EXT_SPI_CLK ,--: in
CE => Store_DTR_FIFO_First_Data ,--: in
R => Local_rst ,--: in
D => Data_From_TxFIFO(i) --: in
);
end generate TXFIFO_ADDR_BITS_GENERATE;
---------------------------------------
--C_SPI_MODE_1_NM_ROM_I: dist_mem_gen_v6_4
C_SPI_MODE_1_MIXED_ROM_I: entity dist_mem_gen_v8_0.dist_mem_gen_v8_0
-------------------
generic map(
C_HAS_CLK => 1,
C_READ_MIF => 1,
C_HAS_QSPO => 1,
C_ADDR_WIDTH => C_LUT_DWIDTH,
C_WIDTH => C_LOOK_UP_TABLE_WIDTH,
C_FAMILY => C_FAMILY, -- "virtex6",
C_SYNC_ENABLE => 1,
C_DEPTH => C_LUT_DEPTH,
C_HAS_QSPO_SRST => 1,
C_MEM_INIT_FILE => "mode_1_memory_2_nm.mif",
C_DEFAULT_DATA => "0",
------------------------
C_HAS_QDPO_CLK => 0,
C_HAS_QDPO_CE => 0,
C_PARSER_TYPE => 1,
C_HAS_D => 0,
C_HAS_SPO => 0,
C_REG_A_D_INPUTS => 0,
C_HAS_WE => 0,
C_PIPELINE_STAGES => 0,
C_HAS_QDPO_RST => 0,
C_REG_DPRA_INPUT => 0,
C_QUALIFY_WE => 0,
C_HAS_QDPO_SRST => 0,
C_HAS_DPRA => 0,
C_QCE_JOINED => 0,
C_MEM_TYPE => 0,
C_HAS_I_CE => 0,
C_HAS_DPO => 0,
-- C_HAS_SPRA => 0, -- removed from dist mem gen
C_HAS_QSPO_CE => 0,
C_HAS_QSPO_RST => 0,
C_HAS_QDPO => 0
-------------------------
)
port map(
a => Look_up_address , -- a, -- in std_logic_vector(7 downto 0)
clk => EXT_SPI_CLK , -- clk, -- in
qspo_srst => Rst_to_spi , -- qspo_srst, -- in
qspo => Look_up_op , -- qspo -- out std_logic_vector(9 downto 0)
d => "00000000000",
dpra => "00000000",
we => '0',
i_ce => '1',
qspo_ce => '1',
qdpo_ce => '1',
qdpo_clk => '0',
qspo_rst => '0',
qdpo_rst => '0',
qdpo_srst => '0',
spo => spo_3,
dpo => dpo_3,
qdpo => qdpo_3
);
-- look up table arrangement is as below
-- 10 9 8 7 6 5 4 3 2 1 0
-- Data_Dir Data_Mode_1 Data_Mode_0 Data_Phase Addr_Mode_1 Addr_Mode_0 Addr_Bit Addr_Ph CMD_Mode_1 CMD_Mode_0 CMD_ERROR
-------------
Data_Dir <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 1);-- 10 -- 14
Data_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 2);-- 9 13
Data_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 3);-- 8 12
Data_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 4);-- 7 11
-------------
Quad_Phase <= '0';
Addr_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 5); -- 6
Addr_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 6); -- 5
Addr_Bit <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 7); -- 4
Addr_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 8); -- 3
-------------
CMD_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 9); -- 2
CMD_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 10); -- 1
CMD_Error <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - C_LOOK_UP_TABLE_WIDTH)
and CMD_decoded_int; -- 0
-------------
-----------------------------------------
end generate QSPI_LOOK_UP_MODE_1_MEMORY_2;
-----------------------------------------
-- LUT for C_SPI_MODE = 1 ends --
-- LUT for C_SPI_MODE = 2 starts --
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- QSPI_LOOK_UP_MODE_2_MEMORY_0: This is Dual mode. Mixed mode memories are supported.
--------------------------------
QSPI_LOOK_UP_MODE_2_MEMORY_0 : if (C_SPI_MODE = 2 and C_SPI_MEMORY = 0) generate
----------------------------
-- constant declaration
constant C_LOOK_UP_TABLE_WIDTH : integer := 12;-- quad phase bit is added to support DQ3 = 1 in command phase for NM memories.
-- signal declaration
signal Look_up_op : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal CMD_decoded_int_d1 : std_logic;
signal DTR_FIFO_Data_Exists_d1 : std_logic;
signal DTR_FIFO_Data_Exists_d2 : std_logic;
signal DTR_FIFO_Data_Exists_d3 : std_logic;
--signal DTR_FIFO_Data_Exists_d4 : std_logic;
signal spo_6 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal dpo_6 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal qdpo_6 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal Store_DTR_FIFO_First_Data : std_logic;
signal Look_up_address : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1));
-----
begin
----- _________
-- __| -- DTR_FIFO_Data_Exists
-- ______
-- _____| -- DTR_FIFO_Data_Exists_d1
-- __
-- __| |______ -- Store_DTR_FIFO_First_Data
TRFIFO_DATA_EXIST_D1_PROCESS: process(EXT_SPI_CLK)is
-----
begin
-----
if (EXT_SPI_CLK'event and EXT_SPI_CLK='1') then
if (Rst_to_spi = RESET_ACTIVE) then
DTR_FIFO_Data_Exists_d1 <= '0';
DTR_FIFO_Data_Exists_d2 <= '0';
DTR_FIFO_Data_Exists_d3 <= '0';
--DTR_FIFO_Data_Exists_d4 <= '0';
CMD_decoded_int_d1 <= '0';
CMD_decoded_int <= '0';
else
DTR_FIFO_Data_Exists_d1 <= DTR_FIFO_Data_Exists and pr_state_idle;
CMD_decoded_int_d1 <= DTR_FIFO_Data_Exists_d1 and
not DTR_FIFO_Data_Exists_d2 and
Pr_state_idle;
CMD_decoded_int <= CMD_decoded_int_d1;
--DTR_FIFO_Data_Exists_d2 <= DTR_FIFO_Data_Exists_d1;
--DTR_FIFO_Data_Exists_d3 <= DTR_FIFO_Data_Exists_d2;
--DTR_FIFO_Data_Exists_d4 <= DTR_FIFO_Data_Exists_d3;
--CMD_decoded_int <= DTR_FIFO_Data_Exists_d2 and
-- not(DTR_FIFO_Data_Exists_d3) and
-- Pr_state_idle;
end if;
end if;
end process TRFIFO_DATA_EXIST_D1_PROCESS;
-----------------------------------------
CMD_decoded <= CMD_decoded_int;
Store_DTR_FIFO_First_Data <= DTR_FIFO_Data_Exists and
not(DTR_FIFO_Data_Exists_d1) and
Pr_state_idle;
-----------------------------------------
TXFIFO_ADDR_BITS_GENERATE: for i in 0 to (C_NUM_TRANSFER_BITS-1) generate
-----
begin
-----
TXFIFO_FIRST_ENTRY_REG_I: component FDRE
port map
(
Q => Look_up_address(i) ,--: out
C => EXT_SPI_CLK ,--: in
CE => Store_DTR_FIFO_First_Data ,--: in
R => Local_rst ,--: in
D => Data_From_TxFIFO(i) --: in
);
end generate TXFIFO_ADDR_BITS_GENERATE;
---------------------------------------
--C_SPI_MODE_2_MIXED_ROM_I: dist_mem_gen_v6_4
C_SPI_MODE_1_MIXED_ROM_I: entity dist_mem_gen_v8_0.dist_mem_gen_v8_0
-------------------
generic map(
C_HAS_CLK => 1,
C_READ_MIF => 1,
C_HAS_QSPO => 1,
C_ADDR_WIDTH => C_LUT_DWIDTH,
C_WIDTH => C_LOOK_UP_TABLE_WIDTH,
C_FAMILY => C_FAMILY,
C_SYNC_ENABLE => 1,
C_DEPTH => C_LUT_DEPTH,
C_HAS_QSPO_SRST => 1,
C_MEM_INIT_FILE => "mode_2_memory_0_mixed.mif",
C_DEFAULT_DATA => "0",
------------------------
C_HAS_QDPO_CLK => 0,
C_HAS_QDPO_CE => 0,
C_PARSER_TYPE => 1,
C_HAS_D => 0,
C_HAS_SPO => 0,
C_REG_A_D_INPUTS => 0,
C_HAS_WE => 0,
C_PIPELINE_STAGES => 0,
C_HAS_QDPO_RST => 0,
C_REG_DPRA_INPUT => 0,
C_QUALIFY_WE => 0,
C_HAS_QDPO_SRST => 0,
C_HAS_DPRA => 0,
C_QCE_JOINED => 0,
C_MEM_TYPE => 0,
C_HAS_I_CE => 0,
C_HAS_DPO => 0,
-- C_HAS_SPRA => 0, -- removed from dist mem gen core
C_HAS_QSPO_CE => 0,
C_HAS_QSPO_RST => 0,
C_HAS_QDPO => 0
-------------------------
)
port map(
a => Look_up_address , -- a, -- in std_logic_vector(7 downto 0)
clk => EXT_SPI_CLK , -- clk, -- in
qspo_srst => Rst_to_spi , -- qspo_srst, -- in
qspo => Look_up_op , -- qspo -- out std_logic_vector(9 downto 0)
d => "000000000000",
dpra => "00000000",
we => '0',
i_ce => '1',
qspo_ce => '1',
qdpo_ce => '1',
qdpo_clk => '0',
qspo_rst => '0',
qdpo_rst => '0',
qdpo_srst => '0',
spo => spo_6,
dpo => dpo_6,
qdpo => qdpo_6
);
-- look up table arrangement is as below
-- 11 10 9 8 7 6 5 4 3 2 1 0
-- Data_Dir Data Mode_1 Data Mode_0 Data_Phase Quad_Phase Addr_Mode_1 Addr_Mode_0 Addr_Bit Addr_Ph CMD_Mode_1 CMD Mode_0 CMD Error
-------------
Data_Dir <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 1);-- 15
Data_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 2);-- 14
Data_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 3);-- 13
Data_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 4);-- 12
-------------
Quad_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 5); -- 7
Addr_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 6);-- 6
Addr_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 7);-- 5
Addr_Bit <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 8);-- 4
Addr_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 9);-- 3
-------------
CMD_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 10);-- 2
CMD_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 11);-- 1
CMD_Error <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - C_LOOK_UP_TABLE_WIDTH)
and CMD_decoded_int; -- 0
-------------
-----------------------------------------
end generate QSPI_LOOK_UP_MODE_2_MEMORY_0;
-----------------------------------------
-------------------------------------------------------------------------------
-- QSPI_LOOK_UP_MODE_2_MEMORY_1: This is Dual mode. Dedicated Winbond memories are supported.
--------------------------------
QSPI_LOOK_UP_MODE_2_MEMORY_1 : if (C_SPI_MODE = 2 and C_SPI_MEMORY = 1) generate
----------------------------
-- constant declaration
constant C_LOOK_UP_TABLE_WIDTH : integer := 11;
-- signal declaration
signal Look_up_op : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal CMD_decoded_int_d1 : std_logic;
signal DTR_FIFO_Data_Exists_d1 : std_logic;
signal DTR_FIFO_Data_Exists_d2 : std_logic;
signal DTR_FIFO_Data_Exists_d3 : std_logic;
--signal DTR_FIFO_Data_Exists_d4 : std_logic;
signal spo_4 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal dpo_4 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal qdpo_4 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal Store_DTR_FIFO_First_Data : std_logic;
signal Look_up_address : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1));
-----
begin
----- _________
-- __| -- DTR_FIFO_Data_Exists
-- ______
-- _____| -- DTR_FIFO_Data_Exists_d1
-- __
-- __| |______ -- Store_DTR_FIFO_First_Data
TRFIFO_DATA_EXIST_D1_PROCESS: process(EXT_SPI_CLK)is
-----
begin
-----
if (EXT_SPI_CLK'event and EXT_SPI_CLK='1') then
if (Rst_to_spi = RESET_ACTIVE) then
DTR_FIFO_Data_Exists_d1 <= '0';
DTR_FIFO_Data_Exists_d2 <= '0';
DTR_FIFO_Data_Exists_d3 <= '0';
--DTR_FIFO_Data_Exists_d4 <= '0';
CMD_decoded_int_d1 <= '0';
CMD_decoded_int <= '0';
else
DTR_FIFO_Data_Exists_d1 <= DTR_FIFO_Data_Exists and pr_state_idle;
CMD_decoded_int_d1 <= DTR_FIFO_Data_Exists_d1 and
not DTR_FIFO_Data_Exists_d2;
CMD_decoded_int <= CMD_decoded_int_d1;
-- DTR_FIFO_Data_Exists_d2 <= DTR_FIFO_Data_Exists_d1;
-- DTR_FIFO_Data_Exists_d3 <= DTR_FIFO_Data_Exists_d2;
-- --DTR_FIFO_Data_Exists_d4 <= DTR_FIFO_Data_Exists_d3;
-- CMD_decoded_int <= DTR_FIFO_Data_Exists_d2 and
-- not(DTR_FIFO_Data_Exists_d3);
end if;
end if;
end process TRFIFO_DATA_EXIST_D1_PROCESS;
-----------------------------------------
CMD_decoded <= CMD_decoded_int;
Store_DTR_FIFO_First_Data <= DTR_FIFO_Data_Exists and
not(DTR_FIFO_Data_Exists_d1) and
Pr_state_idle;
-----------------------------------------
TXFIFO_ADDR_BITS_GENERATE: for i in 0 to (C_NUM_TRANSFER_BITS-1) generate
-----
begin
-----
TXFIFO_FIRST_ENTRY_REG_I: component FDRE
port map
(
Q => Look_up_address(i) ,--: out
C => EXT_SPI_CLK ,--: in
CE => Store_DTR_FIFO_First_Data ,--: in
R => Local_rst ,--: in
D => Data_From_TxFIFO(i) --: in
);
end generate TXFIFO_ADDR_BITS_GENERATE;
---------------------------------------
--C_SPI_MODE_2_WB_ROM_I: dist_mem_gen_v6_4
C_SPI_MODE_1_MIXED_ROM_I: entity dist_mem_gen_v8_0.dist_mem_gen_v8_0
-------------------
generic map(
C_HAS_CLK => 1,
C_READ_MIF => 1,
C_HAS_QSPO => 1,
C_ADDR_WIDTH => C_LUT_DWIDTH,
C_WIDTH => C_LOOK_UP_TABLE_WIDTH,
C_FAMILY => C_FAMILY,
C_SYNC_ENABLE => 1,
C_DEPTH => C_LUT_DEPTH,
C_HAS_QSPO_SRST => 1,
C_MEM_INIT_FILE => "mode_2_memory_1_wb.mif",
C_DEFAULT_DATA => "0",
------------------------
C_HAS_QDPO_CLK => 0,
C_HAS_QDPO_CE => 0,
C_PARSER_TYPE => 1,
C_HAS_D => 0,
C_HAS_SPO => 0,
C_REG_A_D_INPUTS => 0,
C_HAS_WE => 0,
C_PIPELINE_STAGES => 0,
C_HAS_QDPO_RST => 0,
C_REG_DPRA_INPUT => 0,
C_QUALIFY_WE => 0,
C_HAS_QDPO_SRST => 0,
C_HAS_DPRA => 0,
C_QCE_JOINED => 0,
C_MEM_TYPE => 0,
C_HAS_I_CE => 0,
C_HAS_DPO => 0,
-- C_HAS_SPRA => 0, -- removed from dist mem gen core
C_HAS_QSPO_CE => 0,
C_HAS_QSPO_RST => 0,
C_HAS_QDPO => 0
-------------------------
)
port map(
a => Look_up_address , -- a, -- in std_logic_vector(7 downto 0)
clk => EXT_SPI_CLK , -- clk, -- in
qspo_srst => Rst_to_spi , -- qspo_srst, -- in
qspo => Look_up_op , -- qspo -- out std_logic_vector(9 downto 0)
d => "00000000000",
dpra => "00000000",
we => '0',
i_ce => '1',
qspo_ce => '1',
qdpo_ce => '1',
qdpo_clk => '0',
qspo_rst => '0',
qdpo_rst => '0',
qdpo_srst => '0',
spo => spo_4,
dpo => dpo_4,
qdpo => qdpo_4
);
-- look up table arrangement is as below
-- 10 9 8 7 6 5 4 3 2 1 0
-- Data_Dir Data Mode_1 Data Mode_0 Data_Phase Addr Mode_1 Addr_Mode_0 Addr_Bit Addr_Ph CMD_Mode_1 CMD Mode_0 CMD Error
-------------
Data_Dir <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 1);-- 10 -- 14
Data_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 2);-- 9 13
Data_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 3);-- 8 12
Data_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 4);-- 7 11
-------------
Quad_Phase <= '0';
Addr_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 5); -- 6
Addr_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 6); -- 5
Addr_Bit <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 7); -- 4
Addr_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 8); -- 3
-------------
CMD_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 9);-- 2
CMD_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 10);-- 1
CMD_Error <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - C_LOOK_UP_TABLE_WIDTH)
and CMD_decoded_int; -- 0
-------------
-- Dummy_Bits <= (Dummy_3 and DTR_FIFO_Data_Exists) &
-- (Dummy_2 and DTR_FIFO_Data_Exists) &
-- (Dummy_1 and DTR_FIFO_Data_Exists) &
-- (Dummy_0 and DTR_FIFO_Data_Exists);
-----------------------------------------
end generate QSPI_LOOK_UP_MODE_2_MEMORY_1;
-----------------------------------------
-------------------------------------------------------------------------------
-- QSPI_LOOK_UP_MODE_2_MEMORY_2: This is Dual mode. Dedicated Numonyx memories are supported.
--------------------------------
QSPI_LOOK_UP_MODE_2_MEMORY_2 : if (C_SPI_MODE = 2 and C_SPI_MEMORY = 2) generate
----------------------------
-- constant declaration
constant C_LOOK_UP_TABLE_WIDTH : integer := 12;-- quad phase bit is added to support DQ3 = 1 in command phase for NM memories.
-- signal declaration
signal Look_up_op : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal CMD_decoded_int_d1 : std_logic;
signal DTR_FIFO_Data_Exists_d1 : std_logic;
signal DTR_FIFO_Data_Exists_d2 : std_logic;
signal DTR_FIFO_Data_Exists_d3 : std_logic;
--signal DTR_FIFO_Data_Exists_d4 : std_logic;
signal spo_5 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal dpo_5 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal qdpo_5 : std_logic_vector(C_LOOK_UP_TABLE_WIDTH-1 downto 0);
signal Store_DTR_FIFO_First_Data : std_logic;
signal Look_up_address : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1));
-----
begin
----- _________
-- __| -- DTR_FIFO_Data_Exists
-- ______
-- _____| -- DTR_FIFO_Data_Exists_d1
-- __
-- __| |______ -- Store_DTR_FIFO_First_Data
TRFIFO_DATA_EXIST_D1_PROCESS: process(EXT_SPI_CLK)is
-----
begin
-----
if (EXT_SPI_CLK'event and EXT_SPI_CLK='1') then
if (Rst_to_spi = RESET_ACTIVE) then
DTR_FIFO_Data_Exists_d1 <= '0';
DTR_FIFO_Data_Exists_d2 <= '0';
DTR_FIFO_Data_Exists_d3 <= '0';
CMD_decoded_int_d1 <= '0';
CMD_decoded_int <= '0';
else
DTR_FIFO_Data_Exists_d1 <= DTR_FIFO_Data_Exists and pr_state_idle;
CMD_decoded_int_d1 <= DTR_FIFO_Data_Exists_d1 and
not DTR_FIFO_Data_Exists_d2 and
Pr_state_idle;
CMD_decoded_int <= CMD_decoded_int_d1;
--DTR_FIFO_Data_Exists_d2 <= DTR_FIFO_Data_Exists_d1;
--DTR_FIFO_Data_Exists_d3 <= DTR_FIFO_Data_Exists_d2;
--CMD_decoded_int <= DTR_FIFO_Data_Exists_d2 and
-- not(DTR_FIFO_Data_Exists_d3) and
-- Pr_state_idle;
end if;
end if;
end process TRFIFO_DATA_EXIST_D1_PROCESS;
-----------------------------------------
CMD_decoded <= CMD_decoded_int;
Store_DTR_FIFO_First_Data <= DTR_FIFO_Data_Exists and
not(DTR_FIFO_Data_Exists_d1) and
Pr_state_idle;
-----------------------------------------
TXFIFO_ADDR_BITS_GENERATE: for i in 0 to (C_NUM_TRANSFER_BITS-1) generate
-----
begin
-----
TXFIFO_FIRST_ENTRY_REG_I: component FDRE
port map
(
Q => Look_up_address(i) ,--: out
C => EXT_SPI_CLK ,--: in
CE => Store_DTR_FIFO_First_Data ,--: in
R => Local_rst ,--: in
D => Data_From_TxFIFO(i) --: in
);
end generate TXFIFO_ADDR_BITS_GENERATE;
---------------------------------------
--C_SPI_MODE_2_NM_ROM_I: dist_mem_gen_v6_4
C_SPI_MODE_1_MIXED_ROM_I: entity dist_mem_gen_v8_0.dist_mem_gen_v8_0
-------------------
generic map(
C_HAS_CLK => 1,
C_READ_MIF => 1,
C_HAS_QSPO => 1,
C_ADDR_WIDTH => C_LUT_DWIDTH,
C_WIDTH => C_LOOK_UP_TABLE_WIDTH,
C_FAMILY => C_FAMILY, -- "virtex6",
C_SYNC_ENABLE => 1,
C_DEPTH => C_LUT_DEPTH,
C_HAS_QSPO_SRST => 1,
C_MEM_INIT_FILE => "mode_2_memory_2_nm.mif",
C_DEFAULT_DATA => "0",
------------------------
C_HAS_QDPO_CLK => 0,
C_HAS_QDPO_CE => 0,
C_PARSER_TYPE => 1,
C_HAS_D => 0,
C_HAS_SPO => 0,
C_REG_A_D_INPUTS => 0,
C_HAS_WE => 0,
C_PIPELINE_STAGES => 0,
C_HAS_QDPO_RST => 0,
C_REG_DPRA_INPUT => 0,
C_QUALIFY_WE => 0,
C_HAS_QDPO_SRST => 0,
C_HAS_DPRA => 0,
C_QCE_JOINED => 0,
C_MEM_TYPE => 0,
C_HAS_I_CE => 0,
C_HAS_DPO => 0,
-- C_HAS_SPRA => 0, -- removed from dist mem gen core
C_HAS_QSPO_CE => 0,
C_HAS_QSPO_RST => 0,
C_HAS_QDPO => 0
-------------------------
)
port map(
a => Look_up_address , -- a, -- in std_logic_vector(7 downto 0)
clk => EXT_SPI_CLK , -- clk, -- in
qspo_srst => Rst_to_spi , -- qspo_srst, -- in
qspo => Look_up_op, -- qspo -- out std_logic_vector(9 downto 0)
d => "000000000000",
dpra => "00000000",
we => '0',
i_ce => '1',
qspo_ce => '1',
qdpo_ce => '1',
qdpo_clk => '0',
qspo_rst => '0',
qdpo_rst => '0',
qdpo_srst => '0',
spo => spo_5,
dpo => dpo_5,
qdpo => qdpo_5
);
-- look up table arrangement is as below
-- 11 10 9 8 7 6 5 4 3 2 1 0
-- Data_Dir Data Mode_1 Data Mode_0 Data_Phase Quad_Phase Addr_Mode_1 Addr_Mode_0 Addr_Bit Addr_Ph CMD_Mode_1 CMD Mode_0 CMD Error
-------------
Data_Dir <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 1);-- 11 -- 15
Data_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 2);-- 10 -- 14
Data_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 3);-- 9 -- 13
Data_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 4);-- 8 -- 12
-------------
Quad_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 5); -- 7
Addr_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 6);-- 6
Addr_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 7);-- 5
Addr_Bit <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 8);-- 4
Addr_Phase <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 9);-- 3
-------------
CMD_Mode_1 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 10);-- 2
CMD_Mode_0 <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - 11);-- 1
CMD_Error <= Look_up_op(C_LOOK_UP_TABLE_WIDTH - C_LOOK_UP_TABLE_WIDTH)
and CMD_decoded_int; -- 0
-------------
-----------------------------------------
end generate QSPI_LOOK_UP_MODE_2_MEMORY_2;
-----------------------------------------
---------------------
end architecture imp;
---------------------
| mit | 45ed030f7b6a66520736cec5c97911e3 | 0.389649 | 3.96482 | false | false | false | false |
6769/VHDL | Lab_5/__FromSaru/lab50/control.vhd | 1 | 811 | library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity control is
port(reset,rb,eq,d7,d711,d2312:in bit;
roll,win,lose,sp:out bit);
end control;
architecture con of control is
signal count:std_logic_vector(3 downto 0):="0000";
signal w,l:bit;
begin
process(reset,rb)
begin
if reset='0' then
sp<='1';
count<="0000";
elsif rb'event and rb='1' then
count<=count+1;
elsif rb'event and rb='0' and count="0001" then
sp<='0';
end if;
roll<=not rb;
end process;
process(count,eq,d7,d711,d2312)
begin
--if w='0' and l='0' then
if count="0000" then
w <='0';l <='0';
elsif count="0001" then
w <=d711;l <=d2312;
else
w <=eq;l <=d7;
end if;
--end if;
end process;
win<=w;
lose<=l;
end con; | gpl-2.0 | 455173e6ebb33a8217baf7a204f570f9 | 0.596794 | 2.845614 | false | false | false | false |
quicky2000/top_mandelbrot_1b | top_mandel.vhd | 1 | 4,425 | --
-- This file is part of top_mandelbrot_1b
-- Copyright (C) 2011 Julien Thevenon ( julien_thevenon at yahoo.fr )
--
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity top_mandel is
Port ( clk : in STD_LOGIC;
w1a : inout STD_LOGIC_VECTOR (15 downto 0);
w1b : inout STD_LOGIC_VECTOR (15 downto 0);
w2c : inout STD_LOGIC_VECTOR (15 downto 0);
rx : in STD_LOGIC;
tx : inout STD_LOGIC
);
end top_mandel;
architecture Behavioral of top_mandel is
COMPONENT clock_25mhz
PORT(
CLKIN_IN : IN std_logic;
CLKFX_OUT : OUT std_logic;
CLKIN_IBUFG_OUT : OUT std_logic;
CLK0_OUT : OUT std_logic
);
END COMPONENT;
signal clk_25mhz : std_logic;
signal reset : std_logic;
signal vsync : std_logic;
signal hsync : std_logic;
signal enable : std_logic;
signal screen_right_left : std_logic;
signal screen_up_down : std_logic;
signal r : std_logic_vector ( 5 downto 0);
signal g : std_logic_vector ( 5 downto 0);
signal b : std_logic_vector ( 5 downto 0);
signal audio_right : std_logic;
signal audio_left : std_logic;
signal x_out : std_logic_vector( 9 downto 0);
signal y_out : std_logic_vector( 8 downto 0);
signal vsync_ok : std_logic;
signal hsync_ok : std_logic;
signal enable_ok : std_logic;
-- Signals to write in screen memory
signal addr : std_logic_vector(18 downto 0) := (others => '0');
signal data_in : std_logic;
signal write_enable : std_logic;
signal edge : std_logic;
signal next_step : std_logic;
begin
Inst_clock_25mhz: clock_25mhz PORT MAP(
CLKIN_IN => clk,
CLKFX_OUT => clk_25mhz,
CLKIN_IBUFG_OUT => open,
CLK0_OUT => open
);
Inst_giovanni_card : entity work.giovanni_card PORT MAP(
w1a => w1a,
w1b => w1b,
scr_red => r,
scr_green => g,
scr_blue => b,
scr_clk => clk_25mhz,
scr_hsync => hsync_ok,
scr_vsync => vsync_ok,
scr_enable => enable_ok,
scr_right_left => screen_right_left,
scr_up_down => screen_up_down,
audio_right => audio_right,
audio_left => audio_left,
audio_stereo_ok => open,
audio_plugged => open,
io => open
);
Inst_driver_sharp : entity work.driver_sharp(behavorial) PORT MAP(
clk => clk_25mhz,
rst => reset,
vsync => vsync,
hsync => hsync,
enable => enable,
x_out => x_out,
y_out => y_out
);
inst_image_controler : entity work.image_controler PORT MAP(
clk => clk_25mhz,
rst => reset,
r => r,
g => g,
b => b,
x => x_out,
y => y_out,
hsync_in => hsync,
vsync_in => vsync,
enable_in => enable,
write_enable => write_enable,
write_addr => addr,
data_in => data_in,
hsync_out => hsync_ok,
vsync_out => vsync_ok,
enable_out => enable_ok
);
inst_image_generator : entity work.image_generator
port map (
clk => clk_25mhz,
rst => reset,
write_enable => write_enable,
data => data_in,
addr => addr,
next_step => next_step);
inst_falling_edge_detector : entity work.falling_edge_detector
port map (
clk => clk_25mhz,
rst => reset,
input => vsync_ok,
edge => edge);
inst_clk_divider : entity work.clk_divider
port map (
clk => clk_25mhz,
rst => reset,
input => edge,
output => next_step);
reset <= '0';
screen_right_left <= '1';
screen_up_down <= '1';
audio_right <= '0';
audio_left <= '0';
end Behavioral;
| gpl-3.0 | b4c9551378fb55260db1799db9787118 | 0.628475 | 3.213508 | false | false | false | false |
6769/VHDL | Lab_5/Controller_text_version.vhd | 1 | 1,659 | library ieee ;
use ieee.numeric_bit.all;
entity DiceGame_controller_text is
port(Rb, Reset, CLK: in bit;
Sum: in integer range 2 to 12;
Roll, Win, Lose: out bit);
end DiceGame_controller_text;
architecture DiceGameControl of DiceGame_controller_text is
signal State, Nextstate: integer range 0 to 5:=0;
signal Point: integer range 2 to 12;
signal Sp: bit;
begin
process(Rb, State,Sum,Reset)
begin
Sp <= '0'; Roll <= '0';
Win <= '0'; Lose <= '0';
case State is
when 0 =>
if Rb = '1' then Nextstate <= 1;
--else Nextstate<=0;
end if;
when 1 =>
if Rb='1' then Roll<='1';
elsif Sum = 7 or Sum = 11 then Nextstate <= 2;
elsif Sum = 2 or Sum = 3 or Sum =12 then Nextstate <= 3;
else Nextstate <= 4;Sp <= '1' ;
end if;
when 2 =>
Win <= '1';
if Reset = '1' then Nextstate <= 0; end if;
when 3 =>
Lose <= '1';
if Reset = '1' then Nextstate <= 0; end if;
when 4 =>
if Rb = '1' then Nextstate <= 5; end if;
when 5 =>
if Rb='1' then Roll<='1';
elsif Sum = Point then Nextstate <= 2;
elsif Sum = 7 then Nextstate <= 3;
else Nextstate <= 4;
end if;
end case;
end process;
process(CLK)
begin
if CLK'event and CLK = '1' then
State <= Nextstate;
if Sp = '1' then Point <= Sum; end if;
-- if Reset='1' then State<=0;
-- else
-- end if;
end if;
end process;
-- Win<='1' when State=2 and Rb='0'
-- else '0';
-- Lose<='1' when State=3 and Rb='0'
-- else '0';
--
-- Roll<=Rb;
-- Sp <= '1' when State=1 else '0';
end DiceGameControl; | gpl-2.0 | 6051eb0151ee1e2ef2165e74941c8c04 | 0.540687 | 3.215116 | false | false | false | false |
sunoc/vhdl-lz4-variation | z_old/sha1/sha1_core.vhd | 1 | 8,567 | -----------------------------------------------------------------------------------
--! @file sha1_core.vhd
--! @brief SHA-1 CORE MODULE :
--! @version 0.9.0
--! @date 2012/12/20
--! @author Ichiro Kawazome <[email protected]>
-----------------------------------------------------------------------------------
--
-- Copyright (C) 2012 Ichiro Kawazome
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in
-- the documentation and/or other materials provided with the
-- distribution.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR
-- A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT
-- OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT
-- LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE,
-- DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY
-- THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
-- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-----------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library IKWZM_SECURE_HASH;
use IKWZM_SECURE_HASH.SHA1.HASH_BITS;
-----------------------------------------------------------------------------------
--! @brief SHA-1 計算モジュール.
-----------------------------------------------------------------------------------
entity SHA1_CORE is
generic (
SYMBOL_BITS : --! @brief INPUT SYMBOL BITS :
--! 入力データの1シンボルのビット数を指定する.
integer := 8;
SYMBOLS : --! @brief INPUT SYMBOL SIZE :
--! 入力データのシンボル数を指定する.
integer := 4;
REVERSE : --! @brief INPUT SYMBOL REVERSE :
--! 入力データのシンボルのビット並びを逆にするかどうかを指定する.
integer := 1;
WORDS : --! @brief WORD SIZE :
--! 一度に処理するワード数を指定する.
integer := 1;
BLOCK_GAP : --! @brief BLOCK GAP CYCLE :
--! 1ブロック(16word)処理する毎に挿入するギャップのサイクル
--! 数を指定する.
--! サイクル数分だけスループットが落ちるが、動作周波数が上が
--! る可能性がある.
integer := 1
);
port (
-------------------------------------------------------------------------------
-- クロック&リセット信号
-------------------------------------------------------------------------------
CLK : --! @brief CLOCK :
--! クロック信号
in std_logic;
RST : --! @brief ASYNCRONOUSE RESET :
--! 非同期リセット信号.アクティブハイ.
in std_logic;
CLR : --! @brief SYNCRONOUSE RESET :
--! 同期リセット信号.アクティブハイ.
in std_logic;
-------------------------------------------------------------------------------
-- 入力側 I/F
-------------------------------------------------------------------------------
I_DATA : --! @brief INPUT SYMBOL DATA :
in std_logic_vector(SYMBOL_BITS*SYMBOLS-1 downto 0);
I_ENA : --! @brief INPUT SYMBOL DATA ENABLE :
in std_logic_vector( SYMBOLS-1 downto 0);
I_DONE : --! @brief INPUT SYMBOL DATA DONE :
in std_logic;
I_LAST : --! @brief INPUT SYMBOL DATA LAST :
in std_logic;
I_VAL : --! @brief INPUT SYMBOL DATA VALID :
in std_logic;
I_RDY : --! @brief INPUT SYMBOL DATA READY :
out std_logic;
-------------------------------------------------------------------------------
-- 出力側 I/F
-------------------------------------------------------------------------------
O_DATA : --! @brief OUTPUT WORD DATA :
out std_logic_vector(HASH_BITS-1 downto 0);
O_VAL : --! @brief OUTPUT WORD VALID :
out std_logic;
O_RDY : --! @brief OUTPUT WORD READY :
in std_logic
);
end SHA1_CORE;
-----------------------------------------------------------------------------------
--
-----------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library IKWZM_SECURE_HASH;
use IKWZM_SECURE_HASH.SHA1.WORD_BITS;
use IKWZM_SECURE_HASH.SHA1.SHA_PRE_PROC;
use IKWZM_SECURE_HASH.SHA1.SHA1_PROC;
architecture RTL of SHA1_CORE is
-------------------------------------------------------------------------------
-- 内部信号
-------------------------------------------------------------------------------
signal m_word : std_logic_vector(WORD_BITS*WORDS-1 downto 0);
signal m_done : std_logic;
signal m_valid : std_logic;
signal m_ready : std_logic;
begin
-------------------------------------------------------------------------------
-- 入力処理(パディング、入力ビット数の付加).
-------------------------------------------------------------------------------
PRE_PROC: SHA_PRE_PROC --
generic map( --
WORD_BITS => 32 , --
WORDS => WORDS , --
SYMBOL_BITS => SYMBOL_BITS , --
SYMBOLS => SYMBOLS , --
REVERSE => REVERSE --
) --
port map ( --
CLK => CLK , -- In :
RST => RST , -- In :
CLR => CLR , -- In :
I_DATA => I_DATA , -- In :
I_ENA => I_ENA , -- In :
I_DONE => I_DONE , -- In :
I_LAST => I_LAST , -- In :
I_VAL => I_VAL , -- In :
I_RDY => I_RDY , -- Out :
M_DATA => m_word , -- Out :
M_DONE => m_done , -- Out :
M_VAL => m_valid , -- Out :
M_RDY => m_ready -- In :
);
-------------------------------------------------------------------------------
-- Digestの計算.
-------------------------------------------------------------------------------
PROC: SHA1_PROC --
generic map ( --
WORDS => WORDS , --
PIPELINE => 1 , --
BLOCK_GAP => BLOCK_GAP --
) --
port map ( --
CLK => CLK , -- In :
RST => RST , -- In :
CLR => CLR , -- In :
M_DATA => m_word , -- In :
M_DONE => m_done , -- In :
M_VAL => m_valid , -- In :
M_RDY => m_ready , -- Out :
O_DATA => O_DATA , -- Out :
O_VAL => O_VAL , -- Out :
O_RDY => O_RDY -- In :
);
end RTL;
| gpl-3.0 | c31f9b33fcb77cf9ae1bda548c4d1d1a | 0.36165 | 4.896614 | false | false | false | false |
1995parham/FPGA-Homework | HW-2/src/p8/p8.vhd | 1 | 961 | --------------------------------------------------------------------------------
-- Author: Parham Alvani ([email protected])
--
-- Create Date: 28-03-2016
-- Module Name: p8.vhd
--------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_signed.all;
entity thermostat_ctrl is
port (temp_needed, temp_sense : in integer;
command : out boolean);
end entity thermostat_ctrl;
architecture rtl of thermostat_ctrl is
signal temp_diff : integer;
begin
temp_diff <= temp_sense - temp_needed;
command <= false when temp_diff >= 2 else
true when temp_diff <= -2;
end architecture rtl;
architecture behavioral of thermostat_ctrl is
begin
process (temp_needed, temp_sense)
begin
if temp_sense - temp_needed >= 2 then
command <= false;
elsif temp_needed - temp_sense >= 2 then
command <= true;
end if;
end process;
end architecture behavioral;
| gpl-3.0 | 8bada080213be0fec642818e49599d80 | 0.58897 | 3.890688 | false | false | false | false |
HighlandersFRC/fpga | led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_bram_ctrl_0_0/axi_bram_ctrl_v3_0/hdl/vhdl/xor18.vhd | 7 | 8,446 | -------------------------------------------------------------------------------
-- xor18.vhd
-------------------------------------------------------------------------------
--
--
-- (c) Copyright [2010 - 2013] Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--
------------------------------------------------------------------------------
-- Filename: xor18.vhd
--
-- Description: Basic 18-bit input XOR function.
--
-- VHDL-Standard: VHDL'93
--
-------------------------------------------------------------------------------
-- Structure:
-- axi_bram_ctrl.vhd (v1_03_a)
-- |
-- |-- full_axi.vhd
-- | -- sng_port_arb.vhd
-- | -- lite_ecc_reg.vhd
-- | -- axi_lite_if.vhd
-- | -- wr_chnl.vhd
-- | -- wrap_brst.vhd
-- | -- ua_narrow.vhd
-- | -- checkbit_handler.vhd
-- | -- xor18.vhd
-- | -- parity.vhd
-- | -- checkbit_handler_64.vhd
-- | -- (same helper components as checkbit_handler)
-- | -- parity.vhd
-- | -- correct_one_bit.vhd
-- | -- correct_one_bit_64.vhd
-- |
-- | -- rd_chnl.vhd
-- | -- wrap_brst.vhd
-- | -- ua_narrow.vhd
-- | -- checkbit_handler.vhd
-- | -- xor18.vhd
-- | -- parity.vhd
-- | -- checkbit_handler_64.vhd
-- | -- (same helper components as checkbit_handler)
-- | -- parity.vhd
-- | -- correct_one_bit.vhd
-- | -- correct_one_bit_64.vhd
-- |
-- |-- axi_lite.vhd
-- | -- lite_ecc_reg.vhd
-- | -- axi_lite_if.vhd
-- | -- checkbit_handler.vhd
-- | -- xor18.vhd
-- | -- parity.vhd
-- | -- checkbit_handler_64.vhd
-- | -- (same helper components as checkbit_handler)
-- | -- correct_one_bit.vhd
-- | -- correct_one_bit_64.vhd
--
--
--
-------------------------------------------------------------------------------
--
-- History:
--
-- ^^^^^^
-- JLJ 2/2/2011 v1.03a
-- ~~~~~~
-- Migrate to v1.03a.
-- Plus minor code cleanup.
-- ^^^^^^
-- JLJ 3/17/2011 v1.03a
-- ~~~~~~
-- Add default on C_USE_LUT6 parameter.
-- ^^^^^^
--
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library unisim;
use unisim.vcomponents.all;
entity XOR18 is
generic (
C_USE_LUT6 : boolean := FALSE );
port (
InA : in std_logic_vector(0 to 17);
res : out std_logic);
end entity XOR18;
architecture IMP of XOR18 is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of IMP : architecture is "yes";
begin -- architecture IMP
Using_LUT6: if (C_USE_LUT6) generate
signal xor6_1 : std_logic;
signal xor6_2 : std_logic;
signal xor6_3 : std_logic;
signal xor18_c1 : std_logic;
signal xor18_c2 : std_logic;
begin -- generate Using_LUT6
XOR6_1_LUT : LUT6
generic map(
INIT => X"6996966996696996")
port map(
O => xor6_1,
I0 => InA(17),
I1 => InA(16),
I2 => InA(15),
I3 => InA(14),
I4 => InA(13),
I5 => InA(12));
XOR_1st_MUXCY : MUXCY_L
port map (
DI => '1',
CI => '0',
S => xor6_1,
LO => xor18_c1);
XOR6_2_LUT : LUT6
generic map(
INIT => X"6996966996696996")
port map(
O => xor6_2,
I0 => InA(11),
I1 => InA(10),
I2 => InA(9),
I3 => InA(8),
I4 => InA(7),
I5 => InA(6));
XOR_2nd_MUXCY : MUXCY_L
port map (
DI => xor6_1,
CI => xor18_c1,
S => xor6_2,
LO => xor18_c2);
XOR6_3_LUT : LUT6
generic map(
INIT => X"6996966996696996")
port map(
O => xor6_3,
I0 => InA(5),
I1 => InA(4),
I2 => InA(3),
I3 => InA(2),
I4 => InA(1),
I5 => InA(0));
XOR18_XORCY : XORCY
port map (
LI => xor6_3,
CI => xor18_c2,
O => res);
end generate Using_LUT6;
Not_Using_LUT6: if (not C_USE_LUT6) generate
begin -- generate Not_Using_LUT6
res <= InA(17) xor InA(16) xor InA(15) xor InA(14) xor InA(13) xor InA(12) xor
InA(11) xor InA(10) xor InA(9) xor InA(8) xor InA(7) xor InA(6) xor
InA(5) xor InA(4) xor InA(3) xor InA(2) xor InA(1) xor InA(0);
end generate Not_Using_LUT6;
end architecture IMP;
| mit | 6f60ae1da7273427d789a4108cf90682 | 0.467203 | 4.144259 | false | false | false | false |
fpgaddicted/car_taillights_animation-engine | animation_engine.vhd | 1 | 3,219 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer: fpgaddicted (Stefan Naco)
--
-- Create Date: 17:26:19 04/27/2017
-- Design Name:
-- Module Name: animation_engine - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity animation_engine is
Port ( t_left,t_right,t_brake,t_alarm : in STD_LOGIC;
clk,reset : in STD_LOGIC;
led_left,led_right : out STD_LOGIC_VECTOR (2 downto 0);
led_stop : out STD_LOGIC_VECTOR (1 downto 0));
end animation_engine;
architecture Port_map of animation_engine is
COMPONENT turnsignals_anim
PORT(
clk : IN std_logic;
reset : IN std_logic;
en : IN std_logic;
led_out : OUT std_logic_vector(2 downto 0)
);
END COMPONENT;
COMPONENT alarm_anim
PORT(
clk : IN std_logic;
reset : IN std_logic;
en : IN std_logic;
led_out : OUT std_logic_vector(2 downto 0)
);
END COMPONENT;
COMPONENT brake_anim
PORT(
clk : IN std_logic;
reset : IN std_logic;
en : IN std_logic;
led_out : OUT std_logic_vector(1 downto 0)
);
END COMPONENT;
COMPONENT signal_mux
PORT(
sel : in STD_LOGIC;
sig_out : out STD_LOGIC_VECTOR (2 downto 0);
sig_turn : in STD_LOGIC_VECTOR (2 downto 0);
sig_alarm : in STD_LOGIC_VECTOR (2 downto 0)
);
END COMPONENT;
signal led_signal_R: std_logic_vector (2 downto 0);
signal led_signal_L : std_logic_vector (2 downto 0);
signal led_signal_A : std_logic_vector (2 downto 0);
begin
RIGHT: turnsignals_anim PORT MAP (
clk => clk,
reset => reset,
en => t_right,
led_out => led_signal_R
);
LEFT: turnsignals_anim PORT MAP (
clk => clk,
reset => reset,
en => t_left,
led_out => led_signal_L
);
ALARM : alarm_anim PORT MAP (
clk=> clk,
reset => reset,
en => t_alarm,
led_out => led_signal_A
);
BRAKE : brake_anim PORT MAP (
clk=> clk,
reset => reset,
en => t_brake,
led_out => led_stop
);
ALARM_CONTROL_L : signal_mux PORT MAP (
sel => t_alarm,
sig_turn => led_signal_L,
sig_alarm => led_signal_A,
sig_out => led_left
);
ALARM_CONTROL_R : signal_mux PORT MAP (
sel => t_alarm,
sig_turn => led_signal_R,
sig_alarm => led_signal_A,
sig_out => led_right
);
end Port_map;
| gpl-3.0 | 6d62aee2714b984d1510caaf1957083f | 0.526872 | 3.545154 | false | false | false | false |
sorgelig/SAMCoupe_MIST | sid/sid_regs.vhd | 1 | 11,309 | -------------------------------------------------------------------------------
--
-- (C) COPYRIGHT 2010 Gideon's Logic Architectures'
--
-------------------------------------------------------------------------------
--
-- Author: Gideon Zweijtzer (gideon.zweijtzer (at) gmail.com)
--
-- Note that this file is copyrighted, and is not supposed to be used in other
-- projects without written permission from the author.
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity sid_regs is
port (
clock : in std_logic;
reset : in std_logic;
addr : in unsigned(7 downto 0);
wren : in std_logic;
wdata : in std_logic_vector(7 downto 0);
rdata : out std_logic_vector(7 downto 0);
---
comb_wave_l : in std_logic;
comb_wave_r : in std_logic;
---
voice_osc : in unsigned(3 downto 0);
voice_wave : in unsigned(3 downto 0);
voice_adsr : in unsigned(3 downto 0);
voice_mul : in unsigned(3 downto 0);
-- Oscillator parameters
freq : out unsigned(15 downto 0);
test : out std_logic;
sync : out std_logic;
-- Wave map parameters
comb_mode : out std_logic;
ring_mod : out std_logic;
wave_sel : out std_logic_vector(3 downto 0);
sq_width : out unsigned(11 downto 0);
-- ADSR parameters
gate : out std_logic;
attack : out std_logic_vector(3 downto 0);
decay : out std_logic_vector(3 downto 0);
sustain : out std_logic_vector(3 downto 0);
release : out std_logic_vector(3 downto 0);
-- mixer 1 parameters
filter_en : out std_logic;
-- globals
volume_l : out unsigned(3 downto 0) := (others => '0');
filter_co_l : out unsigned(10 downto 0) := (others => '0');
filter_res_l : out unsigned(3 downto 0) := (others => '0');
filter_ex_l : out std_logic := '0';
filter_hp_l : out std_logic := '0';
filter_bp_l : out std_logic := '0';
filter_lp_l : out std_logic := '0';
voice3_off_l : out std_logic := '0';
volume_r : out unsigned(3 downto 0) := (others => '0');
filter_co_r : out unsigned(10 downto 0) := (others => '0');
filter_res_r : out unsigned(3 downto 0) := (others => '0');
filter_ex_r : out std_logic := '0';
filter_hp_r : out std_logic := '0';
filter_bp_r : out std_logic := '0';
filter_lp_r : out std_logic := '0';
voice3_off_r : out std_logic := '0';
-- readback
osc3 : in std_logic_vector(7 downto 0);
env3 : in std_logic_vector(7 downto 0) );
attribute ramstyle : string;
end sid_regs;
architecture gideon of sid_regs is
attribute ramstyle of gideon : architecture is "logic";
type byte_array_t is array(natural range <>) of std_logic_vector(7 downto 0);
type nibble_array_t is array(natural range <>) of std_logic_vector(3 downto 0);
signal freq_lo : byte_array_t(0 to 15) := (others => (others => '0'));
signal freq_hi : byte_array_t(0 to 15) := (others => (others => '0'));
signal phase_lo : byte_array_t(0 to 15) := (others => (others => '0'));
signal phase_hi : nibble_array_t(0 to 15):= (others => (others => '0'));
signal control : byte_array_t(0 to 15) := (others => (others => '0'));
signal att_dec : byte_array_t(0 to 15) := (others => (others => '0'));
signal sust_rel : byte_array_t(0 to 15) := (others => (others => '0'));
signal do_write : std_logic;
signal filt_en_i: std_logic_vector(15 downto 0) := (others => '0');
constant address_remap : byte_array_t(0 to 255) := (
X"00", X"01", X"02", X"03", X"04", X"05", X"06", -- 00 Voice 1
X"10", X"11", X"12", X"13", X"14", X"15", X"16", -- 07 Voice 2
X"20", X"21", X"22", X"23", X"24", X"25", X"26", -- 0E Voice 3
X"08", X"09", X"0A", X"0B", -- 15
X"FF", X"FF", X"FF", X"FF", X"FF", X"FF", X"FF", -- 19
X"30", X"31", X"32", X"33", X"34", X"35", X"36", -- 20 Voice 4
X"40", X"41", X"42", X"43", X"44", X"45", X"46", -- 27 Voice 5
X"50", X"51", X"52", X"53", X"54", X"55", X"56", -- 2E Voice 6
X"60", X"61", X"62", X"63", X"64", X"65", X"66", -- 35 Voice 7
X"70", X"71", X"72", X"73", X"74", X"75", X"76", -- 3C Voice 8
X"0C", X"0D", X"0E", -- 43
X"FF", X"FF", X"FF", X"FF", X"FF", X"FF", X"FF", -- 46
X"FF", X"FF", X"FF", X"FF", X"FF", X"FF", X"FF", -- 4D
X"FF", X"FF", X"FF", X"FF", X"FF", X"FF", X"FF", -- 54
X"FF", X"FF", X"FF", X"FF", X"FF", X"FF", X"FF", -- 5B
X"FF", X"FF", X"FF", X"FF", X"FF", X"FF", X"FF", -- 62
X"FF", X"FF", X"FF", X"FF", X"FF", X"FF", X"FF", -- 69
X"FF", X"FF", X"FF", X"FF", X"FF", X"FF", X"FF", -- 70
X"FF", X"FF", X"FF", X"FF", X"FF", X"FF", X"FF", -- 77
X"FF", X"FF", -- 7E
X"80", X"81", X"82", X"83", X"84", X"85", X"86", -- 80 Voice 9
X"90", X"91", X"92", X"93", X"94", X"95", X"96", -- 87 Voice 10
X"A0", X"A1", X"A2", X"A3", X"A4", X"A5", X"A6", -- 8E Voice 11
X"88", X"89", X"8A", X"8B", -- 95
X"FF", X"FF", X"FF", X"FF", X"FF", X"FF", X"FF", -- 99
X"B0", X"B1", X"B2", X"B3", X"B4", X"B5", X"B6", -- A0 Voice 12
X"C0", X"C1", X"C2", X"C3", X"C4", X"C5", X"C6", -- A7 Voice 13
X"D0", X"D1", X"D2", X"D3", X"D4", X"D5", X"D6", -- AE Voice 14
X"E0", X"E1", X"E2", X"E3", X"E4", X"E5", X"E6", -- B5 Voice 15
X"F0", X"F1", X"F2", X"F3", X"F4", X"F5", X"F6", -- BC Voice 16
X"8C", X"8D", X"8E", -- C3
X"FF", X"FF", X"FF", X"FF", X"FF", X"FF", X"FF", -- C6
X"FF", X"FF", X"FF", X"FF", X"FF", X"FF", X"FF", -- CD
X"FF", X"FF", X"FF", X"FF", X"FF", X"FF", X"FF", -- D4
X"FF", X"FF", X"FF", X"FF", X"FF", X"FF", X"FF", -- DB
X"FF", X"FF", X"FF", X"FF", X"FF", X"FF", X"FF", -- E2
X"FF", X"FF", X"FF", X"FF", X"FF", X"FF", X"FF", -- E9
X"FF", X"FF", X"FF", X"FF", X"FF", X"FF", X"FF", -- F0
X"FF", X"FF", X"FF", X"FF", X"FF", X"FF", X"FF", -- F7
X"FF", X"FF" ); -- FE
signal address : unsigned(7 downto 0);
begin
address <= unsigned(address_remap(to_integer(addr)));
process(clock)
begin
if rising_edge(clock) then
do_write <= wren;
if do_write='0' and wren='1' then
if address(3)='0' then -- Voice register
case address(2 downto 0) is
when "000" => freq_lo(to_integer(address(7 downto 4))) <= wdata;
when "001" => freq_hi(to_integer(address(7 downto 4))) <= wdata;
when "010" => phase_lo(to_integer(address(7 downto 4))) <= wdata;
when "011" => phase_hi(to_integer(address(7 downto 4))) <= wdata(3 downto 0);
when "100" => control(to_integer(address(7 downto 4))) <= wdata;
when "101" => att_dec(to_integer(address(7 downto 4))) <= wdata;
when "110" => sust_rel(to_integer(address(7 downto 4))) <= wdata;
when others => null;
end case;
elsif address(7)='0' then -- Global register for left
case address(2 downto 0) is
when "000" => filter_co_l(2 downto 0) <= unsigned(wdata(2 downto 0));
when "001" => filter_co_l(10 downto 3) <= unsigned(wdata);
when "010" => filter_res_l <= unsigned(wdata(7 downto 4));
filter_ex_l <= wdata(3);
filt_en_i(2 downto 0) <= wdata(2 downto 0);
when "011" => voice3_off_l <= wdata(7);
filter_hp_l <= wdata(6);
filter_bp_l <= wdata(5);
filter_lp_l <= wdata(4);
volume_l <= unsigned(wdata(3 downto 0));
when "100" => filt_en_i(7 downto 0) <= wdata;
when others => null;
end case;
else -- Global register for right
case address(2 downto 0) is
when "000" => filter_co_r(2 downto 0) <= unsigned(wdata(2 downto 0));
when "001" => filter_co_r(10 downto 3) <= unsigned(wdata);
when "010" => filter_res_r <= unsigned(wdata(7 downto 4));
filter_ex_r <= wdata(3);
filt_en_i(10 downto 8) <= wdata(2 downto 0);
when "011" => voice3_off_r <= wdata(7);
filter_hp_r <= wdata(6);
filter_bp_r <= wdata(5);
filter_lp_r <= wdata(4);
volume_r <= unsigned(wdata(3 downto 0));
when "100" => filt_en_i(15 downto 8) <= wdata;
when others => null;
end case;
end if;
end if;
-- Readback (unmapped address)
case addr is
when "00011011" => rdata <= osc3;
when "00011100" => rdata <= env3;
when others => rdata <= (others => '0');
end case;
if reset='1' then
filt_en_i <= (others => '0');
voice3_off_l <= '0';
voice3_off_r <= '0';
volume_l <= X"0";
volume_r <= X"0";
end if;
end if;
end process;
freq <= unsigned(freq_hi(to_integer(voice_osc))) & unsigned(freq_lo(to_integer(voice_osc)));
test <= control(to_integer(voice_osc))(3);
sync <= control(to_integer(voice_osc))(1);
-- Wave map parameters
ring_mod <= control(to_integer(voice_wave))(2);
wave_sel <= control(to_integer(voice_wave))(7 downto 4);
sq_width <= unsigned(phase_hi(to_integer(voice_wave))) & unsigned(phase_lo(to_integer(voice_wave)));
comb_mode <= (voice_wave(3) and comb_wave_r) or (not voice_wave(3) and comb_wave_l);
-- ADSR parameters
gate <= control(to_integer(voice_adsr))(0);
attack <= att_dec(to_integer(voice_adsr))(7 downto 4);
decay <= att_dec(to_integer(voice_adsr))(3 downto 0);
sustain <= sust_rel(to_integer(voice_adsr))(7 downto 4);
release <= sust_rel(to_integer(voice_adsr))(3 downto 0);
-- Mixer 1 parameters
filter_en <= filt_en_i(to_integer(voice_mul));
end gideon;
| gpl-2.0 | 3b1267c7703a2a6055ea462e0d7d8c5b | 0.442568 | 3.189227 | false | false | false | false |
dsd-g05/lab5 | g05_color_matches.vhd | 1 | 6,455 | -- Descp. counts the number of color matches
--
-- entity name: g05_color_matches
--
-- Version 1.0
-- Author: Felix Dube; [email protected] & Auguste Lalande; [email protected]
-- Date: October 15, 2015
library ieee;
use ieee.std_logic_1164.all;
library lpm;
use lpm.lpm_components.all;
entity g05_color_matches is
port (
P1, P2, P3, P4 : in std_logic_vector(2 downto 0);
G1, G2, G3, G4 : in std_logic_vector(2 downto 0);
num_exact_matches : out std_logic_vector(2 downto 0);
num_color_matches : out std_logic_vector(2 downto 0)
);
end g05_color_matches;
architecture behavior of g05_color_matches is
component g05_minimum3 is
port (
N, M : in std_logic_vector(2 downto 0);
min : out std_logic_vector(2 downto 0)
);
end component;
component g05_num1s is
port (
X : in std_logic_vector(3 downto 0);
num1s : out std_logic_vector(2 downto 0)
);
end component;
component g05_num_matches is
port (
P1, P2, P3, P4 : in std_logic_vector(2 downto 0);
G1, G2, G3, G4 : in std_logic_vector(2 downto 0);
N : out std_logic_vector(2 downto 0)
);
end component;
signal EQ_P1, EQ_P2, EQ_P3, EQ_P4 : std_logic_vector(7 downto 0);
signal EQ_G1, EQ_G2, EQ_G3, EQ_G4 : std_logic_vector(7 downto 0);
signal P_C0, P_C1, P_C2, P_C3, P_C4, P_C5 : std_logic_vector(2 downto 0);
signal G_C0, G_C1, G_C2, G_C3, G_C4, G_C5 : std_logic_vector(2 downto 0);
signal M_C0, M_C1, M_C2, M_C3, M_C4, M_C5 : std_logic_vector(2 downto 0);
signal color_matches_all : std_logic_vector(2 downto 0);
signal add1, add2, add3, add4 : std_logic_vector(2 downto 0);
signal num_matches : std_logic_vector(2 downto 0);
begin
--decode patern colors
lpm_decode_P1 : lpm_decode
generic map (lpm_width => 3, lpm_decodes => 8)
port map (data => P1, eq => EQ_P1);
lpm_decode_P2 : lpm_decode
generic map (lpm_width => 3, lpm_decodes => 8)
port map (data => P2, eq => EQ_P2);
lpm_decode_P3 : lpm_decode
generic map (lpm_width => 3, lpm_decodes => 8)
port map (data => P3, eq => EQ_P3);
lpm_decode_P4 : lpm_decode
generic map (lpm_width => 3, lpm_decodes => 8)
port map (data => P4, eq => EQ_P4);
--count the number of each color in the pattern
num1s_P_C0 : g05_num1s port map (X(0) => EQ_P1(0), X(1) => EQ_P2(0), X(2) => EQ_P3(0), X(3) => EQ_P4(0), num1s => P_C0);
num1s_P_C1 : g05_num1s port map (X(0) => EQ_P1(1), X(1) => EQ_P2(1), X(2) => EQ_P3(1), X(3) => EQ_P4(1), num1s => P_C1);
num1s_P_C2 : g05_num1s port map (X(0) => EQ_P1(2), X(1) => EQ_P2(2), X(2) => EQ_P3(2), X(3) => EQ_P4(2), num1s => P_C2);
num1s_P_C3 : g05_num1s port map (X(0) => EQ_P1(3), X(1) => EQ_P2(3), X(2) => EQ_P3(3), X(3) => EQ_P4(3), num1s => P_C3);
num1s_P_C4 : g05_num1s port map (X(0) => EQ_P1(4), X(1) => EQ_P2(4), X(2) => EQ_P3(4), X(3) => EQ_P4(4), num1s => P_C4);
num1s_P_C5 : g05_num1s port map (X(0) => EQ_P1(5), X(1) => EQ_P2(5), X(2) => EQ_P3(5), X(3) => EQ_P4(5), num1s => P_C5);
--decode guess colors
lpm_decode_G1 : lpm_decode
generic map (lpm_width => 3, lpm_decodes => 8)
port map (data => G1, eq => EQ_G1);
lpm_decode_G2 : lpm_decode
generic map (lpm_width => 3, lpm_decodes => 8)
port map (data => G2, eq => EQ_G2);
lpm_decode_G3 : lpm_decode
generic map (lpm_width => 3, lpm_decodes => 8)
port map (data => G3, eq => EQ_G3);
lpm_decode_G4 : lpm_decode
generic map (lpm_width => 3, lpm_decodes => 8)
port map (data => G4, eq => EQ_G4);
--count the number of each color in the guess
num1s_G_C0 : g05_num1s port map (X(0) => EQ_G1(0), X(1) => EQ_G2(0), X(2) => EQ_G3(0), X(3) => EQ_G4(0), num1s => G_C0);
num1s_G_C1 : g05_num1s port map (X(0) => EQ_G1(1), X(1) => EQ_G2(1), X(2) => EQ_G3(1), X(3) => EQ_G4(1), num1s => G_C1);
num1s_G_C2 : g05_num1s port map (X(0) => EQ_G1(2), X(1) => EQ_G2(2), X(2) => EQ_G3(2), X(3) => EQ_G4(2), num1s => G_C2);
num1s_G_C3 : g05_num1s port map (X(0) => EQ_G1(3), X(1) => EQ_G2(3), X(2) => EQ_G3(3), X(3) => EQ_G4(3), num1s => G_C3);
num1s_G_C4 : g05_num1s port map (X(0) => EQ_G1(4), X(1) => EQ_G2(4), X(2) => EQ_G3(4), X(3) => EQ_G4(4), num1s => G_C4);
num1s_G_C5 : g05_num1s port map (X(0) => EQ_G1(5), X(1) => EQ_G2(5), X(2) => EQ_G3(5), X(3) => EQ_G4(5), num1s => G_C5);
--count the number of times each color is in both the pattern and the guess
min3_C0 : g05_minimum3 port map (M => P_C0, N => G_C0, min => M_C0);
min3_C1 : g05_minimum3 port map (M => P_C1, N => G_C1, min => M_C1);
min3_C2 : g05_minimum3 port map (M => P_C2, N => G_C2, min => M_C2);
min3_C3 : g05_minimum3 port map (M => P_C3, N => G_C3, min => M_C3);
min3_C4 : g05_minimum3 port map (M => P_C4, N => G_C4, min => M_C4);
min3_C5 : g05_minimum3 port map (M => P_C5, N => G_C5, min => M_C5);
--find the number of color matches which also include exact matches
sum_1: lpm_add_sub
generic map (lpm_width => 3)
port map (dataa => M_C0, datab => M_C1, result => add1, add_sub => '1');
sum_2: lpm_add_sub
generic map (lpm_width => 3)
port map (dataa => add1, datab => M_C2, result => add2, add_sub => '1');
sum_3: lpm_add_sub
generic map (lpm_width => 3)
port map (dataa => add2, datab => M_C3, result => add3, add_sub => '1');
sum_4: lpm_add_sub
generic map (lpm_width => 3)
port map (dataa => add3, datab => M_C4, result => add4, add_sub => '1');
sum_5: lpm_add_sub
generic map (lpm_width => 3)
port map (dataa => add4, datab => M_C5, result => color_matches_all, add_sub => '1');
--find the exact matches
num_matches_exact : g05_num_matches
port map (P1 => P1, P2 => P2, P3 => P3, P4 => P4,
G1 => G1, G2 => G2, G3 => G3, G4 => G4,
N => num_matches);
--find the number of color matches excluding the exact matches
color_matches : lpm_add_sub
generic map (lpm_width => 3)
port map (dataa => color_matches_all, datab => num_matches, result => num_color_matches, add_sub => '0');
num_exact_matches <= num_matches;
end behavior; | mit | 507700bfa1ca7fd40bbf5e570c18961f | 0.542215 | 2.496133 | false | false | false | false |
fupolarbear/THU-Class-CO-makecomputer | src/VGA/ipcore_dir/fifo_mem/simulation/data_gen.vhd | 69 | 5,024 |
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v7_3 Core - Data Generator
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: data_gen.vhd
--
-- Description:
-- Data Generator
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: Sep 12, 2011 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY work;
USE work.BMG_TB_PKG.ALL;
ENTITY DATA_GEN IS
GENERIC ( DATA_GEN_WIDTH : INTEGER := 32;
DOUT_WIDTH : INTEGER := 32;
DATA_PART_CNT : INTEGER := 1;
SEED : INTEGER := 2
);
PORT (
CLK : IN STD_LOGIC;
RST : IN STD_LOGIC;
EN : IN STD_LOGIC;
DATA_OUT : OUT STD_LOGIC_VECTOR (DOUT_WIDTH-1 DOWNTO 0) --OUTPUT VECTOR
);
END DATA_GEN;
ARCHITECTURE DATA_GEN_ARCH OF DATA_GEN IS
CONSTANT LOOP_COUNT : INTEGER := DIVROUNDUP(DATA_GEN_WIDTH,8);
SIGNAL RAND_DATA : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 DOWNTO 0);
SIGNAL LOCAL_DATA_OUT : STD_LOGIC_VECTOR(DATA_GEN_WIDTH-1 DOWNTO 0);
SIGNAL LOCAL_CNT : INTEGER :=1;
SIGNAL DATA_GEN_I : STD_LOGIC :='0';
BEGIN
LOCAL_DATA_OUT <= RAND_DATA(DATA_GEN_WIDTH-1 DOWNTO 0);
DATA_OUT <= LOCAL_DATA_OUT(((DOUT_WIDTH*LOCAL_CNT)-1) DOWNTO ((DOUT_WIDTH*LOCAL_CNT)-DOUT_WIDTH));
DATA_GEN_I <= '0' WHEN (LOCAL_CNT < DATA_PART_CNT) ELSE EN;
PROCESS(CLK)
BEGIN
IF(RISING_EDGE (CLK)) THEN
IF(EN ='1' AND (DATA_PART_CNT =1)) THEN
LOCAL_CNT <=1;
ELSIF(EN='1' AND (DATA_PART_CNT>1)) THEN
IF(LOCAL_CNT = 1) THEN
LOCAL_CNT <= LOCAL_CNT+1;
ELSIF(LOCAL_CNT < DATA_PART_CNT) THEN
LOCAL_CNT <= LOCAL_CNT+1;
ELSE
LOCAL_CNT <= 1;
END IF;
ELSE
LOCAL_CNT <= 1;
END IF;
END IF;
END PROCESS;
RAND_GEN:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE
RAND_GEN_INST:ENTITY work.RANDOM
GENERIC MAP(
WIDTH => 8,
SEED => (SEED+N)
)
PORT MAP(
CLK => CLK,
RST => RST,
EN => DATA_GEN_I,
RANDOM_NUM => RAND_DATA(8*(N+1)-1 DOWNTO 8*N)
);
END GENERATE RAND_GEN;
END ARCHITECTURE;
| mit | 5a9365172170922d2f1951d08f8b76fa | 0.581608 | 4.279387 | false | false | false | false |
zzhou007/161lab | lab02/bcd_bin.vhd | 1 | 2,453 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.ALL;
use ieee.numeric_std.all;
use ieee.std_logic_arith.conv_std_logic_vector;
library work;
entity bcd_bin is
generic(NUMBITS : natural := 32);
Port ( I : in STD_LOGIC_VECTOR(NUMBITS - 1 downto 0);
opcode : in STD_LOGIC_VECTOR (3 downto 0);
O : out STD_LOGIC_VECTOR(NUMBITS - 1 downto 0));
end bcd_bin;
architecture Behavioral of bcd_bin is
--bcd section signals
signal T0: std_logic_vector (3 downto 0);
signal T1: std_logic_vector (3 downto 0);
signal T2: std_logic_vector (3 downto 0);
signal T3: std_logic_vector (3 downto 0);
signal T4: std_logic_vector (3 downto 0);
signal T5: std_logic_vector (3 downto 0);
signal T6: std_logic_vector (3 downto 0);
signal T7: std_logic_vector (3 downto 0);
begin
--moving each digit of bcd input into own section
T0 <= I(3 downto 0);
T1 <= I(7 downto 4);
T2 <= I(11 downto 8);
T3 <= I(15 downto 12);
T4 <= I(19 downto 16);
T5 <= I(23 downto 20);
T6 <= I(27 downto 24);
T7 <= I(31 downto 28);
process (opcode, T0, T1, T2, T3, T4, T5, T6, T7)
variable Tint : integer;
begin
--stores the bin when adding all the sections together
Tint := 0;
--if unsigned
if (opcode = "1000" or opcode = "1001") then
Tint := (to_integer(unsigned(T0)) + to_integer(unsigned(T1)) * 10 +
to_integer(unsigned(T2)) * 100 + to_integer(unsigned(T3)) * 1000 +
to_integer(unsigned(T4)) * 10000 + to_integer(unsigned(T5)) * 100000 +
to_integer(unsigned(T6)) * 1000000 + to_integer(unsigned(T7)) * 10000000);
O <= conv_std_logic_vector(Tint, NUMBITS);
--if signed
else
--if pos
if T7 = "0000" then
Tint := (to_integer(unsigned(T0)) + to_integer(unsigned(T1)) * 10 +
to_integer(unsigned(T2)) * 100 + to_integer(unsigned(T3)) * 1000 +
to_integer(unsigned(T4)) * 10000 + to_integer(unsigned(T5)) * 100000 +
to_integer(unsigned(T6)) * 1000000);
O <= conv_std_logic_vector(Tint, NUMBITS);
else
Tint := (to_integer(unsigned(T0)) + to_integer(unsigned(T1)) * 10 +
to_integer(unsigned(T2)) * 100 + to_integer(unsigned(T3)) * 1000 +
to_integer(unsigned(T4)) * 10000 + to_integer(unsigned(T5)) * 100000 +
to_integer(unsigned(T6)) * 1000000);
O <= (not (conv_std_logic_vector(Tint, NUMBITS))) + 1;
end if;
end if;
end process;
end Behavioral;
| gpl-2.0 | 19a2b909344155dbbb097845c92d4152 | 0.629433 | 2.835838 | false | false | false | false |
6769/VHDL | Lab_6/TheFinalCodeVersion/upcount.vhd | 2 | 476 |
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_signed.all;
entity upcount is
port (
Clear, Clock : in STD_LOGIC;
Q : out STD_LOGIC_VECTOR(1 downto 0)
);
end upcount;
architecture Behavior of upcount is
signal Count : STD_LOGIC_VECTOR(1 downto 0);
begin
process (Clock)
begin
if (Clock'EVENT and Clock = '1') then
if Clear = '1' then
Count <= "00";
else
Count <= Count + 1;
end if;
end if;
end process;
Q <= Count;
end Behavior; | gpl-2.0 | 7d98a8cea4a186c713a359e088777737 | 0.659664 | 2.833333 | false | false | false | false |
Project-Bonfire/EHA | RTL/Router/credit_based/RTL/New_SHMU_on_Node/counter_threshold.vhd | 9 | 3,773 | --Copyright (C) 2016 Siavoosh Payandeh Azad, Behrad Niazmand
-- This design is based on the proposed method, discussed in the following publication:
-- "A Fault Prediction Module for a Fault Tolerant NoC Operation"
-- by Silveira, J.; Bodin, M.; Ferreira, J.M.; Cadore Pinheiro, A.; Webber, T.; Marcon, C.
library ieee;
use ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.all;
use IEEE.MATH_REAL.ALL;
entity counter_threshold_classifier is
generic (
counter_depth: integer := 8;
healthy_counter_threshold: integer := 4;
faulty_counter_threshold: integer := 4
);
port ( reset: in std_logic;
clk: in std_logic;
faulty_packet, Healthy_packet: in std_logic;
Healthy, Intermittent, Faulty: out std_logic
);
end counter_threshold_classifier;
architecture behavior of counter_threshold_classifier is
signal faulty_counter_in, faulty_counter_out: std_logic_vector(counter_depth-1 downto 0);
signal healthy_counter_in, healthy_counter_out: std_logic_vector(counter_depth-1 downto 0);
signal NET: std_logic; --no error threshold
signal DET: std_logic; --detected error threshold
signal reset_counters: std_logic;
TYPE STATE_TYPE IS (Healthy_state, Intermittent_state, Faulty_state);
SIGNAL state, next_state : STATE_TYPE := Healthy_state;
begin
process(clk, reset)begin
if reset = '0' then
faulty_counter_out <= (others => '0');
healthy_counter_out <= (others => '0');
state <= Healthy_state;
elsif clk'event and clk = '1' then
faulty_counter_out <= faulty_counter_in;
healthy_counter_out <= healthy_counter_in;
state <= next_state;
end if;
end process;
process(faulty_packet, reset_counters, faulty_counter_out)begin
if reset_counters = '1' then
faulty_counter_in <= (others => '0');
elsif faulty_packet = '1' then
faulty_counter_in <= faulty_counter_out + 1;
else
faulty_counter_in <= faulty_counter_out;
end if;
end process;
process(Healthy_packet, reset_counters, healthy_counter_out)begin
if reset_counters = '1' then
healthy_counter_in <= (others => '0');
elsif Healthy_packet = '1' then
healthy_counter_in <= healthy_counter_out + 1;
else
healthy_counter_in <= healthy_counter_out;
end if;
end process;
process(healthy_counter_out, faulty_counter_out) begin
reset_counters <= '0';
DET <= '0';
NET <= '0';
if healthy_counter_out = std_logic_vector(to_unsigned(healthy_counter_threshold, healthy_counter_out'length)) then
NET <= '1';
reset_counters <= '1';
end if;
if faulty_counter_out = std_logic_vector(to_unsigned(faulty_counter_threshold, faulty_counter_out'length)) then
DET <= '1';
reset_counters <= '1';
end if;
end process;
process (NET, DET, state)begin
Healthy <= '0';
Intermittent <= '0';
Faulty <= '0';
case state is
when Healthy_state =>
if NET = '1' then
next_state <= Healthy_state;
elsif DET = '1' then
next_state <= Intermittent_state;
Intermittent <= '1';
else
next_state <= Healthy_state;
end if;
when Intermittent_state =>
if NET = '1' then
next_state <= Healthy_state;
Healthy <= '1';
elsif DET = '1' then
next_state <= Faulty_state;
Faulty <= '1';
else
next_state <= Intermittent_state;
end if;
when Faulty_state =>
next_state <= Faulty_state;
when others =>
next_state <= Healthy_state;
Healthy <= '1';
end case;
end process;
END;
| gpl-3.0 | ed702c6933b5476407554495c8680ce6 | 0.619666 | 3.666667 | false | false | false | false |
1995parham/FPGA-Homework | HW-3/src/p6/p6-2.vhd | 1 | 1,683 | --------------------------------------------------------------------------------
-- Author: Parham Alvani ([email protected])
--
-- Create Date: 25-04-2016
-- Module Name: p6-3.vhd
--------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
entity seq_detector_3 is
port (reset, clk, w : in std_logic
z : out std_logic);
end entity;
architecture rtl of seq_detector_3 is
type state is (rst, s_1, s_10, s_11, s_100, s_111)
signal current_state, next_state : state;
begin
process (clk)
begin
if reset = '1' then
current_state <= rst;
elsif clk'event and clk = '1' then
currnet_state <= next_state;
end if;
end process;
process (current_state, w)
begin
if current_state = rst then
if w = '1' then
z <= '0';
next_state <= s_1;
else
z <= '0';
next_state <= rst;
end if;
elsif currnet_state = s_1 then
if w = '1' then
z <= '0';
next_state <= s_11;
else
z <= '0';
next_state <= s_10;
end if;
elsif current_state = s_11 then
if w = '1' then
z <= '0';
next_state <= s_111;
else
z <= '0';
next_state <= s_10;
end if;
elsif current_state = s_10 then
if w = '1' then
z <= '0';
next_state <= s_1;
else
z <= '0';
next_state <= s_100;
end if;
elsif current_state = s_100 then
if w = '1' then
z <= '1';
next_state <= s_1;
else
z <= '0';
next_state <= rst;
end if;
elsif current_state = s_111 then
if w = '1' then
z <= '1';
next_state <= s_1;
else
z <= '0';
next_state <= S_10;
end if;
end if;
end process;
end architecture;
| gpl-3.0 | d0899dd5f90317137c7a6a27cd56a254 | 0.495544 | 2.786424 | false | false | false | false |
dsd-g05/lab5 | g05_score_decoder.vhd | 1 | 3,150 | -- Descp. decode the 4 bits encoded score to two 4 bits score number, which is needed for the 7 segment display decoder
--4 bit number #### => (num_exact, num_color_matches)
--0000 (4,0)
--0001 (3,0)
--0010 (2,0)
--0011 (2,1)
--0100 (2,2)
--0101 (1,0)
--0110 (1,1)
--0111 (1,2)
--1000 (1,3)
--1001 (0,0)
--1010 (0,1)
--1011 (0,2)
--1100 (0,3)
--1101 (0,4)
--
-- entity name: g05_score_decoder
--
-- Version 1.0
-- Author: Felix Dube; [email protected] & Auguste Lalande; [email protected]
-- Date: November 30, 2015
library ieee;
use ieee.std_logic_1164.all;
entity g05_score_decoder is
port (
score_code : in std_logic_vector(3 downto 0);
num_exact_matches, num_color_matches : out std_logic_vector(3 downto 0)
);
end g05_score_decoder;
architecture behavior of g05_score_decoder is
begin
process(score_code)
begin
case score_code is
when "0000" =>
num_color_matches <= "0000";
when "0001" =>
num_color_matches <= "0000";
when "0010" =>
num_color_matches <= "0000";
when "0011" =>
num_color_matches <= "0001";
when "0100" =>
num_color_matches <= "0010";
when "0101" =>
num_color_matches <= "0000";
when "0110" =>
num_color_matches <= "0001";
when "0111" =>
num_color_matches <= "0010";
when "1000" =>
num_color_matches <= "0011";
when "1001" =>
num_color_matches <= "0000";
when "1010" =>
num_color_matches <= "0001";
when "1011" =>
num_color_matches <= "0010";
when "1100" =>
num_color_matches <= "0011";
when "1101" =>
num_color_matches <= "0100";
when others =>
num_color_matches <= "0000";
end case;
case score_code is
when "0000" =>
num_exact_matches <= "0100";
when "0001" =>
num_exact_matches <= "0011";
when "0010" =>
num_exact_matches <= "0010";
when "0011" =>
num_exact_matches <= "0010";
when "0100" =>
num_exact_matches <= "0010";
when "0101" =>
num_exact_matches <= "0001";
when "0110" =>
num_exact_matches <= "0001";
when "0111" =>
num_exact_matches <= "0001";
when "1000" =>
num_exact_matches <= "0001";
when "1001" =>
num_exact_matches <= "0000";
when "1010" =>
num_exact_matches <= "0000";
when "1011" =>
num_exact_matches <= "0000";
when "1100" =>
num_exact_matches <= "0000";
when "1101" =>
num_exact_matches <= "0000";
when others =>
num_exact_matches <= "0000";
end case;
end process;
end behavior; | mit | 45afcbdf1f72e29ae7760530c0b5ef41 | 0.459683 | 3.804348 | false | false | false | false |
HighlandersFRC/fpga | led_string/led_string.srcs/sources_1/bd/zynq_1/ip/zynq_1_axi_bram_ctrl_0_0/axi_bram_ctrl_v3_0/hdl/vhdl/axi_bram_ctrl_funcs.vhd | 7 | 17,315 | -------------------------------------------------------------------------------
-- axi_bram_ctrl_funcs.vhd
-------------------------------------------------------------------------------
--
--
-- (c) Copyright [2010 - 2013] Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--
------------------------------------------------------------------------------
-- Filename: axi_bram_ctrl_funcs.vhd
--
-- Description: Support functions for axi_bram_ctrl library modules.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
--
--
-- History:
--
-- ^^^^^^
-- JLJ 2/1/2011 v1.03a
-- ~~~~~~
-- Migrate to v1.03a.
-- Plus minor code cleanup.
-- ^^^^^^
-- JLJ 2/16/2011 v1.03a
-- ~~~~~~
-- Update ECC size on 128-bit data width configuration.
-- ^^^^^^
-- JLJ 2/23/2011 v1.03a
-- ~~~~~~
-- Add MIG functions for Hsiao ECC.
-- ^^^^^^
-- JLJ 2/24/2011 v1.03a
-- ~~~~~~
-- Add Find_ECC_Size function.
-- ^^^^^^
-- JLJ 3/15/2011 v1.03a
-- ~~~~~~
-- Add REDUCTION_OR function.
-- ^^^^^^
-- JLJ 3/17/2011 v1.03a
-- ~~~~~~
-- Recode Create_Size_Max with a case statement.
-- ^^^^^^
-- JLJ 3/31/2011 v1.03a
-- ~~~~~~
-- Add coverage tags.
-- ^^^^^^
-- JLJ 5/6/2011 v1.03a
-- ~~~~~~
-- Remove usage of C_FAMILY.
-- Remove Family_To_LUT_Size function.
-- Remove String_To_Family function.
-- ^^^^^^
--
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
package axi_bram_ctrl_funcs is
type TARGET_FAMILY_TYPE is (
-- pragma xilinx_rtl_off
SPARTAN3,
VIRTEX4,
VIRTEX5,
SPARTAN3E,
SPARTAN3A,
SPARTAN3AN,
SPARTAN3Adsp,
SPARTAN6,
VIRTEX6,
VIRTEX7,
KINTEX7,
-- pragma xilinx_rtl_on
RTL
);
-- function String_To_Family (S : string; Select_RTL : boolean) return TARGET_FAMILY_TYPE;
-- Get the maximum number of inputs to a LUT.
-- function Family_To_LUT_Size(Family : TARGET_FAMILY_TYPE) return integer;
function Equal_String( str1, str2 : STRING ) RETURN BOOLEAN;
function log2(x : natural) return integer;
function Int_ECC_Size (i: integer) return integer;
function Find_ECC_Size (i: integer; j: integer) return integer;
function Find_ECC_Full_Bit_Size (i: integer; j: integer) return integer;
function Create_Size_Max (i: integer) return std_logic_vector;
function REDUCTION_OR (A: in std_logic_vector) return std_logic;
function REDUCTION_XOR (A: in std_logic_vector) return std_logic;
function REDUCTION_NOR (A: in std_logic_vector) return std_logic;
function BOOLEAN_TO_STD_LOGIC (A: in BOOLEAN) return std_logic;
end package axi_bram_ctrl_funcs;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
package body axi_bram_ctrl_funcs is
-------------------------------------------------------------------------------
-- Function: Int_ECC_Size
-- Purpose: Determine internal size of ECC when enabled.
-------------------------------------------------------------------------------
function Int_ECC_Size (i: integer) return integer is
begin
--coverage off
if (i = 32) then
return 7; -- 7-bits ECC for 32-bit data
-- ECC port size fixed @ 8-bits
elsif (i = 64) then
return 8;
elsif (i = 128) then
return 9; -- Hsiao is 9-bits for 128-bit data.
else
return 0;
end if;
--coverage on
end Int_ECC_Size;
-------------------------------------------------------------------------------
-- Function: Find_ECC_Size
-- Purpose: Determine external size of ECC signals when enabled.
-------------------------------------------------------------------------------
function Find_ECC_Size (i: integer; j: integer) return integer is
begin
--coverage off
if (i = 1) then
if (j = 32) then
return 8; -- Keep at 8 for port size matchings
-- Only 7-bits ECC per 32-bit data
elsif (j = 64) then
return 8;
elsif (j = 128) then
return 9;
else
return 0;
end if;
else
return 0;
-- ECC data width = 0 when C_ECC = 0 (disabled)
end if;
--coverage on
end Find_ECC_Size;
-------------------------------------------------------------------------------
-- Function: Find_ECC_Full_Bit_Size
-- Purpose: Determine external size of ECC signals when enabled in bytes.
-------------------------------------------------------------------------------
function Find_ECC_Full_Bit_Size (i: integer; j: integer) return integer is
begin
--coverage off
if (i = 1) then
if (j = 32) then
return 8;
elsif (j = 64) then
return 8;
elsif (j = 128) then
return 16;
else
return 0;
end if;
else
return 0;
-- ECC data width = 0 when C_ECC = 0 (disabled)
end if;
--coverage on
end Find_ECC_Full_Bit_Size;
-------------------------------------------------------------------------------
-- Function: Create_Size_Max
-- Purpose: Create maximum value for AxSIZE based on AXI data bus width.
-------------------------------------------------------------------------------
function Create_Size_Max (i: integer)
return std_logic_vector is
variable size_vector : std_logic_vector (2 downto 0);
begin
case (i) is
when 32 => size_vector := "010"; -- 2h (4 bytes)
when 64 => size_vector := "011"; -- 3h (8 bytes)
when 128 => size_vector := "100"; -- 4h (16 bytes)
when 256 => size_vector := "101"; -- 5h (32 bytes)
when 512 => size_vector := "110"; -- 5h (32 bytes)
when 1024 => size_vector := "111"; -- 5h (32 bytes)
--coverage off
when others => size_vector := "000"; -- 0h
--coverage on
end case;
return (size_vector);
end function Create_Size_Max;
-------------------------------------------------------------------------------
-- Function: REDUCTION_OR
-- Purpose: New in v1.03a
-------------------------------------------------------------------------------
function REDUCTION_OR (A: in std_logic_vector) return std_logic is
variable tmp : std_logic := '0';
begin
for i in A'range loop
tmp := tmp or A(i);
end loop;
return tmp;
end function REDUCTION_OR;
-------------------------------------------------------------------------------
-- Function: REDUCTION_XOR
-- Purpose: Derived from MIG v3.7 ecc_gen module for use by Hsiao ECC.
-- New in v1.03a
-------------------------------------------------------------------------------
function REDUCTION_XOR (A: in std_logic_vector) return std_logic is
variable tmp : std_logic := '0';
begin
for i in A'range loop
tmp := tmp xor A(i);
end loop;
return tmp;
end function REDUCTION_XOR;
-------------------------------------------------------------------------------
-- Function: REDUCTION_NOR
-- Purpose: Derived from MIG v3.7 ecc_dec_fix module for use by Hsiao ECC.
-- New in v1.03a
-------------------------------------------------------------------------------
function REDUCTION_NOR (A: in std_logic_vector) return std_logic is
variable tmp : std_logic := '0';
begin
for i in A'range loop
tmp := tmp or A(i);
end loop;
return not tmp;
end function REDUCTION_NOR;
-------------------------------------------------------------------------------
-- Function: BOOLEAN_TO_STD_LOGIC
-- Purpose: Derived from MIG v3.7 ecc_dec_fix module for use by Hsiao ECC.
-- New in v1.03a
-------------------------------------------------------------------------------
function BOOLEAN_TO_STD_LOGIC (A : in BOOLEAN) return std_logic is
begin
if A = true then
return '1';
else
return '0';
end if;
end function BOOLEAN_TO_STD_LOGIC;
-------------------------------------------------------------------------------
function LowerCase_Char(char : character) return character is
begin
--coverage off
-- If char is not an upper case letter then return char
if char < 'A' or char > 'Z' then
return char;
end if;
-- Otherwise map char to its corresponding lower case character and
-- return that
case char is
when 'A' => return 'a'; when 'B' => return 'b'; when 'C' => return 'c'; when 'D' => return 'd';
when 'E' => return 'e'; when 'F' => return 'f'; when 'G' => return 'g'; when 'H' => return 'h';
when 'I' => return 'i'; when 'J' => return 'j'; when 'K' => return 'k'; when 'L' => return 'l';
when 'M' => return 'm'; when 'N' => return 'n'; when 'O' => return 'o'; when 'P' => return 'p';
when 'Q' => return 'q'; when 'R' => return 'r'; when 'S' => return 's'; when 'T' => return 't';
when 'U' => return 'u'; when 'V' => return 'v'; when 'W' => return 'w'; when 'X' => return 'x';
when 'Y' => return 'y'; when 'Z' => return 'z';
when others => return char;
end case;
--coverage on
end LowerCase_Char;
-------------------------------------------------------------------------------
-- Returns true if case insensitive string comparison determines that
-- str1 and str2 are equal
function Equal_String ( str1, str2 : STRING ) RETURN BOOLEAN IS
CONSTANT len1 : INTEGER := str1'length;
CONSTANT len2 : INTEGER := str2'length;
VARIABLE equal : BOOLEAN := TRUE;
BEGIN
--coverage off
IF NOT (len1=len2) THEN
equal := FALSE;
ELSE
FOR i IN str1'range LOOP
IF NOT (LowerCase_Char(str1(i)) = LowerCase_Char(str2(i))) THEN
equal := FALSE;
END IF;
END LOOP;
END IF;
--coverage on
RETURN equal;
END Equal_String;
-------------------------------------------------------------------------------
-- Remove usage of C_FAMILY.
-- Remove usage of String_To_Family function.
--
--
-- function String_To_Family (S : string; Select_RTL : boolean) return TARGET_FAMILY_TYPE is
-- begin -- function String_To_Family
--
-- --coverage off
--
-- if ((Select_RTL) or Equal_String(S, "rtl")) then
-- return RTL;
-- elsif Equal_String(S, "spartan3") or Equal_String(S, "aspartan3") then
-- return SPARTAN3;
-- elsif Equal_String(S, "spartan3E") or Equal_String(S, "aspartan3E") then
-- return SPARTAN3E;
-- elsif Equal_String(S, "spartan3A") or Equal_String(S, "aspartan3A") then
-- return SPARTAN3A;
-- elsif Equal_String(S, "spartan3AN") then
-- return SPARTAN3AN;
-- elsif Equal_String(S, "spartan3Adsp") or Equal_String(S, "aspartan3Adsp") then
-- return SPARTAN3Adsp;
-- elsif Equal_String(S, "spartan6") or Equal_String(S, "spartan6l") or
-- Equal_String(S, "qspartan6") or Equal_String(S, "aspartan6") or Equal_String(S, "qspartan6l") then
-- return SPARTAN6;
-- elsif Equal_String(S, "virtex4") or Equal_String(S, "qvirtex4")
-- or Equal_String(S, "qrvirtex4") then
-- return VIRTEX4;
-- elsif Equal_String(S, "virtex5") or Equal_String(S, "qrvirtex5") then
-- return VIRTEX5;
-- elsif Equal_String(S, "virtex6") or Equal_String(S, "virtex6l") or Equal_String(S, "qvirtex6") then
-- return VIRTEX6;
-- elsif Equal_String(S, "virtex7") then
-- return VIRTEX7;
-- elsif Equal_String(S, "kintex7") then
-- return KINTEX7;
--
-- --coverage on
--
-- else
-- -- assert (false) report "No known target family" severity failure;
-- return RTL;
-- end if;
--
-- end function String_To_Family;
-------------------------------------------------------------------------------
-- Remove usage of C_FAMILY.
-- Remove usage of Family_To_LUT_Size function.
--
-- function Family_To_LUT_Size (Family : TARGET_FAMILY_TYPE) return integer is
-- begin
--
-- --coverage off
--
-- if (Family = SPARTAN3) or (Family = SPARTAN3E) or (Family = SPARTAN3A) or
-- (Family = SPARTAN3AN) or (Family = SPARTAN3Adsp) or (Family = VIRTEX4) then
-- return 4;
-- end if;
--
-- return 6;
--
-- --coverage on
--
-- end function Family_To_LUT_Size;
-------------------------------------------------------------------------------
-- Function log2 -- returns number of bits needed to encode x choices
-- x = 0 returns 0
-- x = 1 returns 0
-- x = 2 returns 1
-- x = 4 returns 2, etc.
-------------------------------------------------------------------------------
function log2(x : natural) return integer is
variable i : integer := 0;
variable val: integer := 1;
begin
--coverage off
if x = 0 then return 0;
else
for j in 0 to 29 loop -- for loop for XST
if val >= x then null;
else
i := i+1;
val := val*2;
end if;
end loop;
-- Fix per CR520627 XST was ignoring this anyway and printing a
-- Warning in SRP file. This will get rid of the warning and not
-- impact simulation.
-- synthesis translate_off
assert val >= x
report "Function log2 received argument larger" &
" than its capability of 2^30. "
severity failure;
-- synthesis translate_on
return i;
end if;
--coverage on
end function log2;
-------------------------------------------------------------------------------
end package body axi_bram_ctrl_funcs;
| mit | 58e23a313ce35ba25faccce45b5b1140 | 0.500375 | 4.193509 | false | false | false | false |
Project-Bonfire/EHA | RTL/Router/credit_based/RTL/New_SHMU_on_Node/With_checkers/FIFO_one_hot_credit_based_packet_drop_classifier_support_with_checkers.vhd | 3 | 61,932 | --Copyright (C) 2016 Siavoosh Payandeh Azad
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_misc.all;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity FIFO_credit_based is
generic (
DATA_WIDTH: integer := 32
);
port ( reset: in std_logic;
clk: in std_logic;
RX: in std_logic_vector(DATA_WIDTH-1 downto 0);
valid_in: in std_logic;
read_en_N : in std_logic;
read_en_E : in std_logic;
read_en_W : in std_logic;
read_en_S : in std_logic;
read_en_L : in std_logic;
credit_out: out std_logic;
empty_out: out std_logic;
Data_out: out std_logic_vector(DATA_WIDTH-1 downto 0);
fault_info, health_info: out std_logic;
-- Checker outputs
-- Functional checkers
err_empty_full,
err_empty_read_en,
err_full_write_en,
err_state_in_onehot,
err_read_pointer_in_onehot,
err_write_pointer_in_onehot,
-- Structural checkers
err_write_en_write_pointer,
err_not_write_en_write_pointer,
err_read_pointer_write_pointer_not_empty,
err_read_pointer_write_pointer_empty,
err_read_pointer_write_pointer_not_full,
err_read_pointer_write_pointer_full,
err_read_pointer_increment,
err_read_pointer_not_increment,
err_write_en,
err_not_write_en,
err_not_write_en1,
err_not_write_en2,
err_read_en_mismatch,
err_read_en_mismatch1,
-- Newly added checkers for FIFO with packet drop and fault classifier support!
err_fake_credit_read_en_fake_credit_counter_in_increment,
err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_fake_credit_counter_in_decrement,
err_not_fake_credit_read_en_fake_credit_counter_in_not_change,
err_fake_credit_not_read_en_fake_credit_counter_in_not_change,
err_not_fake_credit_not_read_en_fake_credit_counter_zero_fake_credit_counter_in_not_change,
err_fake_credit_read_en_credit_out,
err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_credit_out,
err_not_fake_credit_not_read_en_fake_credit_counter_zero_not_credit_out,
-- Checkers for Packet Dropping FSM of FIFO
err_state_out_Idle_not_fault_out_valid_in_state_in_Header_flit,
err_state_out_Idle_not_fault_out_valid_in_state_in_not_change,
err_state_out_Idle_not_fault_out_not_fake_credit,
err_state_out_Idle_not_fault_out_not_fault_info_in,
err_state_out_Idle_not_fault_out_faulty_packet_in_faulty_packet_out_equal,
err_state_out_Idle_fault_out_fake_credit,
err_state_out_Idle_fault_out_state_in_Packet_drop,
err_state_out_Idle_fault_out_fault_info_in,
err_state_out_Idle_fault_out_faulty_packet_in,
err_state_out_Idle_not_health_info,
err_state_out_Idle_not_write_fake_flit,
err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Body_state_in_Body_flit,
err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Tail_state_in_Tail_flit,
err_state_out_Header_flit_valid_in_not_fault_out_not_write_fake_flit,
err_state_out_Header_flit_valid_in_not_fault_out_not_fault_info_in,
err_state_out_Header_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change,
err_state_out_Header_flit_valid_in_fault_out_write_fake_flit,
err_state_out_Header_flit_valid_in_fault_out_state_in_Packet_drop,
err_state_out_Header_flit_valid_in_fault_out_fault_info_in,
err_state_out_Header_flit_valid_in_fault_out_faulty_packet_in,
err_state_out_Header_flit_not_valid_in_state_in_state_out_not_change,
err_state_out_Header_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change,
err_state_out_Header_flit_not_valid_in_not_fault_info_in,
err_state_out_Header_flit_not_valid_in_not_write_fake_flit,
err_state_out_Header_flit_or_Body_flit_not_fake_credit,
err_state_out_Body_flit_valid_in_not_fault_out_state_in_state_out_not_change,
err_state_out_Body_flit_valid_in_not_fault_out_state_in_Tail_flit,
err_state_out_Body_flit_valid_in_not_fault_out_health_info,
err_state_out_Body_flit_valid_in_not_fault_out_not_write_fake_flit,
err_state_out_Body_flit_valid_in_not_fault_out_fault_info_in,
err_state_out_Body_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change,
err_state_out_Body_flit_valid_in_fault_out_write_fake_flit,
err_state_out_Body_flit_valid_in_fault_out_state_in_Packet_drop,
err_state_out_Body_flit_valid_in_fault_out_fault_info_in,
err_state_out_Body_flit_valid_in_fault_out_faulty_packet_in,
err_state_out_Body_flit_not_valid_in_state_in_state_out_not_change,
err_state_out_Body_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change,
err_state_out_Body_flit_not_valid_in_not_fault_info_in,
err_state_out_Body_flit_valid_in_not_fault_out_flit_type_not_tail_not_health_info,
err_state_out_Body_flit_valid_in_fault_out_not_health_info,
err_state_out_Body_flit_valid_in_not_health_info,
err_state_out_Body_flit_not_fake_credit,
err_state_out_Body_flit_not_valid_in_not_write_fake_flit,
err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_Header_state_in_Header_flit,
err_state_out_Tail_flit_valid_in_not_fault_out_not_fake_credit,
err_state_out_Tail_flit_valid_in_not_fault_out_not_fault_info_in,
err_state_out_Tail_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change,
err_state_out_Tail_flit_valid_in_fault_out_fake_credit,
err_state_out_Tail_flit_valid_in_fault_out_state_in_Packet_drop,
err_state_out_Tail_flit_valid_in_fault_out_fault_info_in,
err_state_out_Tail_flit_valid_in_fault_out_faulty_packet_in,
err_state_out_Tail_flit_not_valid_in_state_in_Idle,
err_state_out_Tail_flit_not_valid_in_faulty_packet_in_faulty_packet_in_not_change,
err_state_out_Tail_flit_not_valid_in_not_fault_info_in,
err_state_out_Tail_flit_not_valid_in_not_fake_credit,
err_state_out_Tail_flit_not_write_fake_flit,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_fake_credit,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_faulty_packet_in,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_state_in_Header_flit,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_write_fake_flit,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_faulty_packet_in,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_state_in_Idle,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_fake_credit,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_invalid_fault_out_fake_credit,
err_state_out_Packet_drop_faulty_packet_out_not_valid_in_flit_type_body_or_invalid_fault_out_faulty_packet_in_faulty_packet_out_not_change,
err_state_out_Packet_drop_faulty_packet_out_flit_type_invalid_fault_out_state_in_state_out_not_change,
err_state_out_Packet_drop_faulty_packet_out_not_valid_in_faulty_packet_in_faulty_packet_out_equal,
err_state_out_Packet_drop_faulty_packet_out_not_valid_in_state_in_state_out_not_change,
err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_write_fake_flit,
err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_fake_credit,
err_state_out_Packet_drop_not_faulty_packet_out_state_in_state_out_not_change,
err_state_out_Packet_drop_not_faulty_packet_out_faulty_packet_in_faulty_packet_out_not_change,
err_state_out_Packet_drop_not_fault_info_in,
err_state_out_Packet_drop_not_faulty_packet_out_not_fake_credit,
err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_header_or_fault_out_not_write_fake_flit,
err_state_out_Packet_drop_not_faulty_packet_out_not_write_fake_flit,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_fault_out_state_in_state_out_not_change,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_fault_out_state_in_state_out_not_change,
err_fault_info_fault_info_out_equal,
err_state_out_Packet_drop_not_valid_in_state_in_state_out_equal,
err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_not_Header_state_in_state_out_equal,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_info_in,
err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_Header_not_not_fault_info_in : out std_logic
);
end FIFO_credit_based;
architecture behavior of FIFO_credit_based is
component FIFO_credit_based_control_part_checkers is
port ( valid_in: in std_logic;
read_en_N : in std_logic;
read_en_E : in std_logic;
read_en_W : in std_logic;
read_en_S : in std_logic;
read_en_L : in std_logic;
read_pointer: in std_logic_vector(3 downto 0);
read_pointer_in: in std_logic_vector(3 downto 0);
write_pointer: in std_logic_vector(3 downto 0);
write_pointer_in: in std_logic_vector(3 downto 0);
credit_out: in std_logic;
empty_out: in std_logic;
full_out: in std_logic;
read_en_out: in std_logic;
write_en_out: in std_logic;
fake_credit: in std_logic;
fake_credit_counter: in std_logic_vector(1 downto 0);
fake_credit_counter_in: in std_logic_vector(1 downto 0);
state_out: in std_logic_vector(4 downto 0);
state_in: in std_logic_vector(4 downto 0);
fault_info: in std_logic;
fault_info_out: in std_logic;
fault_info_in: in std_logic;
health_info: in std_logic;
faulty_packet_out: in std_logic;
faulty_packet_in: in std_logic;
flit_type: in std_logic_vector(2 downto 0);
fault_out: in std_logic;
write_fake_flit: in std_logic;
-- Functional checkers
err_empty_full,
err_empty_read_en,
err_full_write_en,
err_state_in_onehot,
err_read_pointer_in_onehot,
err_write_pointer_in_onehot,
-- Structural checkers
err_write_en_write_pointer,
err_not_write_en_write_pointer,
err_read_pointer_write_pointer_not_empty,
err_read_pointer_write_pointer_empty,
err_read_pointer_write_pointer_not_full,
err_read_pointer_write_pointer_full,
err_read_pointer_increment,
err_read_pointer_not_increment,
err_write_en,
err_not_write_en,
err_not_write_en1,
err_not_write_en2,
err_read_en_mismatch,
err_read_en_mismatch1,
-- Newly added checkers for FIFO with packet drop and fault classifier support!
err_fake_credit_read_en_fake_credit_counter_in_increment,
err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_fake_credit_counter_in_decrement,
err_not_fake_credit_read_en_fake_credit_counter_in_not_change,
err_fake_credit_not_read_en_fake_credit_counter_in_not_change,
err_not_fake_credit_not_read_en_fake_credit_counter_zero_fake_credit_counter_in_not_change,
err_fake_credit_read_en_credit_out,
err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_credit_out,
err_not_fake_credit_not_read_en_fake_credit_counter_zero_not_credit_out,
-- Checkers for Packet Dropping FSM of FIFO
err_state_out_Idle_not_fault_out_valid_in_state_in_Header_flit,
err_state_out_Idle_not_fault_out_valid_in_state_in_not_change,
err_state_out_Idle_not_fault_out_not_fake_credit,
err_state_out_Idle_not_fault_out_not_fault_info_in,
err_state_out_Idle_not_fault_out_faulty_packet_in_faulty_packet_out_equal,
err_state_out_Idle_fault_out_fake_credit,
err_state_out_Idle_fault_out_state_in_Packet_drop,
err_state_out_Idle_fault_out_fault_info_in,
err_state_out_Idle_fault_out_faulty_packet_in,
err_state_out_Idle_not_health_info,
err_state_out_Idle_not_write_fake_flit,
err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Body_state_in_Body_flit,
err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Tail_state_in_Tail_flit,
err_state_out_Header_flit_valid_in_not_fault_out_not_write_fake_flit,
err_state_out_Header_flit_valid_in_not_fault_out_not_fault_info_in,
err_state_out_Header_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change,
err_state_out_Header_flit_valid_in_fault_out_write_fake_flit,
err_state_out_Header_flit_valid_in_fault_out_state_in_Packet_drop,
err_state_out_Header_flit_valid_in_fault_out_fault_info_in,
err_state_out_Header_flit_valid_in_fault_out_faulty_packet_in,
err_state_out_Header_flit_not_valid_in_state_in_state_out_not_change,
err_state_out_Header_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change,
err_state_out_Header_flit_not_valid_in_not_fault_info_in,
err_state_out_Header_flit_not_valid_in_not_write_fake_flit,
err_state_out_Header_flit_or_Body_flit_not_fake_credit,
err_state_out_Body_flit_valid_in_not_fault_out_state_in_state_out_not_change,
err_state_out_Body_flit_valid_in_not_fault_out_state_in_Tail_flit,
err_state_out_Body_flit_valid_in_not_fault_out_health_info,
err_state_out_Body_flit_valid_in_not_fault_out_not_write_fake_flit,
err_state_out_Body_flit_valid_in_not_fault_out_fault_info_in,
err_state_out_Body_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change,
err_state_out_Body_flit_valid_in_fault_out_write_fake_flit,
err_state_out_Body_flit_valid_in_fault_out_state_in_Packet_drop,
err_state_out_Body_flit_valid_in_fault_out_fault_info_in,
err_state_out_Body_flit_valid_in_fault_out_faulty_packet_in,
err_state_out_Body_flit_not_valid_in_state_in_state_out_not_change,
err_state_out_Body_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change,
err_state_out_Body_flit_not_valid_in_not_fault_info_in,
err_state_out_Body_flit_valid_in_not_fault_out_flit_type_not_tail_not_health_info,
err_state_out_Body_flit_valid_in_fault_out_not_health_info,
err_state_out_Body_flit_valid_in_not_health_info,
err_state_out_Body_flit_not_fake_credit,
err_state_out_Body_flit_not_valid_in_not_write_fake_flit,
err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_Header_state_in_Header_flit,
err_state_out_Tail_flit_valid_in_not_fault_out_not_fake_credit,
err_state_out_Tail_flit_valid_in_not_fault_out_not_fault_info_in,
err_state_out_Tail_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change,
err_state_out_Tail_flit_valid_in_fault_out_fake_credit,
err_state_out_Tail_flit_valid_in_fault_out_state_in_Packet_drop,
err_state_out_Tail_flit_valid_in_fault_out_fault_info_in,
err_state_out_Tail_flit_valid_in_fault_out_faulty_packet_in,
err_state_out_Tail_flit_not_valid_in_state_in_Idle,
err_state_out_Tail_flit_not_valid_in_faulty_packet_in_faulty_packet_in_not_change,
err_state_out_Tail_flit_not_valid_in_not_fault_info_in,
err_state_out_Tail_flit_not_valid_in_not_fake_credit,
err_state_out_Tail_flit_not_write_fake_flit,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_fake_credit,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_faulty_packet_in,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_state_in_Header_flit,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_write_fake_flit,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_faulty_packet_in,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_state_in_Idle,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_fake_credit,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_invalid_fault_out_fake_credit,
err_state_out_Packet_drop_faulty_packet_out_not_valid_in_flit_type_body_or_invalid_fault_out_faulty_packet_in_faulty_packet_out_not_change,
err_state_out_Packet_drop_faulty_packet_out_flit_type_invalid_fault_out_state_in_state_out_not_change,
err_state_out_Packet_drop_faulty_packet_out_not_valid_in_faulty_packet_in_faulty_packet_out_equal,
err_state_out_Packet_drop_faulty_packet_out_not_valid_in_state_in_state_out_not_change,
err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_write_fake_flit,
err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_fake_credit,
err_state_out_Packet_drop_not_faulty_packet_out_state_in_state_out_not_change,
err_state_out_Packet_drop_not_faulty_packet_out_faulty_packet_in_faulty_packet_out_not_change,
err_state_out_Packet_drop_not_fault_info_in,
err_state_out_Packet_drop_not_faulty_packet_out_not_fake_credit,
err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_header_or_fault_out_not_write_fake_flit,
err_state_out_Packet_drop_not_faulty_packet_out_not_write_fake_flit,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_fault_out_state_in_state_out_not_change,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_fault_out_state_in_state_out_not_change,
err_fault_info_fault_info_out_equal,
err_state_out_Packet_drop_not_valid_in_state_in_state_out_equal,
err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_not_Header_state_in_state_out_equal,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_info_in,
err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_Header_not_not_fault_info_in : out std_logic
);
end component;
signal read_pointer, read_pointer_in, write_pointer, write_pointer_in: std_logic_vector(3 downto 0);
signal full, empty: std_logic;
signal read_en, write_en: std_logic;
signal FIFO_MEM_1, FIFO_MEM_1_in : std_logic_vector(DATA_WIDTH-1 downto 0);
signal FIFO_MEM_2, FIFO_MEM_2_in : std_logic_vector(DATA_WIDTH-1 downto 0);
signal FIFO_MEM_3, FIFO_MEM_3_in : std_logic_vector(DATA_WIDTH-1 downto 0);
signal FIFO_MEM_4, FIFO_MEM_4_in : std_logic_vector(DATA_WIDTH-1 downto 0);
constant fake_tail : std_logic_vector := "10000000000000000000000000000001";
-- Packet Dropping FSM states encoded as one-hot (because of checkers for one-bit error detection)
CONSTANT Idle: std_logic_vector (4 downto 0) := "00001";
CONSTANT Header_flit: std_logic_vector (4 downto 0) := "00010";
CONSTANT Body_flit: std_logic_vector (4 downto 0) := "00100";
CONSTANT Tail_flit: std_logic_vector (4 downto 0) := "01000";
CONSTANT Packet_drop: std_logic_vector (4 downto 0) := "10000";
--alias flit_type : std_logic_vector(2 downto 0) is RX(DATA_WIDTH-1 downto DATA_WIDTH-3);
signal fault_info_in, fault_info_out: std_logic;
signal faulty_packet_in, faulty_packet_out: std_logic;
signal xor_all, fault_out: std_logic;
--type state_type is (Idle, Header_flit, Body_flit, Tail_flit, Packet_drop);
--signal state_out, state_in : state_type;
signal state_out, state_in : std_logic_vector(4 downto 0); -- : state_type;
signal fake_credit, credit_in, write_fake_flit: std_logic;
signal fake_credit_counter, fake_credit_counter_in: std_logic_vector(1 downto 0);
-- Signal(s) needed for FIFO control part checkers
signal fault_info_sig, health_info_sig : std_logic;
begin
--------------------------------------------------------------------------------------------
-- block diagram of the FIFO!
--------------------------------------------------------------------------------------------
-- circular buffer structure
-- <--- WriteP
-- ---------------------------------
-- | 3 | 2 | 1 | 0 |
-- ---------------------------------
-- <--- readP
--------------------------------------------------------------------------------------------
-- Packet drop state machine
-- +---+ No +---+ No
-- | | Flit | | Flit
-- | v | v
-- healthy +--------+ +--------+
-- +---header-->| | | |-------------------+
-- | +->| Header |---Healthy body-->| Body |------------+ |
-- | | +--------+ +--------+ | |
-- | | | ^ | Healthy | ^ Healthy |
-- | | | | | body | | Tail |
-- | | | | | +---+ | |
-- | | | | | v |
-- +--------+ | | | | +--------+ |
-- No +-->| | | | | +-----------------Healthy Tail------>| | |
-- Flit| | IDLE | | | | | Tail |--)--+
-- +---| | | | +-----------Healthy Header--------------| | | |
-- +--------+ | | +--------+ | |
-- ^ | ^ | Faulty No Faulty | |
-- | | | | Flit Flit Flit | |
-- | | | | +------------+ +---+ +---+ | |
-- | | | + --Healthy------+ | | | | | | |
-- | | | header | v | v | v | |
-- | | | +------------------+ | |
-- | | +----Healthy Tail-----| Packet | | |
-- | +-------Faulty Flit----->| Drop |<-----------------------+ |
-- | +------------------+ |
-- +-------------------------------------------------No Flit------------------+
--
------------------------------------------------------------------------------------------------
-- FIFO control part with packet drop and fault classifier support checkers instantiation
FIFO_control_part_checkers: FIFO_credit_based_control_part_checkers
port map (
valid_in => valid_in,
read_en_N => read_en_N,
read_en_E => read_en_E,
read_en_W => read_en_W,
read_en_S => read_en_S,
read_en_L => read_en_L,
read_pointer => read_pointer,
read_pointer_in => read_pointer_in,
write_pointer => write_pointer,
write_pointer_in => write_pointer_in,
credit_out => credit_in, -- correct ?
empty_out => empty,
full_out => full,
read_en_out => read_en,
write_en_out => write_en,
fake_credit => fake_credit,
fake_credit_counter => fake_credit_counter,
fake_credit_counter_in => fake_credit_counter_in,
state_out => state_out,
state_in => state_in,
fault_info => fault_info_sig, -- connected to signal
fault_info_out => fault_info_out,
fault_info_in => fault_info_in,
health_info => health_info_sig, -- connected to signal
faulty_packet_out => faulty_packet_out,
faulty_packet_in => faulty_packet_in,
flit_type => RX(DATA_WIDTH-1 downto DATA_WIDTH-3),
fault_out => fault_out,
write_fake_flit => write_fake_flit,
-- Functional checkers
err_empty_full => err_empty_full,
err_empty_read_en => err_empty_read_en,
err_full_write_en => err_full_write_en,
err_state_in_onehot => err_state_in_onehot,
err_read_pointer_in_onehot => err_read_pointer_in_onehot,
err_write_pointer_in_onehot => err_write_pointer_in_onehot,
-- Structural checkers
err_write_en_write_pointer => err_write_en_write_pointer,
err_not_write_en_write_pointer => err_not_write_en_write_pointer,
err_read_pointer_write_pointer_not_empty => err_read_pointer_write_pointer_not_empty,
err_read_pointer_write_pointer_empty => err_read_pointer_write_pointer_empty,
err_read_pointer_write_pointer_not_full => err_read_pointer_write_pointer_not_full,
err_read_pointer_write_pointer_full => err_read_pointer_write_pointer_full,
err_read_pointer_increment => err_read_pointer_increment,
err_read_pointer_not_increment => err_read_pointer_not_increment,
err_write_en => err_write_en,
err_not_write_en => err_not_write_en,
err_not_write_en1 => err_not_write_en1,
err_not_write_en2 => err_not_write_en2,
err_read_en_mismatch => err_read_en_mismatch,
err_read_en_mismatch1 => err_read_en_mismatch1,
-- Newly added checkers for FIFO with packet drop and fault classifier support!
err_fake_credit_read_en_fake_credit_counter_in_increment => err_fake_credit_read_en_fake_credit_counter_in_increment,
err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_fake_credit_counter_in_decrement => err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_fake_credit_counter_in_decrement,
err_not_fake_credit_read_en_fake_credit_counter_in_not_change => err_not_fake_credit_read_en_fake_credit_counter_in_not_change,
err_fake_credit_not_read_en_fake_credit_counter_in_not_change => err_fake_credit_not_read_en_fake_credit_counter_in_not_change,
err_not_fake_credit_not_read_en_fake_credit_counter_zero_fake_credit_counter_in_not_change => err_not_fake_credit_not_read_en_fake_credit_counter_zero_fake_credit_counter_in_not_change,
err_fake_credit_read_en_credit_out => err_fake_credit_read_en_credit_out,
err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_credit_out => err_not_fake_credit_not_read_en_fake_credit_counter_not_zero_credit_out,
err_not_fake_credit_not_read_en_fake_credit_counter_zero_not_credit_out => err_not_fake_credit_not_read_en_fake_credit_counter_zero_not_credit_out,
-- Checkers for Packet Dropping FSM of FIFO
err_state_out_Idle_not_fault_out_valid_in_state_in_Header_flit => err_state_out_Idle_not_fault_out_valid_in_state_in_Header_flit,
err_state_out_Idle_not_fault_out_valid_in_state_in_not_change => err_state_out_Idle_not_fault_out_valid_in_state_in_not_change,
err_state_out_Idle_not_fault_out_not_fake_credit => err_state_out_Idle_not_fault_out_not_fake_credit,
err_state_out_Idle_not_fault_out_not_fault_info_in => err_state_out_Idle_not_fault_out_not_fault_info_in,
err_state_out_Idle_not_fault_out_faulty_packet_in_faulty_packet_out_equal => err_state_out_Idle_not_fault_out_faulty_packet_in_faulty_packet_out_equal,
err_state_out_Idle_fault_out_fake_credit => err_state_out_Idle_fault_out_fake_credit,
err_state_out_Idle_fault_out_state_in_Packet_drop => err_state_out_Idle_fault_out_state_in_Packet_drop,
err_state_out_Idle_fault_out_fault_info_in => err_state_out_Idle_fault_out_fault_info_in,
err_state_out_Idle_fault_out_faulty_packet_in => err_state_out_Idle_fault_out_faulty_packet_in,
err_state_out_Idle_not_health_info => err_state_out_Idle_not_health_info,
err_state_out_Idle_not_write_fake_flit => err_state_out_Idle_not_write_fake_flit,
err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Body_state_in_Body_flit => err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Body_state_in_Body_flit,
err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Tail_state_in_Tail_flit => err_state_out_Header_flit_valid_in_not_fault_out_flit_type_Tail_state_in_Tail_flit,
err_state_out_Header_flit_valid_in_not_fault_out_not_write_fake_flit => err_state_out_Header_flit_valid_in_not_fault_out_not_write_fake_flit,
err_state_out_Header_flit_valid_in_not_fault_out_not_fault_info_in => err_state_out_Header_flit_valid_in_not_fault_out_not_fault_info_in,
err_state_out_Header_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Header_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change,
err_state_out_Header_flit_valid_in_fault_out_write_fake_flit => err_state_out_Header_flit_valid_in_fault_out_write_fake_flit,
err_state_out_Header_flit_valid_in_fault_out_state_in_Packet_drop => err_state_out_Header_flit_valid_in_fault_out_state_in_Packet_drop,
err_state_out_Header_flit_valid_in_fault_out_fault_info_in => err_state_out_Header_flit_valid_in_fault_out_fault_info_in,
err_state_out_Header_flit_valid_in_fault_out_faulty_packet_in => err_state_out_Header_flit_valid_in_fault_out_faulty_packet_in,
err_state_out_Header_flit_not_valid_in_state_in_state_out_not_change => err_state_out_Header_flit_not_valid_in_state_in_state_out_not_change,
err_state_out_Header_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Header_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change,
err_state_out_Header_flit_not_valid_in_not_fault_info_in => err_state_out_Header_flit_not_valid_in_not_fault_info_in,
err_state_out_Header_flit_not_valid_in_not_write_fake_flit => err_state_out_Header_flit_not_valid_in_not_write_fake_flit,
err_state_out_Header_flit_or_Body_flit_not_fake_credit => err_state_out_Header_flit_or_Body_flit_not_fake_credit,
err_state_out_Body_flit_valid_in_not_fault_out_state_in_state_out_not_change => err_state_out_Body_flit_valid_in_not_fault_out_state_in_state_out_not_change,
err_state_out_Body_flit_valid_in_not_fault_out_state_in_Tail_flit => err_state_out_Body_flit_valid_in_not_fault_out_state_in_Tail_flit,
err_state_out_Body_flit_valid_in_not_fault_out_health_info => err_state_out_Body_flit_valid_in_not_fault_out_health_info,
err_state_out_Body_flit_valid_in_not_fault_out_not_write_fake_flit => err_state_out_Body_flit_valid_in_not_fault_out_not_write_fake_flit,
err_state_out_Body_flit_valid_in_not_fault_out_fault_info_in => err_state_out_Body_flit_valid_in_not_fault_out_fault_info_in,
err_state_out_Body_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Body_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change,
err_state_out_Body_flit_valid_in_fault_out_write_fake_flit => err_state_out_Body_flit_valid_in_fault_out_write_fake_flit,
err_state_out_Body_flit_valid_in_fault_out_state_in_Packet_drop => err_state_out_Body_flit_valid_in_fault_out_state_in_Packet_drop,
err_state_out_Body_flit_valid_in_fault_out_fault_info_in => err_state_out_Body_flit_valid_in_fault_out_fault_info_in,
err_state_out_Body_flit_valid_in_fault_out_faulty_packet_in => err_state_out_Body_flit_valid_in_fault_out_faulty_packet_in,
err_state_out_Body_flit_not_valid_in_state_in_state_out_not_change => err_state_out_Body_flit_not_valid_in_state_in_state_out_not_change,
err_state_out_Body_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Body_flit_not_valid_in_faulty_packet_in_faulty_packet_out_not_change,
err_state_out_Body_flit_not_valid_in_not_fault_info_in => err_state_out_Body_flit_not_valid_in_not_fault_info_in,
err_state_out_Body_flit_valid_in_not_fault_out_flit_type_not_tail_not_health_info => err_state_out_Body_flit_valid_in_not_fault_out_flit_type_not_tail_not_health_info,
err_state_out_Body_flit_valid_in_fault_out_not_health_info => err_state_out_Body_flit_valid_in_fault_out_not_health_info,
err_state_out_Body_flit_valid_in_not_health_info => err_state_out_Body_flit_valid_in_not_health_info,
err_state_out_Body_flit_not_fake_credit => err_state_out_Body_flit_not_fake_credit,
err_state_out_Body_flit_not_valid_in_not_write_fake_flit => err_state_out_Body_flit_not_valid_in_not_write_fake_flit,
err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_Header_state_in_Header_flit => err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_Header_state_in_Header_flit,
err_state_out_Tail_flit_valid_in_not_fault_out_not_fake_credit => err_state_out_Tail_flit_valid_in_not_fault_out_not_fake_credit,
err_state_out_Tail_flit_valid_in_not_fault_out_not_fault_info_in => err_state_out_Tail_flit_valid_in_not_fault_out_not_fault_info_in,
err_state_out_Tail_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Tail_flit_valid_in_not_fault_out_faulty_packet_in_faulty_packet_out_not_change,
err_state_out_Tail_flit_valid_in_fault_out_fake_credit => err_state_out_Tail_flit_valid_in_fault_out_fake_credit,
err_state_out_Tail_flit_valid_in_fault_out_state_in_Packet_drop => err_state_out_Tail_flit_valid_in_fault_out_state_in_Packet_drop,
err_state_out_Tail_flit_valid_in_fault_out_fault_info_in => err_state_out_Tail_flit_valid_in_fault_out_fault_info_in,
err_state_out_Tail_flit_valid_in_fault_out_faulty_packet_in => err_state_out_Tail_flit_valid_in_fault_out_faulty_packet_in,
err_state_out_Tail_flit_not_valid_in_state_in_Idle => err_state_out_Tail_flit_not_valid_in_state_in_Idle,
err_state_out_Tail_flit_not_valid_in_faulty_packet_in_faulty_packet_in_not_change => err_state_out_Tail_flit_not_valid_in_faulty_packet_in_faulty_packet_in_not_change,
err_state_out_Tail_flit_not_valid_in_not_fault_info_in => err_state_out_Tail_flit_not_valid_in_not_fault_info_in,
err_state_out_Tail_flit_not_valid_in_not_fake_credit => err_state_out_Tail_flit_not_valid_in_not_fake_credit,
err_state_out_Tail_flit_not_write_fake_flit => err_state_out_Tail_flit_not_write_fake_flit,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_fake_credit => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_fake_credit,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_faulty_packet_in => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_not_faulty_packet_in,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_state_in_Header_flit => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_state_in_Header_flit,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_write_fake_flit => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_out_write_fake_flit,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_faulty_packet_in => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_faulty_packet_in,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_state_in_Idle => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_not_state_in_Idle,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_fake_credit => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_not_fault_out_fake_credit,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_invalid_fault_out_fake_credit => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_invalid_fault_out_fake_credit,
err_state_out_Packet_drop_faulty_packet_out_not_valid_in_flit_type_body_or_invalid_fault_out_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_flit_type_body_or_invalid_fault_out_faulty_packet_in_faulty_packet_out_not_change,
err_state_out_Packet_drop_faulty_packet_out_flit_type_invalid_fault_out_state_in_state_out_not_change => err_state_out_Packet_drop_faulty_packet_out_flit_type_invalid_fault_out_state_in_state_out_not_change,
err_state_out_Packet_drop_faulty_packet_out_not_valid_in_faulty_packet_in_faulty_packet_out_equal => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_faulty_packet_in_faulty_packet_out_equal,
err_state_out_Packet_drop_faulty_packet_out_not_valid_in_state_in_state_out_not_change => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_state_in_state_out_not_change,
err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_write_fake_flit => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_write_fake_flit,
err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_fake_credit => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_not_fake_credit,
err_state_out_Packet_drop_not_faulty_packet_out_state_in_state_out_not_change => err_state_out_Packet_drop_not_faulty_packet_out_state_in_state_out_not_change,
err_state_out_Packet_drop_not_faulty_packet_out_faulty_packet_in_faulty_packet_out_not_change => err_state_out_Packet_drop_not_faulty_packet_out_faulty_packet_in_faulty_packet_out_not_change,
err_state_out_Packet_drop_not_fault_info_in => err_state_out_Packet_drop_not_fault_info_in,
err_state_out_Packet_drop_not_faulty_packet_out_not_fake_credit => err_state_out_Packet_drop_not_faulty_packet_out_not_fake_credit,
err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_header_or_fault_out_not_write_fake_flit => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_header_or_fault_out_not_write_fake_flit,
err_state_out_Packet_drop_not_faulty_packet_out_not_write_fake_flit => err_state_out_Packet_drop_not_faulty_packet_out_not_write_fake_flit,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_fault_out_state_in_state_out_not_change => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_fault_out_state_in_state_out_not_change,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_fault_out_state_in_state_out_not_change => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Tail_fault_out_state_in_state_out_not_change,
err_fault_info_fault_info_out_equal => err_fault_info_fault_info_out_equal,
err_state_out_Packet_drop_not_valid_in_state_in_state_out_equal => err_state_out_Packet_drop_not_valid_in_state_in_state_out_equal,
err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_not_Header_state_in_state_out_equal => err_state_out_Tail_flit_valid_in_not_fault_out_flit_type_not_Header_state_in_state_out_equal,
err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_info_in => err_state_out_Packet_drop_faulty_packet_out_valid_in_flit_type_Header_not_fault_info_in,
err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_Header_not_not_fault_info_in => err_state_out_Packet_drop_faulty_packet_out_not_valid_in_or_flit_type_not_Header_not_not_fault_info_in
);
fault_info <= fault_info_sig; -- Not sure yet ?!
health_info <= health_info_sig;
-- Sequential part
process (clk, reset)begin
if reset = '0' then
read_pointer <= "0001";
write_pointer <= "0001";
FIFO_MEM_1 <= (others=>'0');
FIFO_MEM_2 <= (others=>'0');
FIFO_MEM_3 <= (others=>'0');
FIFO_MEM_4 <= (others=>'0');
fake_credit_counter <= (others=>'0');
faulty_packet_out <= '0';
credit_out <= '0';
state_out <= Idle;
fault_info_out <= '0';
elsif clk'event and clk = '1' then
write_pointer <= write_pointer_in;
read_pointer <= read_pointer_in;
state_out <= state_in;
faulty_packet_out <= faulty_packet_in;
credit_out <= credit_in;
fake_credit_counter <= fake_credit_counter_in;
if write_en = '1' then
--write into the memory
FIFO_MEM_1 <= FIFO_MEM_1_in;
FIFO_MEM_2 <= FIFO_MEM_2_in;
FIFO_MEM_3 <= FIFO_MEM_3_in;
FIFO_MEM_4 <= FIFO_MEM_4_in;
end if;
fault_info_out <= fault_info_in;
end if;
end process;
-- anything below here is pure combinational
-- combinatorial part
fault_info_sig <= fault_info_out;
process(fake_credit, read_en, fake_credit_counter) begin
fake_credit_counter_in <= fake_credit_counter;
credit_in <= '0';
if fake_credit = '1' and read_en = '1' then
fake_credit_counter_in <= fake_credit_counter + 1 ;
end if;
if fake_credit = '1' or read_en ='1' then
credit_in <= '1';
end if;
if fake_credit = '0' and read_en = '0' and fake_credit_counter > 0 then
fake_credit_counter_in <= fake_credit_counter - 1 ;
credit_in <= '1';
end if;
end process;
process(valid_in, RX) begin
if valid_in = '1' then
xor_all <= XOR_REDUCE(RX(DATA_WIDTH-1 downto 1));
else
xor_all <= '0';
end if;
end process;
process(valid_in, RX, xor_all)begin
fault_out <= '0';
if valid_in = '1' and xor_all /= RX(0) then
fault_out <= '1';
end if;
end process;
process(RX, faulty_packet_out, fault_out, write_pointer, FIFO_MEM_1, FIFO_MEM_2, FIFO_MEM_3, FIFO_MEM_4, state_out, valid_in) begin
-- this is the default value of the memory!
case( write_pointer ) is
when "0001" => FIFO_MEM_1_in <= RX; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4;
when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= RX; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4;
when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= RX; FIFO_MEM_4_in <= FIFO_MEM_4;
when "1000" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= RX;
when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4;
end case ;
--some defaults
fault_info_in <= '0';
health_info_sig <= '0';
fake_credit <= '0';
state_in <= state_out;
faulty_packet_in <= faulty_packet_out;
write_fake_flit <= '0';
case(state_out) is
when Idle =>
if fault_out = '0' then
if valid_in = '1' then
state_in <= Header_flit;
else
state_in <= state_out;
end if;
else
fake_credit <= '1';
FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4;
state_in <= Packet_drop;
fault_info_in <= '1';
faulty_packet_in <= '1';
end if;
when Header_flit =>
if valid_in = '1' then
if fault_out = '0' then
if RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "010" then
state_in <= Body_flit;
elsif RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "100" then
state_in <= Tail_flit;
else
-- we should not be here!
state_in <= state_out;
end if;
else -- fault_out = '1'
write_fake_flit <= '1';
case( write_pointer ) is
when "0001" => FIFO_MEM_1_in <= fake_tail; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4;
when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= fake_tail; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4;
when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= fake_tail; FIFO_MEM_4_in <= FIFO_MEM_4;
when "1000" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= fake_tail;
when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4;
end case ;
state_in <= Packet_drop;
fault_info_in <= '1';
faulty_packet_in <= '1';
end if;
else
state_in <= state_out;
end if;
when Body_flit =>
if valid_in = '1' then
if fault_out = '0' then
if RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "010" then
state_in <= state_out;
elsif RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "100" then
state_in <= Tail_flit;
health_info_sig <= '1';
else
-- we should not be here!
state_in <= state_out;
end if;
else -- fault_out = '1'
write_fake_flit <= '1';
case( write_pointer ) is
when "0001" => FIFO_MEM_1_in <= fake_tail; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4;
when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= fake_tail; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4;
when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= fake_tail; FIFO_MEM_4_in <= FIFO_MEM_4;
when "1000" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= fake_tail;
when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4;
end case ;
state_in <= Packet_drop;
fault_info_in <= '1';
faulty_packet_in <= '1';
end if;
else
state_in <= state_out;
end if;
when Tail_flit =>
if valid_in = '1' then
if fault_out = '0' then
if RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "001" then
state_in <= Header_flit;
else
state_in <= state_out;
end if;
else -- fault_out = '1'
fake_credit <= '1';
FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4;
state_in <= Packet_drop;
fault_info_in <= '1';
faulty_packet_in <= '1';
end if;
else
state_in <= Idle;
end if;
when Packet_drop =>
if faulty_packet_out = '1' then
if valid_in = '1' and RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "001" and fault_out = '0' then
faulty_packet_in <= '0';
state_in <= Header_flit;
write_fake_flit <= '1';
case( write_pointer ) is
when "0001" => FIFO_MEM_1_in <= RX; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4;
when "0010" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= RX; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4;
when "0100" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= RX; FIFO_MEM_4_in <= FIFO_MEM_4;
when "1000" => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= RX;
when others => FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4;
end case ;
elsif valid_in = '1' and RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "100" and fault_out = '0' then
FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4;
faulty_packet_in <= '0';
state_in <= Idle;
fake_credit <= '1';
else -- fault_out might have been '1'
if valid_in = '1' and RX(DATA_WIDTH-1 downto DATA_WIDTH-3) = "001" then
fault_info_in <= '1';
end if;
if valid_in = '1' then
fake_credit <= '1';
end if;
FIFO_MEM_1_in <= FIFO_MEM_1; FIFO_MEM_2_in <= FIFO_MEM_2; FIFO_MEM_3_in <= FIFO_MEM_3; FIFO_MEM_4_in <= FIFO_MEM_4;
state_in <= state_out;
end if;
else
-- we should not be here!
state_in <= state_out;
end if;
when others => state_in <= state_out;
end case;
end process;
process(read_pointer, FIFO_MEM_1, FIFO_MEM_2, FIFO_MEM_3, FIFO_MEM_4)begin
case( read_pointer ) is
when "0001" => Data_out <= FIFO_MEM_1;
when "0010" => Data_out <= FIFO_MEM_2;
when "0100" => Data_out <= FIFO_MEM_3;
when "1000" => Data_out <= FIFO_MEM_4;
when others => Data_out <= FIFO_MEM_1;
end case ;
end process;
read_en <= (read_en_N or read_en_E or read_en_W or read_en_S or read_en_L) and not empty;
empty_out <= empty;
process(write_en, write_pointer)begin
if write_en = '1' then
write_pointer_in <= write_pointer(2 downto 0)&write_pointer(3);
else
write_pointer_in <= write_pointer;
end if;
end process;
process(read_en, empty, read_pointer)begin
if (read_en = '1' and empty = '0') then
read_pointer_in <= read_pointer(2 downto 0)&read_pointer(3);
else
read_pointer_in <= read_pointer;
end if;
end process;
process(full, valid_in, write_fake_flit, faulty_packet_out, fault_out) begin
if valid_in = '1' and ((faulty_packet_out = '0' and fault_out = '0') or write_fake_flit = '1') and full ='0' then
write_en <= '1';
else
write_en <= '0';
end if;
end process;
process(write_pointer, read_pointer) begin
if read_pointer = write_pointer then
empty <= '1';
else
empty <= '0';
end if;
-- if write_pointer = read_pointer>>1 then
if write_pointer = read_pointer(0)&read_pointer(3 downto 1) then
full <= '1';
else
full <= '0';
end if;
end process;
end;
| gpl-3.0 | 8644b898e58148dbdb602aced3124672 | 0.518876 | 3.587349 | false | false | false | false |
sunoc/vhdl-lz4-variation | z_old/sha1/sha1.vhd | 1 | 13,857 | -----------------------------------------------------------------------------------
--! @file sha1.vhd
--! @brief SHA-1 Package :
--! SHA-1用各種定義パッケージ.
--! @version 0.9.1
--! @date 2012/11/27
--! @author Ichiro Kawazome <[email protected]>
-----------------------------------------------------------------------------------
--
-- Copyright (C) 2012 Ichiro Kawazome
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in
-- the documentation and/or other materials provided with the
-- distribution.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR
-- A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT
-- OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT
-- LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE,
-- DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY
-- THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
-- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-----------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
-----------------------------------------------------------------------------------
--! @brief SHA-1用各種定義パッケージ.
-----------------------------------------------------------------------------------
package SHA1 is
-------------------------------------------------------------------------------
-- ハッシュのビット数
-------------------------------------------------------------------------------
constant HASH_BITS : integer := 160;
-------------------------------------------------------------------------------
-- 1ワードのビット数
-------------------------------------------------------------------------------
constant WORD_BITS : integer := 32;
-------------------------------------------------------------------------------
-- ラウンド数
-------------------------------------------------------------------------------
constant ROUNDS : integer := 80;
-------------------------------------------------------------------------------
-- ワードの型宣言
-------------------------------------------------------------------------------
subtype WORD_TYPE is std_logic_vector(WORD_BITS-1 downto 0);
type WORD_VECTOR is array (INTEGER range <>) of WORD_TYPE;
constant WORD_NULL : WORD_TYPE := (others => '0');
-------------------------------------------------------------------------------
-- ハッシュレジスタの初期値
-------------------------------------------------------------------------------
constant H0_INIT : WORD_TYPE := To_StdLogicVector(bit_vector'(X"67452301"));
constant H1_INIT : WORD_TYPE := To_StdLogicVector(bit_vector'(X"EFCDAB89"));
constant H2_INIT : WORD_TYPE := To_StdLogicVector(bit_vector'(X"98BADCFE"));
constant H3_INIT : WORD_TYPE := To_StdLogicVector(bit_vector'(X"10325476"));
constant H4_INIT : WORD_TYPE := To_StdLogicVector(bit_vector'(X"C3D2E1F0"));
-------------------------------------------------------------------------------
-- K[t]の値
-------------------------------------------------------------------------------
constant K0 : WORD_TYPE := To_StdLogicVector(bit_vector'(X"5A827999"));
constant K1 : WORD_TYPE := To_StdLogicVector(bit_vector'(X"6ED9EBA1"));
constant K2 : WORD_TYPE := To_StdLogicVector(bit_vector'(X"8F1BBCDC"));
constant K3 : WORD_TYPE := To_StdLogicVector(bit_vector'(X"CA62C1D6"));
-------------------------------------------------------------------------------
--
-------------------------------------------------------------------------------
function RotL(X:WORD_TYPE;N:integer) return std_logic_vector;
-------------------------------------------------------------------------------
--
-------------------------------------------------------------------------------
function Ch(B,C,D:WORD_TYPE) return std_logic_vector;
-------------------------------------------------------------------------------
--
-------------------------------------------------------------------------------
function Parity(B,C,D:WORD_TYPE) return std_logic_vector;
-------------------------------------------------------------------------------
--
-------------------------------------------------------------------------------
function Maj(B,C,D:WORD_TYPE) return std_logic_vector;
-------------------------------------------------------------------------------
-- SHA1_COREのコンポーネント宣言
-------------------------------------------------------------------------------
component SHA1_CORE
generic (
SYMBOL_BITS : --! @brief INPUT SYMBOL BITS :
--! 入力データの1シンボルのビット数を指定する.
integer := 8;
SYMBOLS : --! @brief INPUT SYMBOL SIZE :
--! 入力データのシンボル数を指定する.
integer := 4;
REVERSE : --! @brief INPUT SYMBOL REVERSE :
--! 入力データのシンボルのビット並びを逆にするかどうかを指定する.
integer := 1;
WORDS : --! @brief WORD SIZE :
--! 一度に処理するワード数を指定する.
integer := 1;
BLOCK_GAP : --! @brief BLOCK GAP CYCLE :
--! 1ブロック(16word)処理する毎に挿入するギャップのサイクル
--! 数を指定する.
--! サイクル数分だけスループットが落ちるが、動作周波数が上が
--! る可能性がある.
integer := 1
);
port (
---------------------------------------------------------------------------
-- クロック&リセット信号
---------------------------------------------------------------------------
CLK : --! @brief CLOCK :
--! クロック信号
in std_logic;
RST : --! @brief ASYNCRONOUSE RESET :
--! 非同期リセット信号.アクティブハイ.
in std_logic;
CLR : --! @brief SYNCRONOUSE RESET :
--! 同期リセット信号.アクティブハイ.
in std_logic;
---------------------------------------------------------------------------
-- 入力側 I/F
---------------------------------------------------------------------------
I_DATA : --! @brief INPUT SYMBOL DATA :
in std_logic_vector(SYMBOL_BITS*SYMBOLS-1 downto 0);
I_ENA : --! @brief INPUT SYMBOL DATA ENABLE :
in std_logic_vector( SYMBOLS-1 downto 0);
I_DONE : --! @brief INPUT SYMBOL DATA DONE :
in std_logic;
I_LAST : --! @brief INPUT SYMBOL DATA LAST :
in std_logic;
I_VAL : --! @brief INPUT SYMBOL DATA VALID :
in std_logic;
I_RDY : --! @brief INPUT SYMBOL DATA READY :
out std_logic;
---------------------------------------------------------------------------
-- 出力側 I/F
---------------------------------------------------------------------------
O_DATA : --! @brief OUTPUT WORD DATA :
out std_logic_vector(HASH_BITS-1 downto 0);
O_VAL : --! @brief OUTPUT WORD VALID :
out std_logic;
O_RDY : --! @brief OUTPUT WORD READY :
in std_logic
);
end component;
-------------------------------------------------------------------------------
-- SHA_SCHEDULEのコンポーネント宣言
-------------------------------------------------------------------------------
component SHA_SCHEDULE
generic (
WORD_BITS : integer := WORD_BITS;
WORDS : integer := 1;
INPUT_NUM : integer := 16;
CALC_NUM : integer := ROUNDS;
END_NUM : integer := ROUNDS
);
port (
CLK : in std_logic;
RST : in std_logic;
CLR : in std_logic;
I_DONE : in std_logic;
I_VAL : in std_logic;
I_RDY : out std_logic;
O_INPUT : out std_logic;
O_LAST : out std_logic;
O_DONE : out std_logic;
O_NUM : out integer range 0 to END_NUM-1;
O_VAL : out std_logic;
O_RDY : in std_logic
);
end component;
-------------------------------------------------------------------------------
-- SHA_PRE_PROCのコンポーネント宣言
-------------------------------------------------------------------------------
component SHA_PRE_PROC
generic (
WORD_BITS : integer := 32;
WORDS : integer := 1;
SYMBOL_BITS : integer := 8;
SYMBOLS : integer := 4;
REVERSE : integer := 1
);
port (
CLK : in std_logic;
RST : in std_logic;
CLR : in std_logic;
I_DATA : in std_logic_vector(SYMBOL_BITS*SYMBOLS-1 downto 0);
I_ENA : in std_logic_vector( SYMBOLS-1 downto 0);
I_DONE : in std_logic;
I_LAST : in std_logic;
I_VAL : in std_logic;
I_RDY : out std_logic;
M_DATA : out std_logic_vector(WORD_BITS*WORDS-1 downto 0);
M_DONE : out std_logic;
M_VAL : out std_logic;
M_RDY : in std_logic
);
end component;
-------------------------------------------------------------------------------
-- SHA1_PROCのコンポーネント宣言
-------------------------------------------------------------------------------
component SHA1_PROC
generic (
WORDS : integer := 1;
PIPELINE : integer := 1;
BLOCK_GAP : integer := 0
);
port (
CLK : in std_logic;
RST : in std_logic;
CLR : in std_logic;
M_DATA : in std_logic_vector(WORD_BITS*WORDS-1 downto 0);
M_DONE : in std_logic;
M_VAL : in std_logic;
M_RDY : out std_logic;
O_DATA : out std_logic_vector(HASH_BITS-1 downto 0);
O_VAL : out std_logic;
O_RDY : in std_logic
);
end component;
end SHA1;
-----------------------------------------------------------------------------------
--! @brief SHA-1用各種プロシージャの定義.
-----------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
package body SHA1 is
-------------------------------------------------------------------------------
-- ローテート演算関数.
-------------------------------------------------------------------------------
function RotL(X:WORD_TYPE;N:integer) return std_logic_vector is
begin
return X(WORD_TYPE'high-N downto WORD_TYPE'low ) &
X(WORD_TYPE'high downto WORD_TYPE'high-N+1);
end function;
-------------------------------------------------------------------------------
--
-------------------------------------------------------------------------------
function Ch(B,C,D:WORD_TYPE) return std_logic_vector is
begin
return D xor (B and (C xor D));
end function;
-------------------------------------------------------------------------------
--
-------------------------------------------------------------------------------
function Parity(B,C,D:WORD_TYPE) return std_logic_vector is
begin
return B xor C xor D;
end function;
-------------------------------------------------------------------------------
--
-------------------------------------------------------------------------------
function Maj(B,C,D:WORD_TYPE) return std_logic_vector is
begin
return (B and C) or ((B or C) and D);
end function;
end SHA1;
| gpl-3.0 | b496044495368699e53178f9d713daa3 | 0.347293 | 5.225564 | false | false | false | false |
sorgelig/SAMCoupe_MIST | sid/sid_filter.vhd | 1 | 16,246 | -------------------------------------------------------------------------------
--
-- (C) COPYRIGHT 2010 Gideon's Logic Architectures'
--
-------------------------------------------------------------------------------
--
-- Author: Gideon Zweijtzer (gideon.zweijtzer (at) gmail.com)
--
-- Note that this file is copyrighted, and is not supposed to be used in other
-- projects without written permission from the author.
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.my_math_pkg.all;
entity sid_filter is
generic (
g_divider : natural := 221 );
port (
clock : in std_logic;
reset : in std_logic;
enable : in std_logic;
filt_co : in unsigned(10 downto 0);
filt_res : in unsigned(3 downto 0);
valid_in : in std_logic := '0';
error_out : out std_logic;
input : in signed(17 downto 0);
high_pass : out signed(17 downto 0);
band_pass : out signed(17 downto 0);
low_pass : out signed(17 downto 0);
valid_out : out std_logic );
end sid_filter;
architecture dsvf of sid_filter is
signal filter_q : signed(17 downto 0);
signal filter_f : signed(17 downto 0);
signal input_sc : signed(17 downto 0);
signal filt_ram : std_logic_vector(15 downto 0);
signal xa : signed(17 downto 0);
signal xb : signed(17 downto 0);
signal sum_b : signed(17 downto 0);
signal sub_a : signed(17 downto 0);
signal sub_b : signed(17 downto 0);
signal x_reg : signed(17 downto 0) := (others => '0');
signal bp_reg : signed(17 downto 0);
signal hp_reg : signed(17 downto 0);
signal lp_reg : signed(17 downto 0);
signal temp_reg : signed(17 downto 0);
signal error : std_logic := '0';
signal divider : integer range 0 to g_divider-1;
signal instruction : std_logic_vector(7 downto 0);
type t_byte_array is array(natural range <>) of std_logic_vector(7 downto 0);
constant c_program : t_byte_array := (X"80", X"12", X"81", X"4C", X"82", X"20");
type t_word_array is array(1023 downto 0) of signed(15 downto 0);
constant coef : t_word_array :=
(
X"fff6", X"ffe5", X"ffd4", X"ffc3", X"ffb2", X"ffa0", X"ff8f", X"ff7e",
X"ff6d", X"ff5c", X"ff4a", X"ff39", X"ff28", X"ff17", X"ff06", X"fef4",
X"fee3", X"fed2", X"fec1", X"feb0", X"fe9e", X"fe8d", X"fe7c", X"fe6b",
X"fe5a", X"fe48", X"fe37", X"fe26", X"fe15", X"fe04", X"fdf2", X"fde1",
X"fdd0", X"fdbf", X"fdae", X"fd9c", X"fd8b", X"fd7a", X"fd69", X"fd58",
X"fd46", X"fd35", X"fd24", X"fd13", X"fd02", X"fcf0", X"fcdf", X"fcce",
X"fcbd", X"fcac", X"fc9a", X"fc89", X"fc78", X"fc67", X"fc56", X"fc44",
X"fc33", X"fc22", X"fc11", X"fc00", X"fbee", X"fbdd", X"fbcc", X"fbbb",
X"fb99", X"fb76", X"fb54", X"fb32", X"fb10", X"faee", X"facc", X"faaa",
X"fa88", X"fa65", X"fa43", X"fa21", X"f9ff", X"f9dd", X"f9bb", X"f999",
X"f976", X"f954", X"f932", X"f910", X"f8ee", X"f8cc", X"f8aa", X"f888",
X"f865", X"f843", X"f821", X"f7ff", X"f7dd", X"f7bb", X"f799", X"f776",
X"f754", X"f732", X"f710", X"f6ee", X"f6cc", X"f6aa", X"f688", X"f665",
X"f643", X"f621", X"f5ff", X"f5dd", X"f5bb", X"f599", X"f576", X"f554",
X"f532", X"f510", X"f4ee", X"f4cc", X"f4aa", X"f488", X"f465", X"f443",
X"f421", X"f3ff", X"f3dd", X"f3bb", X"f399", X"f376", X"f354", X"f332",
X"f2f4", X"f2b5", X"f276", X"f238", X"f1f9", X"f1bb", X"f17c", X"f13e",
X"f0ff", X"f0c0", X"f082", X"f043", X"f005", X"efc6", X"ef88", X"ef49",
X"ef0a", X"eecc", X"ee8d", X"ee4f", X"ee10", X"edd2", X"ed93", X"ed54",
X"ed16", X"ecd7", X"ec99", X"ec5a", X"ec1b", X"ebdd", X"eb9e", X"eb60",
X"eb21", X"eae3", X"eaa4", X"ea65", X"ea27", X"e9e8", X"e9aa", X"e96b",
X"e92d", X"e8ee", X"e8af", X"e871", X"e832", X"e7f4", X"e7b5", X"e777",
X"e738", X"e6f9", X"e6bb", X"e67c", X"e63e", X"e5ff", X"e5c0", X"e582",
X"e543", X"e505", X"e4c6", X"e488", X"e449", X"e40a", X"e3cc", X"e38d",
X"e338", X"e2e3", X"e28d", X"e238", X"e1e3", X"e18d", X"e138", X"e0e3",
X"e08d", X"e038", X"dfe3", X"df8d", X"df38", X"dee3", X"de8d", X"de38",
X"dde3", X"dd8d", X"dd38", X"dce3", X"dc8d", X"dc38", X"dbe3", X"db8d",
X"db38", X"dae3", X"da8d", X"da38", X"d9e3", X"d98d", X"d938", X"d8e3",
X"d88d", X"d838", X"d7e3", X"d78d", X"d738", X"d6e3", X"d68d", X"d638",
X"d5e3", X"d58d", X"d538", X"d4e3", X"d48d", X"d438", X"d3e3", X"d38d",
X"d338", X"d2e3", X"d28d", X"d238", X"d1e3", X"d18d", X"d138", X"d0e3",
X"d08d", X"d038", X"cfe3", X"cf8d", X"cf38", X"cee3", X"ce8d", X"ce38",
X"cdaa", X"cd1c", X"cc8d", X"cbff", X"cb71", X"cae3", X"ca54", X"c9c6",
X"c938", X"c8aa", X"c81c", X"c78d", X"c6ff", X"c671", X"c5e3", X"c554",
X"c4c6", X"c438", X"c3aa", X"c31c", X"c28d", X"c1ff", X"c171", X"c0e3",
X"c054", X"bfc6", X"bf38", X"beaa", X"be1c", X"bd8d", X"bcff", X"bc71",
X"bbe3", X"bb54", X"bac6", X"ba38", X"b9aa", X"b91c", X"b88d", X"b7ff",
X"b771", X"b6e3", X"b654", X"b5c6", X"b538", X"b4aa", X"b41c", X"b38d",
X"b2ff", X"b271", X"b1e3", X"b154", X"b0c6", X"b038", X"afaa", X"af1c",
X"ae8d", X"adff", X"ad71", X"ace3", X"ac54", X"abc6", X"ab38", X"aaaa",
X"aa1c", X"a98d", X"a8ff", X"a871", X"a7e3", X"a755", X"a6c6", X"a638",
X"a5aa", X"a51c", X"a48d", X"a3ff", X"a371", X"a2e3", X"a255", X"a1c6",
X"a138", X"a0aa", X"a01c", X"9f8d", X"9eff", X"9e71", X"9de3", X"9d55",
X"9cc6", X"9c38", X"9baa", X"9b1c", X"9a8d", X"99ff", X"9971", X"98e3",
X"9855", X"97c6", X"9738", X"96aa", X"961c", X"958d", X"94ff", X"9471",
X"93e3", X"9355", X"92c6", X"9238", X"91aa", X"911c", X"908d", X"8fff",
X"8f71", X"8ee3", X"8e55", X"8dc6", X"8d38", X"8caa", X"8c1c", X"8b8d",
X"8aff", X"8a71", X"89e3", X"8955", X"88c6", X"8838", X"87aa", X"871c",
X"8699", X"8616", X"8593", X"8510", X"848d", X"840b", X"8388", X"8305",
X"8282", X"81ff", X"817c", X"80fa", X"8077", X"7ff4", X"7f71", X"7eee",
X"7e6b", X"7de8", X"7d66", X"7ce3", X"7c60", X"7bdd", X"7b5a", X"7ad7",
X"7a55", X"79d2", X"794f", X"78cc", X"7849", X"77c6", X"7744", X"76c1",
X"763e", X"75bb", X"7538", X"74b5", X"7432", X"73b0", X"732d", X"72aa",
X"7227", X"71a4", X"7121", X"709f", X"701c", X"6f99", X"6f16", X"6e93",
X"6e10", X"6d8e", X"6d0b", X"6c88", X"6c05", X"6b82", X"6aff", X"6a7c",
X"69fa", X"6977", X"68f4", X"6871", X"67ee", X"676b", X"66e9", X"6666",
X"65dd", X"6555", X"64cc", X"6444", X"63bb", X"6333", X"62aa", X"6221",
X"6199", X"6110", X"6088", X"5fff", X"5f77", X"5eee", X"5e66", X"5ddd",
X"5d55", X"5ccc", X"5c44", X"5bbb", X"5b33", X"5aaa", X"5a21", X"5999",
X"5910", X"5888", X"57ff", X"5777", X"56ee", X"5666", X"55dd", X"5555",
X"54b5", X"5416", X"5377", X"52d8", X"5238", X"5199", X"50fa", X"505a",
X"4fbb", X"4f1c", X"4e7d", X"4ddd", X"4d3e", X"4c9f", X"4bff", X"4b60",
X"4ac8", X"4a31", X"4999", X"4901", X"486a", X"47d2", X"473a", X"46a2",
X"460b", X"4573", X"44db", X"4444", X"438e", X"42d8", X"4222", X"416b",
X"54b9", X"5381", X"5248", X"5110", X"4fff", X"4eee", X"4ddd", X"4ccc",
X"4c16", X"4b60", X"4aaa", X"49f4", X"493e", X"4888", X"47d2", X"471c",
X"467d", X"45dd", X"453e", X"449f", X"43ff", X"4360", X"42c1", X"4222",
X"4182", X"40e3", X"4044", X"3fa4", X"3f05", X"3e66", X"3dc6", X"3d27",
X"3caa", X"3c2d", X"3bb0", X"3b33", X"3ab5", X"3a38", X"39bb", X"393e",
X"38c1", X"3844", X"37c7", X"3749", X"36cc", X"364f", X"35d2", X"3555",
X"34d8", X"345a", X"33dd", X"3360", X"32e3", X"3266", X"31e9", X"316b",
X"30ee", X"3071", X"2ff4", X"2f77", X"2efa", X"2e7d", X"2dff", X"2d82",
X"2d1c", X"2cb5", X"2c4f", X"2be9", X"2b82", X"2b1c", X"2ab5", X"2a4f",
X"29e9", X"2982", X"291c", X"28b5", X"284f", X"27e9", X"2782", X"271c",
X"26b5", X"264f", X"25e9", X"2582", X"251c", X"24b5", X"244f", X"23e9",
X"2382", X"231c", X"22b5", X"224f", X"21e9", X"2182", X"211c", X"20b5",
X"2066", X"2016", X"1fc7", X"1f77", X"1f27", X"1ed8", X"1e88", X"1e38",
X"1de9", X"1d99", X"1d49", X"1cfa", X"1caa", X"1c5a", X"1c0b", X"1bbb",
X"1b6c", X"1b1c", X"1acc", X"1a7d", X"1a2d", X"19dd", X"198e", X"193e",
X"18ee", X"189f", X"184f", X"17ff", X"17b0", X"1760", X"1711", X"16c1",
X"1692", X"1664", X"1635", X"1606", X"15d8", X"15a9", X"157a", X"154c",
X"151d", X"14ee", X"14c0", X"1491", X"1462", X"1434", X"1405", X"13d7",
X"13a8", X"1379", X"134b", X"131c", X"12ed", X"12bf", X"1290", X"1261",
X"1233", X"1204", X"11d5", X"11a7", X"1178", X"1149", X"111b", X"10ec",
X"10bd", X"108f", X"1060", X"1032", X"1003", X"0fd4", X"0fa6", X"0f77",
X"0f48", X"0f1a", X"0eeb", X"0ebc", X"0e8e", X"0e5f", X"0e30", X"0e02",
X"0dd3", X"0da4", X"0d76", X"0d47", X"0d19", X"0cea", X"0cbb", X"0c8d",
X"0c5e", X"0c2f", X"0c01", X"0bd2", X"0ba3", X"0b75", X"0b46", X"0b17",
X"0b03", X"0aee", X"0ada", X"0ac5", X"0ab1", X"0a9c", X"0a88", X"0a74",
X"0a5f", X"0a4b", X"0a36", X"0a22", X"0a0d", X"09f9", X"09e4", X"09d0",
X"09bb", X"09a7", X"0992", X"097e", X"0969", X"0955", X"0940", X"092c",
X"0917", X"0903", X"08ee", X"08da", X"08c5", X"08b1", X"089c", X"0888",
X"0874", X"085f", X"084b", X"0836", X"0822", X"080d", X"07f9", X"07e4",
X"07d0", X"07bb", X"07a7", X"0792", X"077e", X"0769", X"0755", X"0740",
X"072c", X"0717", X"0703", X"06ee", X"06da", X"06c5", X"06b1", X"069d",
X"0688", X"0674", X"065f", X"064b", X"0636", X"0622", X"060d", X"05f9",
X"05f2", X"05eb", X"05e4", X"05dd", X"05d7", X"05d0", X"05c9", X"05c2",
X"05bb", X"05b4", X"05ae", X"05a7", X"05a0", X"0599", X"0592", X"058b",
X"0585", X"057e", X"0577", X"0570", X"0569", X"0562", X"055c", X"0555",
X"054e", X"0547", X"0540", X"053a", X"0533", X"052c", X"0525", X"051e",
X"0517", X"0511", X"050a", X"0503", X"04fc", X"04f5", X"04ee", X"04e8",
X"04e1", X"04da", X"04d3", X"04cc", X"04c5", X"04bf", X"04b8", X"04b1",
X"04aa", X"04a3", X"049d", X"0496", X"048f", X"0488", X"0481", X"047a",
X"0474", X"046d", X"0466", X"045f", X"0458", X"0451", X"044b", X"0444",
X"0441", X"043e", X"043b", X"0438", X"0436", X"0433", X"0430", X"042d",
X"042a", X"0427", X"0424", X"0422", X"041f", X"041c", X"0419", X"0416",
X"0413", X"0411", X"040e", X"040b", X"0408", X"0405", X"0402", X"03ff",
X"03fd", X"03fa", X"03f7", X"03f4", X"03f1", X"03ee", X"03ec", X"03e9",
X"03e6", X"03e3", X"03e0", X"03dd", X"03db", X"03d8", X"03d5", X"03d2",
X"03cf", X"03cc", X"03c9", X"03c7", X"03c4", X"03c1", X"03be", X"03bb",
X"03b8", X"03b6", X"03b3", X"03b0", X"03ad", X"03aa", X"03a7", X"03a4",
X"03a2", X"039f", X"039c", X"0399", X"0396", X"0393", X"0391", X"038e",
X"038d", X"038b", X"038a", X"0389", X"0388", X"0387", X"0386", X"0385",
X"0383", X"0382", X"0381", X"0380", X"037f", X"037e", X"037d", X"037c",
X"037a", X"0379", X"0378", X"0377", X"0376", X"0375", X"0374", X"0372",
X"0371", X"0370", X"036f", X"036e", X"036d", X"036c", X"036a", X"0369",
X"0368", X"0367", X"0366", X"0365", X"0364", X"0362", X"0361", X"0360",
X"035f", X"035e", X"035d", X"035c", X"035b", X"0359", X"0358", X"0357",
X"0356", X"0355", X"0354", X"0353", X"0351", X"0350", X"034f", X"034e",
X"034d", X"034c", X"034b", X"0349", X"0348", X"0347", X"0346", X"0345",
X"0344", X"0344", X"0343", X"0343", X"0342", X"0341", X"0341", X"0340",
X"0340", X"033f", X"033f", X"033e", X"033e", X"033d", X"033c", X"033c",
X"033b", X"033b", X"033a", X"033a", X"0339", X"0338", X"0338", X"0337",
X"0337", X"0336", X"0336", X"0335", X"0334", X"0334", X"0333", X"0333",
X"0332", X"0332", X"0331", X"0330", X"0330", X"032f", X"032f", X"032e",
X"032e", X"032d", X"032c", X"032c", X"032b", X"032b", X"032a", X"032a",
X"0329", X"0328", X"0328", X"0327", X"0327", X"0326", X"0326", X"0325",
X"0324", X"0324", X"0323", X"0323", X"0322", X"0322", X"0321", X"0320"
);
alias xa_select : std_logic is instruction(0);
alias xb_select : std_logic is instruction(1);
alias sub_a_sel : std_logic is instruction(2);
alias sub_b_sel : std_logic is instruction(3);
alias sum_to_lp : std_logic is instruction(4);
alias sum_to_bp : std_logic is instruction(5);
alias sub_to_hp : std_logic is instruction(6);
alias mult_enable : std_logic is instruction(7);
begin
-- Derive the actual 'f' and 'q' parameters
i_q_table: entity work.Q_table
port map (
Q_reg => filt_res,
filter_q => filter_q ); -- 2.16 format
process(clock)
begin
if rising_edge(clock) then
if(enable = '1') then
filter_f <= "00" & coef(to_integer(filt_co(10 downto 1)));
else
filter_f <= "001111111111111111";
end if;
end if;
end process;
--input_sc <= input;
input_sc <= shift_right(input, 1);
-- operations to execute the filter:
-- bp_f = f * bp_reg
-- q_contrib = q * bp_reg
-- lp = bp_f + lp_reg
-- temp = input - lp
-- hp = temp - q_contrib
-- hp_f = f * hp
-- bp = hp_f + bp_reg
-- bp_reg = bp
-- lp_reg = lp
-- x_reg = f * bp_reg -- 10000000 -- 80
-- lp_reg = x_reg + lp_reg -- 00010010 -- 12
-- q_contrib = q * bp_reg -- 10000001 -- 81
-- temp = input - lp -- 00000000 -- 00 (can be merged with previous!)
-- hp_reg = temp - q_contrib -- 01001100 -- 4C
-- x_reg = f * hp_reg -- 10000010 -- 82
-- bp_reg = x_reg + bp_reg -- 00100000 -- 20
-- now perform the arithmetic
xa <= filter_f when xa_select='0' else filter_q;
xb <= bp_reg when xb_select='0' else hp_reg;
sum_b <= bp_reg when xb_select='0' else lp_reg;
sub_a <= input_sc when sub_a_sel='0' else temp_reg;
sub_b <= lp_reg when sub_b_sel='0' else x_reg;
process(clock)
variable x_result : signed(35 downto 0);
variable sum_result : signed(17 downto 0);
variable sub_result : signed(17 downto 0);
begin
if rising_edge(clock) then
x_result := xa * xb;
if mult_enable='1' then
x_reg <= x_result(33 downto 16);
if (x_result(35 downto 33) /= "000") and (x_result(35 downto 33) /= "111") then
error <= not error;
end if;
end if;
sum_result := sum_limit(x_reg, sum_b);
temp_reg <= sum_result;
if sum_to_lp='1' then
lp_reg <= sum_result;
end if;
if sum_to_bp='1' then
bp_reg <= sum_result;
end if;
sub_result := sub_limit(sub_a, sub_b);
temp_reg <= sub_result;
if sub_to_hp='1' then
hp_reg <= sub_result;
end if;
-- control part
instruction <= (others => '0');
if reset='1' then
hp_reg <= (others => '0');
lp_reg <= (others => '0');
bp_reg <= (others => '0');
divider <= 0;
elsif divider = g_divider-1 then
divider <= 0;
else
divider <= divider + 1;
if divider < c_program'length then
instruction <= c_program(divider);
end if;
end if;
if divider = c_program'length then
valid_out <= '1';
else
valid_out <= '0';
end if;
end if;
end process;
high_pass <= hp_reg;
band_pass <= bp_reg;
low_pass <= lp_reg;
error_out <= error;
end dsvf;
| gpl-2.0 | c3d6be49398e3a3f1a4bc5208c4f2adb | 0.502585 | 2.244233 | false | false | false | false |
Project-Bonfire/EHA | RTL/Chip_Designs/IMMORTAL_Chip_2017/IJTAG_files/AsyncDataRegisterAdapter.vhd | 3 | 3,159 | --Copyright (C) 2017 Konstantin Shibin
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity AsyncDataRegisterAdapter is
Generic ( Size : positive := 8);
Port ( -- Scan Interface scan_client ----------
SI : in STD_LOGIC; -- ScanInPort
SO : out STD_LOGIC; -- ScanOutPort
SEL : in STD_LOGIC; -- SelectPort
----------------------------------------
SE : in STD_LOGIC; -- ShiftEnPort
CE : in STD_LOGIC; -- CaptureEnPort
UE : in STD_LOGIC; -- UpdateEnPort
RST : in STD_LOGIC; -- ResetPort
TCK : in STD_LOGIC; -- TCKPort
-- Data interface
DI : in STD_LOGIC_VECTOR (Size-1 downto 0);
DO : out STD_LOGIC_VECTOR (Size-1 downto 0)
);
end AsyncDataRegisterAdapter;
architecture AsyncDataRegisterAdapter_arch of AsyncDataRegisterAdapter is
signal DI_sync_first, DI_sync: STD_LOGIC_VECTOR (Size-1 downto 0);
signal sreg_do: STD_LOGIC_VECTOR (Size-1 downto 0);
signal sreg_so: STD_LOGIC;
signal sticky_flags, sticky_flags_mux: STD_LOGIC_VECTOR (Size-1 downto 0);
signal flag_mask_strobe: STD_LOGIC;
component SReg is
Generic ( Size : positive := 7);
Port ( -- Scan Interface scan_client ----------
SI : in STD_LOGIC; -- ScanInPort
SO : out STD_LOGIC; -- ScanOutPort
SEL : in STD_LOGIC; -- SelectPort
----------------------------------------
SE : in STD_LOGIC; -- ShiftEnPort
CE : in STD_LOGIC; -- CaptureEnPort
UE : in STD_LOGIC; -- UpdateEnPort
RST : in STD_LOGIC; -- ResetPort
TCK : in STD_LOGIC; -- TCKPort
DI : in STD_LOGIC_VECTOR (Size-1 downto 0); --DataInPort
DO : out STD_LOGIC_VECTOR (Size-1 downto 0)); --DataOutPort
end component;
begin
sticky_flags_mux <= (sticky_flags or DI_sync) and not sreg_do when flag_mask_strobe = '1' else sticky_flags or DI_sync;
synchronizer_di : process(TCK,RST)
begin
if RST = '1' then
DI_sync_first <= (others => '0');
DI_sync <= (others => '0');
elsif TCK'event and TCK = '1' then
DI_sync_first <= DI;
DI_sync <= DI_sync_first;
end if ;
end process ; -- synchronizer
sticky_flag_update : process(TCK,RST)
begin
if RST = '1' then
sticky_flags <= (others => '0');
elsif TCK'event and TCK = '1' then
sticky_flags <= sticky_flags_mux;
end if ;
end process ;
sticky_flag_update_strobe : process(TCK)
begin
if TCK'event and TCK = '1' then
flag_mask_strobe <= SEL and UE;
end if;
end process;
SO <= sreg_so;
DO <= sreg_do;
shiftreg : SReg
Generic map ( Size => Size)
Port map ( -- Scan Interface scan_client ----------
SI => SI, -- Input Port SI = SI
SO => sreg_so,
SEL => SEL,
----------------------------------------
SE => SE,
CE => CE,
UE => UE,
RST => RST,
TCK => TCK,
DI => sticky_flags,
DO => sreg_do);
end AsyncDataRegisterAdapter_arch; | gpl-3.0 | b05fe37bfffa01d2eabadc2ca274cc00 | 0.530231 | 3.958647 | false | false | false | false |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.