repo_name
stringlengths
6
79
path
stringlengths
6
236
copies
int64
1
472
size
int64
137
1.04M
content
stringlengths
137
1.04M
license
stringclasses
15 values
hash
stringlengths
32
32
alpha_frac
float64
0.25
0.96
ratio
float64
1.51
17.5
autogenerated
bool
1 class
config_or_test
bool
2 classes
has_no_keywords
bool
1 class
has_few_assignments
bool
1 class
fpgaminer/Open-Source-FPGA-Bitcoin-Miner
projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/ramfifo/memory.vhd
9
113,901
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block cHKt05PPJfH6cyrJMSJDP27bf/1Fg/uUw4djJGTfBxkrCY8DwmdKpOpr3s4/78QzV9SR4ZGtvxwp L+V5i7NCIQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block cuSImP1oz1lYu4p+BBDIvDiISAwOIUOlcBtV4D917hPykJiApQ/o0AsJDJFj9K7sg8TQXw0Sv9oA HFHvLORao+so0DnHJAAEW7c8BKpjtYARuvXA8kHW79KWXdFXMXNwIt9ywCjk4b0lAAur+0y3zj4r 8mjf2Kv3Yvf9eQMEgSY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block HTItgXk15ahnNvxSZMc3fILMt0rtmNlzWEwE5xW9doiIcobrbKcRZ4xeH/+nAww4FMEiyiZSfqZA MK3xecP6z+3RfaNaRP/udf5HaQBUleH5rxmQ8Bdzo4TGWNqZR5N68b4eP77xdo/fPeHYz1g3xZiM Eyg1uP9leAnhCDcMQ9VYAVYmoe1BzB8T/AcwKLORwOwXpyqu83iLFnSQul8/Urzp2Xqnp4OitUrG 7ET8UUdel6kDNQfwo2k5yZ2y2RMdVQXOHPTWEgHitSRX7HkDUqmLcsatiKLrJuY4x1druYsdZdCt v1V2pwBzFd09thDHfI0MvGGAKhfiShZwnMp/fA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block mS2v3kf6q8OvJm0mVizyL8GUxKhNJ9nqW3WZeFULeueQDB5z33xy1DQFTz5qvPXwOApS5C8E1zgZ vM5vy18WMC/J7yQt2WC6GplK5yd9SJhwI0J/cssQWXQbcmQprQ8Zt6mjIftiET3kCJnEzt87FHyO UQ13JEs1aV5PpGAveus= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block LQTC0oPk2TT65YS7oYKzHYRgbJuiKyHY3hf+DTep5PmW29I2RMJCIO+9z9Ru33jS/9qgW7/h9M1m wOW0J/usceL68Tl+cwEPYnaJ98PC5EsuzTpNafxMcd8OOHsaBBxCrvNvzi/j6M/TNTLLLs0noKo0 94IAHdslZufI9MQzkhxc1gNVCTl0CM6tkMbzMjENxtXVblACQOpglIxyGCTUIOef/jbH6Ntcr725 c209XHo9UJqZ7raOfAlKoeVg7pPcvl/2C5sbBTA4csJ3fy4Ry19FI2mwScOOP68GIecJIJUMBpvO VJ44gA/bCuwqlI6uHEV1KqEkOeviPmSr2eqkNw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 82576) `protect data_block h5d1NCCaLbsm+nQBEq3WTxAzWRzz7KsDlTDwMoRXALS3V8LSf4ikhI8korBa4hNgpZgA5XtvjuFf K6PQiTSMLub129eHlKb981Qz/UbCYxaTcm9Xo8pA7sFqrJ3lilgigxt3ZqIaz0fGpNFVni+0ByNl wioFfkIxOVCIVSxvzrGY4fK0uy5w2/zPQJZkG11qWQiuusTmA9aFPUxYuK246CVXxu4mPuclv1h4 IaqkEAkbkfCr0JflnCXEWixXZSQdzwnLItxQFpQZgv77s80U0IGCoI+VlFh30HgGVoF5TzgWRaOc Cq1U72JLA7Xa9+5NxgBM2ab9YAt9x3/5PdIuwf/xvm4zcY0h8eCX4KbrgiBct+vDjtxDoFApY59R KK8ju2KS5nh1JGUbrBMsrh//N6qNszN8XIJkzrYzRkMpB4jbcRHoUMXlEcr0lhXh2lIJrO9GUo79 CNmUY7/G4P6Q5ubD2eCxgJyV69yRu8hXY2UUcwvGfWuLi+YUMb4ApM+Fu7GCANrMsS7prY4FIukc K9OY9M4hNEXlkum/Pn5IaQDShD7tJNl5ezMV+1qyswKu0cHj5OnYpMTJZe/pf/mOyJIJZaGwUSDv 1ixlWUn+ulzUrBPrY55aNAAdhrSnPaDtDb1F4LrqUQnjOWYuwePDUx7gK87LR7V9Z+ta4qQGbJKp +qsiYsjCw7st3/vCwr+8CGALYgU1JoEPJ6tzFxj2FAjYN3oAXEXebkAHTj3PviC+WKJ4TuzMHgKI PuUkPVRsKXlCYooGMf2CCC2E24JYT5eUiw3KtPWOqaGYfhHlj7V+cQEvqpVCmXi+ZQOCxjx2z5hE SDbeBm8kJjVcP7YP6sx2kuEjAy8o0c+L7jW6yuCgOlXsx2Dpav9TwcE/wFJFuyq6TRQd0PvGCWbw tMaNEvQyY/+f8DS7JB3SFSfdta/6e1TTr93ZYLwzzKPRSSZnYPKFpEqs0Pp/rlJsiLCk82W9ZvXT +Aknk5nwAYFfzTe/VLSbgSMk11SVcXa9BTR3DbU9KOuJkt+g7coVI3IZPkH71QOkt6IDjsJiWqEo Rk3gdn+TKWtTHu4+Ezp8u42L+3xfW0fitQtk9RequjPMJJ+p5ScfIfybIodmsAoWpaCESZ1F87DF 9uqXgR7H7zqmj+xxZO+gqIqZ5fwtT+h5yD8FqMC1aI8CmQAddTcO2noK38Cqh/HP8m1jkE4D3lHP CefbeJUVVVfDJBsYFkwWj03++fHAp1C7FCFEBLHUYLQHbYzM4RiIl3akHol7HWmdzlviSUZkYbDx WXgJlI7czVI46AV9D/KkGQIR2bHAA9ZEJ5DdCDNEwe6jf1f+IOdZquvwhYlW/myHKPplG9ORraZG 3gY2vMP567asGMPbpaQAgDXdI6wrZyJCxwkSYE4e2LXuoowxrIOCeQH+1SzlXqAVI0/EZfcOkvnr s2RAlsM0A1ksokCxgvapR6prKyFi5kV+Irz4NILny2uYz2rb+RE4k3Z4UlMNsQ+nADhIHHDHskfv Af15cvG2LGcQ84O/p8Y4PrBnNbyAqsU9BViQAie9s1yUeDCfUEBT21QPaMgqYCzLlYjpzSnHoGsJ QzJp40w49Baaz59NgOn84xHJwrpsKmNPiy9giTPoXUKPF9eTK2NH2uohUt0i+Xm/bPGSUn1y+5Zz M9GEU16Pz6bqWsFGJSUJfJrp15CMtlXxTwK2v40SWW+zegztYY08czWABLLIvuyB1njYDJPfTD7q l0d//uurQ/eP0halDf7kI23m1sWC8eNSz6pf7JyLcWN5eln0x7NSmeHgzqSgyKaG1Vs94dudF/x7 FBHVv1A6KlNOo7y1mQ0j772pi/NUA+MnN2NP+D++U+jGPiqKtT7Wv/Kl+I9hCvwqEOl/KCH55zfD ZzNTnMOjHFMKvdV76Y4rdKgduJeQwi9EgJSol532axhRTFrwL3+4D1sfJrTtSFXO9SsFGnoORS3B bYUsOkyfgylBJ2PggEUUXXQRKcvtJwyGhS0FFgRe840iQavgJL5FFQIlGsZQ1i9A5PAg2lP5lSX1 RChhdLmfgQad9GN/i6DxUK6YfVU2A7pnpLTrLc3KPXiQX921vUBbMLXqxe6dczbVdFCw4XwoRjEW CRTTJdGH7ijudFECrdXTHjJ7VzWdXT0MrbBX32xs3yelTkP1qDxbqqC3XqdO/tkMYc0PNYN2Qk5n A8IeEkSi3HqaSk1zEedo1MtbpVVnTG1XgDikMO2Jv7eJ87hxa5PPIJ/3oLQjI6dFG9/97YYhFrxL 54aOElm7Wr0bJDTGB9SCNQEb78p5OyYsEJsTora8HMbHnHeYhKT/W0m5eorwPr2SITdQCDCtqE03 K6UFMLR/u1XIZ1/VWfQK0T5e8cbhanedDn6W6H+396GCz3g6fDm7r9z0F1NSR0REdGLczsLUfTaQ 471fqbla9+VKN02zGc4xdBqzdHHFEVeOp7LIL0BjuLeBUMj3/tWz/RsBxQC2VPBLmcxx3hsMA05Y PXrnLBnJsWjTMWZ/QupskZLl9/TJ8eREGXg7kz75WoK7LzOuJUXz9iJUkmfQZgEvnNM0nOz5SSQ/ NG3HBx3vwWe/H2KzrBUaCVXvyuvKppoXbB9POXlJMIBqpg6Nopyj0zuHc+iISFEbeDgZ327QyYtQ tenDOzTia2lz9nbyLKDYr+/hroyJ+E/lCDw60AdG5hCbrnIhcNj0Q+OaXb432tO/K4A8NEuccAX6 pcjWEQ4/sL+GuXvFm+4PaJPQdcUTUaSg9G6lGlgsd7hRuMfLvxITsmTOnOd8qTwkHEXlwTHq3QXc nJxA3+TN4ZiO064xKnHtrQ4laX2qAyJJDh1IVyMBRSCsaXA/gYMe120tB1h9X5wA73sSHquoARqZ yumsOJT+DsxlOjcDeYG/qD8QhAA8pNAeWwVRIZHNR3e8jiVe+be4L0lEk1+lyFIDBNx1JL5BherN l0I2S4Tl2CeBxoGu27Vim+83czR0fNJIpI/pOwWrvZvuhYO1fhv0irYjZ9YTx3eMrcyyPwiYTkk6 cvFvMKrP3syNLSY9YVajH28Cv7DXWlyXsz3XHjsSfHAEg8e0F2z32G3D0e5/IS1A5m1Hdr+YNwTZ Wk4+/icCcxj70HXrgK0EPfn5QfZFVJ7ZBe25IMLrlStlgwue/Rf/Jm0RapeLSw3xHgbDevrCGCdz EUCbLqBi8w4+Rj+H2xOWK6sI14LDbycEjjXCOTG63Oe1+fJsyqSoHUGOsnL/cu6PHyF4DmZqF1V3 XoY/FNyMe2xpLR6sZC7PI+yopuE7MSRke8064KNHntGt9u306suxYb12Wj+RhiVh78gcpavVpCN9 rOo5Iww0NKeG6RabdOqLm8PrCAam6jnB1XYp9oKy0GohVKTgVBTDSG1MHPUtyNLWjpmUlH/kYdH0 lQ2ss56sxNwGNnfe/Y3aHox9n2BFRDHCxr7ETqBnSjP6NRcrwD7jPO8vgA/6M/MaiQw1J+iv5fN4 yAukhmot5ubXzbK3cQDQZzzxGdqmQFuA3xspvjfm7u+CL7jbgfO4MpnaV5DeO0J2v7wL8mF1m/co Exo/khdGEstKsROvfSBLNJF8ehZfq18HmcbSvjbsga1kEpp8Zlh/hB/Ssv2SySFB6Sh3/Kr8MZiO gdFd9ZwoPLSryK/K4LOmXTog5pKwwI/tTRVgpUtU6Hn12bcOil1q7FRKt6f9THGqwrIKVk2aqdbL BhTq8zE0FxCx6d3I1Wdgt/8fEELBnkioEzfE1a18OvH/5cQktKpa+i53+mHRe7SSWYDsmT4qkHq0 rkQIhoDFVtiGGdxDSXxCjQgzvUb/EK5t/bgrwJhcTJwPfztBsahzsAwJIijuyBrPrMnufG42tdm4 5RyDpgXHps80QFxJFB1NEclThc076mio7LAglpjm1FMk6D0jPTX7zyjtUEc+IaiowIYPFnuZCY33 eNU1NQfyl9YyCyCJ6HVBdhbqLl6nRAYTK2/6tfa+CsacaYKRHCTbJv/xu8liSo5KiWdiB9y7W0nq 3p0tPLzx6rpFBLx8CTvL4CZpACEtK8jLnsbPF33wiK3lKB3BrjxCGNIc0v71tZ7TN7evzWYkYqHf 9NkbReA8uhqRY9oIlL2GKbRXO3kDU/JYCVtyYlWbBqP5bcRbkmOZ9bYeWkOmVH4LB6BoMH8yzAg6 cQ1/YHrSfqsjfLIK30iQQnwrQs2a0KIxcZGY3q0vF2niPsQ41fYSt847h72rmJUNDEgTkINBw3vn IsELkLrTD1g8xjv0xfeyDXLCgiiD2ZUOb6CEHZXnyG7rMeZsa4ddMd251Kil//vWlKZPWKl180Et Gpzb43ZFknek/oY3YFrCDjkeUl5PUU4bJE5x7+ZQoCTSuM3a5weqt4+fli9i63wN2QJdTzBjJzPU rQWGX2uCOsAauVidG+bhZJCfbtc554glKrI2O1498E4uDX+pDbyQnxjHzs0A4P6mOcvqXd27dQZY 8RArVnkEUENAaBaCNp641RVBFOp8/xNL94h7R14mn/3GvvMURMM+J6U2IOUcXqvbFs7nCteBGzHo xUl90kjGZE0LY8/OVfHrMcOYWAPSkTv2KKAOk6fL1NkZyIAYuoLyoOoSqbB+OIWg7F0riGXSDDvA tmAeIjwAYjs8AmPu4bHhptRj7wgbOAft92uaW4oYBftazgV2MLLsf8eW9mRIho6WWcBekv2aZ6ze B4i8sy+17mT89wFKG4gyxUspcNRIzbZfOZKOlGxeo2w8TuQZckgMJJEmg16UnOWlNMx9/n+ORMEG rfvjnCq0lFbr4tGqq6NeLQpI+RP4+LlCgH7BCANZEekaPyXnjK+0I7Ieb4pL2YX6YKtnAia8twUc WQAt2jnwjRQ/pUTsYCW+msGvraRh+oLXLFEP2eLEaXN+yT+aLzCZzS8QMTVB0yO3em2oOzD7PX0y HphnlJCIS2/FbVov4hGyehyaxMdn2iZAitp4nPFrDWroEgtI6SAkI1W40SpOrsQHu7kHZWaUcW1N LhtPwb3d2xRtMFdDewyMm/KHi+rpO1xiEHylJ6Rjrln/NbR9omM7WHvRdUKsC2aWESCVY25B5kbb zHFTkjsXMPOrhWtWj/RgrLSf53kFC2Ozs/SGncBo8DxrsnQh8XcSEPW6/LOLWim+TWyM+91Qlt/e n4J+5Ds+k2n8pYnQPtFIbAWC/dlH9twz4wpvQLIHkHLvfLKXu/Rm6vLMv1CbXXM0ha7kKqk4VN9q sClQpa1/anOVbi0CVkzMrQt+Fudi+/NQB8izG8pgd40uYUS3rwac2ioUbNFS2IAQz3lNbGttjmDN bmOg5hIMWWFBBuDt/0+ljspgHfETWDIb+EcIhh2018MRwcu4pJmIGfTAWfTr2nSlmJK0TSZuLyqS suu4YcwgAwXFkI/dyuLhsx79tUSnzdvDriExlUXFxrp020O4SSztydNzhmMnSlbsRNGf7o0wivzu t6D5YnXLGFVbJHxLGABUoWRD+nKgnJ9/Nf48fiiqr4dEoehgjOe8EYatH5NXF5dZ0c9UT4DbnyEh pUM8fPU/HHZiG6QEBKjji685f1/E4EOyljhuYdGvSQOzHBjmpw7XK+R90QlPEsiNjQJDfKbFOwK5 OlBgoAzRSLLFzylVPYFeQZsLIcMvhtgSPuZpQrzXUAsHvDV/1eQ6qstXMK80lJS1WZRH6cepALqA Ez6+O/8V22NljxY5a5MU7M6SLwRCkba3OGTRvfTgCmP1dG09h6TAdwV5+LzcwCEYqXDeOmEv5dfQ ordhm12iaY44iaVhv8LhkT+fRkTBOaaolr3WJiHpafdfKEOBtFOayi3w6BqFQx8Z93zp7416VaBH Sx2qAc6Rr3nczq9rgeBatnzrZ8P9p5FXrzcqhdLuwWUvzgpJScqjEkT8CvDhfonkws+2bHgRQuXo Wdow0074pu4szyiJpb43yCvaXJq/mnPmaaky4oJYvGtcTO4gY+8vm2Kd066/k/6E3DejFDo8IHfH YAXrPHQJ7MGcixz87zbcchGARhKog62Gc2CffG/AiaRBlRld5C1HzoUqy8DomGWHOVmhoRbNALsT Pv8UpN2jkKVYPGXzTikxJ7xjcuOrTCugJ1Zj0HLRGBBzMAsKpY/qUSBIYgETQT/HFlbuv91LhGj9 q7PFDT6HNkMnbkTV0p5X1IJItRuy5n3nMiCo+1IhgMUkafZdIIzd/yPSxpq0vDtjqInQXZPo50Gm G79DXVOAxvXYKuHAznwddeUbauHAY2dh/M427Kkl8oHmJKK8xdsbDy/GuIRMt7rhkJTVlys7RcZO omrrN3kAqB8VebN6QnMCsjnAdt2/mtZYSrFl9xEVDUAP8py3hAvTMh5SBYUKD/5AekgGEsVpbeOa LpmIpzleW4n+f0znBH09CfuKozOUpLJaW61cgVRfsMmySZQPhNmU1+7o/0lVL7Nsd2/IE404kYkj nefxiistieOMceYw5PPsV8CUYV7k7ryu99EkhqnEKmxoP4JgjDVpCSdlz7CcMcWimfF054UvoENr iSfwk+J8/Rb71ixyJitn9Q0ho/kMlDXzJALcnXtFnwc+Ahr9m5t7ZdeSkTIHYiRupsNUaCZb7fBy aFnHAF2kmx5YR4qIJZpAaKN2JiKKzLuaSm7BSc2O65xTc0KmaTBlIypqvEWzX6o+n7gw2+y15fFU TuLWfkXF5p+TcUSqc5YGdtwxZbkc7/RFulW+7MxI01LwaWOPnqcWh8tynaiI88KU6/wJPSy9+bTz ndyvZ7/axRJPPqbnxmOSlJd25itfVz75Ggr3ap6tpVnDlIdoKabemCrfSJu6V7ehukvyMvuZdqZM TNTG9Q8zxJ1ilDy7g6PMewP5RCPm/6QGTqY/tFyvBKxQHy2CYSnSVCC0YBHicmzuTqo6327QIg0C VKBDvylciPRIAvD7l/Q0I9SS+8r9asjS9L5yX5ohofeuunIAdNBmmAvMTugMVBwhgcvhZCOhf3tC 6oS9MYOiL4xWKJ5Ok3RNE423bMtLyEc40Gg0kzpia3Juq55jgJ3frEoIcfJA5r0ZgYPBgLIWoSU1 w+2WAWjaQ2aeq8CIZOTiTuBzNS5OVWY7tKJRjb4pGTt8x3zyuKKMvsJThwkp6t9lFp1Ermk4TPfK aySX5aA+A/sNCbQ5vmBVZCv0nW6OcToU1CqTNh9KVpytgzErn+tT2ZIH+T83EDGv1jvcHkW7T67N 9arMR84gBLXKju0PR6wp/UwmJLvbGG/hbpivPSbf+VTTlXgH4Akv1HpFv0gBxaYfddVOiosvnj2Z 4C1to/NR9z/vvs2yvGuNhGogOvicq7TrXrWywkWvTQnv3/xCbqrKI4h6kvVaAIIGy052q1nx2Sjq RP9ycV0vE04tZq4QwUGnaOGA1tR4dGoRAYhwc+u53uQRdbxLVC+6+jLmJcipDu53TTbdMpfdzp7j K01WXdcRC3WX95F6jmB/nJmPUXD+glDEoDAfiVmWcd4Bf+h9Ahs8HgNa+7Xk2SphFGuUejCZOg2F TNIIoNU4jZr0kxXbY0t9w+DQ2OPZtmojV+42ssSHG/yfTGfjhYoDg2/y0umzzxTw54ck/CU9tAsK buNk/oF8XEt58Xbpmhnmjirg6omCc8eZXL3mt7Oad3CxjAPvplcX42pYbiQ1lcYLkx2WDsZNvKh9 4VAohko3nu05BNpIvU73maYYuc25hMTZxUELBqrqojOsCTv7STMrxPNdB1DQ3cfHX/F5n4cG4i2w rz2pgBLmmWGEBnruR7B5dHajDlzyhtr1T0NdGKkULMCcTlV/mioGVailxBaYWXJ9ru8gq/39SqwD Ti3P5Bnf0kcl7xO1/Erj9CB96AG8DzwP0oYEZrKang/RFTrUv5sPFAyX+KU+SBQKb5YwivI4+5mu Uu/lABC4qdtSKjMh42fxzvzI6Efn0CRAf+oidANPT73JSBtlpFpGuL/SM8IHwOxdTpgSGv7P3OT9 q8RAlkdktAt5hk92ZiU+a0fXXQ6xohasWtbk4fp03nPsXwhyd6OufS5iCv2pgqG7SRcXGppLZIRM YfzLLHQ+9ibxosh/G5MsQ4iwO1Dei8DUlCZJbkFEpCpkHYTDj8+Ne9SdOLsqYgkCTNLEl1BlkjMg sIZa3CPwV8NHwWprcVQi7da2TiNn6CYStTP+LnysomOt9rApBmZrt2b4vv+0gcufHb5uqM+nTpg2 0vxeI3XXGOhhe/dn99N2OMsA1MrNz3r439Cj4DBg/DTFXLMkzNJ8tmMePUNOy4svZQtNGlfgMpRS 1hcU0oBzEbXWmbKdCn8560AKQgnlj4TjsgC+L2Gg48MYk10YmSNfQfwCXR6+w+y5ZDR3WA9cT8VX /hVQblIS8XHKqT/PK0J5fgOUv66n6k3RBtBi5tJ7MtHlMmE44BtGQT8mYMUqy/Os8tjW1Le1seX0 SWfO4OpyFQAoWRsuAIVan9zKVw/KSSZHh3OcYiNh1pEXzIuCm7F/PyG/jfT4449LQiL2h2iVX4Ge A/Y8eUYZbYZN3zDn2lGT6oOWrNby+5dAqdkkh4SoZAH4BkQySEE4IEjaDSl2c2IOyXAXLhacJtvB yjZtViXhUhxFhLfrhxwVj2M0yFU8hlz5tl/QoDLssk5J0/J2t+bqKAjJFvHsq09vmjYEz0V6TsQ3 cAJ9X2vunKJW1/RorasycSENsCsqL/zijvZqIXfMmCdiZ8xC1/ST930idZn8UEtMI/I6t/gi2Xem VP48Ky+vV+3VTxyZH2hAggM3AywekyTnUW6SpqhX0K5oXTAs/yCqWkjVjyherGkTaRrRY56Igrn1 J5fx3ecph4RCvIoEA+cbsFAZLvVH3Eemn9HqZivfZYm3BqUGg+I//9evzruWWe6WieS6O4cv8ks6 6jgkasEYwaw13kCFxoAMPUQtUKuuYsKEM+N8GkX/cqf19QPagzr65mvHU9Ivd6RAjOd7hq3l1O25 /Cbp6Y88yvGaTi906RLe2rEicp9G/f5cmxPceICNd90+7pUgPYQmXR7Y1n9DrpVRJiL8vwqDViD0 IsQu1KDeJ6Ro8rQVCwgbdiS/tczMjUzrUUYaEvK4U2yv0xo2kYMDpprrkLX9nN2FX3RV/8uVjClF gqUQjZk/rugtInJwpiapOBIOwUC4KE0yzN/GedFK8WxBZzGXkyQfqmivjqVOdlVzVThyZXFsc88L 3hkq92/kBaL3S/oNKA3K+d4YgD+EkTyGR94UTyJcQEIjsJeLR0fEiSFbgI/eQrSVsoyBi3SVzvku DlVi+KV/B7aJEi46amXBLXIG6bpUmrdrW92ptHyqO0LslGYmo8XkWvdgJMAEGBMIMlfA9TEyp2Yj R88+nsLn48Dzx3Pigj6gdOyeqcn3VbywtdvlcDu1a2m86poyR/MXf5HNzhLauBI3Cz2010AJUCPE Jap9E65G6PtwfcNhtaO6kMJ5niD3Z5BYYRj6/PCVMOt1W6pqij/bbshICb3tbIy2wcifUkcKjikq lReAsb/M5Kdb0+QZoNrMxToChcrNY3th02Xn4dNGnSc7sutLbZrRBURJ1B8Mba1QY2DsnMlDcuQu 6sKnzRcZaL+DqwziZG2jATe0PIJ/oiKsqEIvJ3mHl5dHZXAAHdYwXQjMRVQhtvOPD97cQSDJkopu jqXn3/raa/L8SAAyI7z8tkdevwEStq5QrnZM37eONu9+cDvyYCJoQ9xQQsBQhfjweSDhottiEvSs XYMn0vvDsGsNxiu9jCBTxgVPODpDplL8/eRALzui4jHlbQCEhRI2T23XiYz+tkoe8/e/bjOdzklz yhJqSlJmR0gsr+BpIbxh5uzFdrpZ0BtRMQ/jahj5GYwSjcfT2tu59Mz9XkK2ob8VZ6HccbBOqHlf XYruA6flWOly6/v2mFvGUQiaNuOV9g0N3cSwirb84a9A3I2sp5isaiZNpveFeMBIPIQxYsee1mF+ bGm1eebdOGqR09LSdPSyb3KRnEeDDWx3BBLbCV5Is2CH3hXRrzjMX3QWfX43q3In49l4Z9FSx/PM xzLvSN04knUMRk0bCvlWkd9xQXXfvKLvOXSjuwvu8h9QcAH6sOsIoVaeRkf28o4IUFrM8TFoUvtJ 8GJUsmqVEDcbNn+bmkJGeKbjaM+dCNynMhYHrexofAkcXH5FvqLMU8VcJ+5sYk7nzRYtMMf08xTG xDna9DqYNnIJKW/PKbou+5o4dCYr3RAypG63PHQq4eVi8gGgjhKaHG2mw+fyW3GDLC8FFkEP81EN TZFJKYl8Q7mVpR329ZmgRbCJu0Sn3h+37KQTSTntW5Cx1Z73YQMBh4oXJwvhPhtMF/5CztltCN/Z TKG+jgb9cIprRyg9lTGrXfekofiPMmRUdj75rhIuRGiGye1VmgcT2IAsVpYGaHnkGhHV7UuM3JPE DFoH0CKMc0oPygPHoDR2uurss0McjfWm0joCXQx7C+wK6C3KKHSSVaHABAcn/M8/KCJj/+hT3Vo1 fMFtIsPJ9+mvRdW7pQrGmNoOpfr+TgwgLuJ6IP9ox6zexElAxDBmf2+LpkAou2mWQ5E7T6eu6jqt exR3rw35O1i5X6RY8NTW5Kvh1X2HeNejOllrpGQoTQMGSl4EdavHW4hNTGqLCFHkfHVT6C+0g0fA 0ntbVua1qS6ODfGJ4+PJ0bB/Lg4F7bLS5dszBuxdtga3nuDF6KzI+QLtP3Sq1x0ltWzhbXcLaTAh cpMIbcI46hL/oTdhD5fMrUc1oOGlMCaB+PqljVP7NbaYui9r+FRVysVFaqxLCH/Yaw975uu7zM5L WR8qXH9oc/RjKQL+6yqS48FEziU7aega6B40UwbQRH8tsbREjOohJmsfGftjzY+XD+z5KFJTiUnc rhcFTxyOmB3+LXkYPozejdHXsiCp7FFpE76HFm6gz02FfOsXFygcfdM8P2Qz+Cp1HkGXX4J977uS kCpgctxVIpWqj4Sdp58ClHFYm3d89lOEK9ZQMNu784Zlsn/owOrAsv+xjDwtIpkWsz4GeZNTaiYF kpbsM5yhWAUN0gPV3q9NVvBDKtw/fVDxdLYJ9gVozwa5yJMP68RLpl34kT1IzjYX5rgRdPI/Hr+k 0NBmdkRnB1khOXbg4OQJS75cihY9TTubSY6O8+221P4XPw5Em0MDy5N86fG133Gm7HOgP7d+UJff 34eGv+tkI3cRaIOnFTbr5zhrnPShNxe4gY0Y8lmsrqgwkGocodv5F+RlKoR/wTrJmATcH2yu5Ct+ tfGwA4INvrcQV01Px4LCOE8bFPVutgENnF3Oh9TVcIQOOiAESH/hmj/WQnWT/I9KcyXnJE33KTDW DDteVhua8z534SG1wY8+OLPYs612U3FgZGWSETCAkebKBouq5ZHuMcHDX73kA0PjS4FQaBH8brll +0sAKREthdDsx0pbkwATLvc5V0VqHf2uhRTWVKigZAaD4ueUZvlMRrx76vKKyJKEnQWeoERu78rr EhbvmRHrwBfZlF0JAOscJgcHTWF68ragOpKoY/b0S1zZfx+2B6wwzTm2ddlfr1xTPjXZTAdZgOqA jSVk4nO55zeyXs7lWKdA8Gdif7mStqC+ZYpe4O9tU5TIj2QsuoYrUvb9m8E37Of7wEiA66lAQ8Rs eX8ZYWZ0u4azRDiyjhuzPc4YRo+MPIRErjbOGx5DWYlASHQFnf872YPXQUs7tSeIn7R+V6Owv3Y2 L4RYCGR1wZ2yIsWEFDWHigVldbLQEHOezI81M4fMDznlUhozjHk0BkdO12BOuKpJoxfOLIT3jydF CenHq3LCTpRnGYD2HXgKn5uY8ixhyTxYDOa8sXHelQ0z/ZMM5DphX2qEvpSRkGNAAK4W4yfwM+ZB DxcOcaTdwYLF5mzZl5sYQQBkpD5VxocBZalPk3KQ+HUw8xdr+nsZUe9YX180o04lGclcKdKzTJGT 0rnZooZ2lAqKkTiNdvAR14qjYDNRvtMqTEmTa7VwA6LsuPX+w/u7WPdDTroNmpggfo8xcsuZtEvZ j0cVBBH52MM9mO9cA2y+UjfiqUDtcN0uHr7X8SsR2iJMOyErgM1AnhCxk8N80rF+hpjlrESqdBpi +1cAKj/Tem1AwsPV8ijlhCEx6eI2qYTd5BEZ6wHa0Ph2cfaTXeA1N8vCCjgJsuiVVYD8lY5ixHMY F9XzGrzRy7y6LLfJx68Q0tVC+mPggn2wMYYwUddHTDo8wBkW1DKj+xZl1FbGhzvV//w2e0sXSjtO zDiJMEPsYvSLrNf05ElWwRaw6R4SWNzY6n/8R95MYUBoqt2bXk9S6fmh9ZFldOewHf5FWfXBN6Ke kchEi68jnp5S+r2zTpsjkL4U/gocDsE2QjNtkhtPd3mB6VMJkWR9NZecBDw0iIkT6slNnXA3dz7J +BYsTNjEKVZsetLNKUrDcmsqB9VGUhMGrkQhgtZphmkHoG4p8rFMfnQtG4Qwk5r4hsv68iH3mc0q QkqihYzimmdY8nAE8cs8nLoY/cBAVvuVpCn0i1ESBrdKMAJVjZYdR+GwtgFF+bYm3NJwFVOnrC2H O3hy6y4FQKuhJYXfCsm168VdUlXMViRXgK83tVogkUMpsdjEta5bazzmuMMkXEDyxouumALhMPc/ HPcza2M05KzFZ7+I7JX0K2akVgjA9+EDb4kLCDg/3/KDoiM2yrYeGcLApXKkUqRvpBk/5YtyUD78 saDY2GCSoMJxjB63MNkcRXKRsJ5o9ku0tNS1+ew7YredevU4EOVQOKqPfhjzE0bRsxeHA5Tu1WEf 2AbIi+lUyRWJlgtI2TQPn0F24rloo4OgbrGOy1glBSpI7haoRJ8S1942yaa5FPIhiYt53nwgyEfv P+pT1Bs/Cpo37zxLxdr2lraLpE6ekEdLbqhXy5Hbja9rhRTwjlANuoZqiXfJPJ+kF0eLBLLFhmKq M6heDcKpFUVmIt7Xpuu2lDRUKisdK2wWOAStQkqxJ9dZXVu3zs7YuwREvqNqR5e35w0Rlqg9TvyP Yg7k6b0S3kk6/f5CXlD8eUb1AIL7LaSC2H+kYRxq3JEWQLGhY1uy1HJqG4TUo1yjX1al+rOkW42X 8XKaeB+5DySU4+8k82UymVe/XMrXXnqqghE46uYe/45Vwi7Til3vjvS16VrHXf1zEIE0buUuv/1H uNDfLRhhTBMpd36m5ISYKmGu4WkW88VnyPjh1EuyKLpJu4ven+8ccLB/Z9GJ2yyozpekLhzk2OiJ mZdSFACMtwpl9MhNGDEY3GG6SR3dEcezxTc6jjwzfqNaa2/F/Lq3p2BZ3/5YJZ4xon+VJQ+dsLeC UbbiIZoEf0rowJjZsOnTm9lzd9ObwNlQMJHH2XTF05bkNAAXHsjJJqduOwPzJhPAxGKUYlo/XZNe QtGbeQFUSB+DB0JlwMx6Ylc6JVWKsI7YdWGBPDVqTZhMKvTPe877ZBCXSdlNAljp8Sp+uXYN0jRP BepUMEAvE5VB2WpSkm/UWxoaY/RuOaCE/rjn0Ouiy158T31FZxBRvm5CGdMy0Gci4cdEQsnPFfZ5 ZESInEGAY1drTr/6olzvWQwTnCNR6HbYi1zLuCl6lLpAqfmck7PlJW1g/MKk5v3h2ZtUdn9xokgK gUihl88zXTflO+0CbI3uds3gAD/XFOreoervLCpgDDwFAtNNjf//cJNutU1fLOKlaqCOU8mHjWcc PbnBDfj2NZ+2tL9FWVDxvbrRcG8lFJOgFMh9vAFS3k9GShPgF62ub9/KKRubpBR2sXd7MJIb0krA zJn7wAOsZq+b9fXBou2z/xOP+8bP+1wHiX0hQYEouNcBX5K0Rlq14SYwOMHANYy6PzyZJ4lhPWQW Sg8KJEvB++htl+gYD6ZroOT4HLp8SG5z2rJ9CwjrAutCIl4nWtk6nH/MCPJ/QDCastYPBfbUUy7g UOHyYbFUolYVym+38932Q2vD2hChChXkMldgJ7/wg8vf3zlu5dEaLwHdkh8+LjqWOPkUVofZpsVI aTfrPO95Fab1jKhoEliB0bVvxwc5d8qDGHfYWe+8eD8wG6eHvo6VZiiyf8J3EMEy8HWW7fPDG7Is 7EjHr9srVcu4tXrdIXrHWy6YJX5yL2tcZIKr+E+csVMTEk5lkpt7UsOHxbHHTe2eqD/4TRQMX/ve 3PvQzbDZvcce/ESzRo6JPh4XeAPjFlnjDq0L8Lt0vnuMnTy1qempeiw7Ukp6VJRdyTEZMxxe4WGC O3NIbqgte6BzTV5RpshiuRGARKo6QPNEcy6udXb0QQKQ3ZXWSf18dS/5Ay5MlEBNg16+QVLqD1mP kt5wulirWREDy0AYOMOk44pAFXNu5l44ay+HhYFc4dRohLBW78eubwSk+i/0DhxKxTu8Aj+CYtep qgUYe2Stna51b5L6DTvfclqtmfj7+CRcjmcHXsArkhrmF3qkWpGPGzw7Et0ArttpnJ46pqyTwfr4 7Za3tpDCcIxnxum9F8kqtWyCaWOnAhC166PajGQgiVsPh0p+IwBNYuzDbGc0HLA3lTz+q9Gwj0Ou YB1KKxzKXhlg7lqvXfq1p3ZYAD4T09UyUfTIdIAlK5z1/lhBQbZMIHX7h6/S+8R7rPP4+mFJfz+0 9PmD4KFccR90emWMjjmUzK+Co8NlE9eNI+vWPEkf2DalMgZO8SaMbxpge5nEb5UKSlFM4x2L+FKV 4zn6xffTNJUu7boPjzeEHaxZZRKJmhAziSFUvfCMmBllXJXeoLvAUnGpem4b5snZ93jxEVcZS5HP IhPXBcUJ9MmZzEcpBWN+vD8LscLel3MWeA2M+Gi7U/ITJwXWjhKLVOXKZFcaLn2F0EB+1WSwQp83 l659CADlyCEWCyLk5pS1FzHRpQ/oNx+BY42GZFbJQZG025RWtib0W+BeOhwiANKTjEFSNkArvQpT 3CQIA5xRtVuxCt3s72O43fb4AoWGXUM8ziG4cLXA5sGiGAovGk2uzZ8+0KhVgR27SJVohrDMWnUS Lm7tsPzovEBpw5rCpkJtNUMnwmvt191AqZMCkep3DcAj/a1usR2s7eCW9pg0i03ImUeWX0T7CRS0 qo4CHoSIvnOTRgYPXAAqaXWbbp+5imaHfBCVsk2fh++BccCXvqWKMILMUKpHIhWpcPX5eW1SJZw6 1VeGJTp2S8wA21+tGM8m+0zXqNQmq+xVO3zWeqpWyij5/C5ED9Jn2lk7tHy+upJNxJRNquUA+9ob mx5R+yDn9fLN7xQ7cEr8zuQWF3w8ujL0+0kNS7NcdUf5sGWZp0poqPHg4ZxgDBdS/O8FnZyTC2du uhPGS160jBYoc70jambrbYQeuS0QtfPT36LD90A+hpHUZ9g8Hq4GTKLnk+ysQY1uFo5VDhKNfTZE +1EHNa61cCdr1MPohDtfJiwLkHX3JDaaZBiLsbLUSDD5wWF60q44jJdeA+dH1aocFjRdByn40oqT raAqvuFCwyJDt1k6nmZFNDmgroa2gmIi+YOHB3Wi7/vAu2k2lQTf2C3hz13dE1a3ThIzp+S8VCDy BE/SRygRWLbiMpruVDz5T779u1uwxPKeOjcNDFecP68aNOMywSWgYxDWMIRIIRTFKBEuRVqGFjM3 Ry0IV19G5x4evxmib6oLF/6MI33BELGACWLYJ7YoMdIk1YkuuCZdBW02+8ExWTkRsE9E1vY7NiOI nGb+nBxG5EplTDREn0YfPTS15NqO8u/E8YO2ZBZC97h8OgEttBj0J5e0mtv0T1R2kGtwSv/AsyTW EsjZxuRZrzWNZVWphqr62F+I3hCSN2Br/fFeaxR6Xio0jmhzVnKbl7aQVWMO/Q7ZXaTi66QtYbYY jrQRuiVg5phcnyK69oVJ1HNE+kUtluhNlQp9Xhn0bobiTBPKx/U9D4qIMtgbFacsyM8WzlrlXBrP KNVo/KwZdFSZfJFCzii0s/wiV2xHoi4pHngEli094tx/0jNctxS89o61dZ8ECeiuIV+GZPUMiNaY 1pQ/HRsy6Nczy3jJwytghLTB2HPMo/CPQ34f3M65VyDJr7cWqNoGgpZcK4OQtDQaKg6GwB3NBfFr wCn47lOT/dgZDEtAFu0zGhu90yqSCorKSjrxX+TGkm+Zq5/mrc1BYQuJvMjYb25+P4Zs3brwbO/B b3ZP/KTPeozqOpYJA5QjgbolNvWLPgNlT/32sbHYpdFJTXVhI4dFFM+ytjesGsqjCZFJTBs4phC3 NCCXL9Q4FijKTyJpDxoJxyS1SZ0Au6lUwAhXb+g/GObkj5W4QvbKFGhPx6amikkxoPO+qZZJ2U0I pBdJFImrvm0xjbpzdWE6Upv/z/kCtyWpC0yNDHzfbQnI6uNqacW2OyYFbRYcm8dGpD7G88mbzP9U 52u+wfuccOByfQYFqTLbybM7v2X46pRhsL4lh5pxSU+jpQV+8kFz1cvt6SE6yKGnNbjzGMYoFp1n vAUg12bl2b3w5OF3tndMGW9gJC2y/Hc2c0NERGoBqRMQ7maMubtN6Y5hjkfpuKVdPAqll9bh5KCS hrrZbua9kZKXlRDIFqkGa8q2V/vrXAA9ttQz3VSBXBgvYqDw4Z9WIdXjGg+Vd0rfwXBsKeXbKlYU 2/UZDYQdZG9SOUTf2GgvM0Tw0RgxIqGIpYXyeCnkSRVdYFJA5Q+DWVA6pE9tZty73zsxb7BfwT4n InvcTcP4+/5ugJ3OTtlgfbm033hueElZ83/zOOoiKv4Nn6e1IiaDK1ItIy6Xg4B9/dtlLV3K+jEj yFwADO76vPSfHPodpWR/Yb40dpJXXFMAlRc08+wykQkC1Eh4U8COdeeT0poF/OgjApTVIhF5Asc7 pgdhPS8chQqFPuAuLmKIBLeEDU2V3EcOVWdr3gRClVZlyVKP6dtGxYh9xIWqvY2t63Jy9AveeZxk y+0yeGFVEfKmfygUE1dK/GyS+qCwxj+wto4+Oq4JgIHfYOKOtEznsIINCpfJfwIJeC5XCv/qw0tK 41vYpmJU+xO+BLSuD3TiHcG7bAQH4qusbmIp1QSOXX2uFe1XshilWa2uo21c+a6nhoSVCS3yusqE ImPq7hU0FF38h89+FVG7RNekPxbJnPpFqbywKFwjr0j8CMRfMMAKCmdrHLDi1RHLgg/xm3+hFEM1 A2ITuqTjDENPzo8GaKU9q53ZH8xcxyuTpOXa5LgMEOi25KAmx3p/2tG8UsxJi9+ErBEH7HF2edi5 M0GElGiVGi2UhQjtbVziSB83B3+DVL7zdxYffRTejtDgHjpRpM5eQiT4/OmaTb9wpkAZ1hh4jjm0 6gm249BhxsRGBuLVI901f7/DG13OkPSv8iHI0czujJcDpStHd0NCj92xoLnjUBzwdtURENcoSCe6 +WJ089Dwd3uImcL8Fq6RMJ6r7/5nKlLdG3lzWpT4dF27AH8AXudhZH6LjIqpzPUYPYSpzal9Edxh 0yq6Kh4BgeujRUVn5v1fJLyfM90PUGw/d+4cdFdEWC1ClRuE5rYYsvZu35+TReRuezYCTOpaAWy0 HeGFa8UfnmX51rIMH5IHR3Ztd9RiOQ+iy0bCOwySPQr7mc/dmDGO4EDF0jSOul1/aQDEU9O0WN+V m2yqJMJtmGzHqiBtrwDfyyKm9A7zDgW8ivgnPtIJetdENGsq9aEYsLaJuk7Iwjx4EspqZa2Drt4U x4KTIYDOaVkQDr0h8RpMXVnjcYjCIDG/pHwaaA4lT+2jhxbjT8IW9cI9Lw+t32pcDmMjvk6bET1u dffrWjRbSurAJlRgGuHLTTI+x0IUWmgyYPfXS8I4aYCJPh+wTqd/UyjmYrMr1XTPRDGuk0ky4IG3 O5+k9xaePiOJ3terBfwnITbRYqiLAaZPVart+0CFxtl2AmZIwzCgr8dvitxx0si27ODj44t6bm5d Qilo97x5AZPoDeWnxhQZdGBDCg4uU9CPYlFTYuCmLgDPgygcCAQyJhQaUBipJJ/+5DE3Xi/TBs3Z BuwTu0dnc/q+4/FK/q12JMHuIzkM4LgwtpqoGTdOReXBhz1bHMTmnJ9WN5/JcmtQP+nCwxvdNif/ Xvf/ZZD3kDiA+TKozMyD0Q2soWrfhW9HRtdWRBA/2Hgyu0cclkzrildV4/bqrxgzM0sPa0p3Oey5 U9+VvFFLxkN+44GINAh+GTu//ubTvaNNnxeBtEDqO2QnrptssvTN800o8yUOn2RqrwWXzMPosNJQ TVe2eeB8gfTZ8GmjuDthC7rSs+mv73+5oURyQ66do+V/WXgBBvgrCa2pPvfaJDxoeZ/CxuXSoyU0 u7BzWQ3dM8VJT96tFJfHZtkVgkRkTLOHpmcHqwZWJkrS+ivcOcLT976+N35rCTevtndiY9ynhRPC Hwi1L50I3tClIzaXbI1zrhA0lvTcK/atkJDEwmf/ocJLTDuEe4+q7rRq0uY7O2J6a+fxfhq33Qo2 KBk9rieKZHu+8toFVQkScN+ki27L4YlryEvx0Zz+WClQb6Yi8NKqbnUTeJYg0pRo8SsGbiMxGjJ0 loyVzrwXOSPFQCo60qLA1vRdAsYWxi5yQbguDxfrjYLRESZWsvE+1Wex8Swj6hiNYsi56aRmc/BB aoM7TfLMLh48Qm3E9Na3DOxCrvFlTLWku0ZLlr9d6jyeGCgya5sVXQbp63fCZpH3c5iC7a87iZ1P ellboOdeEw8XBNWvB4kay2j5pl1rubvBA8Jq8MnqNCySr5vrEsmTfB/bP8JPJcipJhNBXoePdhWN omwIliRkYQJuln+omt1B8ugGqF+68EL+I/IkHwTiGvdHCqKtUXUImmB4zOjPGN+voYTFSytaz8J0 E9oUvfgPamnUoGPXIsfUVnDUZQpj92GDiiXacavkDG+Blv2+i787SxHSRTWzTlUg1nCbwky4LihX 0vBHvllIUeTxZSTl0ba4WMEaY8s2h1uobETqB6QDpVWMfJKsnDkJpTtu9KYXKOnzqPZd0SKCx1VV ZMNzz2HiV5XCK9B8DdOsUh45AA0Z9IFRdeNB1TbJwryhUQ8iGjGJgS/dIE5j5bZjqMP9FBHxoY9n 05+iROcIefVSwMaVKMh48B2D7PCX+TZ7drJs5o4VT81bXO7UZpVVvRLRpZ+wK1y+vW3LhfDql5Zi KYCYztfiPQOHxDHkOQrCKVeSyY0fIJxXXYFAmVA1AuHDQKsxizg5I+cLP/N+A1dWX2Zw+e5Y+CDq MIBEB+DmDovG1wp+Lh1p1geqHBzxX7KQVShU06MrW5GBwohnPX0nH7BPFsAo6icXNpX4xNjWpxRD 7TD1nX9MZZWtWgVUY5wz5ujQA0f7p4lNpIk/5qvCpRGNXsLzeOqqChqPnzzJwHhTta8wctumH5Jm ysdkHQzWlth+iZBLvcztUjmHwR5V6q8/wAM2iMfeWmz431S2aI/ObasqQarq234e7Vp+uu9Fj1re 9JQE0c6pBpPIziSMyZRMpfimxsbxXsTEPw73VikJ90kHoF8agpTo6cnRSMvrj1y94AkHAWo6YEOq 9GEHiHY5HqhHgeMv1Rzj6oY5QW/qL7D1gU5wgQu2o3PrF1MLsS6xnZg63fF7LEw8Bu+z1d+Ndzkk cU9avKAXAWg34MknxdZd1lnIuJhT9d8pyNJgVatrFj2dz4PcDM5pGHo9SCpJOOmH/133ytMc2Aqx uDQRURw42E/xybnMjRm98jsnu2VYqxS9ZijxWfOf9UoCUbYBQXOqK6RBVP8lW0cpGPVdIQiSgUvd EHwT+bu9kVQgjuh9gZyBQRjLSQ7IWXayfAen5l3TWBoJUljSAUKVweHkwvuoU0lBaTdvYC6bHy+l YIA9mxXdTl1uFweky+Wuem8pTKcRTbG68TVOTl/aP/qeM7va91QM0VMMIg8Srg9/MZP84mxz/f6L i1tJEAVNSF7qFZP8WQa2T9dv4PJAcHHVGCjNbInBUIpZLCeNqCYa9bPKynBqXN8xCXpArrq+O9id 8vy6E080tj4QE5XGZs15xbvWr95QcwA2BYqFeNLFxb6FHCcS6lMYihlSVfPr2Arq831MWbbHWa1l iR6nukNfM638zWk80TQIiWH2Fesv0X3LB5c/XXBetgzW7vJPfM7k+huPkElZwpxuGXpEut8vl99E mOgfO69Fug4Hx4WSVU7VVEbu5FwOQZWfwScirxDBXXh5EWcBBCOqJ0BCIPRZ+OcK6TvScDSjCMpd kXdPeAoVs0orCFBf9K6+j+eeeIAvYNaB5mzXkdeRkVZ57clTjCOVvAJ22K1fsgb6a79gFq5SAWKj UNhrs30uAAJockPn7Fl1uP8B+uEQ1bqh6XzpuqtNk/aoneITD8SihqGhKmNYmk7VZfuHyClgN0dy iwdWhEtBGN3NDS4K/VcxlS4ySaFmjXuwuEGEQfvaVS5M1gYQs52K5cFjPCaNWZePj5OkF+dVb3rX Zit6EpEeh2VUQCaon+WsgGXLtKJw6dnsAxTQMQvsMjp2fX+KzwgUPdwWZm5w8juRhxkmDnkutdVs B2cv10imyoiwUg7orUD3Oz66xsqW2zhMX/bvRrzvewLX8oBsiBcjoCrwDiR3NrXgaYSzPGwSBi+V Jlfh+n7pp9Hc89lIMK0MpLWge+i5f5giIu/7QagvoKe+BnWt4hHUT/U4H+kK+9CHYvvZVWmvRV1Z IV/7pOS14Q2/DRfXM05XhPzYmGubcfrp4Zl+Tj9UJE/I++ylJ5QpgBfnIdGwj9NluGCsl6E+s1hs VcfeWhR5hjmNShFJr6cYiu/UFOf9hK5IgIRrmgCT2moV+ZhpjAAlg8S2K4ZyPqmHGuQZhTRJsVVO lnYC+/CyzDAypxJmzF1W70PO/sNoQOZiF/tt/4UfemESFbsPKB4669pMCA3QCKSxUYtvTO5pa3ld fM+1J9MT7YCVh/3qSHftGbu60prHcDoAU7JxbxO41y7ghMm6fIiCn5rGmXCSMJ3Ztj+PF2jOksP7 OlHkEDpe91w35PzSOae1rIFfO3rgzZfzNhGzqiliF36pQhw4ctoAQhPceJ/iTXbbLoz5R5AMdUqK FL3XyzNlpVOk52HmXiCm8X2ihx+ygxLVWjNdcPSdY5AN1j5YgTKZngMrEapYjMQy5dRnKptTGACR lDeUSrgkwhYRRNrFEYR6vM0Gg8x7IdspMatbB6QIFXunAuai3xadVyV2UYALN/Ydfoo2ktIznsQM +e1Fk3kMr+X1RYPZ9U1wu3yJThyt0Pck8+Ad6cLjIizRFyZH1cnaR94ihrfXaifXS7Vd2t2FpJF9 jcZzg/OSUZO+t02TIAqtxYuqu756yAVxixLE2+bQvcqa8D3BK80u22hC18i7vGCR7fqOfN4+KGne ECtT1kZrV6aA9QuJ7ZorXrX+h69nkSAZk8KkYqEFAR2YDJqVbhFpX+DvQ9Zt1puZuLCn8D/EQO/+ FLEzdqXUCZNC7jJYfmChqdGYHmyo0mGwSTuTxluF7276XO2wJlMhG+XzrcragP4w5IUJJwyn7NK3 sf/UF9EBFzWXcgtDQYcRwwIoLxzhSi8NisQSzilxqEZXq5F652+JROPPYHnBgcXP+V0/uVwtTc80 3UThb2hoPcnrbtQwavn5dnbw0YyBsfztSr8cLsIehFVQIp949Q4hp9Il2kS7oXU5tj538atHzC4G dPiWb1mbtFdMjL0wkcreQOyAM4Kfooh08qDBYi9YylhxDDWvpzwC//AGb4HsQzbkDOo4oPtnjraV 8fCq8z/tuoF3nU2ZXDVm3hdhSNaOaZCk6yXT+7x9WSkG9RVC1WDP4/g1esTbpo9fwvAcFUyD86f9 w6N7TFDTPhoHkhleqt1DjgWxMSukggxGRRoi4U5HPZXgZm0tRdNpIl7d457u2QMfoRHQ/qDCdZoa FxOD8IOV/+d1Cv81wKkEi0RMc2GUu9dQJ183lJgy7RuJtMhbXzjqjWOjUXCmMfMwCGqbLyaKrrGd RNaa+BjxrnA2Ui9aRF8RHTr3mtMGQMwezV0aJdd/1cupbaEjNReEW/mQiurjKk1LCjjvTjc/lSms d7pOXbIosaPrmOJ4LhdYaYsgoT0K7W64ExCV1IJnFE1rhuD8Yn3wjuihKXPA98wBU9sJQXYJRQ4L jWwHHgX88X2aw+enab0liv23eP7KFC2szf9L4Vkk4+GcPB/TViuTEWkLGCDuuOvf2e2bnNNrtWH9 fADcNuee0O9zeYdqlNaHfLcC3jXfeVRcTV5maF91SYQkT8BQrJzz3UIj85BQ5IKVdbsslPaRA6uf 8F3FL5V2ZZ8QySWQ6x2FfUSaEDlNotSyxFM7335NC8ZC/Gi8nC1aMBaZOcxAqEhw/90PMzEq2hKb dQ/DFQJlYcry1mi4hKU2M8fhiXFO+C1Cet6sql6UU6OLOqWOMtwZH13Ofa693QXQjIfllV94nTNd YdoLz36rXx3AMAFqdbiWrH2+fHQoJl5L29NFbScnIGYDesSUZgbg6I2oE4+hUbwlKJTeV0PHg9+X 0YRgseKqDsIIxFRaezzFDne3MyJM+s3t2cExs+YAW4+DmD7FQgn1S1uMZKuinbk2HxOsU/whzfHv sgf6sPUQ+WlP/64ZFvAK4l35PwKdSW5D4nZ+HebvUFFz4dWieckOJPs3Rxn22JB17L/rQYLzUPXv 5HtzYUBP+MzkZWGEAF3HDTUAthBhRoI3TQ9jBSbhdJTQldJWgqoKv6z227K+NX6Wf9fiDvDSy5/y AM0GdVSTSDXmi23P2CyD6PKTlVX+dI+R83R3Mz43vYeCJ6gG1NEtbOt7Sf1DQjD0NdifsqaAlZcs riC6SstOe9wRFHx+Xz7xWYCSsU6/LcyHZY+b9ORpmJDWWVMa9w6QfE38q48yHkucrO0OMFBFXu9V fLRoNAanFfd+wSAy466oiqr5QQ4/lJJIiK2eyzqERDZewfSzolQfAZ0uoVZpU9FO4RqU/Twm5EpO tImhXpgUPxSi8qQfv9Qdh4UG8UZC8N5oaXkMx5ucAdxLIt04Ma6YDovOMXsScOy06H36DZ0/Mnp/ JaOBoJCRfeuqwmR7ul3RknWk6hPrQgeNOZc0QxOpiUhffqsDidiZSBY0nH2f8hkwin45O3ll3R5N 8C7yLtlZKXrdCjKIG21U/dVp2CTeXNgaFbKZJ1dIXstd+rAhmPrZHOpU2Tq+lx5GZIlSFJRkGCGM /vGHzsd1GhPhCz1BE3zKgZFAis/cmoziKbP9sVRtcITkG+KmOQ0crEXX+bghW7oLIkd0wrYgwkyI vVzJz+27Tkzzb3iyqN/9RzDqlsyKojiVfqPiht6DKszO7AvEyG5MtMVTw6uEzpM+aCpHcoD1VAgO jIBc0uvYntNOEc5mOfIXl+908/cvnvIUrsxu0OZX01HbE+UmwbK1/pksK4ARUe+q78L4Q+AGkR1E vJQInrC6MBJxIHfN1mi6oV+SPmHvcmh65DNVisrxOG2kMWc1Tc3RF1tEgD+PRROEvtDMSysV3XRv 2PZJVv/zVcVM3/fq9DocKI8/UisrhrOqEW4EKx2v3maG3I2v9fGjiQMwx7MvFjoChZU1B5N7lWF3 PcHtJzrVjtlcWNPpI2FuK2b1sM6eH9b0umeDFxIU081gwQXwLuJ41SnQ2Dv6IKBd0I4q0GoDFuXg JNCFS4Tl6sudTM2D24RSaJtkbjQ997XiCRuecmXicrX50m1seXQR14Ef/X41Zd8nAjklBxNgpoDJ jk8WxAB1ZSi8H9WD6AFmmw0iJ7iPy4UHHky8RCSM40ObljguMoFexSh0OPNySrii4CrPavqLQwiZ /NIqucicPUsqKXgxkyATzOPP6Wtg24Kve/OOwqjiosd8t131XC+W1JLO+OH00Zy24efxZpAcwJTj PNJFGuyh2Mbaqun0vrf3fprn5uE603mrgNS0450eOA7MJ3cnPAlgUk2gGXvOOxl4NY8f5EGAfCtJ FwGdXreg3V3u8dQgbvmYsiifXJcN+T9H5O2NXju0fBBIz1xNHhLamEBMrSeh6i8/CD1HtlQNdLwp 96yzVdJKGCppryyktCYD2weQ6Q/88EiRxNXi+J0L4YBiGNcCx2VlNynj+toud4FMKn9twenvwiIQ wzkVpHpftj8BfZUmA3bzb9SQwD/oKbzLVip10CREk6z610jGm2w9joZzUcMk2+VXmPoHJIzHHERa RsyM7sXGMg3v9QzhfHD/Bj73BqT5vkGyGEFmGBDAvu1O5gLdpkwPtmGy8MmLmnx54hmqbHpTx4x4 7BKFd7mIKD009IMvrBNTWLH+oj1t0uIXDgwnm9Rh31uqOi0gpv5f54SkRXrS3EosZxmirX8iEQiP 4/9sy1Bg3FJk+PtV4fXjn77g6HYW5ExC9jlQofxgxuOkuoW9/Nrf1ZxPK7glzGKLUyUnnB7bQ2VF 9Wb6d+DbbFPN3N2Vlnho+Es3rJXT8sKuzjtdy5UKul1uSsbX1vTq75cK4SQi8OofYP2TTXSqoYuy 4tjq3CDA7KjZzUUJsJQANrMQGdl6gJomJrTEWwDWCM3Wnj363tKU8lqvRUwD1x0pq6ZOw0dScgWm tRhIKQwXzvXFwtj0SHlMOCfYkKfFvpFsEblkTU08y5A53i/4KxkRivRXNWWy67Fb5+A9oo/py8fS iG4GWfVw+R1L/CA3k0kgWQG8vPrSgLB723Be+8pd28xBr/Rz5jMr0t4DD5SC2m5fTKvmmxIn1a9P Xy1dvw/B6ggPKWWgCoeBp3w5rfRKKYLbymaE5J3yo2NIb/J7aN/5NpZ4R2iyiUxPkomgnHKWuFps H6YX6WGYlChI3cyzw6/UFr0xyMSbQBxfFL6jaVQfFJ7SeYCWHxp2rSAOrabfhwX/TcBYd8a5iqw/ /V9eg61nTbVh+ZEff+WQmkHVxupJ4txHmYQlzwaF3eSbRBQ0pGdYkVovT4yG/pGfsFLNh2V3OOH8 dVpfJArutU64jdCopVyCbrW79xI6P1ZMI+1eHK2g7Pupo5Qp/TBGKESufBaHXnYGoI5RkOx64tBu P2tQDTOiduOsflMK/FOzXhRJYxRq6BKKvzYugXB9LhzB0PsEW0bl43CBezLtYdrXhLyqYyZgB5j4 6I/KUKUE6ry9Ka0tB3LoXJeokTfqWU12VygUTYJNNBsym492dRX4FhohuGxCO3ThBk43RH7w3WXq /egh8iv5pu66hP3abGuOySRO0nckJt3bzSyHWFe77+2ci0nFf3cBPjtjg/bXfXV57QMu90F3OD18 o5sAt6d0vahQ7mTv8ZUodTtvT27oSE3AAfz98UYSwFPERnQ4OARPc3jyMZv4Up+l2IqKz2sUV+ES aCZKk7zThTsySSVlDy6JpH7fQ1enAONjcIATD/Igpb2HySr6oRdWmZAmNIDLtVa1OI8ohEEK+KlT zSHdvn80sCzIhcOfmlgIBRFTapjWXvGE0u3RS0n5DMXdz7lhaU3ROZTrkapxWPrheg3vqvMHq8/+ Rcxcvr5Dquq1iCyrKfgMDBJ+fV1yqptJqYdHWZjdOYgQQrHuFaAXMng4z6KAjON81Np9e/eWYuOJ YEn+3ofZixO7papGPPleEljgEmS/JzP1CSPah92VN1eBZKymeZh6uqbAyLNvj0wWRlUBhZA4FJZS Fqlfn3QqMgcJzXXJmHmZLlwyrxvLvDg4Bit7AR3kj53VDGenOac4EJYZN8o1XnX1eX9IO3QsI2xz K69S3lfONorBEexhUXG8k0yFl7NLdttFaF+mnjMflf2zodv+hCdrzfRI+1Zn9UC8UBpRBMh0QnDH nHnqtI3RTJutidMvatLD5SttNb0l+fsSdlP80Ik1DXFatOY6dUDBf4U4cSPEiB4JEqdtT8ssMEvw J9i1wLSGPa+a0KvDMRViCQdRU6yhLyDXTc2IRkRlA5pWZTNtjdMk10+vZC9W6GSUYLGeJGSocb1H RLx3yZpj0L6rXkVc3Grzdq7nUF0/NGjvKq3JSZ+/yzbddmauejpacQaH8hFoaTpB5E5GLXOrD27O Ru+tIrCUlvsQAw8xPT0aoRzWgLtMho0+AedKKBIXUIhW9tQszsVB8Qjnt3q0cg/SKqS9tMRAJ4VP Ldrlvf3D2z+bQ26Ev17QXneZWtkKc/bjO2L/NRmVvhE2yFiYFOY5c6+xhOXJ3Ih9LRh1yaEycjWY S4wrRR1KJHd4nr8nEh/uSBa+m0B8uXgkua/GDvSm7evK4C+fNU5q57CKGZzKA7MynKlStke8WivM EO06FglCr6hf0C5FPx6j0wnQYyTkQXQkwvhGHnqUS4ZRRuKibB13ERSveB7fV9je8pVzYEfxFuF3 ouCQonE8cjS1HmlWjeW6Gr7x0gmNcmZ5Asx1LllW4rSid8b4EJqUMKCeEPSiTmt32dAP8+eo5+VP n9+fab5tYoozyi1Myt89HDpa6Gf40M5BX6nstH0ZKjTXpwAlzawbkyTdRoYmk+pWlzyDZiXIrgxw ZbZ7BlTeVSwzXMykbAt7nlFD755qUjwwMRNiSJJ92YX7rwHO4s1doDQBl9mK/ovdQuso6rTfdj3m o/8SLWdawLhxt87RDNZwiZuKZ/Qa+vaZS4xNAfMts7A7giTfWcQY+GBJi9zopUqAPatGstpUUC7K JsxLmrLoC/kxTdgOewaPot7sB2qdmGTePocFL5B34uhWq4imgQfh6v09+1EGFH6MWwY47092ummM ClEGKVoKy5okGXykvKH8pWdd94OBX/Xv+sNkRl0GX2pX0UAK3fR1SomNlpaaUX2ykoQdDp659Q1e jSYIsVVtgvxJ0+P+tGUhZPAL8EFzBSEoqC9PkzvGjxl1X9HaAVxel4O3Fx/mJB1NLSod+AQqiGEp LI6s6K1Xmpq4QaaadrhtItSZXTv1Cnma8hMinBFSgoln0QmsAeW9prYVfI4Xq0EmSw941AYpGcbH FUdhfJvzzuORRhwQJfcVo3dR52pYYSZ9cXq00mBRAVyAPB0/X+ow09RDknoqdPgqJhKgOLdVENKj wuiKdMM+5nhr45bYJdKIH3g8LtwMdo765TxOfiKhJ9P3i1noARqEII4IxwLWt6zRxe+YUfvXggob 7ECqdEorQ5h1oQMlPwP/m/3Oxm9SQmtla6ZQpn/vKWmETsGyvE2CpQIVwYa0iwGULVG0VcOmWOjw Ra3dOSNLp8LpqegP81XjDjAem7jvewg7N8hmWmOmwywuN1TkFruy5cMEakPkIij4cDNfMWEpeGW2 s6RIE8PJFqOaHZ2BwzuO1pbCYrBYM7ZqgTKm14CEP1dOKatHvCuUrArFHpO6xpSLTXeWMxJsCJ2P ZS7h5QqfsibZnhC01G+NinHCF/hrhPhu1J9hKw3HoaVTjUJTS13pTxmtZX/jw8utGI9IIXFBlnic g6IQCy9m0x1Ixhx9kJuwv5WvTYrRckNn9quzRRZ8x1cRMIu24GPrMXDCRxSYyHLLSHAP5GWLTk43 gNQOug3tTcgDKpd8x+17TuT/vUXH5FJkzunKLK81LlqpPdjZX/rLY3HDa/tewIRha3oWw6b+kH9N ZszaR8+MJPfu69DbdutJ1oB7gaZGItncVp/pt+uNgKSq1w0QwTeA96aPiB7Mg6gQO206qwk3ZvW5 OkJvHYiRa5F6ChdiTXVA43y6EXItLOfSb2in+h5FMbzqPL/TiM8Hv0zYbSG4aHXYB+wFHVJGWM2U kI1dO6J0kuiD3NIlmoOLmvP2pDFXmHEbE2m9w17a54nqqoRvGYeTab1wgmmTLv5iXIYHou3wSVSv 54aFEtjgiXLE0v9/zH6xaLzP7tY5bH5n+Bs/y60vdSmrtHqiSiHebueS2gaybeIrphiPgi3mx9fp AweTFJn1ZnXu4vqhynSspSuBxhWqbnWSVewSpMp9SxlXywwuPrp0vy0Tji7gFL8k/XF/cl18YQL1 d1G+HpAmbq+OWEs34CaJIj+tCNIKoJlDZeFXnlZryBXsanbQp5UYeqDLXfhh4bwH2tFv0JQLFXzR MUQPgslMg5FjGhJ2UIXDwmou8lHYWsl4Jeyp8bJHqjMelkelYDFIldIZN8k/RXWCVpgYv2WHs3Xx kRGYWrzuFgDV0JqErNGu7Wr4Jt7kdtwvT+lWYe6xgEWF/0HDlH1unfQPXfvWkCyBWzLQ7Usf4OcW y1YuV5QnpIIvfCg5lUd6atSA2NoSIcbD5+Rzcs1QUJKXZGJQii8zlHYJFvMAKQOQYIcmXmkI9YGp r4w4TH14CZAGJuA2KhikJ1Ax3jEM1j8Qekt2pkdOqac5WewpAdLHe3lKlrHLQ5TJ5DTJ5axosrqx ReId6YCWYWBEzgO/bopzdRMgNDh+jdlsYdwtI/17Wb8i9szVYjS5rzyQEF6UCFKwcUxZ0O4j9fnx lg+E0ExJV17CKx9Xt1YFvTr0QbVsI+TX/JExkUT2GxmS61L6T8Cp78Oa5InVskUQELiitxLp0qgK R7ThBpESwYjVpat8WIy1+KHXLykvcflevK72ibMDZ0UKtlSOFIK0l4SjiELMHvXCmgd/7H705vyf UHDAnRJEzLhsSoD+W8VvlEOQDNyvVCAvEr2ZDadP+1yxgRlhFEWU+gkdOqB8QqlfUftaAAy0uEdG pCV5lTXSCColTUTXVHNNRnwlQSjSNHPArZ5/HCKHK7Wv2QQmQKDL/DOyCJ9WiF7An0TYqMDG44RN DfkMeNk6522g1latLU+70vo83pd3RdnvWpXKUYY37ci3bkVDcA9ckfoqw9GPINBp7R01SG/HsJRM h/5ygYEihKwHcL4MykkO/FvsTcH0xgwN6GmpL6wDtFZY6KC0vPIrQQkDzvC2BPbK7zJ1QFA6NGLx dsnwsuCntvW1yOd1ni1aV+oMOsp4r+c7F2Rxc10hXFHeSQENsQxnZtKwEnjMB2GywW58xLtBlrID zGe5WTzrnE+tb1JDoLgGV6xn3zStaPRSybZJLCIDMSwC+ioHC7oW1XWAImV5WMYKJewepRnWxq4n PDhAvCErSOJ3bCTHjCtk58BNjZxzqTVH92OeSgDGTdOPssUjpDS6FqEZ7oPUleXAY4zb4mLkmtBH ze9C1WESNXH6juPyxdWcZm1uRUkgpEVEt/BdhoU8AHsojPdxaFGHhQByTHBWrt9krnynhVuOkTzY 4R/1YdAOKaIKpAdsHKE2UN4CXQm5TPlDGNtgNEIQI2kZGO/w3I2zXyGcNR1jhqQzQfDQd0Qf5KwS iwz7wgDoanAodgddu7Kx+SQdFTND6tHATl9OXXOA8XTHA3ruxAqDnBfFC2CUDBWxqqOK46Eroeqr 8Px+l510MiHwa71SPoT9eYQDS2CjZNaJBrA4O3Tq+Xn/BIgh0tYs8oEM5v5bIewYPFU6zjzHeYt6 8tKrGciPf/tbXnteOQl1cpFszf5JK3NCuoI/b4iE69zGhT+7D+D4VWeghHEeljzEuTqaCC4FqwYj 4L/ShRZcJ8nw9UzNVEvivKTzhqAg1uXxQ/FpbTlZ9D6OJmBhzTKvFaFAN45oPAgz4FQ4c+9QLKCv dBNmR59HReV/6TK3704FSqTuDfcNIXGZwS2+FhB7SqoNJwnpPqoPi0uH4u/8YFtEoPHlHaKrvunK yIFaT7UahhUmR5bO5dbYoQ1sSAb5j5+ER6Q/gbuRSkMd+KdDXF1A9VFli5PHvN4+w+LkSo5wlq1U 50v386qhgldzFf17847i/FOOq/HHKlnRLqa7q5rysNrLfc3Ch+DJw6/OsArP9IzFGY6lN/llYUPz aA3HiQbTJhzzxr7sasB+pt69aULr5lcrhXv86Nvx06H2XiIekKJ0gkr4BLLTVT9KXCUrbFd6Hz39 sTplueDZ9R+G2E8iY8pWOMs8z3hwX0ZpKx9fvErdHCR0wCL4sYlRUI4BgdPFFfIgqiv1VVGZx5Kg aWxAd0cHOLAQs34s/G2v7saVfKazSvoZkUr42+5VppgavSzniDODz09bEy1h2KUCWYXSkRMVkEIy hULmgTVvZKLy05RYIwIeBcK0gVhf0KBA+ZhYJWOAFbDKJy5S7S1DCU3I+TsrvfItEQhwIxWRq5nX jvIfewT7MRhI8R8JDheB2YgMs4tkEuxpjTsNE+E9aypMmrilhAelNcBs6ECO88DW+sEU260Nboef q3mv5ebjX1hc2jKFddQ2eB2m/QZBbKSr/jzpuVjXHE/kEhvrcGIw+b5UKfmB4WAgWWY7ynGQNBu8 u8oxRqhsg5xn9An9wlX1F+2mvHGCB6xSkq300q2lZyLDfolCyjDMErB9zXbOSNf08HwYhQoeWMlp qnIKD20Ht8w39fEfv5WbC7T9tBaCjRNQsuba0BJe6g3Ot/moCjvoPmdboIE/zdyqTHG3c+NzAsG5 IE2+MvUmiGkkldhKOA9RjvET/oBwlxXtASp61S+FwOLpdoBYAbRi8hWmSY+ZFMeV8lrQ9I1TpEnL izXDVujPgnSkyOGt9QOnI0zI+JMIYcYNAneAW2oqmiWRoY2Z/voxvOJ8TO/HtP0ee4RLpZT4MW/w UJOn9FdrCjevTtT4Km+gOoJTexxF1IMs+cr6VUYDSUsrgIzU6K3UzYPcV6gVNArT0vzpnrlePgW6 LHjk3sY8YjGxTpXQxXRwozc20fzpCk11I2nOZVlVmEfwU9jowhxnwbLxJbputfmALWztltAVZOyY eBxj+LHNQ6Q9+TunHCxn8w+OJaaa0pxuDdGizRsWGqPt2u18HLlsTYnJebzHZ+nT0bjjXhT+jKaV uzAFq2HKX37aoHsq5HAI0xIxUyHZGTpg+r0AZ9zHZ67GYAmK4PB0GcPE0SEBezYs1ctuqnpxnh92 hcJSm/jyS2pW5yqP+W72Pa7snRMZRXM7J42efLuc0y+12+VYP1bmWT9X99T7ecmmJox5qo1arFnU nhSbvYcx1rXJgmXeT2YITtGSP1xZrM3Aiv1STV0d7uU6sEAlq8g+mKZwoD+cjm1WtP12x7THUxKT 0Z63J54g2atYsj0xO8IO5Rixf1DihRE97rJtexs5ddbj5V0Qu4H+IUqnsD3FTCo4cLb1RrQaoVe4 9ilpbSUymHo8ovCymmMWI2StSgCpy5nlipvcW7I4i/1oe1S1oJYzemMwwrUgxa+BufZ37h910OH2 HsXaB7u6waoP+8P7gCiqjR7eb+Vv8xf6OYdT/rY7UkCHiRDWkEbfMBbfZVHXSNnrL4iiik9u401i wcXbW3ix7f7avUy8jnwJH9WuEwdfXI40ZqPuajHewhvosuhc+SwKDfLw28E2t8V4QG8togHKBY6x k5YdVFWCcROqWpdw5ZiCOTxMmDqBJ3lc+Pqp0W7ZegFGqfp1o+XeIf8Fc6aXyE9mIUgNL999oUxC lNKxDHAHqKPtPXMIoYD/aMcO6acA2cejBtxaGDWXJ5fm7oTfwm0FPanHQ7/JG2fwC/xUski96xch trwiiBNGTHrOmRui4/WjSPCzkrCmIHC7UpglFuS6dIqBEpa4iJZD/JFe8aa0N8tQobvrnT4syOTs 14dDOrR2mJdBaG5Vx/vJ8JqwhIUVQsGRtqNDtnFHzdJKxEqIxoHBwIYpNA+HS/mxcwIRf5189kQG W1lVKJlFIwBlsNXSW+SajtO004Ch/+Scp0oEHlWXRRnt+bHZbmdRKCGhblsZ7IvmgPYAwE7TO62K pdmv4aBkdnserefbBvuBWBp0TvdpNTJAEk7ysC7bhIbynZcYBC4ogeEDeQOGjK91dwz+0INMQbzC 70JABY1ySAi7+CPlmgp4TRBUxhdwvKmgS0RrjWLBxbabaYiwISVQ5BSNW60aLek8fbLaX7L+v8hk TbZQvuw7HvF1WupJJd5iM6Uwp/HRodERnGa2EVAYme/NhdoqvUeLDqgK1vdvtD8mQI/H0k5vWoU0 Kh+xuq1ipHFdlB+eaHliaQisKGYlCC6U8v7XUBYcvSL7K2sUKcJSnccnNqXYQqK6gyMAv9bV05Gr dGP8h6FcuGq7wFmBtv/m/OBPD2p8Uu4qPHZ31jY9OJwLAyd1AF34W5t1T5yleq7BRPmYOHeYnM+d HcBDYwNdYZLiMVv2pH1nq4OPd1dAUYLccXDCIoy8iT2731pUa6jWl5S+v7MISJAgAelWQRy1RDjy K76fO2LZ1uPQe2QL9pueusVGtV8afNR9F02G6XZpqtRHMhkqZ/PV/ZDb+xcxuAkdTNBaydIOC9mY b1xY7zCUMDBm+hBlckjC5Vq3Bhd6909b7WblUz0H0RqLPG2/t0O/qOodjrNTamhVGmlon5oF3fw9 KKvZjnXHzB3V6rsF+LLa4tcpchXYtsy4aBLGn+/KBCXILCU3F+eSSaiNNTQjXCWejbHySJeBdPfd xrr7r5wLIyk9ckbnuaFEq5hnuHG6izwr9zLUepA1BktTSRRU5qVlnoJO6gb9j/yGf6gHrIjkoAbt 66S+OxIsKnkFd+JE/fvhABNyNtiKIzF5p+kEbupUIdWm//8hlAUqrCW/Y06oxk4rciB0a/47vVX1 fd+mBO/1N9ta4OWmLgYGrr7ktbYNl1kXG1AL4v6gPZse0l3ZBbAHPBKN/1eGq4xA0nwurdRT5Fz4 gfi1zQnMGX1DrKRzD3eI796TgDkUNQE5hTo/8x1e184OyegbIdQHBEGRBYW3aVqgnUUBV+n5eWhP ftvhol+AvO8VonjPsYe3w0nUu8+NzJeLeyyqBLsgDgHnKrYV+EhyeSwdBpjagZQJ/St8YpBmu4v+ WpVPkh731Izi/9DyzdVRQwSD0wOrmiaioFvPHsAKijjimvU1FjsjWhJ1+M6Co+vOGdkUuygmoK5x KICS+wwkYyDpWtNU6hG3dmaNxOmDc457AeQfSNk076SV3tVvBANVAU87NBJKM/VFo7xBGAAIUPWB n7vdiby6kBfAYS3oT/D9uvPhuky6WiYv9lQBJoyk7YQJyzI723Y/c/Und1es9E2c0ElnO9QivRsZ RVp61dZexRbwifbL/OvK+L9ZxTulb8+2imQlvsK40/zoZB8vFFZo5ONVlPNedhLireX7KqM4CAQE ekVuXBngE2NjuWH3ULsy9MQOl+hTxJme1n9A3OpK1x8iXUH6e7vFqMwLPit7fyqv74PEUjs6G8Cv zkXMg1e2zfQw0LOYuggfGYRT3M1kprfzDOzkGduFJYkx9mZ5LbeamuFD1NGh8UDGWCPT0PpE1O05 IxUgz49D/Qj6rkaKPRbAPMRv6qgkSStAUShs98au/zMb5FI24OxBD+xK4+BVDhvbslsuJOAjRqtg 70bfVLRGJejNmenNBRDtO2OpciagbJ8v7wiaMimNjqS/F9m3pvaPRJ2qpOVmKmZ9Vijpo/W2DxF5 0Qkb4fxbXY7f5tRJloIhyKkZt1iyc+POBuq1ZbLauhFmwGijh6zAAg3K1sbCJtMjPepqrTZBpr9/ 6OoWHDndMhVHUadacTAaBvxKLE4cdVDbbY1zcQVGfoaVbWlMhAAkZSrDvQP6GJyAEtCPPm5+0j39 BDO36XWOAPyErVUg99ckSkGk/Im1rcTSkQDifabHXAOsCjPUQmUlfpCMSyE21WJ+tle0yg5kNGhN peil2f3iuJM58JAu0pqgdvjPtlRJkWFCJ6JQghA4Aj5xt5j1okx/GrsoVKnTFIPjEonZ/kIeuo1S dIZ+NqZzA9rDeTfAX2tjck68uLfmC1mQmXcC+rPOsZiYQmF0+FiZsSpu3hbSO/uerV3wP9lkv/N0 59PjGMoe2x1On2VL8obfhoe/HzjbK12Us8gLRMvM3wym8tUftFJWFS3dCoLYM+33eDkMBSl2JL4a Ae7eW7fkvXjQ+hyUBUtD0YtUVlqOhnj6TiRBCB5AKa9dUulxlpKeCBro7JQYjjKMPGDctJtKyqKI w15BGNNO56HYmxNgBVAE4/GB/AU7Xvkq5y+xucQv3U6YDts++hWT26M93Xs+GvM+7W9cm+tle4LO F5wEqdw37io8pD4qFGwlolR9rBRMr6gVNVnM1ZK3wxCryj4+0v9me6HToO/YbyptEXHXuaDdFyER WbogyHYZeS1pJXSGwH6MY3Xk90Azpl99lhtBDOdJBTK/6E4ECde0xNBkRnvub839sKD92dX/E8V7 BhE90lyjk7r+uY8a+AO5CHAvNsdcbqEdbegwxKNPeZqn5vn+Q9t+y9C5DktWvRJhUwo23mX1LAkz 50lklKRtWxO1kXRn0tD2zqOmiuZw5YPLHrb1dYSU2/gEt5qGYmRjVprgjhhY5pXihNoHRNueCXA3 Ol1GG5UNV0pCqoVKWB81an2dDpj0rPF6ufjBBda4FdGQnLuOLC7MUwoIH/t5yWtDSGG6sij1FJbK ZjUrsa5Yg9IyKHueIrLPyGHQl31rIMhzOTE/AAXPbyw7HP9wEYQncgqiQ48+fXxdcz7wO1a8lIJ4 PtlZzqWPAY6dp1d0o1AManlnzRpZ4yYNTGC79v8UOinillnI5HWjSIcwGe87dcTK20gVFG8CquMy jgvLSn+w6qCIDzzaCZF5LhYsJBEZgdfUlpGst6KWNERB6yrsveXWrI2n433sZGiAK9kiVonSm0Wx Dcfmh8HN5imb6AG3k9tdgzXc6FuaT8W5Xb2ZWvMTEABnBikmIUCZVT9CDuqXY+RX9ifSmJ5sBQaW 7FxNZaj4dYcVLRXLjCgvQgYh0/pQRbDxoW/7R2zs1HSaz5LXOsHQTEkoxKfkjW0jpkAnMu0wfkRg 926ceFmptbn37rdsm2Dx7FV33PVUOcXDKIma3at6dsj+VP1dCLzOMpaz/wJ2uJO/W802JhJlrGWM JLcMT9wb2ahAd5Bc0Hn7UL7ziv1ZcztGehQ689ESEd5OJxREQYqlkS2YQ+7BlX+MUyY/qb67vt1e +0GidYtxrB2TPS/rMZJjq6g2yCOTXR23M2gITv9rATIDAAuTesKRZR2QXsGNQccafa6xvOFOqsR6 OsAMWD8pRqOKSVqC1QD/eNrX1uyS0M2C4Pf3qzCZgi3JoxG285PHxvfLn0kSCJ7+kjeeyemj8Bji HYLrrlESQ4czE89Fp6W34OpEb/O21Q9l9tvLHu9Mr3djxfVpgze7iJu4lf+afTZTkTVErrbohApv DqfN8Ouwx+H6cVGjtcgWUrVKHpnMby9LeYrtmQuQ6d1W5DAOibwcrGfegNlAmUIWKsNboxZPSLVJ /fRYtnmAMQ3IbjB+qKUpUG2ubknWTlr8b1X2nMjeUaJ5HHfGPsZhVlxpj5EapNWz8XvbUr/siZYR dsNBurHXqwY0561b+8uv60BiajKyle8yx/ds/EMLdv9HHwwGDUqFotf6cuF7+2Lli8O5XfyPoIji kGEG5VFSjWDyJxexg6PAYmHCbRIm6BJt0WMy7ksCJf+5YFA11qNVxvY8WITD2Q3iAzFbMjXy+8v/ K56K6ikjOf/hwFk5kKGCoJrwIpfhOUX+DV8T4uox58adcA4uTCA216vDwHrjo/8A9MraqemYHDZE 80vnCjrxO5oax24CE7IXCJJWzOy8uhGIMLoFP6Lrdd7foMQrs+nKAljPWvozNiQu7nSgc3CG8XNt nk8zkLQEL50jdSo3QccdWFETKqcZqIKnnahFD8oBlhxUg4pURr/x8uGm4tbnFWmfjhuE7LpuX19W DFe4ZbP0owxAWeylCTqX5yhWx08Bd8W7NjW3Y73uv4u8Q4PXNSkDW7WTsov2ARF9Rpro7G18wD6C VIDbKrdZuyW2C3bJT6yAlONiASXaRA9JVGCC5WrIQYROkznsFwylq9lVLnpHQUYynndYbJFgOvmM KSJoS8MSMDlBK5o1hyQ7kM06apzW/XnvLiEAUKGggdAQLIXARuI0S+xvaZbuO5MokRCzl1PMu4uf 1KSin8G0gr0mBDjN2DNLG8uWY/Y7dqVnthH/7t1xrlwsc1HqfqTo+edmE0lUbQ1ud42mMX69X2d3 M5P/T8xf/I86Tg8756rVmGKWTvfq2xEBfnfteds6jkTvMil7PDevAamQObLHozV1wM3Tb8zlUIal EJqYyjPN1CNGY7ypkA/yutegj5kPtcOA/BH3Mi4sH7xYtOCOZaindhV2LUdN9KIFAtOX9U9ghLWC mPkQsFUHJ5EIEIZLa3E+hmAMJOSAOIU2YywVzG9pQfXc4Vj3i/pjTx73hM8dqCBOb1CNpqQG+s0M OKREu7+acwMIgNn4Y2JYpsdwAmZ6JwvKCFsa81DYDZCz2kfOnUnjdyF+xjrtI59wNR6MBCo40exK Wt/Ih1rckBjh8J/tFcLGoGm5/bIFWWnW/zMf+cm/UHcL/jR+7ccoXJn12fMz+Xp5mKhqaEQ2Pyqh MT99htSoZq0j7lGqsLfG3HDR1P/61V91UFSvpL00Cx9id6C0EgdRaKiH1xr+BkqHLFsOwqDYCgG0 le7TbFQUaip5WebOOY4KbQ2hFf1dJhVUK89rlmIjzQ/AKQLdxer6oJ47HhiCy4cZpBkwBS6VukR3 Vjj5VxY7uSWClmIG647MeGeK7kj5nAH+NjhAjTXtGpmu/dVIZHVV0kfiEy/n8PrsFFnOS9cdOVCI no+Ob3eJmKVMJmycd8I4CPTWNga4meVnDVMvePdpi/QvrlncGcM8wgI4aD8smMvBsMUTSbe+UFUQ JcOtzHpI2BpuKQktn/jMo2ZRbu9Z9y5P31kUzyV9QWB9okFjZQv/HYxbEP+LlOYyYe5RBLZJaNdE llbr/Nc5NZkjLLa0oqrf/LHl6lZDOIpLnNkJR2xMs12mj080k7rFWyBHW/5qetqvjWb/xf/kfOkr blgynz5bqoFDtjWk+u6s2OPL9mVguwchQAw/5CDB75CmxwSJgemgraCdFThnwAeRiQjgbEXBnge1 CoJZjQginel0bAvuNbLTz8YowVZERwhkptS3qAcy48fRdxVjI9KrMBmyReqTmS9NqfciYm8XBi5E QIoT9MxjuHkjERetoUEkvPdRAtiZPn8rpdTdRSZ2ikQOO/d47EtGfheA7kr3r6chYMyxM7eRvUUt +kgy91m/QlfqmuY5EliPf9RIV2fMtiNdHcR/rZbh5FSICgbfNFh0oPt2b1JY1b9UFeG+6GXfLxUb MU3D8uKIu/RuG7Dm0O9k7/Xrr3FPdmUrm7LsfQvAKJQLPPyYWb/c7yHmuYJDpYBeHrQEhmAOBqqP N4s5Tj88QmPDgLSs1SiMZd0lOwmLoob1edlTHmxemTCIncMHmbzDAF6EJ6PKpdxHkeygisPwDIDc u9LBZelsYO8F6BwUTkUTtDJ1y3S8cGVV9LfgGU+LHpoEYJBgNk+jjDTW3PLJjlFyWnbll/WlcuV+ psPB0LbJJldpIxK1EPvOlHKnNdav+CY6cL5u4uSIYUAgfk0mPnsmq7ZPa77gJO5OnY9oe8cXyFfz adlmQixwO5xcFjUA19HEDhhUU1D7VWBrxGguGAMe+wKpzGVuaHxiFCq7Lhy0wlkrb9m6pcT5Qdgw OB3KVNfdgD5h8vNzLBxaHtxdB0Giqj8a6qUDyCEMpYidPNAHXrM462IumtFG4xR7lzaSyD5xLdAX xLZwFmYWtPp1iowUoTNJWWa5wJg14QIc2VVXw/YnlLzA8Brj5V8HemBoHzmO7N7+ZRY7MHXrrI/+ 7PhvfJM/q3gNKX4izoJwQm9BcC9Hv15XIIJfKbNUrbWAJj2PF/JYnaLtkBv2hI6t1/pIu0Mc2zK6 hscS/2AKT/URi6cYV2hdPHd1N7SY7XvdeAzXqheW+4JOLdvLIcDLCogFsasdzhPgqUb+f342TghD lP/n3GiZ1NocZHGMRjdWy8h0r6iYfOAZb+Vjaav5zq0GpTD8NTGFJ2Flw8BD2MCF08lR5+HEyX07 2g1kfuvWiZmFw0wNoYVX8C/nfWUfKZe5OApg8unG6OlKFO1NtBjKypXgLoc/2VVsXSQUagogdQgT 4H34sLTpmesQo1etXSUiPMBTXvcR7i6Lj2LGRzYvtMWMgDOkMFjnRT61FMzH0IG1yhdkO4erldHq XcS8n0zTrNafOzbuTBkGVXy4XWvzZHO25NcRWKnrhE9lAgCZxbFtVU0DGCg0HVznUyKM/fLXwnln ZHhRyBGjQ3CI4NxXuOsBbPEkKZXrtIqaTKSry4ETPfMbCekcngE0B0ZCvfHxTLVG7bAoEfB9hQXA E7pVTEfp2/Slv8gstL35iUs6Gxam/o8hCbmVU5fS4TLdfk6gvrRDMK6ei7kRiJ8r0HXIaiIlmp+k I6CJ1weAnUm9POUqBOumaL6aE8tR6dyIlBekSd7RWORxgrsb61MqsIxDXid8iJCWF9GwI1q/dDwR XQ62A6zs7IihDC/o0KOSFNUscrCDlFA6TeUWkgO6pZWBZzhJla4JCSopOM8z0G1GyVf+5SyIuV9s sXEJTUZFPdSe8/U54ufav5yKYeKogWixJAnlEDmTKP5xrixNP5ern/pYGmOuFqduOP47H6HPs8za yv5uGKq6zaIBhV4Zk23smOMOWyHVCk6K3310TdBgeXtPKRzlw4MqQerHU7ZbPOJrvC1XSCHgeQtN BIIKBme8SbuFNATa1nPKzAmfGLwBLvqgpH3BAVKU5o4n/g3IWazE1tkrLf+EJV2ri3jtvrEh6wbc 6aKGd8MA3FwuTvqejEOFuyK/pqmcURj7V8iYYJvkVdlCCAeBaClchfeOkwA1jFbNeBzfP4Wmm1fo kIY6eUdyrmqNLjFsOp8gaAXWMRLdmtt/Dbrud6p8897gbdV13kXw+d84ZSIPLS8E5Mzx42twdAqL aZ88oTuFlBXHTFDGxN9UzjojbJ2EpAJfnDJWBAVEa8Kv71taXiY/PNeb604nopyrdJoI66m7nJiZ eRARW2XjuZbSNe2O/k4LnM5+x+9roTrcHVv9ZFXrjZ/TcvfxDJxjr5EFT+Ut6pPqHZlOpYV0Kj6s uQx1YTOTIkJXs3Su87KwzKfnou8/GsDv8BrpCjQmjXx4YH644oDmfrRCOnvLAvpt9l81v/qqFqNX DZwC1mxyCqjcX4mwbbad1yBrJMRxvAjrhHdMmj5EGHGYfEg7y++yATOCYVxtrYJGlJccyB+RizoU SZqbSIJlwV95lF+n/rAtHPKotSsj/q0IuvabzbosXCgRca9te9i89qY3yNSo9JU8CQpoSLNykX/0 uUkPEHE1e3HDAN9iqQf/uaolcQhWAArlfiaKRMERrHAyzO+Kz/NDRPdnP74VE+UloautHSW6HlvU QlbnBdoZ7r+yqVMlj7R43KBheKbogkD6Inyec9XSLXDtPbg8e7rL+S1URRX0IxPJYSGOM5HSaqVU goINiDhX2dvXtNFwKbGAV8rklCK4G7sIA46B7/HQYXi7MPBkACAd7LksQtC/ON7kTW869BGbPs1Z PgwTI9Z2w4v96EBANE2p19rSFb2F7sBB1QOwSKXApCUKC4KsAlyWx5uxMU14XP0Lh0TQyWvindpi F4dqxNk5UKVq1y2MIMrDX2LTjle4a31uOvelbGEqzPoefu5IQ640TyTWGLRTdv04CpL5icq5JH8R F7rIsmKLEyvYqdH2AzfUKURz6p6/6U+v1W+tnT0YwTqhY7iWrja/gR7bzdexF8nYMWVb2ZlZg3EX 2i6n5G9qKy85on7q+l/FdI/z1xDEQ/6KWleeJZxUw7yLZrkHSS4Bt8TEzz7NzYnRQoN8NLm9wr33 3Goarp+/JNuK57qHqj8uaBIqG8SwbpjUTVpV5tjdXctzfNoJGvtMNnNY1wHJ+vzRLZ0+9LRYHgAV lj9PM7WY4VqHwCcQk9UyG6+IyEw3ZKJwnCGwV/6sEXiyKTxiaVxW2k+CFZAb5Rvf9mZ18PQBIEIx 0C5KS7aIKoXk/4scOHyCWzT8NhDU/QnTvKLueSF9/azW9kCT1jrIEG1e8jpLxEhrkdJUdEbYeJFS 4RU3pLJNc6eiwrZk1a1Gfjx/zoLZmL5CYc+yE4OR4RFmXhHEqsanGHzqao+/yji59iPS5E6yU691 lt6vS0Gd0wb7ferKk1Mx3D9lobODLKvIF5GoigrzqANYuXdJj2oO7OBJx9DwreWj/1ktVDNRysBq JRU2X9vwuOELiAQFDYB9TJYcUyVlpTYOxof5FV1A2DC48U+oKIyms/1MLrZwa6yU0CABWz6B/oyD GZROaMUiUIVKb2DHhFQ2A2uZGNVMMjr3JRs77Mjq974VXE4wFwphcGJWQQ8rOEPJiUH6g6bHmyOb 2fJPIqswSrsJJU4O1xnpiE9wRolIq1gGKqCQxukmpj9SLn15eUe0VM5ELEapoAI6xdcrAqx8yfDg +yajDDSl2pdKAaSaaBBQeNv8axNRw7opzacSoBLhnRtjoCCD036lBbtLEmTaXWP/a8iGlXOa49wh aGp4NLddstjG7tglNL09G0jni3qJuxyD6eOfnp0xjWJ2v7LAoDJYnKMTKnJL9c80G6OTMXhVOxMd LKzRiEA3MRfLgUxg+b82eXjXmoUoCeiIAePaVdT64S+39yv+vleQQPXgbczO6nkQWOf8GwZDlEDo vDiQIIAMsv32DShfptIeEucn6SzTnP017oKIqvx1lKo643kew8gErodzRSF0YL6aqjVBzzmAr6jD s5U6aPz/pcl71h/jN8r5oMrjitPFj2q1mnhvxpBHFt1IuFZmSK6pFGd9Y22Ezhp1v2/OI/w8SERO WvaSA7hAliyFvJHaDWhqK0ScfrjRJIRlY9hm7GyrARuPRRjGGTk/uQR1xZ8V7rPDicSeJ5mPFivb 7ajn7oqzWGqruiu2zLMqdrzvCj0rYUV4gLazWIpgOKoIzbc5Q6yi6uWbdK7HK387vjij5AlYzPbZ RjYXf+lwxGW5bBdYgZmnSRdTWTM8LnsRcIxb5UY1K+XkJmbR7G4Bvy9MM1N6yoHBZYb2u+Yg/kTz cIMmbF6HbmkLJqAZ3vGTmBf2rVof6c7fzhhqrj7ZJ2HzMwk9EiH/wXbpvPQS/0oFBt2Tt8/lRFPd 9/+2BhLmaW87kV1E7RRU56SnzEEq2Acked+hphgeEwEoS4e9Tox689wxaEcJAbYYOE8tr5gQpJ68 PYzSK/anpz2Rq6jXDRHiRM0xotJJvd71kcm9ZjZO72CVUSy0uucvPFNK56g499SsKCCgMwCpx/vn dNXljHZkS00yv9QBMNZ/R05Ri6ZDzI3fnB+fda8rVyDyeG7ACHcuiOvkI0qZLoMji/kEk0W8pOp7 0L1l/oouAJ5gRINI9cwFyik5WbGocMPBG/QLE7cbsPaxLMywGOlppG22AkcOr2ucqxiOaVMCyDYr ki5NLg0HSCBykfacPBrqP13vM4sO0E58JQNv3tmIlIWtIeO3/Qz0SE2GmgZQfJdDoiLR0FwjZvbw rRTwBtFFMGJnXPbaeMC29GUU+fn9bZZo9H/aZuB33v5vst7kYkNuDrU97as/XCX+KlR4z6Cd7sGi lUciAy6EPfnOPeo2pLwIuLI4cJP2d/zj7EF4sC0V1igd2qw+9oB5mvkNdARRMtFiBlghnPaVq4x2 YK0vFakeLSxAWQlVq/c9DZ3JNUqnMetCcTy9oXVSmUFouS6sACR9HsJIC3hO5vqEg3/hGL1H8boj mAYpz/l9uNsN8x2NJr4kH/SdfLotckKCQihr1bOpd2C1YVAVj1V7NVaveLS1k3BtIx+MzTduSlsA mJwCLUZBoavzKHYyxBhxFGJUzduPL7QovHBJ8+g+UCy2eK/kVgN3014gYreX3uu+Qih3Roe4t3L1 1Cnmg0YkDDALM2S0NG5IqoRl6wn7rq2fuxr2Q4hKnPBG9hXu3vncUxkR9eaXkL4Dt/vvZ1O3jtdO cg1CjjZIwSdjZjcBTQftK4ZnsQD6lLDAzIwwNYxzFq5lFCyDZegnGSG1H6yfE1WStJLpnZj9jKi8 XSE5jwrcLYjPY/ixhOcufRhLnujikWIrYB1UNY0KHU4CgoP9RBI3bOI4itxhUZnFrHK9tNgVa8gt DlOFrqFL0tworzk5+0796FiiTfLQ/6oNVy2op56wq/GEz4iZOImYV6UGf5ToBtZt4JWATftZB+9n fvtz6w5pDnOKSGnG/uw7xPaeB3to5GuYHrWTcxywYjLsxJ1CgXT/8/JltPVuOPPDVS2GgO+6baLc syoGlaeE5Yli8SNsGi8hw3gQPKviV9W6Fs3NFnW4/W/xWINVKIAQ7GjrM7rMNOmtLDp0W6K6LHOy oTR1DAO4I8HiXbqgnpE/Tb8j5qmha8u1lyTscsAxfotSb5B62Hx2hugK/ZVpIILSJUOgM48fwmtm 3MdVys/5Z/5adx8Y4jfeUNDg4zzun0ByP3EBeLMgU1qMyA6fu06BI64MoJisbEv/Y1m8SqceHPV9 OJUiT7r/PgjO+lyR+cWqKXosHfDXwOUyTMUo6UmSBgunlLMVQvgIq3rjL9IJZma0Mfi6gaXkdSy0 VgqWSCif5z4HEexyFcWeZMmXRY524f5+/All0lptf98EAayNFX7CaIa0583dPIPV4zBZAZiu+iTF XbqVeZIqy/2RLxcMZj51afS9n/08jjfGynYTlGXWwbhVjU2imN6ScMkjxxXQGcIp6QTabtxPkyfG IjhVfXpX5ODLvssKbTtBRdi0BqdJ44wKvowJ61bsZAXAttnt3j0ltCiExSJVFmknc+43YOLL5z4L lSFe/6YUHjGL0r96CefRXf5ctbOdfJe7aXL5BJCug1sV1k2UJSuSpRfADstQIluS/AxJDILfV2vT 7nks0b84juDjOHdVEkQ9T9KWC8ARfPjj7hUiLpcE2h/4UHcFyHe92CwHZ9O3E+SVgn9wSWUABB57 swjvJ0wguRBWa/qJg+S3CFIOWqeKcamCkUAjXBgpY8mf2KgeVgEcL0GyYijCqlDQsBg0jzzKvCW/ HQYvn0KKBhBSb+74A/5OqjeiqGWUhYsdHQMmRDeIqZV4MVoTciYqU/FvNQ05rpnwW5XoP8IeRIcm UfK3JiDS/eL8Q/j9HCemqnPWaidA80fNk+X/akK5VyrJUCrINSKQB4dixWHzIy73FoFDaEYE6BSc dqryJUc7c8rEfnGN4McFWrQ4UgG0tLnN1/xQ8vw1CtcDWhfynyW/UEYcWhTT+IhxxnLB5DdQy5Nx t3fOx8pdsWUfapjkkhLVOdcPTPZXHsKZUNhylw5SJgzb5YA6I/zlqixJWBzUUGwb++IIt+aIm7Av NjGnf6VpSv5J0Y/nhe0fsdA5/I0CHSWeOu5316i+6ZGuAyjAx3q3py1nF49E6wtxPnKw/EGtAdP+ 4a3zLlmX6emnn7s5Ui95g8WeBGWsCcy5AKdny1Syy4CPxPWKRgcCdcMQZuFLL7laASaL+D+FgxJ0 mCmB0Y0Acjj6BQhujxYKzKbJ2LZDloBXZjnqpI5mDq+j7gJUHrlVXrmvHpbuGHzQPMfq9k48p9aE H/90WAdfgC7HvgGNZR8R8xbCL9gQvAIr/M2r3eaiM1CahEB9Uc6mO886O6D/ntr1x32cbgrMm9Bu 9FJAe7ZhPq3VQrRLnHdiYfAKi8Igk0EtfmRuTaTAm51VMWIT1AUHjJprgMtwkT85GVAVmObwVUtL QJGTnzb1wwAy8YAFL8UjQzdbHWE3o4VCrbr58N7eS/0DCcPbRSX/jaKURidpfsSOqdf8k64CjOC1 3UbDSuFHkBoEi9BR/Y+u4vZsJpsI88XBpSq9VtsU3khzE/fTvvjAQuAydSHwFnOFAWzfcZExQMVb ioMsyvalnzfiaXm87uvbifQoSTZ/8dYRI2Fxq+tw91LHHIEcIw3lR7ivfkVboM2meI6xqdgbPHau gkJPzJqAGgydTr221Hq51idfaftmk7XcZ1tKmg2Tkh75GSLvMcDDZsGEc1NntxlArXUyhNyNaxYP q49isXIyziFSc0DJepIDu7pBqT/P4VMMCklDhj513QywXtuxCLwxD2VCWEVZ4wFHUUTJDgDptPeO Y/YJYCtmwIAg43ZAuT1aEWEOD7c7694S4ZXQTdR9dafMiN/odk2wPdEz6zE+VAmU0npqX2pO5G41 km8E+d9TGxiUB8xBGIVFxeHSAO8sqKoi+ZJiULzIDOuVrS39IZHgoQjg+J9hwQYqbmExcSv3jzPf 9fCEXoeljrqi1lNtxTEGW0v/iDEz5i4a4UOqd2JDVwprHy78MAOcp5rRC6btjgwcWoFKeEHe2uxg 0SqHQv4xScwXWUX06Qzd94IWTQsiKMZs5syBgdFqUrQeVYRrRJhVktC/uzRSJ++I+nfs+E8AZOnX NB0PyqSwbPZt99Wl2ws97Lve8diEXajsAhy7AFTOVwVbzDodFgd+IkOQdIX5zy1qKauMDdP/q/0M xUSEcuaIwCzNYxO7g0liL3dBZA66z0bicPx3dDsrb5jKRD7D/TzzWMZB/zym40oNMIU6HJ677SL6 7vQKq3IKlQ5Ja1u7ONv7pqgeFoiDqeadlDifchDQC0a0CZ2hwVptljDcp4biUtqi64YDOm6aH5SF NrDNgeVw4m67hdDDTvh9wq5akv3EgZBkawEKjWFd2A3LgbFEPAPUhokG5koVpVtyQ1fTRq+M8C6S Vb/OzpPJSTIpWXRsDqIClMdLQ8qw15N9PtMcF0rXs18HdAoqfUnA+8RFiKma1jgvpWKKPewvDgGz DQ2Y5BoGkpXbzVH6Le5THtPEQLm3n/4Crl2ncsk2BLDVihQSRA1kI0Bh62/VCBZjUKSboBqw5cl1 BuOJXnwCMB2DIaEwGlz2H5dM+GKLIJi7feuYCjsa50LoHZLSzC6OXFwi1jWXkV35VI/3ob5mj38d /u/ddpT4AfAYIZDk6Z4VQoZr0JTr+iu5TYkuAUqdSfwbjlFu0saQIGsyrwNq1nYtadEXZ28lA7xB Uf8jWPCJVcN4qBPt+U+YNv0n129q3mnzJ7usEE7kcD3NOLtrkffZMLXKPPfRaWAJXruggM45vHDp tA3V4s5fASJOSp0TreKBn8MsC3DYY+X1hAY48O0FHuNnKiAJtZdf5FZyuixbw4auhEZW1bhXjQPx jNrGLd2SiduWH0yvvnCGoh51C8GIVkA6MAlzaI46sH4QA4RqOl8tSrxiBLLqsPuPQWam8bsRMYWD paGEfxFerHsgJ8q3uYRYbk4nxhlFtz62rH8AFhd+ar3HZaG0+z7DcE3+1wCiFIjO60ansWw/LmRI gZ7tTz39WXBbA3NxFylOT7jT4/yH/ANqGcpgk2O5yffhtH2Dm7kyTL8lJIKPgz50TCxBdM6d/sil k/0o8Ulsi26XtOMiX4SSYLnmSK/zQVQTByTcYd1S2NZx/6LbZ5imm0IoWJgY8dpBzmhG3P7rz5we ljsrlGNRj/xpwLpcLV1igeL44IubrPCsNWA7KHk0rO84jvGIwM9BN+uSpg3k9eGg7rKOFTS/EOFz Ef1FkBPvjKHx6WnwE3B+Gu3A9pj4BKRTCBO1hlrvtn3hEMshvuAf27ljxc0P7jT/xq2Kk4RM95S+ 5LnZ3EMmURWwFoBnkGuYkDAjRnNsql67r1jtAr570IHQ3t6fEFJClaZ9ahI5JZzybsj/Ur3pXDqo QQNkOZohdmltFMYGxx60+G5PJyAZsvMCgsCXpWgPo9p+Zne/vuopch3pQ1yT5CEQzepPZO+YWvXl siN/o8T/6XctN38nWaknvz2Uvj0x6QjtwHIx4hR1M6zvefgyI5L5H79iM6Q9W2Xvivlj1HApGfJ6 H30qXIyUo67UMjC7lipP7pEgtPo1mhL96jPaBdZ1P8xBSu1oaRwTRDTT16M0y7vasyfuuOXmLsQl iq3gwEBxTWjHfZhWAF6hadwHhtgxDi4MbEwnorheDkWt8/B+7YiVsEAJ4WRzuMenY9B4VFlbymVd S6wxLeGiXpivSTBZ50afPlqEOdMDPyAqMdjNmwkoAzxYDqgToocI1OKaD0wvRxKekx/6+E0OwW3q LWH2b7SVCZ2LsBoH6CRMWd17mxQPJXxJdvbPMi+emaqjo99zGA1exZFgquck69hm11o7cxxDllCP ir0+GdZGNnsR2Ux1wlYnml9ybYbYkI3K0u3HmDZ1ZlvZlrbYuz79zfVxJUWPZI1PqjUrtC2ugkM2 oSMsTv9FswhWEldl5vi3SmjHOo7CPLTa7RWOPe5rni4/wU2inTbjJ4CVMTE9RCHLqucPkW8Ys6VI iNl5YNKKbxY3z9EqvhZimbMOyAsoRITeumkxxDE8+n44NnEvn0DrQvcDrd5EuPVoAeYzydmhoBug Fy74OeZdCub5GjX1aNrS5UxfYQnmurj/Lgp2KsV4ZbbaIYL8dQ7CRp6CreMQLo2X1PZg3n7Vk+6r X3m40QyipussrsxbzPIe73mQ+pZ0P2xMuSpDRbPuN5z/iRBjGghUzymuAoNk7MfHzJQNaeCk5Fr5 51nx6cPKlIGmOxpUXRxTpDzRSOm169CzmCfz6smJ3rrWh58CLkONzgiRNpU/yWC01svBpO3bX0u7 yGIk+2RtthIYODg+o2t8OsLzXEvBHRpURFRhoySEd72cPMWwffnBmPEDe2VrREEC75NzjUaJSVqk gGXzFHkxieCpUyjzYvnPiKDJJvdOGwOtoYLBc7IlRsXhHD0uXA8XciVw/7ERkbkOiYeM+wynK7tN e8Ny7x0AsiFK1SH4+Hr9cM1QlVX4Bscm7l3qm0STebECB5sdcA+vXbfGTZR5GGDS915u2gJjVqF8 p03DMsrxEduP7rhxscjKYE4uEAOyAJSZszDulRi4Xx24jMRSVe3CEQGo1hed/Mnsyhvoh9GUs8Vn K/Dzz5RH+vPstrvktKWQpbrfHLkM2O37YFXRRefdx8D/EaikNtD50t1PC+4ylAGpJyLuOtXWvnWo 8O60Dpln1W8MCiD2qdgMrmkFte4h1gv+moRRSgluUno7WgbUfaxcFmkUeZN2ryZQYL/WIdi/KeNo 8eX9q8xfYXAESk4O+GjWyExAbBjgDq//mQ4jlUaqvfr6eKOPmDmQzMYuFxAzIPmAJMUMmDEcOqCZ +pejtAsYNeBB1GZae5i7auIOY58P1qXpLG2B4/0dqszshWk0J+LgdcLI8zwrFwp70F6OcMf1mBjt jG8hetICasS38rhvo5XEoDeL9D9EQy9NO3OrzumhbuKP4+kWpduult4M9bd5MsWHtbs58UjQm/Kv blm6GvXXOSRVaszj6fSBtscRYejMby5C6ySYnqgDM49l50/GXLn9fxaRVsDgNaJVWe+liuqxQyYS TTUJbrqKS+Tmj2KRd35rfnK9Yi80g3xBAPHqa3TxO56mJtltWCDqbD/iFSIqe5BrIRpAYt7jNTk/ zCD3S1bDbnCeKTZFqDkTQ9h8TXcDL4uyYxUMdQujWQ25mBWHnz2Re/cVFOyt83b2R4bccILhaa3J LklHUnzVTT+PP/wzqneIjCP09kDXdfa2bKsHbgq3m5ogTALThBt8LhPbi7jTC5dR02dnuwoIqVt7 kyYFJe9PlHTSOA7BSW1rmtpKQgEghRkqrxq2QGtoqeQ9zv8x8RaWR96v3F8gCmZ08gAqNhwLrQuC NOLNpfD9UdQPYgxYhcoGzaNj2Utwo7H6fmy8fC4uKLopkkUeFkHSXsR8YgHlj0Tpi1uMUfmdq/15 Pcif7H0Cvy8a4BficbgsNPEgmi70J7CvhBz1abg7aIGnS97QPd8p59rWDGww0xpv7JQMi4Wv7A6N ll9Vyrw4XPW47+l2vzJ2qWWU024Jtqv1tQ/R+pGtjsKvs0O5eE9DuyY+BAbeh2Hah8z3IASpk8TM oupp9iDgSIEBZFjYmrAzqEzbrpC2GV1ylGaPvuBzqST5nomuzKyavszJGpm2LgblTB4Wk5OmxcWL hLNqyTquiohCvQka2RxIZNQIxZHdoSu5vprH07X34Pp++NUB94Lc+qcaMVgN0pLImglNP/MmHy7e QExTJ6iUAu31L0e8f1ndLy6I1RUN5LTkSdNJEvkc+JcKvqs15CyDw73MrxSg8aucBBj0c47kAweQ nvJAJ10thFMp8M8ZauZmqx31HgJOcjP03fSWjhieoN1h/f1FS1XOiIDDtVN/i3WyMCWgBIf1updu 4bux+ZAm67DZHzfh0i6T6EWzkG1S2V+sHy0O1mHssgmtpJ9OqVCC4jJQfSeOO5BLvLn3Gx+yVA1g BpeAxF7/f6zLIcVgHvd+sVl3o0fvvElq2SviKwzKTKaD/8M5+b++Vl7PSu/pCVWbvjWbypenpIj5 d+sFiV/Pme4GK7/0HYwwmj6i8cYiQ4sSDZhsXz0IEXK34qaiDrAlczNGGYE6GLfTGAKw2SrWTz6Q E9dLKoP4BCwqRqp0g/Xj279fOurrr428V3yORofHuh3bKygBW0jFHfvu1iU4p1LVCpGlejJ5/mAW neqez/U3MWA6/2xKI83k36FdYHCNHHqPQqYgV+oNsuyoIuSlH3qN289HKRDJ3EARogvCA2NC/5Jm UKG81RvIohIrIzbGW8D8svpgUPPeUfxLbv/huvheNt8I3AbIer/9fUiJvX9LVxeKjN9hZAreezCo gvURWciraUCs5c9A5OiHt+fAW0QqTf309qoUQogOt5VpYyt7my/JLHHDCgu0u29jiX7U1XqmAi5T q2B94EudcmOJtd8pBnEtlpzm4jGxRoWiYUsy84kYOaLc/+HH6fBpGs711oqRbJFZCE4cJ7Hl3XJg iHX2U5iWTgdXqxpRD5pIDI5gBi528in3fVjTHyyLjdBkOO+ip8zdICEyLaibq9ywWbrCN5BR2YTa iG2TdBuRX9xtOlcJF+tJKT/1lXnD6Y3OT1UffNV9fzNDCCYpkvfTayekJRr3l+l2BiOW1rjAyzU8 8XXYOhsVTqdCzyU1xlH/i6q0ojB39n1h0z4rToaz1lGuJy3Xp1AEGH7p0V3ztcsSStsWzot44Rar LNoqSRD0KW5TvZyyArJ6oCypcJasgJIo7No4F0t1JF0gs9BBMK2bOINb1gOi1v4TuJKJvqc4wurZ z8xdxNI0MwdaFj0Vlxt0Z0tLRZMGIoRmekLpW4e3037B+HykKbIHEzUJFJjNRirCk6emLwfVi4AQ G1qkTjj/5mAh8FBniGyb514kWA+e9Y3pC8li74RaErIcsQ1i5I5HDoJW7X8WPdDc1BYtU1EpNvbg D8LxpcwRGf4WI6gQfDVwEan3R7KvkXYl9yoSKlKg09sujX5biwA3Flgq78bKCIO3ZfMwCREQqN/g 2nHQwPHvPk22lty2LWacLH+zhtY1C3I4rnCCNZqj7ssRV+T9989wERPgKY46zd89sSiXwqVfGxZv guxUV5TWTzvhbTw+5DkNV4b3LUKcJRjuE/6av1+E2bACCTYoBb2rWwHliA9TXTi9nnIfENfZvCZi von7KRw9wFsdk51RoXoGKIvBU8902ClPCq6KDNw60eYL0+nfzkMMl9WWw81hj+C+B2a+3t43jshg /yl28X+Ur+nNBmkBnT+4g4uNHBTJmklZVX7mzUPVMmNDF+AAAYD1lQj2zpp9T8xC1AswcD4Ur5VS V58XtXYkCe3tjKexiucxJhN9ykQrsWFhgH3BoobFhFlntl5EX/pv21dfjmkOd4yIWIghq3nvBvfD CBDG8BWo3tEWO7ukNm9URcHJ8HgaJaBaXEzr/bUzTHRJJtebWiM1zVELFa/OLrvexQe1+ZaMpjpH bim0dW2qL7mKPDtuy099S2dHnA7Jk9LyUm+oejiDVzgN7U2g6VtGvf78VtnOFqFmTCJuNDP3pF3l OVGsCgqbrZZ+IW9ZfFh0mlSV1ctuUae0lbIexeDmKAtKUy+3ELcysldbl/qRJKmrBhh6yXG+qEpw OO52osvYW244QccC6rvs0F9oXsYUaHHw1BZHMYt8zh3PFR5YViyZp9iJqmDygTVNaICmQMWlnlKK izMxwqE5u8uzc0l+kz2H+Ts6wlawi0VmFY6Pjxj/29Law3SgZz7/tUGX1hEBu/J0cqlZKusDwWcm CJVIUkTC39DvZu+3jwHERdsQMILeXcKKsFIOiN/M8b5S9XwK+98gUYYsAAUS7VGKKcrOt2Ggad5D VzddU06n12LUKs19OHmxiH2Kf7Xf9uvvpDLo3f1XVIajnIfpuH1G2MEjUXFBxIYEOVQXFd9CZ0kz rLemPPJPRgKqYJ4Wy4GlrJe+WrdzuNjiYGfqPbPU7zRMffyUhjzb+L1clgMsKQf3ONtH0SS1TYp7 J3T7vYFVuKezQqrtkaWGRvdEpSUMr20DyIDln0AHBVRhic6fCcOqUR/7aNyQ3BFyVEdEqK6MFsRT EPXsu4VttACVJOcCsmInZ4w+Bg8XpNHU1QI9/DRf8gjMBbG9fHNHh/iZbdJyFbxqnaaYCmtIIKbQ S1Wg//smnQFbWpXPX0QnGQvbf8nNZRs+IG4R8oRBLgA/a8uSkc6KAw9grDzAsZHP3WCHIF5CWqkX UCDfvejqBBRd7R7djeg2t3R9wSlABXS+tfM+ZkUCgpDGp57uiWplpT0hkzRqHTBhHBChQbBGPd2d WodGKd2G5OSoYkHzxFpCmJbBnTRNP0PUg/GHLPPhyBAnNeqxLfQMxg77stf8mi+763x85WgLY5lp 38ikDgrQ7z7W+q15IdBj5+m1wYOs2NAHUi47uAbAU60JyOxRTBHoo4ESQZQUAZ3SES06hm0WJf2c IvRbTRYjY9Gx94qaM2w+SkXMrrqwo7fAsQQvhwKG1qRNrPPnVRBjF9iYsKaMC2auIB3hVABiwkBc HIgMUGo8DncGNXUgvNCvBDfRH8Z8oL64nRyy72t54Rx9XSd0D98a93YNAKVe/IE/Eq1yz6D5hmhx YXh8vCqf8tO4/gZ212rIFuWDKkKMsq11oAagiSJhO8lkYnP58lY2ULluOa1vEzZmQFe7KDxbxfKB WYS5Z4iIjBAyCGas+EwM4ZSvY27z7pTvI41LkaFP3tjpSvu8G4YSBjlQOqgH4J+BRF0qKxAeBK3N vR27o2g9cDmnptaPKPG209+5d68z4OZIxJn1gDzRkC0gbl2U2NDeugrvRQY34RpxeC2Liy6BDSA+ tlaMjXwrXi5A5W87AHN8CPiOaFtgDMuEp+r8owKv5njx0m7gT6tMIa1aOWUSDR9AGCYY1JKVsznb OayRJAC23PZFBMGpRyM43kGYR68JXIInI9NsB+4SH4HUETtA9NtUOq9pDj6vh1AO8E3PvJH71AGt +wr39rNSNxfCw2nchXzek/fQIxtCPpfietUvfw0qFC45VWHP69JpJKctm2KEXdtjERLGSk98ZXOn 4FYktz8UxszgMNljYpOzc3BDF3m13J/Ou5QYZVDSCGkXZ1O2DRk2/eESgHaaHLMP3p5Qg/XkCj5K 3opi9/Dg+EDeNAzFTsmDQ5YTeMiM5M0TE0KGxT4V6FXuDsrvpNhUOjdnZwf5rnHpzG+9zVDv3Vq/ RKC1MgBgTKIdTuz39QCHMUwynOh5GBrUwQLDF7NByrGNpxXiyWcfT3p5f1U2dgdIFKGrXvL/p2VF Y5FcnOtaq+qNHQ+7fyn0R3OGtsMViQ2ttYqNTFKJCkPK054d2Va8yfC7g7DJ6SrDOJ2M+begNm5i jOSd4r4YEYSg1ISYbMURJV0PitSVodnMq0b7KU3Jw0lNQOkqYBYh3bAmew0Dw1AWFJlUd7gzjTGy WZAoEheXGADbtaL1lW08xzn60ZCNLcZeca2KJtfBtxffW5OezKtqeCYf1N2Kda6qHqwyRTo30uGE LEVHGUYrYAJpQ/lEBu9NrPFUq2kZbhQ++anmeU3gl7/jX+PPXOTNFmzI1wGwQyI/7DpynyWqUwPG 1t6eQ9RHIJhvSZ9GIG+uAfSUhjf/PxIIDgk3EZSPPlWpKhO77Mkuan1zCB7TIwGfLZIs/S7oEIub WXHPP5vW+ne0IDMssqrW0iJpOyGfoXaMdO/+056ha7Jk+0jyc1tjD8zKlZd29hcyXePy59ZFQoyj W13sOWF9GFwyEQaGT0hBpHd/Hg+mX9ckW9MFLyUDjBoxqZfaSWP61PnKcgIMgGmvRqmy8a5+hMdC r72pVQ6l807t+e9fW5UdDFhZBvl8PSzFz1Q+U2uvnGQOwIIUFmUmSBQIGens1dWxJGUYCTzCUqo6 Ii9W/oujLnjN8GlKYDUJqsE4z7hNdDZKjz5Q/LEB8zkWH0Yj3oLQ1wCWusoXSr6P+TnT5ABGuJU0 yvVypmf9qSvvWgoKLVKfRA3c1dEbUQ8s7BxMQ9e9rfoGC/40HJA/YrLb6fuFrReYRyyS57YZtvGQ CKoPlNMvm9Rb9EqV4wJhm6ZNWOsqoxIuRJO2V3f7Q4gEeiZlXhfZ3LwSAMf4Urs3GIlWteQF8KKN aogFtV15Si8nCxPB96mSGjD1UUra4WGX3wiTZRwgzwzaaZJs2gVivtsOj7ZzT195DxqEJuYBSTTI IoctN/puih26CY3EZq/c9sMsuIwpx3pRcv0Pp+NLJ2JX4RYakcBZc/FxDTHjMgQ11VjeiD0xPI7z laxOOBtLlXytFVRbXc6eGZZZFY9fMj9IZT9USSmh2ITHaA+oxARuRQQ5Z3cN2GaMq0NABts0JCoj zrjqMzU8I1dcO2+MbkA6eRW+iQAXIJpmhN5Z0XJDpXScZkkfHp6gzj8EmsRL5JQNrcFJw6zdS4GK 62fZAEYsExL6uSeziyDbFpuLNQFiY0b0A0xKEX4eIvM6QTmVd5Hxli0Hzz3q6wLzNcVlQnTFuIpd Kh0kH63vo5rtXb3pySkP9a+n2IA8caAdKvvPW8s+SRJeqRkSRFmXTmbczIps3S7Nhv+K1bsFsnmi rnVs8o/Euk0AtEp2e6jrkXT0AfsW5fFl37/D5ZnwUWEjV6HqbCvRUoZtHr+nU5nUx65JXARSKsfu ZVT1XDT8IVWNYtPnn3tKoHyQW0rtOeITmQFrGFpkmnzMSprP2BLlC1NXziUGFe+34aCjIy9gIMAz /QZVts+l9vp9jA108PJCbJUI8bIJxs9cVZhXtpOb0mJFLAtKh2HtFhJb0USrdslt3BhWE2fY3swi T4pW+8AA03VDwkniUw4TAmRtwkLTcn/l+fLF4RAdmpzpV9j0FLWUDuL5tM3CkV/p5zeaT4x8+BLF crioZYw3w15Dh3nzmMh2zYv9HtBCZZFSHph+UAExi/a8ehwYBAtoitvjxHGUFgBzBTmtq8l2T5ie /sYHReiN6fe0XplKM7S7DufE45/Kgre01yr3UEvfuYYGe0nJKPC3/O02iWVoOan9q3poz85XOVzq 5W6jYzyHcdLcK4uF9O8ZSOczjCZrctc6KKF6FBZ1W+8UVIgV5p4AD+PPqoHYi5VRN03LUh3WGC2H vWtk4ekdLaur8zo4IPMHcK9pXXZJgt47x8pHKVCY1+P4ry2TSSbM97NvFvHKl/qPCFB35RKcOdng pcqU+cN7VRW042UHBIBxpX5TLgTjZ+BpI7Y/rAkeuHyLNAMqhHd3++AfNK52cjqTy3X7h9PO5mfh QkHYCO/rmVxlnUipm96EKLGXcmf9JgQNuylplHlVSTxbLJxrqjWGqzYHhV3meOXypyF1H0pUkw3+ xPxtrIXEkNIbRqGtQPH/BcW48H2lpISEnv4vmtyDD2IKzEsEQwr3Cp/15Jo4LXDFMMx0Qqa7XzhS lKwYdsZvz+Nqlgrue40/CLlKpWo4iCtQkEBnogZ5oRhEGkAdH3XdVZUrXGj+NjtW7WgP3rH4ppYs YvNe4s+qVIzX/6Q5aEkZVgnGrSyQSNmdUsjEcXeHz4P/iu5DugIs+UF6bRhxe8XTgZiCNMg8Fbfx eCXzE0qjxXjlwbPgOuac/HBEM9BRatGU9G37b23BikYZ/fpT80pFPpfohkPmBs4jRGJIc2xUDwz0 KTB0OTdC7166Mh/OCIAEtDW5ERTErPNOLZH8im0/pefkVrGtC9QZxocnixNGccSK5u386PGftt+n qC01pkYHt4e57MvpxszFe4KgXVePmxkJLYQYr5LLXtiAHYplCdFhypULpscDONJ+ElWmbNalrmng UK223JR4SmJenvNhpPWBGqryfzWvAEN3I5SMkBf+9WCfwVv/maGDBUQFx+0DAax3Lv6HNQJFpeEu RQCFowV5/zsS/KGiAA5t81/5/h2k41d4X+7YjxnggycHVW8CcZxiA17VutEVsmS1WTG9zZjialDv 4uhON92sMOyO9gBJnaT+cqIdWc4OBhKguhhq+B+HqIsv3G97L36kHW3v5nVtGQkaeYQuxHRM4HSO lqM/ZTqFmMDA4fBsOJajHE0wxScFDjY90vrRBSsMaY1Bafmmg7FZ8PEGb9iW6LKoqGGefQKjXGez O1dMAgFwqg7bD/QjeJnw4FW/EenIdpgvi06SthpI29sUpB+yb4Zl8oAXgw7ZID0AsuPgCYD+8JVY +zcn2E4y1s4vjVgV0AyTY0WBLR2vIl5N9Rt2L1ckJWxoLdQgrRluN5ZFKCDWm1EdjoXq19Idbq5k JAhyy6qkCU2cyxom0oxBYNAPuM3EBaxf/tY46Ob3I6+tOmL4I17hSYJdAztxwgOHA+iafqvowJKV ZIEc4Nev+Ztwq0KnhvFoeBwNjqPe3jtshAplZXPf9tYWYRv5ftht1wEtq2a5amqAfHmw/XS10WAr WYQ3BVlDrKFO8oha/1qz2BLYuJ5DbOF9lurh1Gj9QrL3lQ7H5QlKjx9JUgJgaKSeCyxqrowwnaht xCiH19c6WOuLMfQdusiGvTIcXk83GiacYh/JgZeJbd6Eq2x3n7d42NOX29GjQaE6fCWMuyLjvJt+ p4i8uukHg0ttkpI35N2M6c1a5FMBIJdXo3Hj0I9PGssPp5TziJ35gGc+BdvfTBv+936eUDrZLvjz mgXhAoguNXpr8yQPwEWpR8RUn9w/Mju93lSPkyn/Ox+GSCJ81fD1I3VuaN68+dSrzvOjjOhjzN9A LkDJvoOW6OMfIsVrUf4H6q1gwIIuRdHuDqwaf3MJapYGb+DLYBfbeMQe9LeUyGpunS50R8OJbmd+ +mXLS/xoP9j+iDWBUg2x0xFbrTKEzsr6OiukcQ1Mcht1JQBzSmH95dm3xVX00oSt5IqlYLz8A2FT YC1HgHOsX5XzZTdZsdrjQZNhMPZs4Wfq1Oa9Wu+tn5ubnA+Tq2IvEqnZs3cz38CXimFANmLdaThN draq4owKI7W4wlwpkHH468NMtGCaaAOj4shrWISu/8fvB6a1ui+BQjSLDCiNGd0owIMv4jxu1Qly zHGsCX09WimvOMeCRxEOhdtvj9+qYJ7VGH252kxR1yjJf2SSEvcSkI6ofLXMykACO8j8w7hLDoma spe5Hg1asst+CBmYi4CT1jdd1KQ42qUknH5FLAQ+un9Lqj8h6QePstp9mcvwJ49EBLP9yMYcDTTb vcAZKkpsBuUWFOa9SyNwaWgTuOGvNv9vpLZnRw4pQ0WKnBRN8c0F9M+kKh1Zxg7BGiEovICOXSYJ Ji/LTbEDqv9t4fBiDpHPDNOwaIsfUtpoR5URscsUN2RwX72RJceQB3O8WquwkMtU0+7I08c3aMGT TdLygQwLjkyK5CmUEGrWEhevbWOcZAAiUSs6ObUaO5wdoliGBLRTk0KQxpDhI1Riq/XAvYqWYQOd IMqkbmM7IeRi/clKIh1rItrRPqbsRWB2Ekq1dJ2/z0PNov1iI8fs4CCzIO9qFBlKBGqVjZ3lUF28 mUZuSPU+aSiooPQxn+K6XWXvPXRdutZYfl9iCiJpcoposv3R9LvCt2AUBIrLXBTU8mUIKRE5AUR5 zx7mPBJwzInBoZMTYUB0cnTqjngjRyRdI7UdmESHoXc2NWwYHBi8BzxEVDuqvYcy5dPeZqHdIhTJ 3g5f5cmWpyAeJTAmwAVEJjQ4HgZ3qZe2z6rq1NAyBfTRuvzRp0c2yUPgTL1IDAR8jEpIIA4+bHaN 65KV0ik9xqYEQBc3dpgr0x6FN5bBIs2wpD3HNJSJVJ+hNIFJDLgC7j25ZZxkGcjsbG6kohHyutHM O0GW0BIhGqZYUO2+Qhqjtu8PTtskZP/zef7hmTYDYKxvcBZQDb438MzUmGa3RF0F2EuKL8Yu9ATR RA/dlkY3n7gx7yIO3w0ycmIRgwH/v6KwD/jF2ILvEQeFTvgKRW7hP+jqCWt4Y3eH6sPhjB4E27/x hf+wzKu5Q20G4n0HL9XS81xmJuOpQRaOB7PW5buQLCl1h+M8zIWs27tPJxzoinKV/ZOKHK51qfJC epHmmMuVjqAVfgi6g8uL0cdo07NkXlglSXtUNLNVpvXqBpuIL4js+smrKT3rwlP7uXv3124L7Vgz dvHA21hDFMYYH7r/F47YF4zRUCyBM9z4cH+Jl+D6IuhdIERDlQx7jwMnXQ/ZUt7MyEiEcW3LUdkU aKbAYpiXngoZ4bYl3Uxg32WftM3b7rzukt0jSme2dyuWh/y5JBMfPEdROiPHgFt3oc/ofbbvtZTS DvvMKjl+OKvTCEmZTpd6gnXBltFwQdXn10kxcTEJTN3QxzPWiQG2vpNZnJ9GAoKkwVw4vJHPZjG7 dSlD5tFsA3kVn2j7EDAjmvYWtbRvucH9prVwxk9cUtQ7Rjm1BNjFDFBds8MA3CoZaD4LTwoThbWy JjXGlVf6O+XH/wLnXZ1UzoxzCqIz0Bs02AMWuJWc3OL0hI9u96+4uQCurT6zVtFAlOThnirIoU3H 6HPmwTWRU6MYrm1fbmmz7F+IWxXRjhCNZfIjkWRs2ZdP9/uuPh5MxbJLP24GPeLXVZiKhUmtZkwJ UcaqP20e2r8lLXdGQcqKqSvlOPzuhy/MXKl/p6yfjnMXCqa6xkt//GkawhX9C/I4nt+LN13oi+Dr uaqUSzkgyuRTRLFkdzqxQjwk1erONiJFiU3iT9Irg1c40DE6nlOtAAnGIz7Qo3wSAsm1qofryC7B 8ei3uVgCkW1hFZZzVTEPjcNlOoLdyGuIcQW2REgpfT5o5hkqWUipqfsPxYUxzHmiGP19OZH1/VKa aC8OzZYRn0m1bq/diB7aVeTICNCJXTMsmyL4ausCtefy1bjBQn8jv2XDacQzIplic4OrMCWR4fy0 pm1rmiALpwF24IH38PSN+JyYmN1GqXj87UHRTT222CVpZG42B7zStfkKVZIi1KJ5+afVdDQWlZ48 1pgkeDp3Cr/2NKissE9g3MkYAVzR6X7OsIdOIXRRRl6F4FOV8P+EpolsfpOdSv7782NhomKsimR8 o3Wq8J44XnbcUIeHa4QQFteebEHM3pNfGOHIcGBB6biOFD4Gujen+18TPe+tuCYx6Fp7EF2g0DYr XwjXhM03zQU9VSuYy5GgvsObtdqs/cOeq511ssaaUvdV7b9oqgp+xMywwu02LB9U4ukt5J01vV9g 9lbYfLNaXgp+VIeJUObI4JSO249XGCObfU2Hq78xjdtUC/tc0s5WbysMXwxQ9ShoIws+6v8FoDfP fpd+5MVavsOVRglf8n6rH50YJG0AywHugYdnQHjzOcmNn/buM5kMEhUZJUMhJ3J9Z/fFly6ywhp2 laoVIgf1I9Is5NanUrBIPNq3pGi1cZUupfBsnkh2H3JbZvcHyB4YqFXHPjuLiMb6n1kb/TpXoLap uxLiZCFPXDFGNNFRgmQo3RdfpDYLHYLHPfp1NTBNxa0ClSizhek/ZjZbO7VHpzT1uYb5WnhJ9t54 81Fr/Di3IZHwg8bycTtT5DlmU03kGNzIGKgiAGg5LZttcdAfbpQZYaZ+5+gFDOfpGRE0PMA/Y+bM TZIWXG61E/nCuQ47tPBI5ilYpq9mn1oEKmMiajxZ8IACqLuQ8ksxJdnCn0s50rmO+ElAOzFJ6y0S 6SowpxS2d7PQJGahI8Kn1JiM775i8FXnJutUjZa43+RlNDRNoiF7nvn+iBhNTnX0cUsaaWc4A7fR cqAb7DCwXjfJ0uc8Ps9Tk8Pibs9h4gmvutTS3XdAYy3z/y/uiYFDnTZt0afWaz2rXFFvD6XPUhw6 Wvj6N4DRnDEOjL7HDNrgnuvNcVk1ClXKVo74bYPiS+ijQtx1qEq3m9BjkLII5Cgcw2dHnTDuxhBl SBpr4vynshn1lMhtHeqda/ZjuYVAxUuddicTCTkk9lEeRKggdTQOvNgR6g3wEUfKyfLA6NCr5boW +cKV6UdVsWA4HrEnpFWIsVqic82NrqYxQo08Lnm++BKATbQdw2odaHopg0VUMJiLNtUlH8DtLAV1 XVbnAVCoS7LauCrg7TJ2ETj3UkuP7lJfCgCp/hB2cwO+qQhIk5dJgQMo8aPdRUCkVvAPwaCMc0CI oGUOVUCwJRKT5U1BtU0AwGVEGiMSJ9nJw/1ZHRKXOrWa2AhyWZKa4IFzGVmpaLpQNf3fSbM5aSX2 3hV4Vy+rZJmF4fYOEgPajWBYy1wtLBQohqAjEtgTdyjxw3yBp8FlICoykH2/J2Y6RHzAcNSmkGlY /V+OGyXxRNtqHOP0o+Yicde8Agh28q9nAg4jLqFLrC2m9vaEDFpSPDGJEmPmNmnyp3ohcvJagK62 HoAcCPg9EGf3tnrCJByTxjEBVEph9dpXBRvCUG3jbfnhNQ6+mD9yTh0VNH/qAO44y0NSMPeKsMMw MH7JSQYcDaqshLeLCjzjccERXShHp5EH42R1z8xgKQuYb0AT89Mze1uEiKuODibYWtPmO8tQ7TGX MsLewU4ObueNN1vu8ma0P/fy8ZrMRZV9e2O7PEhCa/axB/H8Z/YdZG84vp9KearEbwFv+Ea5rv0A uEs5BczeSAGI8K2RJGoy+7V3u0epyRg+DMXWH39uLYGMB3t/NDtNdf3FAXY/2tuJB7PY3KfD3sMf +TCP3pEuBIMpqmuIdMSDOh5IBIKJGn1kp/Lq0S3/f/qif8If8kZD/X4ToRg17Cw6jD5XlwIuFRwi w+iOstMpsTDagg8l5tEh+N6Cquq/Y7iuZhTYIhUgjceOptjg3b6GjS4rx70psMKiZasRNTnxn7Gu DmuQVDxCsfUxCfQ1FguhvUoFx1N5V3RFsg4l+yRUcZyx6s6CsdGLIc4M9dmf4vHd2FTQqIhoI4SU BUsz/AzcZi3AQR+M6+TDUohUNbTwxCH2qEaJezD80itZ0asKhQ4ajIgmNrMIjjHdV2/QHQHmb4m0 EXynkWylxQQWfn9Nv37RAZIuTEp92CYmg4HxiPc8chhaYaIrSSAy8bZlnumbEby66buE4fZaeCEK 0eaVY/QhNkHhGMgluhibBseSmilcO9GZ5HgU5ZHrjbLYsOrdjUj4QYm8MpCk9VddOf1A99Vdvf3y ST3Eoe339JUiI24Y3O2r6+lbGcgDJ5dGJCJGDsp60SOZEhDRcvCgRy8YwZ6mUhijFp/SswiSwBUv 9BNQCNjw9fxNLOkpdIiNeLAW2ZewPdySIuT05XDHbe/3/E50DdUnjhUyJWsymH77DnYyViEk0T6x EVgioscPkrQpxfoafxdfCumgZIYYe4E8YFO5stWkV2Mf4haImZoFSXPndXcbmczSb+oHhuBE1Frm EiG9PdlMxOb1kY4938z78KWPpfRZtYSjgv4l8z0+gi/7l7GXcyJzYu4cIFF9ZZjJD587BYVZ4lxR /UwSpnF9Ko/JMNo+ZOinoJyVkKGufEPHd/spF89GZX6IgOqnKYazMS5j/sMgBP/T4M6A17Y6pGY+ axL61oUDpWaNdn7dLtieamZ4lS03Oik3Enc1ykrkU7+ZP/xc2qd1zCA/BGAVO1TLQccpTsCR+F8m Mjo9n0efO3lSLtR1bubpBb0vf6XAP4CUh5Z7dVdJN1oYwX3utHndbmr3t2hTLADZyF/+avHOtZAU M/WOQAGTawtQVYP560Y+p2FdC6O32jzWgYmPLbAXpd/OPy0/VJhHLmR6vIfm1HPBIiKE5aFLXxfR olzxQvO4/3o5rTD+a9uCFfp5ejoD2fFtd3zZoUu8UnclyAuRFsPMI/4f1+sDVvLVNAW+aT2FHD/D B0PBCvj40JlNKGugQ+ZrbWEol19jzCZqmVY8RMBUC0D8ON/8xtFnQIPehP2Ya0ltw4yvHCCNBzpw SqvtJitw0ZfoUTzFBWQzf6Yj0eG5oZnr1HPSi4fYKk7GfZ1LxEWgrChAOE/YMFhz05sqWf/iiq2P oV0yObPqtfrAB7r6aIy/GKmTIRp5peQYY3Vc5YtKWCSL6lgqDKLy8PeTnsoRDLgj/teNGcGrVhXf lLXpsjftsAjCK/DFLqoLhAywFiP/V/lwWsgfctfy7ThjYN9cFMqVLpZ3FXfqqekKolRPRv4AoJvE 0Fcwu1K3f0w9zUp25MueCwO1F5pkwzwOHQwkmkUb75E/zWNePC0eeXy0tv0A7cYTb7yfyssGDbe8 pJCbliLGIHJPTofMX7SnFhRtQWXVC09EjzykSlwPwGCIU48A8rj+9o/Yvp72ciQNOkODHAla0TIp ixDW3ekMfyteiSdE6P0xnBTZIDCnvQjnjPMtf4GVv7JlNix/3/7BGFuZmSNKH6zDI5ij+FHmbKqR xrMxMJDmMdmuX3NGhrE5igSNfPQIiT3bi8vwb7bU6kDKCCO41OSdu1rSN/yyPtC8cVGqVQD3dhuN tyMEWZwg7w+HP1kdjnw+q37rsjPtEcABm0BanK7+LLw1glC9L+4w928OIW2uYrkIbgqGNWh9IGs8 +9Y94HUGtgoKt/0TMZJjp8EGiAV9gezpNil7IJKUxeaE6k1FZBK+agDajYpajrF+oaz5qU08qTS9 5MDnI8YbtfB4slrTSbjvsPsd1WBWqMNNW6bsODQ21XZDMqvg8Fg9EdUSWj1+mj8CwIMIHjUKjumr Bg/bfybvkagazxtrxXMTOXtsuyRPDudMxU9z0iIdya2zR/d2VKiU0b0fsf9SPWugtwUwY2YZPBiv QqEDnQLzgHwut+FU53xhQTcDfE12kaUWiKYCdfFFIaI4X8uUcLa0rtzgWJhINfAVbATtzIUbKjYX dsfPQfPOwO6AmrDwFEpYlDIV1nQiQTbE11ETNB8pKBELttwS/pWgprKZgtL1f47jzTZSWA2NlOgn sVhcm/sz1P2cVLplC38QowmgoG/N87egJLyxcYNH7alyn66QBDP1Y92juUm9v7chJi3NDQBBGnm0 vaoy9/yehBo2329hqlbOlTfdxRG/dz/elERal2jtpzNT/slsFkYi7E03y+svKj8j2CeCCC5tEoGG CZde1zbFxWqKKkUdZuplmRICuHJXJc7rYPX3DXsXl5he1KVW5ZUZb93UVmE9SXUKBqsXMTK5B0LS xb8YSkt5yIw1YRJkinPoBE4Zet1Ux2FF5UAGbksq2nj+8HXdlTCIz4AzA2qYiehg8xOB+tKTW2KT wg22Eg1rKYxxXjzRqXk71jKMhyseU9WKT2xjK3euuGzsBDfcDsGfaZCir8mlAE/7i7RXYLAoFzEu zDjGLUt6+o23Knuw68pESj0KK2jHPN0emcXdWdRxpYAMloAh7s94nOMCqO4PdRUQk9gPlDfBj2Mz 5ndg+x2TbkPqUr8K2h8yeqDArjmcl9q2eJvzAhxIjQx8ZBgh24+HCgYqnatjQWYiwUdpBrYnAYC0 eMzJEEQCCHmDVIr6zBaFejnWPCE3WkxHa56zseMmRjFsy+r1B6irhCiy2Xyhuv86K9LICsgtAfLm in15vDHZ0V58r2HC8autyYPY1NyXTZDGmRBv9UUdZiDlXrm2G+D1UWL7S+HkqFQ1f2dP6eaUj5MN 2g5KX+H8su5OoedXBgMalchI/dTPqku2imVVaSDki8gjTkJqGnk/TwPnLjg2BxRYazuyld2aK+O0 dZx0uLm0H0ya4IpyjTiHqeyElBhRij7hEx4d80BzQrtJiD828L9L10ylB0vKXl4C0bK0MV7XHm4j Xm9edcwn8E1f40fjoq2r6tH6qO4UwEXdNh7pHpcZoqLNAijMIwrg5nZZdIgdX+GGQeC6vI3eroYG MIXhXu8FtP4cfr37/QD8wv5F8Uvrlj2O9VCWPhYA73meuJ/IenW0fos5zsOEuopJS2zWspfyA1S/ zT5+mw/cF+aC4TERZPf1E8+k1YEpzgWENFPXy02Q4ybwizVwOF8rJjE34ZyCn5GuK/Edal4xjl9T /ZM1c4gEBs4UCf0UYoEFvH2Jt/TEx7HgCnnBycG5tTI17nuI+Vrb6cQ2hl0fTx6SQId3DTaguPQS VucniUJ0r6a2TLz/D/0NrTc14MVGWL3vLWnIcMrncWyfzp+ou6pRDFRt5A4RV9Q4gpF09bDrozjy NkMZFf0Ipv2UqV1AmhvgMErt2YMWabUgN7fNRSGoo7BPJNvBHohqzKkwSnFn9Rf0X1/QE6CjCUJz VAdYNxgLcepcTirhoPL6GIRRzUojy+l2TGtJen53IqOR7o/q/IdM6JP78nMdl9uaDkF4wsbiSaCk AIUPXD/tvjjqvgMoc2kafOPsd5f3JFP6ToDyCT4r8oBDD4+FdjqHfJphdWjcrMJgdv1L8eM2+njD 6//tRVm1ikViQaaIyjQKiH1vXyPZFuJ5oDRcWCHQtgT0kGBZrlY0gBFYXDTwK+m/3/kU1Cd7CzOD Ge33Jz6n7p0K0EKTxs1w69uleL1pk2D+pF3zrACx7W/0mO6MgRa2+GxzQI657bzfQm0RiSosmYM0 IZ3VWT6m1sr/+8jFCmFuefok4fLtGApe3+Yw3MApr9jTBH8HYBP2AvTcU7DFNT8XHngrO6hEXuqH ZnLpR5/xmkansP+U9e1CUFVK/ZvJMW1fpl6nT3zzqp3Q1YNKIqY2Wpvc3enc+XGlm80CPyOo30Eu EW5jl8Tvv5Lzid3rIHrluMmArWE1OSM9qMJqnRgzcwT/EDz7KP+Rrzb2qb9/NnwweibpLnH0CzXv CLsRJz3H0hyM4fXLapABPjbDEyjZrcOQ/T/hSrsGi/53KMnOg9XQFJNCylrequlrpz49tVx9Ayy3 7/DBs6jWqx2uGS7sQZU2VVFOtg7mA5vONa75zOsYCmaaz/uOEmJHUW1Q+v3FhqrqH7dOh6CEVz3p 5VV/jtQXLBZqyfq+M2308quAQjJ8weSYkxpRnaryGqCEPK1VMaK3wvzHxi2Gnra1yQuhhVMUNuTt Av64jBRU/C+qAlllmplx1hgzrK9qgzbVLGeaeg9UtsGa0Xj2McLIMjrfiNIMw6sFM8W9OlSdag8e HxENLR1p/RHcPaNmdULsB34kWcMKO7q8Ym2ygBCHN0QTpdzcMZBq3iF4y8AdtKIg2WIF5SBW+IXY IdEhSAoBHDt3kg2vLVRKrZyjon+IWMHXtKuvutxNDo5oFUz7nOl/YEJA2zNFcBeO3+HknKhUaWzb DZHUaY4oiN8v9svRBmAQFRcv9YfhdqhTnF5Ywzxi56MvIhU8Z8XLLb3tYZcvcnco7AebElQ7ympX cL1ZgYueRwCB6APUTtI0jaUMagv8oTR/XSVVkwOlVAArcSJ7J6Tb26pRtooAAFJzN/7co84twa1s nilXf4GmZ1Vk5zsfkSDccGVYYnnjcZBjNd1fB1d9zaI3Kins5haZwUIhBeKVfGfq9rmAmIwBgDs2 loNnqWEPcCetd1XibN2dGRRvpKlXf3ljxNzY5XFBV0RMcWI1K7geW3ZW7bOK0Up/+BS0iN2SxJhU nH35ABFw0CXdkNLQ6tXD/FjWS+LFYEpCbgbomHzAvc9svjkNKlYb2VJKXfKPW4ybSv1/35J1V7hn npbBVhKs6vWWm04EWc1FA7rJaW6hR4Bu6uxg87yptVBiZ63cFBuDyQsSn4lxJX8R3aWcWWQnXhhW STC+gt1OAmbiOUtioUvOlLszK8Kek62A9Zmb0JUts9Ng/auWgHEYekE3bUz3YctjfoHP2uhtkrcP uWCWFCU9jYB7FZS/U4JdVQrx0TY9y+m/4oAv4lf2JSFfGvxb1R4lqSx5beb+YTeMjmiU8cgsJF7W d099iiWZmp2ePRZgWqtDF+OO005XYkGsf5P9So+G1vRUx6KO3rHnPC8C5bMnKAtSwcutOT3tj7ez 1ijyEPoO3im3xh17Tft57YmQLKNSePXel3QQynxdYqzBqJyt3/RAmyRQcMf4YRSjgS9UKcAmX2Ou WsKaYo7gPYqUG++wCQRpGRBNLk5pYrV2chf5MMsW2mQccHOIC3ChwWWsiJ5rHLr9zSSl2HkdJg40 Lu+DaQMHReVY3jr+jOdMyvjOQaPw26oSSFIp8xIR+DiI0i1mIg/5T8Cq3+UVgrqpiB/R2zcroavJ mdwDhgwmQpkNg0khad7fbNMQR9YL5meRE9VJn/egC8JPsEhHjRyLxISOmjj2vkcWcOmbm66FbxvT 89ilaj42K9wpfrjWdaRi8uZ9yXKnDafUkCXoeam+g/AM4VbRaKXhbFD0CbnpKRIJO7stsErMt0Tj +8tQBPnaPwZ5O/8s7mOSe2VKkrRJMve5WKo4KRmkpO4aWjYjjRlm1+nXDDJk/YwWm/umsXMkF91y 2SKn1mvEzRhhktmVVl1I+oPGan5dpjLtyZ17PuQy38KPDwDCqSPyAp4l4aGc1W2R64ktax75v8s6 9E02ZmZnoUbPfsTWvPhHlabQ1QB2mLVbH3TjA8Rxi8Qw4Qme9Ji/KUrxldWYfhY1CqdasVYVvz84 mRBqGmcG+xJOrHDkbBljUM2edhKttcoQUoYO8oBNQMuFOo+h986G5GW76+O8gZUvKeoxjJnCc8JZ NB+rHaBbrgXqbXwW4NehmXXaxwbOFBBbLjI3CbfGWpV55u1JXMY5qJDhnImmD2XTjKzV+j6HJdPF mubOtFrG2tsIhcvSr49YP5fWgFHXBuWGUl7klRDFhs2gsFEp1UVA2YE/d6RqFBnXVhxGmCo0vxyh Df4vxvRmE4nFrP9mG2m7cshAFbMgPH59Muzkgz+JdkAUT/IoUAQxdmwMgm+LlonJHHTcwF8MZnQl 3lt9jN4QuOo8cP+MWWociJmhU5lPa1dXPhsuWnVwiXobJJAU/AlO1KXOpyGf8vumCsuq6gqRlVto D6YYVNjc2V/YEwVOny706WGQ2fGMDrhPZ3kygmj3w3FDEQTikzImsx9cZJCJSMscNKaLT2jnLgm1 dtrZ5YtUczd3Or5BlYpRZGkE0aOCWwfrVe8DqpWOdgLrdCEZ72YcFSk0ohjnbCI0L8zePGWXfHL+ TItWCUsH2LOX9OyYIa1vWVhZ9zdCoBL7MIee+adVHUZT8yDfuGN4myPf93K7BX4PivLAC4ksLetR uV1WRWRLEt4T/womHZ0t5ZBooj1ClpCIc6C6xaY8TieOgb7jOesQ0vUrvCYWc1tBlh1G/gk8HjWp ThTcv4esUjdXLX2wAUXBFXmc3/t2CcQYg8wsTbP4m3nFMrLvIHljfDAai+nSLIAbWgn1c6mtrrY+ o2ICEKlnZRCvhBaT1K8aUXdjuzqhrbSECEL5WfS68C/B30OugKGc9JVNtjBGFoPG1/rp3BXtDvby qaJYoiT/yC0j7elOhtuGuWJqhMjunYA5qAAecrXBbzKWUX6+yA3kAtBTv9hT2Kz8eCBZ9bOjWgK+ +ekjcCgrWYm11kndyuFA5VJP8esgCyBzKmBM7fDzaHwDZE4ZHBLVvBFENPr3/Vs6I3fJkOJf64KC vs3LcJVFc6wpalKvS5/mWbzgQreG2LjaKR2YcIq9wnZwMDzny3t9qMpwh23/WIBUozqozyNIgRB6 rshQs1xHsyGA99C6fJuPXh357rfcjSbxv732N1DQRWfFZELd9GiU4d7BzpqX6xduqm+g2CNJF47J Pyu15uj6KmlNmyAVkY32osH9aI8PVt+SrzmW6WC61LBnlANkMRpmQojYdTnAwrwDaAHTnf9lh9ZV 9Uf15w/md5upxrQjTkL0cwYu/wFmwhjL+UiEQLaOSjc9beIgKY+ObzDDeJMp4Ab6mWPSPXl4tO7I a95PgMp9aiRQOqyDN1TcYlRo21E2l7WBiR31PSLd4Z++2JugC67IZDnFO241l4hgdicS671AnJd4 tDauGGtobrRaa0hLnYOQB3Y02JMXtVQNcArwwND33KuSKqKPVt5CQsck0CBvpgXGxnmtyRkRCr4i L8O3mDe2nph/3GkWdPMj7XTje0E3DPIriHi7CYFR0ETidSspqYhA2Kymxwx/mgz3TnDKL3bUr/Zm HRCojG+ZVUucIbeElFzrNy9KPUtrO0YHLjkvSMHoxGz7QWS62gX8GZBcRY48QEJABOdE+hXPJ+71 Xjt1TsaPlGoDEaA8dCjDwtj4WdpZWYatMUA3ETxnGK5HooTl9BVF27nGSwt4wqJbfwES9WVstWXa s9jYICQQ5Mo9E4djVTTZhKh78R/BHqlgo5ivu8deFB5Ug+nd52rhqCNvTSMyA36TlrhqrEeHCkAH zvFWDoyvv/7S7AqJ8dJihetHE7Cg1sUMvuTwWtBJUG7tl8J4N9c5oKE2sqohRpzD5ytD17jZacLD LdjnAi098o0uGBu2XDaiBEnldQIRqAmgBxqNLv9zA3gh3cVDhg4GW8A0DBbwcErofQiCs64SKEeA NrVPWHJF626BZW3T1cblipZReo6yV5mKCTz94GU+qQHVtvWEvy5J66X7iB/PLoakzvZoioK2Nlun WkMQFQGCKt2iT4Ak6rFEUv/qoeT2jR+Iin+jdyoN8sshca4N7c0EYXW2IgadSrhS7k9u4+rZHITy w5uhRABCYbcvV7TRS1WSYL5p8IsBqicfjpsAaP0wLoZfCdkLEDan3vwjwC/rd8nXrMN9+udrQQlC klaY+wpnLDCbEsYEpEb7LjEiYj7t+bxefUapl+9EksWIYBFXT0i5THS2qc0d4ETgN584m+181HO6 GynRs//RZpiVhUdRL1lM5Qzhf3zYB3EbCBvTE7ofodJIv2xBC6TDxKRlWs8p5vNv2HdkqoSTuyRX dX6mBRqiNaBRpXlulTcg6/peVBdDwyWxvuF91A01EU4rsnUbAx1A2a0yxDDMOyDElB12RQWu6s/m s4fGzxw4fa3gDsDlnBoOH7qGznRJC5VxTxyeLhRurdExGdJ27Cnx1HbZgW7hsEdffpEbVcMu0tSe XDs2mNFG1Q65sNRy6arq2j2SmVyuXjfGQjjroRUCIDTNSO4YkDEcvHnLW1Ds9KMeTznvQLb6/fAy XVquobt4r+LTSiOi7rYALGov0BrWH4ND07BFoHlECM0e0svzZJbAHb/dqavwH5cl/4wCPRrrj6ut IgXuYmCGhmcqYotBQeTiANdUC3jKzSmF2ESNC4TdshjW7Q1Vaf33xXqHrnTnQAVxEdPzAGal2F7K mIr77JKB4tjrUfp0kfCR23N0t3SUoGDacgUPAHxeBKZPtsdGw7zDun4scC9HfBmEVRkecPJVHolL IpXV8g2vyes5ej9UTIh1NUHduebpe0h5EdGBpTNxHznI/bjfN0WFeVB9iCXxAGzEIPybWzMWdZNN nikHa0i5XNjdX4+y4wGwdL0ewt6yfSPx/PNzACFWFCUcTJnXz5chCfIPAgSmUFUL7JUXisqiQVq1 Zg4w3t/vYXFXlIWWdegzddT6+IVU4tRchYZtw0EnIKJW6rsv+WtFzhg9U2scxY23SE/sHHONX3ap bz618n0b3prRiTWONOdBmUuoEbglJ31uR2PnOU0EMChCX+koghMYh6hspvcfM0TlYB6moAwk41vM yE7rno4Rg5uImll1jl2lDeQxKlyoopI+f5MxaWvdsjfp/k1XkR4FLXoubIxVTBlY9/uKaTbNNyb2 lPT4WRKAAV0ERKc9IWBolcF5CK8lXMKysmhdGJbCrdeZhLNWxhS7ML9dtCMpjRnOIq+O6oFgyHuc Wh/+QKj+1/vZs4ht0WMTEjK9jK4nPRty/HVstYJJ+WUpyskKQlBchjJfWg2lKxqdbMOO9M8zU9Xi GVmNnmk0mlSkoPCW5TrqlKX/OTh/HMweBtvgAW6h3riP80IIWHg7qLCm28H/1O+geevRtvuUBqXd YsGKwuZRzcWJlV0uMuaQ2efBgdDQ4jamblyLwI6zvwGxiU5SFc1R3N+kluipXiPp4f+Tbb+CifPY /knRiUTpA81mep2EHeWbzMnVteL2mIEl0fp9HYVTHWzSVXFDEsVw6ZDjaQ0m0D29NzmmdN7oAfXe tc8eEFMJfzKI4ByDRaOl5tJHzDseoYFctw2HURl9b3MmjX9WeLsTMr9DYrVzI4AfKE9lCwMoUdGW Q20A+FjEFzQB0/g4y3E+hLI9AAVsmSFFTuts24j5pvg8A8JwZiM0VPCd4qLDyWiO6iVHLlWrGaqW ho6LBt6tLuk2G8+4EUYEDNNYcjPLd3UIFMK/hGQe7B/TIujGcPmbZAiAgt4YRuUQnBh3y20naNWv Iq2AikwdFia6sRhXLXDSadCO8Ay/wO7Ct4iof0j8i3XtoecifTAUNjgamKePP5KqKO2E0uDhn+7o IJrMS86Mp7wbq6m4t+QKgSBzo7T4yDKr4A47n0k14PR9/txQjfZlIIsJcAvuQrJHcbT7wtu3T3aA VbVsfx8/VDuJbyFyxw6EXrM/CMBn7lrsbgIOqTeeJud8uarPLPhPco0gBm/J368Ndqudpcj3Fjjl Pa5ZaMAEsz+E1Y7bRHVfpRYI6w2FnoLtMZSZ5Sc88UOfu4hRJRKnlo9oo9Cek9V3XwwVl0jRbU+q vohGoQWS/As0Bh6ngOrta1t1vyPmuveaGjCSZ3YUpS+2+E//07LOFKR3PPUskFFRuWILkt5OPmTT o5+FykrnEEhZlRUP+HoYSgZbDJwyUIvzLZW6nwHbjRxTu/Y/oxfF4Wi4/nSSh4UkgWq2SVI6zFO5 dUfP9PkenFa9ZVrP423QNRfR+ME1XePUaSMaysYkEUOTDkul6xszuZB+7DzwgzGbH8zYqBu5k2Ry E0X7MgwrvJTzCpMxXqfPWP2kCmk8qqRxw1RHK/TtU2v18Wu382uQKPfi0AwvfOC3DrAwya8ctzM5 wGGMdduTqcL8xJZei6PTuP3JHH+4uCV4LSnMZTPsyrbBvDuMtLilH9pB3vnzN2cSlBYBIpyr4ocy qqURwjAztaysJtK3WjPX7uIVPBZQriaKKAI3p0Y39Dg0b9mAoSKE/YAr/+Q0F/u0iiRYjy9fb+Lv /Ym10nco+PqWzsromI1SEMmRCDrpOZb5nRRWoCH5oeTu6FSe03PDa+xJKCgHMcs0wlWqE9MFnU0W owwe7i7mBbcx8SHn+eUmStBsoo9O7uc62t9LOLgP4D4zeJHZBB9Dc8g+cRIUTnMAQSKy+hyTCcTz DQ0IDWU5/SwgmMgr1Xi51ZNZj10yeD8QJVuUbzrfplGQC7RDIuUJRhRFrrD9F6apAnh66JVdB86G aQcU9Z0dWSCahgXngPpr0taslRdTmSSCGTR7KuqcLQs1lQCN2f9T6d9YdVCSOTaJlTjK32anKAU/ +cjJhSnTMuqCethawXbCpjS8DJjiNVmshqqE8jmvjKEX/FLx7kQPSFXBKXXfoS7l64iL6YuPVbMW J6NbQzb7O5JPFpjJc3KpEnr5zdvBsrjbmptSTqXD/5PbFTU9jsG+/vysuC7GbYARDCsP1Lf4TP0R QhREtIU6zhDvH+Pt6rA7Cwcf6KQ54CUnb82BcUIKkaSdglw2/uCGLych6QGIMB3CHaFzFo3ssADh rzGcAp1QJ3FtqnK9JwUehJsi5VxJT5NPiQAl5h6DEsOCQKB346suXaAike3COyBlcac2t5tI0Mj8 YkSEberE4wR67D/qTvCD2i23L6xOWoU9GFbDfEuhQ4MLbe4zVr4yXWLGb4V7jzoV2JTptxe+3Gpu 8RHxu7fN9A0OZQEO9YNxwwb1v5cAzCKO4XhXj3BBZzBdXkzeR7YQBeLHX3MPjFnPcHnxT6naJMAe kOnrim+mjjPyr88SOU27e8ZtzJb7ywVpAWQBM1I5ZHyq90reFJHpABypW20XMcuozTyywkB14sNz EcE91yKFs/9cvxD0HzFpwkFEVM7JtmIZOteIioB+CGKMyzBDT0/EXhSdNXuspqvLVGwVbFngIq/w YqzQf+vYS7ZbOUqH8oSvhSQdeDtIRzTiFNfMbjTb4uvOEHgYzE2J9PNs6NSln7iU/xeYefmP9fge 7PWdGucuydprwxkrqkx5EvTbpLfA1ls8KceK3hvKzEaIq1u06ZNlGwZOPDD3sOZjGgaHVnvGJeYj +yBS23l+6yOs5AoPHtVlCmtNcebeCUz0qGV5fI4Oe92dFrnrSMG+aX3N8a3ofr4cHyimtWwjk/jg ETYuFX+YE7EM1Ir8ctOHlOZOS+P5AnIey1eE5j3dCjZ7g0OS7ebVT7mWZTxYF6hs74Gg4c/N7Cbs abFkNcYKPeSJKfepbXEGpZuEFCrHLNIt2/kdtLAVgSDUYsvi+2suXeNQWx/7UGI6VSfg447uu/O+ tESz8+FuPfFpHZS6hLDte0BwHCRmccFny2cE3P8W99rmvpl38zIqTkFxC9aBTUI3WI+W6gsKo+xb WHD8wgWQTCp2UXa38zyP1QpGlhFCe3EzCUmiY0AcaFRBMNfbfxzH4TKNXxpBbP9LelbOs5rt5PBg a+2MwdFVtVTqYlYZFrsBIExqO3kH00E8rDKkeZRiMggjdRX9Fq8pzzzTDK5FuDVXEc85Mj/JJjh4 TLM/OPzKLSiBhcKsgodj3YwGS0sY8d6kblURCNV9BCccj8EuK1c2e6Mu01Ig5AbM5qBUG11HX5Qy PeTE8zoKoMHgxz4E4Fvy/y+zWrrFM+iIcigb1bJpLK9B7C4e6ZqgTrgzTBmncgnRycN+haOQOvNA x7Pn1m3oL/rdE8Qj5ICcTfJzoilradcXy+/SawGhwArQgVFS5zMtdAhbSYZNPcQlh6Z3eJ2MsZjB KDbhAgxZlK1FAUZdLqv6vkyEn3H53VaboLNO72dF7Ukl51mg1bEeL3zBGN3DMK5xqJAZe3gKl692 58OF2GaltDEA8jx8i+/UzQvX9dENjMkgBisZ7w61hD5TT0jKOhv120Tz6c/OgivjpfiJCLbH5OAo /BsYN0S71+qrz25zBc5VM+KRi4YLknViJkERotGSQcFxbuY/R1ALR9i9lNXsB1+Z6oUzyJNB58NN I2lWpSAkm7/w1UcTluWvlAQLseBd3ljn6UkxsoZTOvAGselXwUKNLOitQqSqLkxNpBhgIWCbDQNn Pnrmp0JszomvPX60Dr5BTqMgveusbeQxSMczXPsOMVWbfg52DkkHaHOpO2575OnaLZphg6gsGjgI H+SI8KzGQ7qxYpsAho4RO+s6YpuQjpoM17AaSKlmMERYHBLrR6X1l8hY2O28oax3IoKmf5a4rjhx OTjdtL1KvNQEOqkEcyNx++YbSV7rz7PzL0nHxElbszkoVwqb2PolhsSSPifhlpx957W3SEB1Xjlp RhGJJpKjHPmGAnxidgXHIIZ1emJzkDQE64X5ltrrmTKoPhPattJSlL0jLIT5fg39tG/MBCwBCb08 2KAUQxnR0/jXJy8Yl/2uKfWfY4kf/6RF970tcIA+QG0lTbAByIJ3Gqn2NOD+Y7Ia9H3ov4WEhTUg C/ul8Kav5reGGEMh/ehnOA1U6aTwlrpxo0MgIdjQjApo4PXqsa5w+fnro9niOvrCwBYgt+3mmyBi OvX/CqcTzW0E8uL7waSLefSxVvtaARGvH9X2Uxl0GFglcIz4lkKOg0lB8C5pVTO6f/tTmQPqvRT1 EYXV1X/CKzEtDh5fW2QVa6JOtcmeQbef6no4LdzoJqzyIzk3D1hsCD+740b7dz3h0Z8a0HvUYPNt ja3D0DfY4DO6Flh49vITTlJH+IIOfGgrDijFRJvZe0YmYkBrJ6S211I3Au2AV4tSyhhZC06GJEsO OwEVOra9byvIvr5oFK6W0neJOvgpBedvZpoqrhP9FQp7+9AOUAOmJuhEWf0/gnVOhdU2JNkvNcPn VMjQpdx9BFP0HbxY2/J67e76qs9fQ/sq98l/PumMdKrw+7EPHuLyTXTdJsd+NEg5M8uDp2ZkisK/ fabtNPpS3gPCJtkiyvRMLImzOZ9RwOMM+Uknoq2d3p5Pu29AfHeWOETFY1+hPfTr+4qfMoNAw6KX ARvCYLx7oL/WYvTAiNcVwvjG3xRV/p5J03G1UQuih317RFUaqXCpbGINT/ZHVymp1B5CeNNavfPz 7aboyQebVZhUjPDohE1VC9xPXSiKCH0g4zjqYYQ+dKSX6z8U5BIelXg8R1m4AA1RL8EJEOFFlYD+ V1ZFeWoMKq41Yq/gBS86cQPm3Wmo+wnBZs6WAUHIHtoQUMe5YeiZqE3cFmhVi/+pliO3K6bKUBgZ mMUbTF9lrsuVLmqZqi4NXt9wjqgFcntPGf4G2cADealCIWOLNUwU0QX1EGmexDPTUb5prXbr056G MxDq/8Qyb9HYV+CJXZ5N4O0DZvi0peTRfSLExEhcXo/Jm3U6Iv79YjUU3A4H0Dl+8X4g1LZDhsA/ Mi8oV/DSCvqbchxvgk1fujnhzcofWqbKnZCQYJnd47okKaPo0rTQlaoSjJLIBEKVBllvMFz+BgMB eos4mwJfyrFSYJwv7QIF65a3aEu+/Gj4Ba7WMj1PvEmN8yIXLjH4lhpKL9UpSTphlrimHhsPsk+j mrr68iqZF19StP379qRvyD/uBT/sO61kjtzbLiBGbPZVJQmf8p6zZc4qjHaF9Y1uFDDBDKuXTSj+ t6wRdjheDT3MXcJnR1wAl8Mj1eVMbbRLLvynRkINz5yMeaZtI/PEcam4TW60MuYK48GwDWbZBgtH xB6XRSBc9PHxGWqg3GW1KfukntDU1tjmBrMIDhoVRiPDnPmWi2sIDPD3AHLbI0z0oyUbVTTPznoZ CfIUCothINndubTmsAhNm98dLnGHgfGmsjMa4Q+lBFobdUHJfxTkloOaHZBvIVGUrRL6/mSnefrU Gce60hxfkGY+33OmcDipETyWgmiKMFf9CcAgtD50qapHRFtuxgjJGRfT1ykPMKD2ypRMDkfJvVQ5 W2EQxfvQ9fymlvMHOu1znD1XItzXabAa/e4oWzONCuFqU3S4KEzewmb6gNtolCSECgEoVvbdYUXE ebsgSGEsV7vxY7DDwkMPVWGKyKpNw38cXSM+T71dnG/cbHwC3qDvzSnf7Tj1OVU3JmUN6l6mU4VV HO3fLg5xdIUQfoR3eswHBJiSCfEOjZiqiz2OQks/ShpRmfEHmq+TB6PVFjpt6POZd1BqLHEc5ggw vtoMg4atCxeHOTr8F+94vrzf9cdNO3lAzBRSk/jrwZG+uT6Hjx0W6wdy7GvILKPWiYWkOJlImQA3 uYc3IGh2Xss88b+9P411ZoH68KhQeuPEfb9RawJkKY4TGoqlHuV6AxYebgbN0WDlMjgZHaXPxoAa XbtF8gNeSRKZjPQph9EbuR1O6CXn2R3KwTTkzpCbGoNlAFLwIF4/sArfVGVmeo7ESJkCKz/V+fG8 OqHFNp+Bk64waPhSClN3FG40VdvH6K1VSax3oF1d/gK2Yim70ndG7+SUAUo9WKzDnBl1V18CEBlr 4UEYw06BWaWTd7TiXekmPSh+R31oitKqlqBq7fLaS+xK6YbqwC30mJrBRcIcbV+6U+YjJECQFTS+ wWtJWeoMeLzG097huQeug+vSV3qdLY4xed+aYJefjPUYJGFsKJX8A2QBoxIw5edj4wqUjMEslzaT JkkK512LQ9n+8U8RGpODgOaR+LKAmMZiKtcKzRvxA9IuERs7b5wHxo0mr+N+s1L2h708BqmCbfnD FRtpJ3K4/7X+j3dQIYUxAh3XQVmYNheJyLr9/MYyJraA1HiJNKXBwnL8ZCUD/xkJd2K+7TRZ70Rp hrK3bszk8lHaFabE5v2GiwKC1yo3K0pZ3wdjfLrLWNdpwcTC7YEr6or0WrP8yWGDvdti2P/ndk4U AAos3MWucJ5i+FCE8ZzBTtF6RT8qkQbm8TaMBC4E8smHIBFwwKuHb0qvbddxrnFMN2g4ga35DpAn ufEWck3/NcS4zAhr2iCSwppE0cwAbcAuMe73wUdMKqTewBFqjJnnAtmXjYX7W8ZLJ/N+X0y35IUX wUUXGZaqYM6hIHLV7e9ZQDZo7W7n1bOVfPr+/uuA6iHEx3mZmjFZ5BIqhqPN4wsMl2s05SWDnwNF tvDF8J5srkC19rL0XqAMJjz2kZuDLXXFnK+fPqcvR//4uFUIvK82NWAYJBlS0bkeI8QFN7v6+KJG 1CC+5SuefGglNimZJZg3miNG4xE9vZ2iZcAah7wDr2cbBrGQfq2E+o2KbdvfvxxeYFgjN464oHOl Z50Te+7YlitvvXB+yf7AoE4ra8IITt78EZ+JIqDYkw2vgrdjmRWbS6qsjYtIfHbvVLwRe6PbWL/h cFu5o9s/txpxZZQuLq452/gtH4Dw1L0HUQsdZtSA0wpZkIfjEu7BwZD0gLH4T2u0UnhdKnBuDLDS mFYdeomTEXrkh5CzhXQ7093Oo4LpTMty6ksg5ILDYXFZKZcnTPdrW7jR8sSf+a8jFLtTIwr4v59V JZRSAkeoTpE8od3p1ZC5tshTNKCE7fQdr1h6I3zG+x8MC/LA69emkjCWggODWuBO9c7iqBYK9b8e SR3CJcfAdXg8ujdZjApSnrXpqZiPDUsyy28TN9DYdpDVk+tXHt7dXdzW3DDJmquGOMopFAzQLsDE WO8l6O5sWbbroxK36AlIVy3OQCYpwO0wab//Qg1HWBZYEbliAz9cA7bX7C0wlNnwKRvP9agElZSb 35YN0NW6GkQV6dJgFuHXjkBjcfjh+PCmYM80WItKK4vozT+yOW7GnQlBQ+7/X1GeMBJS1bAoDDSb s75XTmic3c+lNDaITbPCVrp33w10kdXJPe9SfcmxNbKMqoQLNs/HWqFFPJnye0Eqj88eiaPxVKxR uu3ulKcUjpB/XzxAzNiBkCSuO/2evNZGKbDkLQbpEKdIviECVkKMlp/W8wYK2xjtza4krYoPB7e4 Ntz/I0Nedw1BctApfG2e1Y8/i95SBtGSNvt7gyxL3JXx365VrIRqdWGb/HaA87YRFuQrbIpbcuTE rCnf7mii5NRn4I/kaoC5FieYPTPcvDNlYWM+fsUvoTC6iTrfa6lpTpnHlwhvmuas0JJgJBc486HY 6ca6lJvsvTLxzo64OmhYxtPXoW+/sckEheM+iMH1OXTXnwmzvl4su4i4eR+0jIkyTEJOQKsTwODD xIDiFlahROpGEcHPeR/e3HO/22Ifh4sxhTPOzyJoxYh0u2lqstcMx6pgeZ+spn30qnOOiTBisFFq bvpkopwXS5VoP3zH/6uD+zWH2wICkNo6SsSndKyhyuj3VrBthKmjKFBkfJiTi+l8rztlHqnxK7n4 vLKJQABcXX8S3XLmi1VnObWYs+sFyz2AkKqoHeFcIkIeozvtAab5kvrzfe/+iR6f8gVFmqnhCDkU vWQqriiDDt6VRN7zAzmZFdey9RjfDbL8Qr1HzjjWoX93ARIkT9FOC+TtU7Cs+e/yZtpiXVpmrtLf I4xbVcorLmo9QVoZvIlFZKcuhxzdClMTwcCM9rqw4fViI8G2HIaVNPl2f5AOTs+1KDSa55SjxDTA 0UODnlz+Sr80bn2UvTkfBqyXQC03tLTCDcmjead5yFxuj78qg+PvsLx3lSPncrwdUBKUjufTRoFa 0Vxu+eSbHYi4wwWXDzAD3t7FOScXJ1FNLFCgcEd7w+5hVPIkd4pC2IavPCe1SlHcO0fFO3HfMuNw ClgneVSvhvpn3qOycwX3FdE8ZPRIcYN9+WyLgHloXCZEmk4wUBE1OeEBt0nH3fe0QKBKeZSsC6JB ATQe6yrwbw0OJwgSBQoyMoBimThXw7cRckyKiVzDB/a5OwMH6Ts8aNCVvCkXH57+HTNkBAh+VipU 6yXZ0jArJt84GY2X1YzeKKpfzzKu9FU1usYEjQ0c+t6Nogr/Doci+2e9jfOteyzGfErA76DOB01b AJOZKD6kERHkJI9V8AX3Z1E+Lc8KVJFO/Efat7Lg9Zo7EuUGvKW87i6/pKbFItAbUvDKkMYwqWFF reb1PFWTrWzPdlLI/Lc+tGWoqgAwujtsQY5o8OPcx4AmI14fGkVRUvuIOcECXO7FX3nWM5ni3G8W NW0PyCy+k2tmfPmv5jy13bsKu9v97sYhi3DGOBHfsd59b0HEY+Hs4P+bYsRKukbjum6VscP1KiwX iWkePgYMiN+bN2BvqlaS2+dQKuo/IovvSWeoBt1UVZvqaIpzjfM6T7BMHHwdoZMCDnKDk0wEnDxX pesPkH6cdYMUGfz/yxF6h3F6PeddnXBwMdkkaXND8K6xea423CVJqMFRL+l/Fx3Y0ei5cPZC6hia TNdEl4WlB66CEQlmsXspxRVzu3A3NfqyuGfS02mZpBIlfhy6j2MhjuJGCcXDyJ6hZT1Pyq7Zt451 t7aAloj8MIPokXCtl1hj6UHrnGX/NPApnW1jXhcaB94Lb2faiWKCDYy/3wRvWOFGgufPCg9z0D3m and3mRim/JLq92Wbeh4aQZcsU7x3Nc20ujeBsurUeHUf0IHi4JPjSscDhyVl5V/D1wVZEZql1f+1 hizxMuPSngA//BwQHPLF5ZCgLTGdfaG8sB9nyNobnf8N/SPcIZps3jVmzO3f8yycPb8cC07Wuqmt WW3B3MOM/mLqWa49KbZybcvKl0X3BiCnK/zIL5Y3GUznTneVbkCAl/2RStb62qBcu6ylhPrm7nT0 y+8OAqyZg0fYXiNwiRfaBUZ2Nysi8QEGirRTxdJP9E9m9EAPL4RqBaIkoppsxtzMNSFoppPJX361 3bAX5Ei11yrIcvshxxXlkRSK4w9KNJ6N/BHgfuDT6tlG4VLnylHMiNYsODYxvGnOfuQjraKMizSj upNHjXVSTZj7Fpgx8v/a256JPTGBxLSGhm2HiUtoQwp93U4ya5vu6aHIH7zng1ATuX08v6R8eSdw Qs3eor4VsJAxrFtiqY2nfNW5tjjfRVGB3XovLexUNVCd5FRmDESAUzKDkeqFuPb+mWXYeFqVVJEg iF1NQw0iMvSXXoSmnG26RaDE8TAy6ThSxN2XPClQaJG8spXEWJSFLeeWUXVDEys4DZFEs9RK+gRR Y2lDtdYMHh+SGtqLKkWNRc0j4YmI3UiiCB8xnp5Jg6g4vxO7+WRPr2XARlgOtONUguZ/SlQY40cJ kRTVtn4yao7cnEx9PQ3Onba3ZXSUKWNKclHhPu8oNgxKf0pGiulNlb+cspUop5q8PSqCdXcvHWi4 FUnRvIR10JpIrjAsl+hikXhMD8mK8XxH69yoC8TU6l4KLQNx3LLfTB9KIMoxrsYPiST8+VtwRyFJ uvXFO3ysANu1FxjtKYBrTOfL8PuFp7wLoDEFvJeEzxykUYkKIxpa24kLcMN702BghMMi1OixfM/N 0Ce4V/tfFSXJEM+tTr3z0bK5+ZVaGyw1X2wmgzuU3n5XHz9n4Jge85NLQau6B+DJdLaIFMFrNICN 3usV2iSgTbbKsnG/FmFssjJeVA/MaBggRDnIiYSAoF7iKSCWAa55YQeRAelU13fE6SRXnHUbMNSp +6/wdIJWDRh4mYiecTIfZQost9rQxGkE8RjZeHdpCfv9c9hEUWM2H5n34LMiIXhCzr4EarNE4yLR 5CNrlH58sgkJF0fLgfHqkTZiXZ5pjAmPdTJYHMikN2UrjqBjnJsfdvKNJCweyGOU+nvQUnDUNhKH UQNHH5BKHyRgoZs3/kCmi7ztPXrleugHYx+A25zwqoO3MYwJ2S2uPlbll3mzgH7CKhyZkhuzuC1k BKsqmMwp6Y9q1YWYP5R66H8pywEgcfYTOovTmm6/jQVnIAZ8+i5dEBJqWe18fODId3+9+m6MHJl/ bB2T34Bar1XZk33JmyKth/YEDclBboS1tU3w5sm7HWzXlbrLiSUjX8sDJi32T0R+X0d7+jagPK0w 7/r+k+F9/rZ7mPMNRfumCLAv55fjBKr1FSZEZ0eGDlwgeHH8fx+s1BdtCjHCsJz3DAoqfyzP18Yf Q/Z4s21aYzpqV0zm72NvJZz24PcjscP2jXlCJ/2balOhl7G4E1p+wPbb40Amnc2wn/g6P6tgLyfA mAB57HvMbDd6mDFVQXjDAqu+ONUrkl1h0/BgJCu2mWxcHxrjiRrmMoM3SQrIRvBkQGIoOTPJseRv juzL6aTT9ZQ253T0szkttxVRraESerbePOl4WKlNmwqD5VnaSA3Cz0KfvZhBDbPRJvbVDWLH6soo 9/ivz3CmLmKypbAG39EfdLLWxkyZ58bpbyGxJsZtGOds02Z0HV7l3M7qLsgXaUnzpayZP5ziKTGW BZcH2SfSUUbsai/xRnNqCY/Vg7prHXbV69vk0IzthNAfCcQ81n+ulZj6sCxIooUM2OMUIgFG857j V2gUg02WWQZr35H5sAJf3bZUpG031rvnXrTMPOTjXzrRBZanMBI/pwU1uoU+4gKVKem5O5BSOKVF GmEKzAu3pEgovxpm2PGxUEiUlOB1tOEHh20YfEE/oKuGrZdg5WVIHE3L6h873ifaLwowXlPE1m3G i/jTLb9AkkzSrRgdtStwMSFZNPt++iL3rdmwmBdE7ysT6YwC4+mDh556xrWTqkJTfQksrksCyKSm YUAVezw8ewWK5Vlw77ZELdwBLPSJUbadMnOJOKROJoqHLGp+e0+ZzvCILRafusJeiaYFHHyXdmPX Fc9NwL2la/d4wtqr3qp7+jFHBBGZwTaYTB7MhELvCBS7oBUpBILm36Ysz9wG+6C8CbuodI9wgGJv 0xvVAf6gjHbDKEKxct8/s1aKvAqf6NTVmkREJ6EVvqwbGUIUUyMRYQb+39l/B3ZybPeHd9usw4CZ OXxJ/mas/j7D26icV0p7YWV53SptIj2JUgUET8AYa9o8MX1lcjF1885qD66DD0AOpQCAfC6JBlkN e+3y95/GHq/ayf8JDyF4Dyrmup0gD8UYBi5fhfK81sMlGvWH5rVS70V72r+s0FEPRacotkfL+nJ1 PXW6AiA1uuCGfWU0xB39eU9TTk9gClcIMJRE7BnHeyFhdUl5CSn5GbsXFI6UtVZ1fMnypcn1eW7D x1nHQCs+yy52KUywPbKp6hJSboW9BYYRibw/NZOis9LY1ZmMK3cN1t48Cnm/+xgpek1vOyigM148 2lfRd3wSYNv1eGuGraA/3gCFlAfjL+Y6wTJ8+ARZ0sINsITataWiwDqIkGazrScRGLWYGZtMwa6Y enEkyjeSd8P9rNYYp/HIxjy2T+Vv2BBZO0TO5cfFz/Q5j0N55ucXqIQnidkJvF0vfukHtRBkjIBT KPFEQS4qekKZ3jLXOl8qjXbupKmIXhzqp1XYMpKd2FLKRllIeA5TFIQcrVzzoOBZ2vWKbsLXtRsU clElwJUUWOgE6wx3JfkC6R4ge07kSUlRMunjjIxboSUI2h/6a7u6V86hrqrsXoNfyd4X49GA6Jz+ ujvz1eVnaXgoj65i4K8RK910ReGOlMr3WSs34Qd2MpeVRM/5IIAi7Ccjh8Gzpkdq/Gze7CkLMIcF rJw5LbAAlUowWqFVwU/WcWNbF1jY5/q2aQbQbNjH3smAhHrzLGR6Q8bk1OcsXeuDKhHBYQZ6sim1 zPVC5hylDZ5I/6wgOBa62VF2+kLEHfA7IAM1FrO5uJDnfj+560V9gjO4MbfRJ9o1/hLc2pvtecBr fzd0nXQgFtmVO/JpaxztCqz+a0dB5t3A6M7OlYnj2FdcpKRtTVWg/lPnwkkD+PZXnX7Hd9oQlUKs LV3DfdiUvd+lZPffsiGE7smNbxUR0jnQEfCB2tUa72f+GxBfH63odFUmPmb5XwJmrpLd0s+ZS5fI Mmh+maLCijCfdR4nX7+Ip3/veuOetZfb/orQJJYulZAlAgwGnZngME8UoCkO1PobDixauRd1bMIk ZEpZCp3orwZYdhDxU7yRnmO6k7p9y3qKGNOnNiPf2JMIZAiRJuCR7svc0DqQ9A40TtbEYdWQsh1P pChefH021QhRhFdmcyyxBVqhbwPOiBI9uSKYBXU6tmTPH9qlVLOzK8TDfCm/+Akjeb1EU5ixa5VB RtpXxokLwWCCdKM64ST2lp8+Rnjx2jwtE58PP5gshP58q15RLQoxX/JfhZIpkLBvPeotHh38oLb7 E8MAFnHPa6WiguB3iA5E0P3D9sB7vS0sYEatL6l1XI8OaYqkTmEpZXla9Vt8zGGf0+nzBdok3GNB AoU8Ag4fiF3OdGKR9eSP8zVwM6CUWg3EhZQ/IbCPfqQwzkyQWVyGxiQMwEMAuwnz4Vgz2J/4HZl/ Q85gd2aznaJM+ociLclrYkatJOaqu//ZBJ9r8kApDW5m2j0oMQqBgZ9jErI8qZjTnxWg0MFNrDZ1 WbwWnkIFg+s/gDP4bK41iNfnfSppNFSqlOtWCo7vGK2gs5Tb1UZyK1IgWhuhQEqMlUU/i/ZpAhj3 inq0qu7yKTDmrNR6AKz2cqPaY1byPKE8KX9XvkfuxCnmOPEOum5oVjOGAnuuUvr1deiLu+X3MbWB Tqzl/l1uFWavh7LbNH5buyWjamhILzOz8wHboV9eaEbpeP9nm/On/8ZaB4qQqdqznaqhHh9FKyJC ce1Y+D7tM4L0cCJABCQ2MkYbZ8f3vvE1+YsSeUZ/7IXCEoZ+j67zPjM9AHotu6tTQCl3oBVkvwfS swVPuPbVPdgwuClWd+mdXDlLhlWlM8iK6xgljaTsf/sZQ4iDswx0dmwJekmFVC5BhKNUw1Twgrsc niZsEUciFC2e5Pp0eROc+rLvIb4ZD6uqHKKPjisshHiK6If7lkJj/aL+sDpPymgfSIrnhirektJ6 GGvnNj0+651z3fPJQMJqi2s0vAuHkoWpw/FschmKuQ5WJHL0XyRw7bhv2wBDwsyGW5c+O5YgxhBf YoXzr9grxTG45A4L+w8ZXteBdof3RMffr86PGnU/nCKMKYlOJDH3XXvcj/0wstuAk1xwCMjmn/HA 5YY9Rb7HQXS7gF/LORsqBa6gfrb8uzShPPz/Cgvx0ZrVfHJzyH7wtIAeo0qRgixFV3H2kyxu2gud z8USM7Xtv70/OELiRfyyqljPoDg9hOTgONLPClg2a5qPtNuSSWvDYSI0qx1u64pYyyyHtqDBvcra wET6KfHnwszdtjYl7/o5wUZE/2LIzdOVgEtxjpn+bU69MbiaY5kP44N5xNseK7EG3adtfCMDVY7T E0qYWj+t9tFkiuXgCdpMrvLRRP+PV9ZtLw5fbGyV3OIB68YECc4Ppjhr2YPV/LfZnv2JgXCdzZC/ Wrtayr5dNf3OgsRCOA1fx9OGcvNKYP8f83eu1c9wZZTyAYWYBeBM5pI8lCvnJK9+x41EidPJYdlk TUOW37+56clzYFmaYgMMrIpLNd1+z/eNWDmqUJDA3Bklq2I3lfJaEQjBPf7Pinxe5otLMU5Cfd9f +TkaePB0MxnaPv3Akcu8CXoKOnYiZ/wegrH0mLXoDwMQRgMHI/gx1+gZi2gan5ArhjmFVS0gbZQy y7iS4Da8HL2q1HBt6+O1FfeJ2SwyVo9LxYA15skgdIB+OdvJw4TeVAkm7Y1KoqWzOB7vIEFGaLGi rleJJmZTIlPRSZg473p0uEDSq4bGqhLFBRUTIw/NRYngLZEA0mpx2VW7RzXC16TZH1wdWu3VNksO LDjXEry60+g4bIclKo7JpYT+kKtylDbymYRihzjYirrcQoHS1RDW3+qAyk9UTW+AsJ20NJGUMPHy HOUJsZFgunGDy0/vK2CJ3ROvnArNzkZQx/e8SjvyWSSbQbURwyhK/8x07Wim1UQElIjD8ket0kle Stgymp3809FSae2vKibinljFP8Vhfjxan7PxBos9qH6D5XxUyMMUaWsusL2y0JIGLeS3ll70zs1t bUnwEqY5pJ2tBjbaKaW8Hxtf7tB/wdSM0CQE5DCcYfta5BMGyjYJcC1RuAPKYoFhiRXKxOYm5fdL SeJzeB2FvXCctle3khLeY1/T8ckmw6jjwTovIeGE0YmJ2B1dQ0uwprA6IJPQVHbRvSAMbS4h4XEd p0mTXXLVlRpjJ1gTzvrY4rUO9TSZGjp3HTCbN9U/ltf1JVFx4tG6QMeVcHCBgAF7akkL1jb66EZv ezp5MvrVY+HrMk4+5DHYKDJaq9sjaDR1yeXq+j5pQb4NBaIL55RQG/33oBKlpa7ECrUh5M2fX6fr n0Ldnb/pa4S1uhD89XxGjSklgef0qen/H58ukAMpJMH0Rhsso6bzVCuiXqQuotoT4yrGoIiScXb6 5e850e1Wr/hfqXluhd/SLwfWkNQHfOH3xsRIa8wAIp8jD5RurvBDJjBPLnSqicwjqJGCVOTLwFWv 4EmPY9ZHY0rcybr+vznz9vyJcYwbmubTuM362NXjyZDFTKqgi1a2TtYgjSKmMo8ta14IYaKeZV/l XrvemGm63e60UPlEHKRWKjsAzVN2mjSNOSYsjYvCfd4bie1709Shigjnttl54Jerezvx1SmhtKZx n2p78wsv9pDiVnUVFj8Q+hA9tHPkfz87hb/BLUiFNH2Bm4mt7/eAwNMtYyijai0panZNZ9sVFl+g 8tpqjYiqZyxW7M4xhZN7I3+z1WsmlNzbmhjQ4cvQtDKFYJfjLGH64SRZ+GA1WwBVKg2c6NyvIQZq RkMYEqGjluHGzBhNjmDHgrFhOQL8iV4rRTYr+eKuaJm84nSnUu/9kio1tKdOfcVBRPML3mahcErb PD3IFx0aJ89rHCH+8kp40hNa+CvBooN85F+yv16W83RaP4jkFYDNi29HRnH2RsjvDodyBXBKPnY0 jXQyIpgyVFT87XeEBq1M+foxFTd3Xm4cuewxQ802E2y6mdGRE+5wnzjbypMGv7xR8JpwSiGDmKQu YPsew1ZQ5kXA89YPyx3Vm/m29TEHWGoK86fll2Jkxn0Vq6t8xeHed8oxtV2DIFheVerxxMCDFTPi nBxAslWNXqDYzw4o5PUT2KxsD28RZjCp8F152vlLVtU9D4MXVmeBG7Lfp+B7yl7ZxZZ3jpoizlOq jQ3W62kjPkOnGZSDpypLpsfK6t3u31+1atQrTKuV8iNXLteoCCVmjmukQxXfNwfv1ZXLtd4vvb9u lh7Gh+tuLM6hEHXAta6pAWf+IBrVzsIHu5GIxceYXKX/9pu1d9biKMkymPCaQOuWiUBrH+5km3hs bz5vC0d6SWypwLMLKtARj0W3yp0dvKxYoooXNkHIsDP9AdCqsvoWcK8Qyj32eEVYYBpNk9h7kfzM IzFSevepWZCpJmY2pHcFXlK+0aZlL15EChTW8Q/TX7Yy8jJ+xYJTzDAZXiNgmb3tGWolVNvHpxYk 44Z6k1WHyq6AlTQj2CLp1G2ca3DGG9AcDxdnJXZK8S6rYgq+XVCIlNYiF1x9pTUj+03SI7gAYVKE Ye01bThqastdH4woWM3j+zTQaZgWhm9SQjnxNLYRNk1VWp4/hSMkmoHJelEehv4g3pumQh9LHQ32 pcd2wZO1JWgjKXL103KigX7qtf2PoN0GPsHtZI73HR4r5omhq/POo6CnLm598l1hs13AZ/JjhkIk 7w9SJ60VmekrX42JdT2m5RgCNhe7Ha8h25PlZ0VUHpg1gqEb0/wKm+zDPGtvbh07XqlfFT99IGDG SLBRYIDG1UoJ+nRT95ZMYVXgyx6r7+Yz4pvGrENjtLmUa48BJ9WfArPNRYCsmCdUOnXwW24ig+K5 E0UFpvM/MFicrPX/stuuJY+Ms497H4dzTapJeiUt8F66GRcpAKfQB+1lO9ugbok2WUIfLnoe4zxo u6Zo8JEnP5GTlrYprTQ2XevRKYjWrZYpeQ2ilmz5uY/tFeHTldnjlrec72eRBdoOUD4djmsaGMfw G/PFDk7PnpwRT3ZRp0Yujgiv7nejMUBg63ygc8O/C0GJLuI3bXYPRthME96imI5G4wO9dH5EYgMo n7pyczzijeerZmsUVr7iUwPdZIgHaH6971k2Fw2YNZqjNWLjt8kRPPrCIQWId3G82MdOiX6DceKH I2vp0+B1dEl0to287XbPsSAOt26M2JBzrcws5F7AjgoUOQSVg+YyPIGxSGs2DfHi5R02y4UkC+Zq GNm7tV06zJfzPFH9kk9EjuNmAq2mB61kVKoTnn1WYTVAunQOr3Mw50qWqlQRiAwsr4sYQYWjT5N0 vi/j83Mk5JL3Osk75gyFy53caA72n8xMLAxKdq5p+uExf7mvvNEV8MpdwXBIPysyIKrv/ulWfdiE UeL3uEmuOgaIFZ2uh1ktytFr/9k/iuy5o6euj0aehnWkyxSvs+CJDEVpST9kyUWb6fgT6hzMWmJL alSysLOL7CXQX78H+JUmgVS9FuMVAzt4m8syIIHkoZpsSS7+/8meS1tgOcgUMFR8YWxgHwinkpoQ ExL4X+9zu7LELddKKMUdOISXfncxKOQQwaoOIiWqK8oR4ExWnbyOo2lEzYwr5LNBkhLDvDZxTEyb g8FoI0TDvPvUvszE3T9bFxwACn4uQG4rNBZEZQrDPVXW/syXEFoyzTKRL5YKrl8dqUz7VymW1vZA G9qFq4i9/4t3jVH7qGE0RyBc0i7qJGoq2h2KPLn05K6FOX2xgDJJCY0mibnAKFcD9KbuJ1E3kH5W TL+l8cY45KFzI2VQM4kGPz+b+Qf1ul/yaK+i4ztO7q4dVKFDmUzijhwgZ+iUOC+kwGjCB4tdOKFb gRO0acMxOKsr2CDjWoY60vJN+sFsuNdbn7fUrGl0Z+MigcS7lD0AAqMLzgZfhB248ohNZCcvgGtr 5xGamA8kqNsxp35GOASWExF1DDlrk3VniZDUyNP4U6xZXjHoZ4bfC/Xz2w0Q6Kr/Hny0g7s9m0ir EenTUi6wsDgn+bgxLeaGN7iY0lJIQJe2dUky0caCR7vzFYwGx3YpyQeLktEJ6QIm2aypjhI5a3MD shErS1Btwd8eoTviwGPOQ9HPkHL1U2956YY5ikTM3xUtYjXXwUH4T3JfX1OLSbFYx9VuDD344sM7 V+S4ahFB1goYzaSDxIdV1qobLpwo0MnxukO2FVRaWPyn1tFweJR1zLMbFk7Mtjchdl1bevIA46ZY Ts6hz9PoucbhYCmzAeM4XNUOVSV23LjOHTosgGKLqWSz8THNcehXs2w8PMeoO+wGjKLeccHaz8UN ZvZDnzWJqawq9WkhTaQiPQv4FNieoW2WxPMSsPyERV7vXocgjptkOb/RxLLg5N7Hw35kpGAOiMxc iAP+PBGaFDEDLsW37XfFbc+6qW8DKWrQ4NXG2HuNCep43nKxbBAmCS9u5cKyqoGz1MITV3y6RA1E e5sf+ewMiS1lDUEFSs85kDSQzF//TqVc/Bre93VqRgXcb3ELjfIkWvumV01+N3YJvK0utTs6r7hJ aEwIPCTyCs7AC0FnDKzUOg5LQ4cYh78PNgqrv3BoKQPmNHGyoDdUOyByvRuaKGwxNJj6xw4iL+u4 1JWY+QVK7KqpLBTQ+ocqSEjCfByQWnuca7sw+UB5nCw+jwMnfFoi4qplVRhmPGHzUOw0EbIwzeIa lVz/tFWtpKA6E2dnLnkhNysawisu0XrsgShtqqMh9DjwX0ewSm7xtrbIAyhELud3BdvHFhcSugHN 2H6fB61uSqdhjYq49VoMB8r6S/jBiH2tCZcT2OdvVgRz6GopZYukgdhmxMSPoJ9qJFFXdEPBlQES fBq2LnSpaGLkT7pPIGNMyIx1K2sRADQVtdHMGlEBOyCYI6d98uTomEvDJar5OE1GnX/bZOmC+zrz 9QHaZB5T2A2LLmdNvfcUzSjgPSzQSmMM1JwR6kak/0I7cmy8KqYOBzSy0hLeEfbabn3cmulA9a2R qz30DwTQqJmz8tgBR9Ebd2vsOw4DJg6XUiv3/kaPND/NSWPaO3XjRo+Hmmlkjkm6BzLrlm+jaLVs 0iVsNJtt3DCVLu81+rdnZ21nk9M26usaq1UW1ov6F88TfNk4WY6M8QUlVKmElRDkjX1ruyQ+5mTt RlfKbqzMfl5xta0Io++ZAlj2xdUPLI+wk6bMttev45PsZujwVa+ROnOV/NatG7/CvEHDHOB3LOWP 86z3rq4cj7PhfSNYMgHbtwd5lzIOz5NeqvXX9s/FwMeJ1Xbl8QPJlge+5pg0ynGXGzOchT3kU948 si9hxwwFYbnrnJUoNSDN7GszJucXM1hQqI89IgfKB8Dl/6FdSRRGTwLYKXfVn7EPWsZOB83CglCb W+hRRU4eirruYM6Hsn3olCDcFTE9Sd0RjxBtqU+Gyk9mhVdLvWBzBHohsJmkCe01Emwx20eNmP97 kspdF6bLRNHywLfgnqu7RDlyi2I2GoRjnLUAFInANSryMknmVna1ppCPcrlujraOhPsbO3EuSOew rW0nWcKyCIkQ1XA5sPFCZtvxoF3jMAI5CsQraqTlJab79Ma0hRWrijpBiqEQ1feD78QAXvoY1INC hafUF7UA/+i5UocRFI3z6cII5I6X0P5ZQrV+1Cgavpe5v5O8rAjcCnIzZSPiAgvs8EY8ssP/LtN4 KLfKnwsPsJoWP6pbUyhsC6pAeT0e7ICjYth0i7NNXZpfvtZBfEfwyXKbxGsc9kRPMclvnUUkGjrz F8eAev+SNsvayoRElWtzjpkvhz47qBiSw12F6fLdSEcjflzjtrs3Oh6ir6HwVjpLKxOJhbE70noC dlGuHvcEDea+kk7h8jk7GzFaGLO1Z1/E7CHPVTz75/RpH67txHwcFQnJUzP0sbTtnLVnRsZVF/It CBAm82zCoohXnJH30fv3eH9raDgkv3m8zET4PhuMcNZGLQY1rR9GFGpq1m1J5viPucue8Dh8CZnG LmVmKbceGjiffejGSk+f1RX0s6OJGAFyQlxXbioYS1R1SMGSOa5GGbZ/R3Pk5bbgTLM7wr+ZsYJF vY8STAmIOGKuB5V24Kb5kAf98BxNbkrThhk/wi4Br7AsNPWTQAf16I1vNI1MdeSQguN30iMJBe6S PnkT/fUPqdF75fsIkCcvtPUJTEAIG0eY3+MKuX/pKYmeXXKx6i2NcfTa8V6DHdz5mtwiIs2BE06D K6p2OB1YtAM/6AqWZSMgGluna2HrDt0Nqez0N5Vi+m8tV6v818SMMWhJrEHTgQi6USoQ64t+K+Kb HXS52XXTfoqaI+xZqkKG1TCoeqjDX8BjQnqNZBl7BWa4RZ3hiqW5odYHPQlEN/xVa8UesvfAdbph 9yGzZ9UDzUXDFNYXQw1CHEXFG+arFQWP32w2T6MOjdbaKubFe1F+R8E4FjSJxav0DrkXiPjj0fL8 mzMY8nrl86LBj9i9TQ7JYMQYHA9whqxBB2t+A0wh9IgB5kdPEMgrcZ2pv9XiABzbBXbQyaZp9mrg od1n9hEHNHG9OvDT3ox2WtJEJ370JTnpv68zidbIeTONmKjNQE1oq7I2jcuea/dWnYInhrHoGpC6 RAo5uvvBOLwf4lPe9IbIiSjH5n/kB1ME88lmfHJhL+zIvbG4FC6S7dMTIWdjJL4zCQJNbqjgnQFl jxMtgje6MW8ZgHhFtQLj35iZSvntWzDeL4q6C5wSg8MrUY0ZZPuKubQt/HT6Og/ncAHJ8hoL8pwU TPuMI2yAdMzxD+jiNv/rJ+hYto7zbsWygS6YQdqSujf6UrsAPxciZi6U59cXNGhYXxsMac18ReHd IG8xMdf1Rk2vmf1izeuPuDT0De1V2QcPBwL30IzLGXe2LsPmmGYTw9mCg6Q49u0C208AyAcPmKlK 4G5Jb2etpJxoW1UVWXd0Ad6Caf6/bx8VE7dhGjgk6z4cBbLn6zsoZgMtnsmGVyidTbI599A1xfZ/ VjNBq2SogX9f4n473EP3BR1sfp0vzOyv+xOyj8/5+OkosdNM3nhjtXCY5g9/pL8kQ9tmX/Hj8rnM SOoEcMYhvgjxL2+ZlOQf4ins2rEz6pl45iTEljIZhuafRV6TQXzoPrbKa7J7ZJB88XFY9HYdHPs+ ai0eEiWh4vjcNaqoHy2ofmmAVreQGNwcapmPtQEULM1WPU0BaiBlMGOiA6B7qoq7NmbDnZWkiUrj zrO9RtOuaCZP8qJ4lKbof1MjeQSNRaMvGkIKiaE9P6Sze5AX0WhaIrinycXhSM9NZFyJ7bXhQ9G6 /NsL/r1FOs8ht2FK1tn8FaXXKumT5/Dn4vXZhsAB6b0i52nR4ufhAY2ZE/5u3vpGzEXkBdSWljds N+lB1xpeoNFpKzOpJIDIfRNA1wXCKU6mMkYb/8D45TpaBYTdHvRosLspS5fXmtiknzpzaOHRIxB2 fzQQ5ur/51PYcU1LseLAsZqyKcWK3YWkwuTIqjoXPZlEKszA0wp5JwXzzpTkopqau1gbiO9GIxaQ 2EzDPs4Aw1uPora3mXHEURYE/or6ZglhgcSk0pF5g3BqHFzcMVEJF+gmDaw8LrsqVMKLF3uTNra5 +i/QIIekYAB2oopmFopZKiXmaZMvUEtIo9oWiPhuFgDfRUAupDKSEBeX15ZCHxJAqBf4UwZDrN44 anQFEnC4Rqp/hD9s60bGM/eeW+YUau/cbbWOZluQDxsLCWzhziU1TIzOgRGBC4ZKpgcgWu2C4OQQ oN6QOKnDBtQJY8VUk+hCe8nfgrv1HclfeeOZtHNNizEl7QOKdTgKDmkUUlhMUopUcpGfNHBaE9kn Au9qR4Y1t0CX1qyYqJme9cbfV3AzozUrnQtp/sORoUoZxDqmpkMU2ZZrSizgU3mSYEjlvnbbW+Jv eeMt2aJa7siSb0Zlj81ZF68rAwBAtiqqUL/loyZx8nq+99SpIePbkB7hnn3xJ5M5owwxAf7BIFo+ LHFqW4m7reyOKrrhfsMS28GkSHKBuNP1rwqjXmftZgr+3pJOegmmLKU70WAVkuKrS8UxHQclC//+ CDVNG1zaPO4iX04g3NyL7Bsh/nNwFFb1GNN7ikPJoNeqAX4lgRE57s8aKd/LvfN0k7/p/f2hNABZ kwxmxnM1n9hTETjB+knLN/RFlnAUlV2OYxM2UEg/0WAcsm2MMyzeZlySslj5MNjkjq0hRSuVmgl3 Z8q8gpZ23mpCvmjpjEgT3ONZ3in2fNeiz2cJOkxd3GlTXkVN6U+abgSiup64clrHxxLTdKapF6YV 06YpAKU1tOWdvJ/Ng7G1q5MoVZ9d1NCMXVhRfDsaiaNr4wTnYQHZPCd77ivxzQedZETlvRE5oDu2 WD7Lo2S+TDx2D2kXF0J4vwhX+3ARPuiJWxfy0Grs6PQgn8wFMoeB7pqKiUj5AEgMKoZw5DAJDLSB pTcEfgXrbek6Kv2N1u0qrgP1EhTb5pE6xQUaJrmyWfPVATj4u5tpsYHFlXGuehk8PiLzEkX1mztp R5lwE9JaoWD7iIR/+Mzs69nPyJS7XaJPwvBVX9rjwZZbp9LQLQH3t+FxdqiOeCdo0xMVxiCgXWwT scKFnW8kmfa5hIlIBwICfIacF0pJhT8jnkLM0o9VeR3RTIS88SVvgKsjX9y5eBsTtkTt5ARNNOkc iW9NUj4Dw+eaw+a5wtwGC6Y9ZuLfQliJkEUrFclS4wOOT+VWbBq076UOYoZdM2ZdpJvZZa1+Msqo iE8fZGuzJG04EVYlHAuhdP3xzJ/Ac3fH3kRwY81rZhQ2eCNR2kv6/eem6WCUW2pPhzWFmQ/o7Jva Wq7TdlojtmBLLf5vwyfn79Rj2tQsx3kcUkPnBtVuxkEoJDzs8QNznkgqnEChTs0/xK44OFwtj4eZ pE5rB661LFpoi9mIqDBvX8TwN6AVRPi7E0C3/Wc8Lc7vJ5fPKrrrX6lc+WoR/ctnEH0PqlYeCQMr nyRZY+SMHkyLN2ZZy8IEszZZcXz3OPz7zV4scTpjEvB7KnTmsqpbtktADuApJy2j807dQJV1lqZx /y86JC3F8JfdxwMiV3bwkxV6K8EGa1ME3FC8F8C+omtMBc7szrZpJSs2eA3kRwGv9nCgOcjaIcg3 e7cmqRkgxk46ooaNgM8MBCz2M3pv3TSIZgxoJTr6SgSAHWnym731FOC9lLU1cb08qsTb25moLSpd kURb3mrJEk/vrqXhSBJgyr97Vy+v5rEiYdGE5vgD5+GI1j1Fc9qCUyGLG4i/27cvKz08laXubCNO A0187qeEoc0Lk5ZodmMmQA/AHtTbo+PmXZ1munVV34juz6Vzd7YuHmjS6O8aOKKXHS8udZZUJAVA Zsn4fU5NkIplui2c9AdscYzBVAiwX+wlJsqJiwkm6OP/+PSNc9bB5S3vjUIegbGAqiU735beV0Ah jUbXNOBqFsy2rk/aEpmLDc2MBTd2wuEso9MXrFvmXqwnVxHfVBhqxoLnjSQsRAz4u6r9wNfKymTd /0u/ThKEapvasxT/TyhRnE+m1WYHoM2ObpvjoxxbysLSEXEpF7wn9220+awNI3mwxwGE5Z3hehmI 9sl8w4a3Chbs2BwxzWmDOQCffTHERHiHnqkDUqhn3i8+MOtWMw3QuXZwEa9w6UaPtXpYxC5a38K/ 6hrPSrWFDtdR/hQilo4BnK4F0pVu1L9E/LCd0MTwmIzz35ClLbj/VLRunAvhL7TVmJFRDeNKvWBj JGPmOAXXge081/Aima1Dcwxmm0V9WJMH4/p3B0JxT+yhEqGN8Od2Po9tUOYk1sdEqgtcRMpK0OXA YwkJ0iAVVsDrxVw9Ji0bZp5xlwOP5crKlVUVVwjzTttySmvjZJ4QCNAaqFRcP1F6RnTwf02l9o+I uUFPf2M6QBkaeI1HB23hJyVCtOg9Az5j+YCKupnwBTNGXeWgfXGT1/Dba4DLHk2qJYNUF6bUPE0b n3fJNyiAZ2mj2/hVlA+dpxHpW0MVUeD/7oIf0rMi0C58FbR1iZyq/xqUWDbA4IOd5tY8QY4KZMQb spS/4i5FjnRI+6YetTxlEFzOfrFdCKdZVjtFzaRlZWlob8WHm4hMqMwTlcaeLjegBJEj+2xtHX75 kF5Z993K/YUyj8myCYbsjXsIlyPSubHeE5EHL0xgqIzX9q/KRBj0jMmpnHJdkfS4v/1593qGDV3R JqvugNzMO3KShl8wOUKB4wNl9fIFWj0zz4T55zobOrIIPMRU0RC654BV9Zmt1VOfjA/8jv09HiYf wMdhv/V3Xw/9+laNi+RQ6ypXd4PLWbpShUMcG8DY43T/K8dVIwgHTfvS6XTAYWALcDzPHAbYrJ4O UgbFhfV1CnW6WYrGtp3aBlWJqdsIceWfiQkAewzcJ3NvjIxMDhErTRvZUZVveQY4I/eJfOQe06Lp sFSz06DYwXZIY5ULBh9cyouvEZtowjNIubwu0AKPgY55sKS0gSePXK1P6K0hvKlVhiHyguQ5lmfA UXn3Xtj3dlYb+7DJnMgfZU/AORi2fOCZiyL/DRDbLtltkVEJYpXlf89NPLhB1+sJ/yDLIP6Rgri9 VAKPeb81z0bRvkz+aCHaqazz4mnp5303BpKcSL13uWsBovaZbRRk5nNUdNe1p9bFFJQcKLTiyZb2 e/8hFctIys+SIyaymaM1DG1RpqonkA/5mifPZvDvzTlpcGf9FdYQvILP8hebSO7FMbRXb+i2DoCX YkGC7sMaHdBvxZ4gwwE3olmBnIiEbFwJDvA/pez2NUi91S7Bs3GsxF2S6IshDE2ROrTzEDkkkb5e PaDcW2jrELIV3OWwYD0Z79NnPe2Gqpj4pR01htjz+Q3mJZi6RLmOhErYI5ig1rnQ55m8iGSOaAsK ua/ubNgCs9R5qliRTpmf+FObsuttd+93BOJElLKkPYDqRzM/q1Gynn2RRDY//V8LlNSLPg2HcCu0 ykJRQW5EUq9CkPkFKQ7T/EWJBAOT0u0KRmhTQhRa9g7Gdk6XagFK0FCQunaF+4DlSy3PRp1iiA56 qASUdgE69H+HpDOx0WjkmJCcZnf4jYCaS0UTZYuAnXyho7U/8gGAom7F4EtSxqe4Kfng/aKgLjaG cfMWa48sP28Y6Cf0ylYdLG9SKWhR/Q/vKjBQxkToFDb49v+KhjLXjFPKy4NqEG82Z2beVKJlBiz1 rGdygPLp1t4/nMikOSu8QS0BGxZiUsR9YtvEYn+L5FsHUV/JWyfQdorz+EtwW7S4nnwhUcoTHfxF pyWIGdZb4cxh0409KGt0f+0z5POVRqcKuSEHK+7ve/6nf8rsf3IW6WysV2hollPoTRKzbGXywebg a9W1Vowkws/4x2OaZ4IBdt+7BsxdnmtJJDbeHQcQ4ybNh4jnS05AQOttvgj3/tTRc+6/l5qW8t5t JkMYaxmKiWl7UDN6swajVxbVKonyUSxPEzR3RxOmGmXmE1qtqULwYx7l6YQoJZvoN7nMHZYrZlqf tuP7Hg5oFQpztBEuBM0AEewiYYzhXfedH8OUcS7Vk0o0k/05ZZKYfLuRJ0NqdC79v7R6H8NalKOU I1WHcX3R07H+yTRoOV2gkSG51yRWZ23YxxbIg3B65/OdgQff5hFfzfb4y0Q1AaRrCjHwuNutPEVE DHC2K7uA4NxQOKzQZPPRXaYTLDcLMvXT0zGexztCZn+6XYcte8RIM6NUrIkIZWTriURZfdx0Z41M rZPWtseRY/DhaELcIW64fnxYQqUyCVksbHwbNjt231/jLBeKBaJDtHLsmUCL45z7tQIK3NpJoDrm Z9wvWRo9mASMuhpChTd9hGSG5gH7NEiQS5BUfTP/kjxPxj1HgY9LmWCSyfEcq30lsOzqGS1vBZsM eOi7xy+SVNshP8u5MCPkxB512G3gkyto45miHh4KvLdNqeb1OQ9/s/biKi+kZDWMuvgs5BHcdgf0 HKILJZ635prrc3ZYGpda6gW8qC2d5Uh6VNtnOHbeNMmpTxmp/EfPb0XV94mNbC0EXdq7vBrdxRPt as7mlPHevzUHLwYEAKn8RHwJahrpWpDTnWqwyOfw9O0oS0F00EvwrNl3TuM1m/HLf1LsRM2L8kmz rYmiYCMK5fRaoWPBANMlhhy9JFe9FjjqQy0nMkhCvGNtJ8lDXQkI40ekezpw+Y6wVyO2I6QyGHvF jPLHicfXig7TntVyXscN6I4IxTu689C2Sq1foU+wgzo/5SfpXjIN4abmvlkomZQU12jsM617En8l SHaGd0PaiF6zWjmZNW3Qh/VcCiHfy8ZTpJFu6mtphPYKYpq35CetVmSYqfwHYYGn7M5nSo95FWJG 17iZWACTzvOzXmI5TVn6Qqiv8XWnJ62mFU23xIdZpV3b16rkaJX4Q2IoT9EyIPNlrXi7S8IFot8Z FWsg923/au/2/kpGjaH7M+yVFBp1c7gnO1n0zpl8ZVGYlNsM2zgbDrcqQ1bLozj7Wr43MlvFwZ00 xU2VAnjMQYYgCG8gwloDPWFfa+Qc96qJI9QyqONzT3vfkYs/YHelXg+F9cOM8po31Fnn8o/eV7Lv kyetydOsuZCQNXRYU3opGW8ab4JqanLHiBZFhM3C9WhSdpL5Y4EH7CaUhCUpPtjy1N6DjPAZjb73 5fNG7lzzDqN1KkWs4ZYvINmv4Oa8pc1Lojhn3y9RPxY99jp0jWJP0UMK2yWkNQZimySpO4GbCyqv BaHc7XQDTw/TmTlCxYq9xD4JDGGTUPD/vkctlgFGqwwJOj+9L9nDx+ueOTwmSRNEnNImqXoPso4e sorxCa9EGZvWvYCW0q0vxjNFKHU7JmZlSlIHL5tH3C/UElEU/enjtxzcfWK7G3EUZcfE9gC/IVHq OjvtirBXwnZtANApQ2S1WciQsCFBqyxqlQ2LUJKyuuA/GY+gnVAmfSvBcTw8QZLlV4VAo21OsC0m Q47c/CuWx3CR61lkUGHCFgx58G0woYcz7yeIC2C7ZSu7AD2fHEuhNYvPOUCHiIFYxG6/eJl6h5bT sBz1FoWD8f7J6hTsQ5wXGJc3lHlEdQmmzt7qiILaJeWmkEJdtg3aO36HdmnkG6hnXvYcJraJylzv S7fd12NLoeaivt+XSx1FJD2F04CEasBv36FDYvJpJ8mxIr88bmW+dMsI2n+j5AAjMzRLdyUY//oF DKT1g0l15iU90JUK0HMbGI0VdN0xKmpiHTntOtjpgvgE/4K21AzVkgqEHJL+qPxgUi5UjI6278+R UpI4rcv+8Qjq1/xBBm0jvXo3uF1wqGgj2tVKg+dqnVyL0ET0SEGP3zXBiqB+d1ru3xtTQqD819vY sZgjgEdlvZybapseJcKAENG4aQtOuU3HST8TFt0VHGIGIndq/NYZhaIR3cP/EsKs5iPhFBdXZJor Q549fBQX2AglfrNMcN8dw8mXMy29Xxc0wZdR4FyWMG1ydAH1zEOEQIc/T7JGv6Tygoyyl6rjBs0v ptWiYW/qJuqgK5FCyr2WYlWf5jt2oRzFZZAnWT6R3aa6xWKFOw+xGomXgb3iJAi1v/4A3Vmze5eE pF3AjLXRDG7k1SDH/p0GbRcHGAFCgME8aYp7wZfhQyCr2QXhr99ly2V8lGXn/6h15z84QF3lTjRo IvPlanuBP7jEzjS9sWukSUTQRJs1VhUj0PqL9vUYKroKkncbiucaZu4p+ueGJvSuLaz0T79ZqTqF kM5MhWCov0XsrL1XM/Qgw7g1CB727CxrWzamNIIudhg3igogC4rcacDxRmOu5Xbp6itUJiqeVdHM 59NTz4YJg08iHPK9ek66HwNCJdQbrkzyIPftJhR2+XkHsxlKit2In4uCHwtqZ7HOpYydaYB0egBd 12h3aHFzeFsKRCUVbzmSWIT6s5EalsH0O+jQKDrgQmoMl43DQqsJw6WIcD2Al6xPLD+6GCEYIiok R3FGkr8IcXefCR+Oxdp/EG5DILaRcEBMvzsSU8W17aTDArBfr5WoM6mcUFim9IjULOaZCq3BGqt7 YHughIzrcblZyiwoY7xyBKkioYilYCbc6cONzKMdCvICe5EJRkiJPaE3t/saSpgGNIC43h1SaRFj uFpautfNv6s0lgB3oiqz42ndpMNqBOKi6LQcVQPSgCEIczEb8SPIe86p/TxziTALhpfgzXkJi5rt 08OaXb2OpU2yuNliWDOVK1mUiFx40zH2umO0EzOtADAdfwflVeJrukwWu1gp0pCWsxJf8idQP5vY /2hyAXMYs92fmux6Vayzmj7c7iGa562hEnfwy5vs0nZxq98v7C+Ohoe0GxjSb7fOwVxHv+yXw8Oo 4xGKUtOGZwxyl5Pra5LXkALrSkhGjzaI4yx/w+jH6/hBBGYpKtI+a14safBqjzshjLWZuI3nyogn ZsLuD1xmzS3CKC08fM9q0BEIBTHDfb+92Yyt7Q/b9gEI3lr+dUnFRW6gDnmj0OkVTuYPyPg7h+Z6 GL/LZHR/xYd9XKfwNeGvlXa2CPX7JkOHvD3/KHs78m/7+YYZEwZNThOE2cCqE2naVh5RK/Xj2N4S H2dk2dNNB1Amfxc+Y/GiJMYEVneI2FG50csCipRlNOVZuPAL5z+IvK+kaW1vM3sQuuRn7ESXbWMo waJluyu7+bj/VcL95dIP+LFtexRlrlCPnWwl3N53I9HdFRIrdvflSCTl0F819P7sQGGa3ElAcuU7 nq0Px3IZWKJpAxYWlf8w8BfBFBCQBbeO1NUjDjTDekiPDQhYxo0jl1eb93lHFyVaoamg4/+RfC4Q zphmmLzJj0D0rABlQxf54OI/ZzpXp50y16k060sJ+vPX25P4/syAUmykZ1VXRx9FoN6RQS5PEYIB Ea6f4WBJEtaZtXIg4GR73ontVfcJM83y0XvFGL/m/JeS0nfYzv+YiNXgF51f0x5iyOvyUeqhXB4f hqeeW9GyfCXG5hIc27mrUs0DjYMseVSqNNcn6gccH/iBF3kQYz50p/FkTl5q0VcNyzWg5DOG6Vwe Q3SsS+fhKyTn0NqtQh9cq89aBAUIVkxDrgJM0VVoxiVLiA72tIzkIasiS9SzUA2YJQQbkGtjOMTd MsnDnHcWuiTIZQP6EiRR0FyB2JUOSMMgrXY6V1OUkuP5b4/IF7yfurImx1EX8o+0Dzv7GSu51pzV ztYb6cbpH33qNWReQmP2jhjjwaNyj64AqjD3y8J2b298YG7+elu0GzOJhAtaimXIvm6RF+nGaiXl 8f858rlpFj1pvRFUltQSxDTZq7tnV/BrB449WHjBzcAWxOMdcYO8g3GuWjfptSzGLjChMp2R4I0X pTCCE/ygalc8dPxMf620TDcfKQO8e/yMlCexWI8LpVvYAvMEw6TtuK1DTKW1NVKYJ6L71fWg9Cjm c/gVU3L0PR80gLcEaaTF2obvhvAdLS53SHYnZrgdrnSkZvtCYrfu2CuEygikWuCapJxq4kOHLOHE GgYeM37qatIiJ76ICgv+DixxqOP8R1e2cLpb+Z3K+HTk1owDkqVJGpv9AUllfkYXnYlshaE3KGfU 7LVjk3nYC42QmmMXxsmM5NG1FO1xQw81XkPXxJqBkp4cSvOFrEN0e0ARHI2hsVNmDv8Ks1QRqqXy pY694ibi4H4A/1KXrn/OIF4dYWN7OHqNrQ6xJnMIDKMhqa2SWN7/7wREWCfh5BMn7FbripiE4y5t WLiK8ait8n88GVdaTTLx318sRabaXUc57mHpI2gBH1BmFsCl0jnoB1sxkeazcyztLZuqsqepgBuY UqsJ0zF2DlG9UtWxWwcruHsUA1H6AIh77KqZ3myRdGCYLYRY0DWbnNpSFNLxpWR7X0alXxqlg/qG hXOca4ltzc+P42HbgbSD4eRe3YcYI5ifWoK/0V1MvoTw000orf+JiE8E3lwk5dq6GwpkdMkQoMUT PQFdbks9kE6ceIiY3IvhHIvydFitAjW03/b6qHpk4EWfd+lGss9CxaC6Jr6baE9xQZ0/OEtmOGNF phj0AnPsaTgcEfSJIKFFv6KhECqPIxne7Kzj347vQ8PFq2V/j9VdAMStmWBKY/pbSnbE9oIpc2WL jpw7oijcojPSRdnlkNrzjvLi5F7cIzMR1fL+NZG7ccQ2JISWX5NWbOsVQ3BW422gFMbGB+l1g+rr 1yoJE2FCuHF48bg0cClKz9stpPTou3gCkhZOhQGZCXkjb6/5bDzqBCfczzYFAxa9n9ke9NIJbAXf qhmYBXDLlxd75xnjEWzx6RxZ0bBGuAbYQ3kedYhkzV/ga/faBJ7spEagDi6NrFFSVOXf9JzC5e44 PX8QGopYVVMw3R9LqgestfNGD0c/9w6SbWiOhNCt6S9Ko7S9uuuQ3CZ8BZALJh+czKv1BZurVblJ /OGIZ+p7WX286Y4CXb3u8WLUh1kZpLr8dsttwj/IdtXoOIaW9qPClHyEuQsjM17mcWpFeWlI32Zu m8Hoj+/uO3+BD+RsZyO0f0vzuUHlUIqoA992T68JRPrHxkxrZ6ExpGJvDqNC6OL5aiCUzquC9jhP MB5AscZ2ydyeRLt8sSJ7Sx2iEp2/qjOybUOY501mSvyX0hCgfJSX4UVgHs7hQyazPjYaNIAhc9ZW btb8yl7F0CGVCUayWiWeX4J9AWf7vo+dz7Ubf6NO+rhdmrnFo5f6xnjfci4+kEDBdlimq9u0Waem aoKzgmL19jvSrr7UA4U8p4hZnhd6bmjtQ1FqVfX6DYhxnE89v8AHu53v9UyHtol2s2JT5fB2lcac fhOH2OPFa8kfiulEoCNbaDRy1YXvsQxsWe/cgovufGiVtLilq8mM4J6sMzEHwUmZneXFrkMwTsW7 Ib59na6Y/b2UoRtvZbGqDIvFM7rV7UgZx4GPs4TKb3GZs78NyU4ZVUAkApoRpPCnHXUOIZlBT1Or aZr5OLLFdmOvjZyg3O+GNHRZWBAZyyBK+FePutcMKDJ4cFGSEhawGVfu1uklSChu4VYs+EsqswTf 4YoleUWQJMt2i2qA19rEIctiK4oMhLA1R68krrzIoKuW2kU14cHIaPSeX8tFXIn4XayoZNBNgeIn 2AhRwuM+uyB6wvYj1KlkDrSo3JvkNXZHe7NRjSidQa5UvG43t2krFfvXku+rW60jVrakUsfeihbC trqrOCcJxtzD+lRyIkOtxV5maqY74Z2HTygkgsef6jOA8+v3WfSARvO2+f0MO0IIsFHAONrLh1QC J99gJ2I7qHF6jPwon6VdKrk1Im1HzQ9q/xObwP1w6CbfdEcu8tA67hAN6xgR0bImhMG8xe3QZeDc tWBVdYvaKOX8+2vb9gHxWLiN6lqcO4HM4xQFSdjbG51NMmfJcBaO1FO7dhWtaeNFAAIYQ/xyatir BcINlYT1PnPhyPzNfQvo+c14oxovESL6kPpspg/QcTTKrNmZ7gFCvBN7FtNDBEOwAlMQPoCtosk9 RtnQO4W/KCvPvFlxkL33+oEEM3WkaoNJP97didFfgPaVVdxNoQLkgCyh0NXckUlY1yeIjFlZakq4 ZKwpoVi6xVy8sV45xqdlPEjdHFlBFwBa35n1HCM/OrhicWJjYyobHqv51DKaLAsNKOz0Evq7u6G/ wh5JcJ7bjVysd/GiJVE5RSrz08VG0g5cTkbcvomwXnEUbNLH9bz0YXmpJCiOy7ugwbv459jddr6C QsNQ9iGXvmnWwDRAKnA81Sa14r4wcJEnd9qRVhTQB7WO0ZAA9P/BV2EKUefzkobYhg0bbKS3kPy4 3B1qelNzEx2Ou+qyCGKFV4bBulGx+jX8BaWx+613vhxANTe76C8qLi/YoYtuGzxmfOP6TidcNs8r oEIcE3b0yv/4luMwmYIZY/D9CicOAG4mBDsnh5NMu++BWm3iMx2LSLCzgcPjoT6yCWGiy4JTn3IK 5CMeiJdoxCLVMc5BMe50MDCL1iatDiIrOBobylEQPOwD0aNGO/hYx7oeFit8OifjGU8/83lZjz1j ixbi61mcUK2mwnE9p4zXNwm0U8sPX+OOsPWifhfvQJ/r5nvrz/DmSf4HmP9ndC518zI+y+3dDsYJ QSwzPzDx5SESB5580IO38Q3ZUQDlsoshdLs/Bi6J7yONJJZgmr2O2i+0Sv09toyctA2pz+T3mdE5 1kmT4ORT3SzLS6lVPMxK9Qu3F0iOEG//tc2AOBVrYz65YzRhRvXHIFckb3V3qiKveh1/vJ5m3huA shhJ4+P8O2UbOeSe8+StWNc8yWNNdexXiSBsF8FrElibEaG4U5gWXTjYiUGABB3r4o7qxDhGIZEe vivHush7VSldFZPad4QMmwGK6BG8UPbSs1VaF5etu6Wm0EKLK05a6fXe36arsvojYy786bmAWTw9 oCGD3D8oo6nrh+pR7XKWlslJaas05/K/SlkbigrXnhkpnDs5kDNx1FUiCjHbAND72sQgEIYKq/xA FcRX8vtvaBNyEvbAwy3SkNe/D6E++jUWzZiCWmsVLi/Vhk7sBLJlhdHo3l1wJ4RBqNm348aTd6n8 AHXYE7A9SUyc4vvtrsV4ZMbyQlZ/DF3DPanNrDRxpKFROL4Idghd7o6n+/0IMdGDHqdS1/nSgSbd wbhWkEYEqzu5el12/YwsKnp74ZofHDICnEiFOCDexwYA8xD+sqwhynJVr4IXl4CBpaxUtERWLvdc jfxl4Sajb4NPBGtQPBrS51GE1GNhrPbeAR6FLaHc4LpHxTvDFrIohHQeK9BqgoTbSFIdm3gsx91r ysIAHOhwNGMASw6gqYFGpyXsc3SCafWTdPY/YDYKhiLWMWstKMSybLWCIZRIC8h2+6UOcOizedoP yARCLwmHngKYN6tDao6erzwPMY0jpvMTzNaDyGDSnzgIvBv1SjW7pFd7rJI++MOxtugEiRfYhT1F FO9W7fzFdWCLxw9IQKHuUd6UXVRMVixloHIOFsLcFhqFHSE+/SHf820JwWXy5qSxJhcdrNt6ijol YcwlWGl1TAcK88StThicevMA02R99iiQzBQ4IQXsXGPBTywWIulT/c6xnhkMpUARFR5DkOINTVhH xKBt11UpE9A6qy4ho501FfoKPhH2lHIe6I3JLviVOK006b8wPOzf8xhwOQfMYkjIMsqS7v2vlu7I RL1Bh1E0N3l852fz1/B8eSjF3MWTYPZCCuBjpCfu3ZBmiAGIcddoCNQIBMPG0t3PdrD89IEU5FT9 fAlRlVmuHyIN/G650ZoIAm1d3debQWVKZjFIErwGFY6aqR8n+h9Xudv1ZieiCJU/RJ9W1mhQB/fP tgxs/qxJhbg81hRJ34CI34Nkp1zlVCm2FzayES1hGWx4V/HEScB8skObW19je2SKL6C+4NI/l7Oz FerepbvfJIa3f4WSNaeI4Zksm1TdLo4DOQhLAs9MLIpG52mcPM0ukKliYz7ZuBhciNReM/PLGHjK cTCN5K45rhfFPiJnEsTaGgSFBS653HvcQrM/enTSN/ub8n9UYsQM6qMrGJekvemaZir8ZFUrzDEh tH27v1Wp71Qw44jOCbk4zuHGa2RQS1o5omqrAo9E+dx0jacogP89ykI6LwFveI8VN0G0Whg21Lsf 9AnX6qg2pL/c0KyfXfQ0prgupMWwvpLHCnNoNDPmbs1yaTsZpWNneeochN6PYmQ/i9De2X3UqnsY inq0/PSRuth7qm6uXxmUrl/Q31y0xN9uUEa1sFpMX3EgOZasDjRI/Dw9OX4pkDpxTwV1nPbtK/rf BN99QL/DfZZUR17QVD9Wkv6uWETHmqOXkBZi4cUcFr04fJ5tjhdXZ2WMd9UCGhRWRitxe35ThPiv +H97DskZ3VCIr60uhqNJjPLVuzCRV80UBR5nzZylblLfpiZptK/juqxVUeRe45eCqegg/fQo97XF kAgcSImaS30DIutCSwTnrEuYXIxEMNeAao4bB4vOeJTAuogjHyC3LC8WInfFo68ZRr7b4OXDZZvY 9vj0aq2Sl2yhMcZHOkZaImJNl2hOpo7HbgNoXHzg6yfJukQq6xvu+ZUKn924zBmb8hGOEr/L8qse SYpj4LGbNJ1DjkaS4PYx0JcY79OASuedvyDZ3tFewW+7gz3bjRZ1gu6hxonFT2bjvFLMXNKPkq/u SnHCi0BobiJZ414Hs9wHluM5cL0nZP3YTh+bW1dIuPjIvZSKT3IwsARGzyGtR/IFV/ER86taqd3i NkaE2vFiXtY79l+lM+Tw28gN/o2uQmggp6IwTkFM6cfW8axtVpDBdpWGnY+tw67oLvu1itZ3QpXs xtFk2PS8UCkLjBOMqxPdB9UpI6HseCCa+jC0ACV+6dD4hhyz+DkMSeKTYVCowhndfIgqeYM5UT7e rHqqeEnyKEO1wE6RwIOFT7KJgNiS/mgfPSGJIgXiFtsTg9Gk2JtEXicmruTw6FgCWgK8hwyA6P45 7oAJ70+Q4DFIQM1rympKFO1iYbzVKoCkah3c+gc9tm6lWkEFB9SLzTIuUFMgfgFR/UgWtkZtIft5 TsIQN74mglXMRItU5u8589XimxO6SAIhR8A7tLegwr53pGZ0H5q2msi0qIA5BgRftnxPZJNe33xW unJj+X8N5nni0rNQGfb9cHLidq0B8p+tXV9e1C2Du13N8jOWmiUYDZK1aLRE5/h8xl8Q4aI1rUmu 2BQZ0j8JFGqPXCqNOgbc8KUbYEaU7o6RovtfzjT2wcKxWx5srkOq9tStDMD5ylocJ8T30p1bl3wL vaPBtUDXCi0YbG0cr71WnwveyeiWXyEkmIHj+MGnIlj5IiE6u/R4nQEoEnaFHAUt4sP0EtAqstHz Yxr/802uBg3dEbEdOER6QHTnviGZUtFro/ddCJXovk4bykiLWhq2Bn5xrWXPOcJzAOuh5cL1sbBg 2B5P/7k8cs5AODsj0DfFvpJZRRWy0Snz0BI2ccAU4b+2YFRHRfRhLSslwf7sVNN2J1FC8jP49ZPz nALKyFqnEXwAzQv63x9riCn1B4/05aCUSD0PvL+a2dZ9eJPYVM2LVGojjF5PyqNC0r3PBtymyYqp ZQ5A1wKOSTmRQkmnQI3/qT1z1grnoPv/e25FYYAkqiTxpV8KLZQoP6pk4/5a5Xnt3lIZJCnx49xk BKwVyQBtu6oA1Q+TARLnL71HAfvVCs3qFSPa66ocU9A2fMYX2ik+fB4uhGkjiwAolzevJz19dFqS Zm/JL3tV8AZVtQacYi2hYVMZj3Z8RjgOoQFXkkBpR6JH2gDDuE45W68oVJD16UURiI6q+51b4i7z catJYB3G8JnP/SkUGTxJbzAr5AcBenTDjbV+H7n4eQ9j2TMEkcwjuqD6AxJAYb+2BbrQ+MPqaFzq 6cYnkJHH2ilhAV3YiMOcxVKyK+lNSm5KQBsvr6xqhKdkmjcthna80D+HG4bm0WVdAe7KOljVGk96 /oicS2inyqvU62Rx3ePS1M1mmYFBJ3ryb+DTbwxmH00NWZpasTnF2NTsZnTB7to6apnfgmhm/1Ac YZYxuFEATm/xqTE95tKcCsuPnMh4Qn3sfUAC7lDFjyYsoLpo4Jg6k1TCv0ksHwBr3dSo5N9h0+m1 mqLgGpjVMJ4/9B6gCuYMGlWMf8kNvR9Qrx21SW4N1DUkCefNoqMNl3UsFtrnWN7oahq39qPeeaQh EU0URO9zx56mh7qxpLvPcFo1338vPC0E7uph8X/liI67pUbnKlsiMlcjdq8S2AiZN/vF3DkW9IB9 RLPK8hiyn/CSHrwQ174bH/Qwq1MbQqboPVM5ZLyJcTNW2STRlgoj4Ki2S02TWtB5HvN0087sm22Y vt9XEsgMpPLzW1xnu5UwSbsCQOiaFewjD/n6icgMRY7h2AcAD3mjp32a2DdVgGszvvsZNvXUvIJN mNKST22S2Z0vguo5fot+9UXNCOWR9VGC16mGY6SwfZ41f8Evn8RT13VVJLl5o73oNVgPbEr0Cy8O IEcG1LC5g3xAOkLAPDioxNEMnGtaUtjjrVYk35wgGIAoX4Wiu76K6KbDqXKsusvmml9Xj60XifcQ n8aZvc9ylv1fGcwqAh8EdefUN/2YRgjyYNkmKIVdkekIoCg2nmlmyk/p6aQ0muDH5sEfcziWQR3o 3AQc5RSpnwqIzMOmFYevxiNxLiD+BpObHhlV7Bgc8TiNTBauz1uUqNSxq1TP7ySnUtCe9x6jxYWl sJxu4UCTcHWgjsodIJ3w6mpKMjq3WqZItOzM+kUGs/cxOIbTa6eEDXoBb8A4FYfq1JA7pp4XYx4z LXS4XYG8e7NvPVjEMpXvblz6Xc1KzhNsB3TABSTJL61JRc5C0Flz+Wr2HqVJHS7Pr2+BQlhDUIT7 4BOef/851FzXTuQQsCWHP8SpWoTIYN0msR9/77jErAN+grL9GI/0+uoSP05FMWLnzdikhotz8nQ4 InAgVBrYhuXxrHS9oFbu0cO3NxVS5+SN7iw7IKEj/UcFZzE3y4+EI1FkIU9ezGxxTrFiS3y+LROt hsVZkSELa/XCasJOybBy0yoW2vCjLlUzNSlWUL2ID6+EDhnR6r65rD1UjYskIV1r1k30ZstrIr0Z TbOQWLFq//cDrFt/3sl3o/dcFJaTiAE1aKgTJbF7uFeQX3gSkc/AQbRBI04b0BHZ6P2UORnpgH5e a2HvSA+egfMcrqL4jYNzO2t+DIYVrt4yjqjnJ+pTFq4EQ07O/KqqZDIFD17pa38rLpWUBG5pUAy5 3ALyKTzjsJly9r8AmsxoaD0VDAYYdCYAgrKnj04PhRFFghpQ9tgYGGXKICD1I3SH47+GsHmxo3ja PUA53guPt4IelzQpAprj7yF8tJe8loUGW4wlUcvY4IlRlizs2THj4Egapous17flJFAQEcm5vuLy SzxvfAg3BiJfyM/vgScSWAaQoQ4Ts0jGyxYH9yJjPgBHPAx//gYv68pgh3yrB16iJRaNoQdf1YBz uJ58bGscSCFEZHxxHxENy/qKxWeCmeXQI8+p+R6uilcgqxbASeaZI+KE920xFvK5FMRQuceK4fYQ idkaPGwD7WCY+1KnCqJImiMJ387q1faCsOjxkQA4xjKqVBNgV/UKOkun+wGZFXyh8M3ovkX72C4W yFiD9hgWVrqYFLbcFeKInEeeI9Wodi4GWn2vBQxvY9DTlygaAaQH4HN7lQX/1pwx4Z0j9JOV1rLO v3ZWFpZg30Dpa5zLVPlxoISwZqgZGvWfiu56rt4zfxm0y8kwVetjb2jlEBYR+vMbq1lfFl/vlnGY sYwXmZxWIj47Ngtwa5onfDFYDQDMpearAnPC1RiErDLVH3DP6lHERPJ0Yw7zQxtMTk5i4LJwRstu WrGE5Rnnt6EhYRuJGb9sWWwbTVOB89cyBwz3wT70BU0gZvw2MHv1+kBy2UngwG9u77mFNc2a4zjD BM8p+5iNE39pHYUifPmBl5nTIsKxjbMISowtTxj4QRrDqVAm/bWaz6/AC+kjo4nTURo1BRjkh/rh nPBq0IqPisRe5YtXexTKczRv0nMcg/CK9mOwDlM/jtRRhIyXDoZLelYaDeO08utRu43rgys4U2+1 6ahNb9Nnm2SK8frf2P/VPuIj6u6GyCOs1srvrEZPBr242bw5AuRC1tUd/Uf0kWERnD3d+uZn1Cq6 t5VhjIUZufeXZYIxFrRmDei3Ufg8WIqJI2wUtonaOxhmSzqxpoucUbBZbGkX6J1UvQC4tOPPoRyC H78xaEDroDU6z7atpOmPsGqqeop710Vzd40z3pzonlRMhZzpEXNr9P0jHWu8/NygWGdX14Atc3AW pfxx7uLoEM4xPt+ZDqNiqNxbTZkuJ3uTgFcY7rBeYD6Csq8ioaAtQusca2kiiMVmOlXU6LuNwvH8 3sphrPiMXLJNTbJvxktmHUzpwXE2mu/MIaU/JHSJnzigQ8czmZw+z3mHqKt2X9Wb5rH+LuNKRWRk HAXlotvdkNOe6yZ/jcIA2F0rEndZqqQFwPNv0+YQwxKSL9V3zmi+Lu/7du+0dp8+/gwi3rVFoMTN j85thyDTqiCU3ZeY5UwEoyDwUwZUGAohwOHHwWUfltVfTyHN/EqwkO9YupsWi8jlIaK2TGvrXl2O +RX6DaooR1W58GuoZCTi/u4qH3cWx+4IbUxq17JGypB2FMRDRik9/8CM28WpfKGBpykqZKz4ildY ZXgSw3DTU4PhLjw2IhwaLm3levRI4vXirNec0HZuP33xZ4qk2WnhtfB6ehvr3f15N4HtwxdxEDmw TmxefC08TZ60pMud9fVB5vhtOZGjThnLC0PGx8+mPgfQG1bDHALzK3yRYXwuWILRNCct2rwQ+9yL LDR9zwzlUBOAPwCFbD+kv1nEsXAl5BOfwnGJGw1qyxiPFyd9QpiOnV8zbUBEckWEOTJa7gLTpr1U Nry0YGTBOMo2kXkaUBLBc2HtX6wp8anXnxv/PQqEo7xXNVcv5dXuKhv2LeKnu+PBNyKZxknK4b81 7Z4wL7DS3p+DyvMAjIhfLAsdXMgSvTBjMF2nsKyS9DSxdrK96k1E/xZ7VjPTgyWmKjiFxRb1aYDe SBvQwHXnUYjesWzp+R/U4LxNjwN+fXiDOyguFpioaZK8BOds/mPKlnb1gUl/7HyI0wFfOMAKtSY3 eKgoPuWv0KTCzeRInUMaAeq9/XT1CzSSRp9v2JHi+631uG57gkTaWm+lFTrKgiOvdm1W/l/Dimsg tQxtnigNHQgq0iRMfGnACtvOzViyOwWE9kwDHJu+4FZH4Tvf7bdx9Gtv2RO3iCTMiH3jjAz5kXQ0 AE4J7GFoDQBnXoK0n2OzZIX/kDkd4C/1lVg29C5OeoA3AxWqnD5eOd07JSHv10jJj3IymuddNxw1 8v3WZ0aJ50z1WFRf0DS+qTl0Y1zAbRsZWME3FrjqSNalf1b2/INVAprDqyIskN1JPHqbHLVuCfoe kMfJC5SUCLKGqLdW0VBi91URhL9Y3fZWyaN6U8IWSGJkKScabPxrOGM2VU5V3j3bv79jkHhuULE3 foBXqdg/bIPpLf841Jav5rx9KZGFXlOfy1BKKOMcgkfgjdaBOtuXlrsdZYhLGZt28jJzlW6mlshA 2/JQKISzmMLZCTbUgTm0fK4DO/3A3kbt+6lG6+xuqNssOZaaQKzl4q8pAsqd2r+L57+lqYUNE9zb kJhkMIk+W6WIsandoQVEIlvgGsCyZJpYkAwYdEjjFmE7DHMhYP5660CdcoUPuRNC747upT2cgSqI bY3PQ+Vn69ge4BE6xI+H/zPAOu1wt1L2Qi0gJw7n86shY3I8n/CdFgMBHmSwGTG0jCrmw+NAfhHo M8kiYwQMnX1dUW/TEJu4clgFxk1Dio3bWAxV7h15jdFoQi+LEgrltjrtaRp8O6CaLz5y4YZ0E5++ QEAhXh5JMmUhffAbS6A+QeD5NRvjJ9sHdLCKDyZrIsQbb7C04CZvfFODd9uA1Ls8506v6P4i3qsA K4fK8zUF2704z4Cmj+d19a9LYtr2R27NAGHPLLK9hCiuFdg2q7szigMqPEtcEhMrVNZ+ANdWf3Wc hH1a72vOtRE4G5fbikEVyLGrGvhxHIYeB2l6nIv6hmDE7R8UlUPcIyvYPVPYoaMHbj3lFN2l9QBx xan3FTQr0cZ6sk6OHICgke44sIVftFv/lls+VLQKg25DzJm/28P5DFqdGo7EkXaLqaMFyCpeGk3j ivM0S7+Szx9O4YfsNYE/e9Dre4DtEghrX8p2PUZihgqnMNWL5GniNljVbvOO2q5jzi7FpaDEyQkc 30gt3vmDpUfhbUuzIHiWiwm8YXyPR5mrxpm/w6U27Ii+2Jfc1lu5IYE0KkHbylElntn2zAZUqHfz xtfBD7Vsal6/UsksdAZsGPybXQFnLhAMQ5Qqoxnkqgk61dtRR5mq1VeX1mWgGrUlGfj0TyKDx7P+ emVD62/Lup5J33nvBoXSx7PAL/cCpGQOri1CUH+4twqkJGC3YWsf7927zCN3N1AoQuezTiQaG7kw RqGGFMjW0QELMkgUA1SkcMdxTwES4DQADRb9vGDcG4doOtnpwOVMPFtrT1Nk/tsSkhyDBczv+GS5 Isk+tIGjp95Pab/EYvgpxv6OEt9rph+vGey6JJMQZhikYPSyw0nT8hK/UKvHALHeQ5UW/9P4T5qA Y+HcfMQmfAPSfHySW1GxXz4AHMg05+drWZDGTgvSXoMkdHgRmlenNipGrKjjC2NdTlTi+Nnbou/A l4Lsp+4+9NkyBB2qit6TXyCbnyPuLAbJHIU3STuGLIwb3b8R3iF5XBukdnO2DWJxHNFVntmniC9i aBbeMT7K5wRVSqOIMNnXO9IHQxlQFtjC0WXIRQKR/x/UQ+zBzXCdoMhuVoW2xROjDsSm6fZSC+vh ORu2xyQzGvTqYZY78wUl1nWXFqf3WbLFVy6i5voagfSNcbbSlgPpnk203u7ED7TB1UvJFVDva1Eo UU0lVMnAsF6iHNRSl0CE2qr4aXCqaxXKMYITWcKAb0FAG3PIfjm1ExLi4KLRlEuiv3VfLEMiR6cG jnST3C6AmrpaJiWFHtJMILAsKVlveUiB0t7WgpQC5A1i7O43bw4Y8YWddJwnzxFs/IlUSYitjw2a n5Tzv45DzU7nGhAecjEwi2uNCAlQzCJ1e0iUIykr9D4eYu3yPZBvMI7vwiKKOsK7BoD1A6u2a4mU V2pZ+JummY7pSa8qXnOCLV2sJ0oixLLFb/bqF8cPI8uudGaN79b/L7ePG05B9+BpwaRFzbCTPvF1 lTMHZ4QhHOZY5k5tzjUUfDKiJhp6TL2IOxP5uv/G4SyiB8x3BKwoSLIjN4MpRFdJpIrq65D0TKpU krOQwfcC46kQoJp68SmseJzbg+JhOzaS+Q5id2okpbZNLuwwJQByBF69pE0a/J9IyUnYMtwduzya vNjEnwaLmQelKEQ9A2uBk7EBcUmgN+OYBN8ItdfeDgaFLlV4h2QaF3PCbidsTmIqSagI72RXrwqe PVYkxAnRRbCOdzlZBgpfOLlEllgytbziuD3f6Uqz44alba9/RKa5t3eq/E6/tPr7Nuh5tOjsDTJo d+K1d7mqltuOaBKgYmQlju2s/UsvJzL18y0LgefxAxo+djs+KJXwVybFG4kze5moJFFa0trUGOwH EZcR8gtBQfGRMOgFe3FjpU3J6Rl7SEygskDuDmTv5zxp+BUwfs8M59l187LmT6owzT51sgqanfX0 1RbR7kJ4Q17MguUCGNEnu5nze5ITri4gBiWETB2xA7QK4WAxZSqptoyM3ry00FlhHLiK/EeZHwOa 9T8Mqza2n8zud0ZFAgnQEg28P7UXfYh9McP4+0kcjinpiHmZjIGnjNKm7Nd4HzwV3R21HoXYjkFw 9utwG3h0df6SnYnxZnWFKFvnwGwsjeFjMp8vfA2ggHL9J/FtqLFmN8vqzQRxuQogC86A23QbqDhO RxtdKnAM7v2sY0pR6OYZnCwME9/SMuC8ZDID9tsJPKFSMp23hRjakoiTCz54capAZwxu14+DpmG0 AEyzlqeue+6R489bag7n7LfcMpVzL/NuPo/0mkIyHuzBB/SUXQfMYFq6Jbe1soHLCz7IhtGbyNhm CkA3U9fJWbNOj80x5j2yabYK6tUJvxHilq9iTbH9ixc4tbC/VOvoXWKuEGEk3fx4JAIQripdH1YP YOAEcYTxn6cTO1ns9fSE5ApnYR/5d2aIV6QDgAj69dfIr1R7Q0LajvW/A+nMVU9a0FSeqXuZEm5K hXhmLP14ieEh1zDZyoXQUXqiPWMWzq6rojHbqbqgFnymyCEV2xHEMXcKkXfhIW0ETIpWDAgtcdhd Z22uFySNVfgASHYktjhGB/qvwSGm1MRoierzkImYG2v2lFEam9jWERcH3GuSgKi6IYfNNQVY8luO xxNgiQ/OfdIKKpVXdeTZdm6lQxi5mp+HCZCr3cxH+r4E/d/zy4oNMtR2paM4lkZHxXnney70XdJE N+DMmeGjxVVZ7M/ElEN9NulYHbhWEhROt3wHKspO82r0lz41YLa5TVehDtqYIee75rVR+HWta9nf WJwcIeHiRikc8TH/uEWxMkGSIP1wTT0veW0yKeH8TaQisfu9kJS3b+2Yo2Vnok7OmrOLEDEXfh+z YeZCjzWC0EXiKJoxXnaABKgolzmtTGDStXzWuDpELgUNsImyzkBjSBgZv0jr39Gn2R20kwWATDwz FbztU2DUBBz8y/dVF9zZfsjT6xpT+Qre0D4Q4wydiWMs3fu2Rxf/r+jJt5G852o8SMaU/Ahx+s35 fYwmb9hY974OM1zmYbHoTrk1wW+/1bF6q0ZXet2ezpYjQYZOeutV9ME4fYGho1uLoPY4ctdp53hj 2CTcZS9I7G9rg3IPygQKPe7RYGQyxX3oajJ3doyPIt70GjZ/5aEkp4sPK/sZMMJ+fSF3Qc4DlQCw ktgaNd8UMbSClZbDbhN1A5/8IJtTigWXteZwMUAICPVOZz+MuQtQsq585D7CxUrTFsKDXaWkCEvR UbzMo0Rr86HeqCQzIYhhbh4PHpgqhzKU7bjgNXrmG8ZHd8yehCPx2MeLJN4jSPWW2phl1t/VbzHW sGOI+6w5rlYxFVwhzVoSkzg0qqt5ncvOz11g8rj8M0gLiePFMXNyBHjUOZQQd48gDquO7ox4MB3Q dypfDOHM8r144XlXJwjoXh+7KSpfu0mrHwdpd0i8u0SI80CYS5+icf+xWY23xfBYUSGc2a1tfSZs 8cLAkCo3DSddDawcGS4d/VOpK/+iXqqCwPZAWCeFMPPfI0cy1x87Twf2zlUlUgsa3vXRYJorKpnd Rizp24Se2n1yVr55MPE2spoMeuh6o15dBJtVwxWSrUzUU5ok7RF9R3gvJ4/7YTIDUBCUwDoLtv0h kM13FBELMOqVxpfkX1CwIfPfyhoKAS8P5XaRoeFdcgA586iG+Z4+9DMadyxH4pQd7KgF2VYT64jf /vSwOq24joTds7TQ1JfDF1s24xNyGG8L2VX2tKsJGSXnfcsLQMnX6yzOKNqROrN0CDRiwuUW5V9O zvs7v5zmDweQLRKRYsnsbYXxYRgfptnw4fZzbIiCp4ajj5/AX/30jhRd03VR9C1sOQBOBw/6hzCm 2cUoqaKG39PRWiv48oKzLhZ5xgyrNW/DgU5adCRPTL5NdOwZKMKTMRIKMCeGYIcQb4XeKllRHqG9 L0Rll7zrIUf113tqkEC/iQ46iYn+3ODbdhuhpCxA8ilZISN5hyMkEmC7hbKNLl0f51TLDzJHPY5a kVDJwQbXT+66DCBXpcVPfz+G7ehnJZd2EZCcVF3HUQP90lGOSAR1+47oz1m4VDOGAwV8B0DI9MMZ o5TeZNr0bMxOY0gU5RcN7U8ma+0QlWpu8Dg87IxBJRNHNba3bYPkKd3BfiewHMpbDNEFIkdCaNHq tj0s8qZHIhlQliNMQ4yUxjYVAzOi4PsjTBxS+O5jDPn0Bm1H0mc0ON8yDXzsJxUn/+ZUinbvwGMu e9O+n82hc0YhaNksJ5pwQ0/sU5Win+cHE790Jlj1TDsvP2G7dVKFtpq75NMx/mIBTApeXFNyRt2c KeGLFxm2HE2w8feQwDnoJj0E40OfhLNIgKEq+K35zm6XhEgxZjQbDg== `protect end_protected
gpl-3.0
24fc196e05fd62882b24e6912fd749ee
0.954452
1.813999
false
false
false
false
Given-Jiang/Gray_Processing
tb_Gray_Processing/hdl/alt_dspbuilder_cast_GNKXX25S2S.vhd
12
877
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_cast_GNKXX25S2S is generic ( round : natural := 0; saturate : natural := 0); port( input : in std_logic_vector(23 downto 0); output : out std_logic_vector(7 downto 0)); end entity; architecture rtl of alt_dspbuilder_cast_GNKXX25S2S is Begin -- Output - I/O assignment from Simulink Block "Output" Outputi : alt_dspbuilder_SBF generic map( width_inl=> 24 + 1 , width_inr=> 0, width_outl=> 8, width_outr=> 0, lpm_signed=> BusIsUnsigned , round=> round, satur=> saturate) port map ( xin(23 downto 0) => input, xin(24) => '0', yout => output ); end architecture;
mit
b8f6f46697a678a8de8e2edab9448c4a
0.648803
3.045139
false
false
false
false
fpgaminer/Open-Source-FPGA-Bitcoin-Miner
projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/builtin/builtin_prim.vhd
9
34,989
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block SBCoA77v7fiFj/R9vgO9owMVzpWXe+raBXUfAXONaRKR8c1gvx4wa4MYpevSYVOPjm9G8edDufSy DTz/aG84+Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block B8rX9oMToOpv09RDTqUjuo8lYHRz4eR3LzgGRZphikvttjYBwXNJ9HcelKPqUNvHfH3tCol5SIJJ ODhnKRmLk47iILLbXKKkuN/aljYmVS4ZbWKHwtTbL71PlU7nzQWARs7ddRb8ZbajYrKGc8XYDbzg zsQ2noPmX2hwQ0x6TiY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OaQ22HtSJJPK7TAZx30Z/mvwnDAfa+GjOBazbKRiOzLNS7Cpbp0Ac4tFtn8Xv8SOFWRMpf+0c3IY 7p3tcArU/vy3k4qRT0Fh7lHqNURf4+CEtcwrM49KwUtr1JfgFUSB7iY4w+nG34V1f+A/9i1kPBFw 74ixBDTpFJy9ZHFTd7MUJql0sn5q4MTOACCKjndwgbovxAsHLYvTPW1Iq9smPrLhbAw3/ZEHID3A X4oP9huqDG49czrNbClDevBv2Inb19Nz1pJUqIC/tHRXkbHlsxkzBiApMV7sCv2xWcpTScpQwvrI f2h9Oh3aJ8LBmMItXLV60ooI9ipNyexg/VJuQg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block maKGM5nyeRJpKgfdfN0Al/Nj6ydi69imfuDEVg3GQc4Vj34WRy/OuuJU8ZbxGOhUWQBWAYNDzq6p 6QdDVpL8WA7Lyrwz/pKM55HXoknyaa1I/MYtoKQ5uFzgoeikh9hBMoGcJbHjDghggJPgi3TcUreH z2/pAUvmONCtkajERzI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block T50YVcBKycyKBj8iJ4chCWtt5r3+nrCJWE8Sc3Bh++g9xqBIYAOgWDL1bcRRM/jvD1DZAsImpCDm ZTTPNKOtEhsE/ut4Q8rtwXADoRrKTHteqWUYECKg+TtWjKI5cGEd0CLDCTgtmWWXALZ2FkZTrRBa eKf+hWG5C0AQfGF9WlmJGxlp3NKY2YY3tZPsootirsXNUawXLiyhVPAvnY0VLU7DfVc+hUq3WeWw 7/VRtKcoDExn3LLPreXYFpEwsWSxQFFgyTWcG5KtDERJBcX8z4DrELkKB3XBAjjLOVqgi+dYeBcY SiaH9sbH2JD7UypT10klYb1wt/SQa5tIeUMN1Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 24160) `protect data_block wPPu/DcZRGAg2FiSUxuwvkZL+nE6V+7zuIg634be9tPg+54cE3SiSEvN3s+qH9Gztl1RfVk4FY4b BjdXJXXvX7/XlGPyqCguJ8lr6cnaOY/6kVq8AgZ5/SQOs0qjXHt+0bCDUybryNu1usiO+QniPgfB Ia6zNy8pbhswrgKy7zd4fNW2CtKHQl21x69rT23NhwwdB+chIBWGNODQR179UYEmom4Iifek8bPl IK+sQBlqD1CHi8xcCEdNp2JWSnErJ9WBxC1RVGF4Lvsyt7rMeagcryOmCVHGOka8MFetU003Azo2 1mqFQzRR/EY7tV0pxG8Yald8N7eTsjenaIJLAugH8Y//MxaMvL0dOcWCcR85Iy/Zr6zLChXcT2wm mOAF0zGUKQLga4DAKrMeJThq2Q/9t129fxAXt6oday2VA4CGyNWwjGkJR3h9FHJf5HtGo7BJkvx1 fJ+qTScfe1gHS8PYWjzxAAUPyqTF6WHKTHzKhAPzuahA3p7/Rf5Q/DQY+V2Qvf9qcZ3y1zBX/55Q LfEWkzJOiX4trNY0Gegk3RkEk4ykofJi/3CwuN7tIrBlVe0vflW2cmmfsvrZQa2iZjFP8NmYPCIm YBS8epz4MmdymHniRwn/XX1+x1Th/irYOYp0InYplpGFtgBbhpV6LwM3EvI/QHqo5FzYDGwt2VqK Jp+hPKk5nDcRuYWnQUbO+8oabCP8CF0cGF9F/EmlN/5WJAoKGYG1wKucSc3dvYZIIMbZYWz/aABw I02IzYURemtwrYBBkPErxjVszs1VMW0oBy6k6iveTenNnof5V8JVN6BEsJVqgMYW5N34Fe0jmjEg 5JAt6BUHN/TowfSS3dY+OXqI+KiMxsoY03xgYDBHaOmcZvkjqNoKVQdUuonGOpQbksM2w1HGG7v4 Pxth7da8fpETNg2qKxgai89vtfIUQrnLIEy6curQZOAkl4ssExglChtYKcsE8JxGqmHurUCdsqCn FUIaS8QMo5ySSOkCABfJeHcJA1sWgVR5SHZwBBCWIweHFCPDLMN1jtQeqe6pWfS9hCsC2/OV3nvA G7FgpmNvZaImHenzlgx56q13wfD0gncpyjxz5S7QdFNGsjsTA++6Mtweg3tfjpc3PS0KlQPtI2rp CeA0HJAmT9tnrmiuIJhg18+vZzolz6k1KAQLVrD/mGmLm0Wu8mOfk0qbJolyhByUdS2p8cUHCJz+ M6OrczTh60PrSChERTCzPILML/rPPxXhErXThvaq0I7vYRv3g27R4VovDShnsJgruj1ijzwerPFV ssbhu+aUtBBwNiFr4wpkCrchvkngqkiJrovJq+WSMJpymL6qti1BJ1RsJ3Yla0S0OJ8MXrkDtvT3 wg2NBlDl4ft7gy2dk5jW7u/s3RFkL869iyWTCtZeqSEfIo8mjZUgXHG245WO2/hqkd82z2tzGCUC 8AScCdszhYX0ZfSeka0k5dXYUbNgG+ncr63psEXtFmcvPBwV7Gxa20JCVzoqynD1c27mImPKVSt+ Vs7I2EyW9s7baKwiDqp6LlgkaXnEIoVh33Pj1wd8xkH3vTWFR78xZdT1MNimZMZjO5drpfkvYFwI ZS/vnMN5Ym9+T7UCjdKFmrB3DTOekdXvjR6Bn4LG+cE4xdXbECGrxBhtDxAfnTcuKjZ1nFddTb7C W/HLTA+lqAKFBSXsH90MEcXAK2lcTFk8BVovga4uSaFvh1XeZ2hMA63+UE5CZCRmHJLg0ZXDXKJP n4fBT2pJVGHkBY5FKZ+q6Xg4Ao7dAcmrzf9HraU+FAo28SifKrpoZ+B1jex70JzUt2ZtmVCkD3FH Mv47FigTMb4ojDVdvjwnOM/+4t0PIKQ1JytNnqPNalxvEV/W+9hhZJxw+iU6TaesI11ajcVrrvkS 1RSVS74IC9rdmdLRHdP6DG0AQBU+nmXW7MQcBTMCH9I3WDefwKDjAwDi9BOH33gE6pNMkvSQae3K dTrjL/qe9RKt2eWO5vb8fqUoumfGRGbvTGl6y+EstKtA4JUkX4MbEGeFw4gJZmLNj2aNnmRbrP6y ugmgBgr0JQbeoxek70ZLrZfjrXdWCN9oivwbQWJudif8498OMbc6aT6Ht/bvisz1yfJlIAeVZRjT Kl4ZM1Op1LtdVVfrOTmuvIrNS8Pei1geDRkDYP0zMGL+fG2MpC107polr8M043nHzQqKBg8dKGIK JxfIUu0tYFz1vUr2mDFf88poedZ9991dxTcDy+PES0pYqsMDGR/nuHRwFnDcK/fA6g4NlR3cLxDI 17AoC9GbGKBr0JJm0hRp9tPD0J4cKCRjSkBv/LX+M+ri9xbDcC+kyBf/T6KYzaucpo+fBmQo2nOz 5e862KzQkSMo1LoKdhHSbA2/fjK94z5f04S+6XwlPqSI+6Ruxj15vr6Nd4UZ5gYUi4cnyiG7tqpI iWYWB7x9rEhUOhXusmyECLrd/oxhB+hvfOonrBXcKgf/DLJicp8LMpNN+eGGK27MNlJov+OIQ51e YpBjFpJG2rkvEIcWkb4TU2xBNYIGk+/RT2rfqWQ57bWiUxrFulba9UxYYk1ZDeqtHZr3qHWjNkYD ubIR1KFWp518jAHebQ6xMu7ZKVuIYZ7SBMlZE/+O3gtBNBeGOuA4pN2CRSuExZo2KJcauy7waoP5 ewUladEguKbMIeAGZz/m/f9YUKi3E/1MJ5HENZ1G19jwGHIyuRRn6Hm7v9YeVkWNPWSxUoZvyU+O 9cDCBfsN6M5aK82dKDVh891x1rQl1ScxOqlQblCGXHrrxDIiCcg0X6SFnPCxPDf7M9mCCN74aLxF XUQp0OSK+ExktbdsQBi37ZHfYpFWe0bLmkIEKvx7sazQjnH41aPcZWa+In+/Ch71HHitFp0ZU+Km pXioQZhFfwwlMQ98bQ18EcF+0fP0LUTsyHvVxT1QjawFtKJT29WRUEDMbkKoP50dF/HHFvG3G+Wa 4HfQr1hOYCmyZ40WgZCGwMPr8QdC00AMQzprW7MKmnRL3qHhU15Loy+DiecXWK9zT2yLxYJy3dgs og2VC1Wp90i9fRS4pNLayhsu0cqmU47z6shu5ThMNCbm/ZsiflcpbokR1Smf4q9nqPDH2o/TR1du zzYfsvwhjVPPWTwB/SOffe2/GgtMD+2DRki7DlYlHt3Wj28KT8NaTRIG/PKvlCO4fifUNlaQ32rP VcrUkgrBHPcIm66Oiv/jUNSpz81cLGohfhXFo8L8GrzapS6ybJUaN1V/gaQMhQwwhH/0l1muMcrt PkryBl/dONazYjcbZgDbUiMhBLdmGQoQu/n8mTH0Jt8itWOOuBr5BnbH/FhY2AAech4y7TXiGbUH DC5/5UhnFCmoF1zyD2FO7FMkT0YmMUjhAuNLm3Bxx7sYbMWVjcgj1QzZoReodhYfyGJSo+fVQz9B t+vstBeH5hP9XaKOy8rZ3zrkG6cfh0pHnkfoKd3XI99TTwH9UICmM7xxAZmlvk71y0ZNYbKYrJJf PsxLELHEFu9BGewPq0f3SLVPESfFc0gUACE7k3A10n37537RvwMt65GS7lQhDoGvHwtUqTSEJdnz lo75a3gx5dYBGU+vJO5D/hv7a8O+VG6oXwSgWUThgrMFTpsJaJSyelsbqlvjn/TcjzvPV59ifLml HDGQnMCWn7iaMGdTTcIcbKMQhmghC+77n3S1zkUfaH0o0HqiiM/dmpckzY4imKvFsZ5spqVr/F3Q kk7WOFw1YvuYzaavO3gSQn9Jc9X8PwiDSZpRq50VW4oCKh0/0wFqqwxFObUzAn8kyI4DTGZsUUiZ NwpQlidB/Zs0GfeK49fvfI/tqgSI8kXuclGSmRCO6zwixtnCFEaEYnJsfZEt/WqF95HjsO/YeqB/ 501bQca07A0T0Y9etNm2PRVbi/kKh08Gqyrcn0aQxuLdbc5LThaya2tcanIoqZegWCqd20BpbNoL fXR9mwbVQ416hM3njdGrNhACEzzDkz1rr1hJitUOMBGjRwbsboYKYxoygt8divZ895qB6Vf1xkOx IqO/DomzkqXB5VVjD3A2EectxpPTf9qdvCfbygz9PUHFhEV9cEDXl50H+egPcJMv6HMEf6ReRsjl RQLSd3fkNKN7c5jrhJR2xWfvk1QrEOaszawdV9oYjdbPHVgmjwWvRjuxSHldCisUvf+ZU5T8qRwa 4UAqrKpWW+h1wwPWVG91Xv1TzLZcsc52QfjUtflldwY2H30x80snyJQzlFIcOYTEkO6a8miFSGep 02eIPOU8eqgkLV59rGj6vviCH1LxoBW/9UArS1NQlJHRPItsYfVpmW7dM1cvsg++TYE25BxUPmZG IqliK8L9PujSJXxmDeteeqtFtuMzHDlQozYOkDeFYsJJ1lrTKzO5ir7r7Ke6VqXbB0Xy3yFiceKs uHfLvoWLqmGFzVt/Wi9dD40lVnpS2A+YUYqGBkhBs/lpZ/CZIDR9UuivTQXP5pkXW7xG45X1n2QN ItRsgq5AlRsw8RPhQwczR0eEv0GIGI9hoTvtm7Szq3lVZoRJR1Br/+7nFHZ/kaLqIXcLieD5tFn1 cHokkt/9CXJzeay5TcHQ9U5GaDB7NqeC3XnIEvk7r2OGlriNZgK3MAIEBhx7uzbWYbWGaGTbew4i gwYQZTLlsHnRrWayy9XryTJE7jmbgW561mVyyuwHb3uJ0dnLG2xAX6qLlw/o6PsTV6S3UrTTBGop HhQonR8w5UlP0oFawkKTFktumz0qEoUsKCjkTgot9JyWpLMeZzn4dreD60S7D2x5UFw2dBoH1s05 PfRHofAe9XpslZqKUAWolnG3d+mC5ORP/1PgnZz93Z3+KSexXxfrSjlaxhIiJjmgxNZyfXytOWVI TBNRVL6IHX18xpIxYYHNrtGsc7L5s7O97HlFnr54yNzRtCHF2Wo1zlRHOw5Qprzu0aRtKcKnpdHu fRF2bKIg21cOTaNrOktKCrfNahjlc74H6FU9QpWOlI6OnmrF4BSXmIPy4rVyOJ9QN89lLqEi+dlN +zgqxUh1R1t/e5Tla7EsJXXTQINeZooWWOGRFFhEJRyY2bwUlDIyjDlNacwWdWg513D0QOJ8ztjh u2IHFTIokPvcTne1dVboEAZ+04hwhjrRtEWIenuSQQLtZyl1cJv1xKwmhDZR2kgN12Zxj4b8o46i stebVRE5vGZXKgLH17XEZ7xGeo+/Kyc/HGOGKFIwyXnkK/qw9Z45aCB2pg8YtNuRctWial5seO6J yjbxhrK8LBX7G2mzdgh6YXNzChDuHpS2km2N99tyqnHDQlmJOPb32q3u3ho2Fw20/zUGGZBLMDc6 Giuw1nbfhjm819Jumys48yzC3p93UhTrcLytuKTa8MWCXAafzdwCztYn5hErM9jRTBC4aeDnjd5P ymDi6b3XFxmKyq5k/UdGUIMmBuafkU/lhCBblj5e9WFYORQDam44rDxxDyt1hejrMbFbwgFAveVB G48Kv+vB7Yy8C+/kpSuN9Lnurm8JxgJzqTOawNlixYMs/fxkGy3b2xMuhSgRuoCBNLBvnac8T3NP hOKMmY3XLM2FyHpLaDltIdmhO/bwDvQDbrS+C2WK4YILzI1A+aCF+WsUZnZr3LOpyDFR/MD4h+f/ aCm2x8inbStx7illUClUbhGomuLnBLB5TAr/igp7qNZdB3gVxS6TBGrSqFXsxXZC5u0SQrhxU/WN ij3HKCkZAef2oud3TzQ70J1AIpw8e4qRFLg8DYDxTBteqrUsT7MEM+swcm9LoWIl90+q1EnVNBvY r2ZTTxgD1KkuKGPMaSX22HTbh9VYpbt0H6yK2LM4qypok+YjxFthhQYhAVwdYXQIh9x8yXmBBBqs re8EhQmIV2eih6iFaez6GTKVkafJ2J0llCJj9lBVnxveAZyuINFd4xNObhQP8nKYF84k0Qx3ZnkQ ueBBUVlpsweUsKk1vgSKFl7I4WmWOIjankQhlDyyIXJS7DBHN3GbPkQZd8R9cbSUKZH1IRwtsqkS kg/Pp6+B0lLNrQCYBq6I/TMoCsliSG2i8mLFnBOk/B0WeBof5Xt2rlvSpjau8ptEpJU0P9pQSTds /FvZIDaG+F5xKw4YSR7XX/t446v2T7j2iIAzhRGUgAPyvIUz0w03fpXD4FauTqGyR/ooOob5Vul1 GteAplnM4rWxChchfCCTMNjwvj7OYC8bxraEXKGy1jy9aQ7wap9vjURL4vpcMD07R34nKrVke+Px kbIAd1BH4hlnOWzEV4HTqOBzuxl6Z6J8ghvCxDIB2yxXmSkJxwyO/BJeAL4beDr6jRIGh99y6jAw 7Of71Rpi7Olgtf6fec78KFIpaEzmZFReeOHPOro81eopxKFJThJOhI/iRSQOqht9nsPZ+Tpk6K/N vHQq6fTiTVlkwwE5tnUPxL9j4/2/jlO9Y8FgXWo3WZFA/38Tz8OHLg0gRz8d2D2j1SjE7UhPwyZf ZicsqsjmNC4FjdY2MhnHlaHq/mM1lVx7RxBU8UTjdht1oTIU2WW3RYjCdxBGhnZB0bMJySfA7yvu a0AhTLYMas2GBydKe1AI0gi0/e7XPeIdAHd6Ket13PBO3+M8jXhVpD3k4Jm9DJpwJHZFKnsbBBkp SxLUxHSvYJVzJPer4ADtaWc8K1eSgooO9zhXLxFyvr2LdmQTqBHrDXvol9wuSM8qOAU2P6jDfblF Bx9R7HnTNBx9RIA8c1K+Hgfb31mVqMne14qr0qRDXe95JAOfayAOKfiP0Jt9ZOBB+l/hUegMFjxY AgX+a+Kanj8Sw/fxdDoQ42TVhDTpZdPWsJ8Uq74myuiuNEz8TZ4OS7dKuZOWhznl8zRpp63LiS7M oyMNO+CLbxkNS7tdihSyY1YWkS9fGcQvXcu154FlSQYivhP7OWOQYcx0Iz1Aq3VMggwjGBQbCqLT yuPQmoj5DRY4Jmw3Py8QtBFVXMygw3kotGhtjrHU64EVQ+AVnhz+3GjiW2Yoh4oYCwRQTXcp08+d suVRtBGVqTtw8bNLdvO0iwAJhvdN+wWedIqE9ciOQv4TYhP0FsGFgQh6wkcuco1qQ/CBWe6SQcNe FtRm9TPAQ1uRsCgmumwYfr+kZSnTcebPzu0UmZd40duowfjf/aW+SFjVmifSvQu+pqfAcGU4bM4q XeujjkkRfEcF9+Davw2PXiHOuelSfmfxW/w9TV9Nvh4jEvzZVSGfntNGDyqaUIbFHRgPwML1pLeh +xtdchYoEW4MMOCKvqEtjC7PvBUyBQuoE/Vek/puKbJPdlYIV39AJ5DwzlLlnOlJIgYGHLx4DJli 042iPyGlgz8pekJOl1y2Aqe/lDG/9NmaRzxdfItVMblgFehfZwxUUbncRLrzXu4Mdex8B+5DUMBb bRhH0MA8aFpmItE3BSpGscuI6VUYkDxlgY959K/R57vCavtVDVwlMK9A/HKaHj+vcMiMnXjz4xES LAWbswhO3d1Jf9L09YhScFMt+fdvyM6YaelA6E44wKY92wJcuaDfXh1hXbTrvR28E4nDmQa1UKFp 6FK3qwgeLp2/KC4POPdhevqpuMEM0N4OPF1/s22Df9MiXxdwH+8P5pqYSlETEdSQnzXL/z02RNgb KkuIssg2XMSdZClp/aR3dTadEKiJi1S+rWebxeD+WtuK08h1wb18uRnf1M5O4fGw2dQlpHiLkvX2 CQGxqdh7aGBaw53loH9T0jQmClprtK2TQ7BqFHs+XvQm86tn+DcmXhd5E27YNWJM5H5BPQlf1/vh q9OBZgQT4p6vPbe0IUAVthFDrUXATDes3Iy12Fw240Ai1sitxM9kM4HNGwRoQTCadM850+7Ydeac ygzFzm3jJjCnODPLbS8vbBiPsYraa0L5mUrvaYKjn9KL+X13FW59P7ypRrgUCBmZArOfQCHyeNka pGiUCNqXETBIgiXMNQEuytYgtyH+uJsuvycGZ+I6/fWSFslirGA0vEe/0RYUUakURKIWKaFAwaYz 6CVGRls3fECzH2gBsM+/kJTbNSY0ZlgvHDVi8cSh6w5sbdgIbu6Zsc0k296nIj6j6YjzzgSk8xoL zkiGFKbaqsqOS5DWxZyv7ngQR3ipEg+Qs6FVRLwN50m6f7ooBqO/oRTMQNikyibHxI1EsQz6/zvc YrjeuBrwnur7IaEHw+WPecCCALIDtjGX5sU4ymU9/48QBOz22YieWVKQ1RMIFNCI6497rt9D4WPo x7LAx15Fp8V1VxZ6LcEUiJrQqT+HIPmgKrLpRpluzUwOBD92lOuLlHONI4PR/2yK2LlsOy7cUn19 oJXaov00JhXWvGw5r1mn+3EKz7xxkLy9NmM/+zJdw7y21LXh3lz9Iij9jS6VIFpAreDXVLgPCi70 cOjLwnspOhFsDh5v2uc966RaoiTC8dxix/TuhBZsx5et5jIM2yO6SlUivyjQD+5GcrqxdLrFpP0X +a/SYe6Th5ndqL2e6QD3NQjLA/tvYp4xODodNbanVG7ypvxllzSJAdTcZG6ATXmXDevU9QacwPl4 yoboOUsgV+Aq1K9FznBlaRVI5bsncgHIRCzf0aGk2JzzWdsxCISSzl4yvhGyP1T+aVpq/0SmLlCb /LjyYta64xG78+Y/nnwtEuz1KrysNW14+vy2NMAKqVpQTXea5jqHdJ22iWlI9Nq2rLpjT2gGl6WT lcAhopczpp0dpCNBnuLopAbNd/Iy9UTosggVN1Z8w7k0VzvyWKqoDuncv9K1EOL3MJJrzdJg/jr9 iENUDqTy0WwGNCNi92CW8+Zwut/IukiNk+uWqkgH9zlYGTs25hwmeCehytecehbN/6wFc+zJtrY6 XfdsM6zVGV1hjGTVqbBQ/wzc7IHM+YfTkLHNYEEq+PRNJZczuiDCXRdKf9Zp58jR+OyD1LjspRuk /rKqQ3mz93EsH6dxYWldetUnriL4geScTv+B9qgKSHETycdv4bqv4ZjtgCBHCdmd1IOBnLWWMdyv qZKhpYAkXVcGJQlXbQj5G8Gh/gOu3drAd4LeyzK8OQvbdIzLys7//5h7yS4mnH1Ktg64sZPowiNN slyCfuAjfZkimraa2uuX5gejMjw2PWhDtZB7Omn0oI0cnDgXVc0Zt3I4KlqOXVPWgQBheKviweiz pVcX8kbG2WoqXQ7qXxnpBSF/kxfYfkYT1rC9Mz/c2TVknNjg9ro7WUY0RWh/6kOtzRpL1clwWs/i k0v1kpJW4L5CgUFduxf/Rw11nXTWgDqKjRRXKZjKblG9dVz3+8ClG58jbndNY5jXg+2zEsXATAlV WEct14OD/6YoYqB7FCgQh6m0O+kX/qVmt5oTL+EOPWZteqe/4FCeN298pUZ7/O33/ZbUcAQxWjlH j5YpGubM56NEhTRag/2u3+KNbdM5SL6ptLrOvsxu3IJOuJpnSSWFKDGaYjpT1PTpk6jBVMwUygAF bdHBFTEmGXUCX+89fnOUQXBDNplMdxazplsRA4qQ9GQn0UVrkWvrPL3soaAoXFadSZz8qVQYGU2x Lk18wz9Oly8ZlxZV2GdzeAvoMpjlA9gOcBkdLWYZawAqfNi3nXeQ5uktS+A/RthgXKkLhZQaweAb 65ZADWqzZ3qgwroMbn43Olm2mq3cFm5CWcqn1082L5kB4pXkmr6mFrVu0MIjVfXlLmRChk/BXLGL w/1SHvxyG0d0iAg3/A9xGFh25ncLBNao8PUp2noEF/Tr1pPdQ/ZfBLU8HSdb7VxhUdwXZnIqAkFr XRICwJ8rbD2f44dv2BC2uA60TOuPtmlHbMQWS/08C7aEfQbQSadEkgQsFSZM48UxubkIzJG6b5Zq lWhlt7LWWBWvqoZNn/gGRt8WDQKm88PIvn+b7vOr2BkvkddD+5OQtQhszZbjn/UVVit6om5ctXjs z0D9q3U+JRj5UnRzCnLQKT1Zk+ELFb8JfupMm329AzR+i4ZOuL6HOMQMlb/QsbHW+UkIeBYNFFqd jB4QTjGWHwbOj9k2201GZux3qhDZBSS4Bd0vXH4phSPFAAYfBc8MqjTHZ8Sp1LXyBcVnIC9SlplI YYoxDlHr2FRruFBoeXUghNvJnsMvL59sMY2lVIgtoSXx/GnJM70LU68dCTL7vSNPoQE6xCavLh9F 9NGK+vSfxHJx58iofybaAEx7LT2Jf78t1TWs3e1GvcKD0LMj9yzB8FfW4Iupx48EVl50r/Ib6SPd BdS97GUk8VtGNIxN1adZxA7cZMoce7LgMWB02/3xha9PF/RzGo+uSPel6iy6/GZtcFohT5//Cmoj pzeprPO3NaNfIPhVYobs02hEC78yfHxtO50wsmGzRnL69WcbjV1J6BtEWoIUeobyPO3H9uBu2J6+ fweGa6sFrIFR47Tg9oiS7nufz1sJ/xzZZkUrL64VVyfZUnIFp3CorjHrgoo9Q6detgkXA6U5UtFd zKws4Xp/JZmQQqpU1PN07OZPNvivsZEQkH+uxEpPKTFEEylw9gR4Cp33jzUuM7AJCYkTCM7cla9z 0dGP6QZIDg5T2kjRIDuO5z133veIpbH60A0R6KewMqCeOrlLb93F444DHeS1ie9wLwZ3NivkWmz+ s8RTvGT0ghub6WivttV7VXaAzv32gD+mDRYK/AUMhkZ9eadd7mEZ1q+TdDECxrUCc09rhX53XxxT 75v7bK0iYKnM2/x9l91xuk2yjsJuz1FnR9Ph3FZKy7aQ8J+zjtVGhfp5tXbuYRaM6Suqvn1ISBGY zlF5zsb3Y6IPoQBdlUyqqDlcVsy6chcyrg3FpQD4noQySZJjNSPXOMf41/koTX77SONDcPImz8m2 LU45llh4M/9hhk8LMhgDkBPX5t9eDcm6aIhuMXWx5yOL68oKqml2JoTc4K3eC1f/qZ8vfEsVLz7I ejMv00n24o53PLiUphm90uJYykAgpK1X6MfNM3RuSv5NfDgeTF6HudYWMh1M/s62xRobcvfcLrDI Abmw2mPQPi5Lh4+zdOz2yLE+al1Zjn5ZpuBBZB/qCqZ9hKMJpr+beXOsci003xGJu92F/Y/VEFMe JS+KFpFWcWbysiUrwnJGDQ+UeiPKgahBPHlDEefsU/4/O//tfNXveHLrUzvTpRqdRbJF+Jgby6Kh fD821IXIz8Ct443kGh62pedAir2giccjXOSZwPOlLQ5EZNt91G6aGmx+dtmuN8exgV4ahdWxd2Hp 6dX2E/RNGNekkCrkeN1jWbnEmBRv+Gc9f3xkw07EiTzTPOTl5992tc7KzTkqKbkhrBpZ74fHuLiB tLe0qY88GnyPI6XjEirXnFTjZf4Ai01qFMif//df5d2vv5w+B0lMeR69lbm8ds26VdNK1McvbzKi cUGM7zGhzdhTbyk/nVJpujnOdNuIixwgI4LmkGg6sHONXk5V0bux+3WSUU/3dRQt7Lu7Ox40zF+2 bv3WAvZ6mmOtpt9ZznJY2j7lulSRV/H3nAA3/qINHY9Nc+YAcTbj4mf+kJVRx5se1DZMw/4215lM eFwe6/hdjcvZIM+XSaW/llOFKuFBUtpxeprPSG0gzpBbixr559KzSRd4gYBl0g8p/AwVt4iVdPPV qNuMT+Pf22u0O6uj8C52CzDLVUy3mVAYT8Hb+NKftOhrig/pt5QEwfOJ9YLjeCCfl9+ZnRkoGvuX dMO3FQYJlzlMxD1sJqzAqH67+ceFWun0i/DLAU86vh+HJKVKp2fLXu/a4+5qjlY+mF6ydrZlSFqY DoLQp6bVsmAGrQYuSez8j7ShZJRbZjnehNsiPSRZjzMav1L3a/s7ZAo7hTeAY34nlxXB9fJdxseo W3Wp2wyglwwWLuqS7F7awF079fKcrSSPJXv4iB8R0OLGO32cNjMzEFrruHmJsaahcmpPerqYZA8H nhUO7fBSD0/m62WA/0trCG8P1aYZY7OtHJaLmc9cAU3JeIjyl5/nSyPYIbmVwOMaD92heTBd81UB 8PJap+bUt9pihZ5CnyPo/f94RmkU6F+BatemHmVXyaz1q1kC0z7ATjmiNE/KzRkZZdnDvt0z05Wu Y82n1F3y9nkERHyLe/JEaxHXOu3mmB3cj6SjT7gqho6BbSiQimPbuwmZD6WfZx22GL0xl9UBTwRn 6vACsjMC3bHYmnS9lYyFaXQ0sVVuQ7ckDs5GNkDP45q7HJgT2U1KXdIa+zw3aMfGlBpDRVMIaBFr 2xXR0eGIjtn5zdOYMpwl2v1L1RMeuUhUkEgQJjGtskzgSWof+fHkIj3jsGIL29a5HWV7RxoAOyfc upOBgzQ2GLHeFGUIUSPuZ5cOEsYo25HhxD7sTQXjYpoatSU2mG8rPtplX0UQ0AXeqNlWOVPeT1tj LsZYLoR9I83KVhZAgjccruUZdEUDZAyD0oKWwMubBiHttb0eOOFBGlo3MVXToQTKynszLIfUxKvc SDkRAYL+YhLRz+hCUOn/sccXv8G+wnATE51VxfBied/3Zr4TmQm++45+fCeSy/KZ1/37NTGQfMnM 36wfgC3NcX+rqJzrZPWB8lhMyN755Ix3LjSDncXMZZDOpoh58/TZKJ1qqCwxaGCyc/PuwNAGx0yL n507mTEHMoTfYWVbh7myXRsI8RuJuKv2513Cn6CI7+M80ShTCSWhuZlUi0oog1UPWjp0VA7QuwJa HQbuEjiaDho7omCn6TXtfpjAHH5+SYk3mt3R4c2IaM0nl5bOPu2b/KPqcCzoka3yM2FZ+uWq7r5G Aa1Y5u0e6sTboiTP588cMGi5NoPYYHyUq5Iw8mZPUwv5+V3ZVjcKtRv67iGpba+aefSBpKns6kq7 g2hQBMS/9ieHaSnWuqtx1uINHWnbSJ3jxBipPkPIt8YYRSqEXTbFe7Yjs5abgyPYpqZ/WKJEA40I HFR+Ude6BqlgNKQF6dmiZRhb+C11a1XD1cxa6XPt9S1pnnY/OlhHiSJIbFkV7+N7v1jr5ygngera H8sASoqAtU1UKGS+WvQ/nhcunBJvKcX3hLf1G9nRwq25ZatkGYKFmD8bTm8Z8hmwCwEbbkccdDPS VcN7sdmU3YaFGLgMoBuPgrUqEFvOo+9Q2v9wCtIIh00aWR+M9Fe3g+g7TvmqFU8zWxfQY5kqHcrm RXwIUOVFDp9WUV+kmB9dU5iLgT43ckA61Sou2bNg7xYgNuCo5essICDSYDlSBrpu+vU+kDgmBk92 KipPAG0CBsQgZvHyftB6w5bpi3Becc/KblV/HJuA/f2uxuTRoxMbHbvY7yGcK/ypNHl2GGBDvZPH 1PqHFk/ErpD/QlNw2+EGosm3tGCK0U8wBoKqH2nfeddJXHb78aMq4hLJT5aIKoSgEPG9S0kv4MEW aJUZQjF2EFpKBjqWGj++mBNzpbhSWn3SVZEOruxC5/lyfxPAvfqLmk3ayaqrKGGnZPhXSrr0siCD fvpzGK1BUgTpO+mJvhz3oGLtwnXTM0GR8TT/G9KEfwWp73PSJif/NnMr/BxZiB5/1zUnspCvLwkK UQO6zTNtO7JD1W9zPZPwZweRsSFyVXOyJjlD3gRdNLpGy/qBClHOsWvaGsOFuc2o19Po8Z8upfQo L2JeHbicgCI8pLUsly69azUpdp+FBW1zfWnZcOUd9yUxH1VdtagyweWs5gBT7x9bDhwKd3VPW5pD 3S5VE5Tq3s3mByKmF1Evo3x4HdjX9Nc1TA41hdlyJB4FaKvB3tmjZdpJxups2jJpCXKGqYy+f7NF nuSfNzsoG4eFCdmF2lFG8QoACiwz1lHEjrTnzAygToLcmRPksNc2O/D8Wqlp0PRCCf2NRUhzUMMZ jTM5u3dsJi5WdJq9yk019iaX2Rn3QLOrH5RuK+8t6rjUzxee5dpYPpMYPtOAGDaObpmtXBFUx4G4 gkCDBpRuguffpDTU9ZjnwY0WJ306yoSmvqpL6g6sEFX8QPioLFlVWLh5XP1bPyfGksX2s0l3i/Tw /GTRetmhrbJ/7ilmcPNqeRvxD6UZtPfdcUwg6jvnS6blw/mfT4uEBPzvqnJi9RuW2hpuJCOkxgW8 2I/h4b/AcHdMvZ2B9miPqWVJie+MJ55+Y9ql9RsVOStxKBTssFULQei4Kgy3TBnP48oq2+g/KLQ2 Pe5kZzZdYE3CMDNqSkBh5idjv20FkutN2CpHw762w+iENG1x8ct+os56oYUWhu7jNf01Bl9rofbJ DQ1brC/MjS80mSJfx0Ixr5RB4QyAg27t0yrkH8Cp5GqZVOZ36jHcOiG8DeQiXuc7+S36Z+5SWF3i zJ37cCeB/ystZZhJarBiENi1xmgb5twbLUersDo4qintmK+11n/7kKQPPar4bb+Ia15QJ64DFQHC R/4yPHuOQ8dTtlVBMLl9LhekvO7T3xizVbGl/CK3qetAvzr132ppzM1A87W1RcEKI7+MxZBJm+N+ VPJ8N5e49hCZcSInXK54Bgklej7vnath8yBMB86UZk8iLM9i/wTeOJXXCfevqXzL0jHYEigHvRak ahJyQyvuBwQ3naL7WJfR/z/LGFI6dC/ZO6kWqbsVmmxIly0oISx8qpWRdgV/AH9nKuWpfvSUsF24 QsdkRiqgUu/R5Kiw5ToXu+9lmpfhXS3LP4IOQehHKFUk7VFCR0m9Slt8bacjW4Czj+0Dxh6S0XjW 0GHirH7krgl6uSJfmnRor/dVgkvzMtKOzKzzbm38sR53KUH7PLHxXMzEKPmdTs1Gt05w2o4Q59ZM Wql4g4l/rt7wjMMQfChqvXJ2aXBfXcWxECn2DpT6u905PD/pREwAC8WU+SBnBTtX9V0QtEPEynDU s2W5mD3D/9OlZtu6fuy/StFi4AxCI6IIUr6BhkP8j7mRH2VYuo9bpvzv3p/BLCTWTn6Si627kyDP xEfbPD6rJGcZLzHOvYTUxEzflldsCyU9tY1UqkSChO8K9e5WymX4SbtQndAVcmHaqMRGST5zyBV9 +3+H8umWb4tuPllRngddQzkbVGIip4hiIivf76hSowdMQRnQV8zq0MCKCmA9w/OFceif4Kn9mtGh HOdjuzd/UEeQp4IhL6GMCi0aqnUGodywpBr4rVEsvtO45qFgTe0TgDPS05hxLdVRgpv0JvikJzEI q/7UccZZwMudO5o+MoMOesUEFJPCWKc9ixzCQmqq31aa0h2sUDqu9fG2nG1AQDEGl3F3Dy4tCFoO l1evfcMUgibrjLwYWI/4lcYrpGDmBFf0OzjjTxHI8fwkjmTI1/n6M0xaeglAY7NjKzI5b2AEqf6Z zCWJy+Gq1QxaLwMg8VNY0RHv0PPlqSOvE7wwuzF8Ou+zpSVAx4Tb3rIpT8V3xsBGUOEf21Arkf0K DgVu3XSpJJ97KeHtn+ZllemnQHK9xSHi4CJE+55CeLMGsfjwYhrXxjmPv+PKXS44mjO+UNdM2hdr kuw7HpcZ+0VzV64yPBRCIdCSIkLQvh999o6druiF7rPcThnTSmJbtr4E4XVPH7eXAgife8IvUNKw 9EF36b2UGmLSXOsFgXK62X9L0qIPYVVNeb1reBv1GO8RYMg6oYbIAFIxa7dcFkM447NkNO/bv8oz FrbjwgDkOCE09ZWewoR1Xq3NOx5EK25fbO0wcz5/Ota6R75VIC5Pf2Yp61uXx1YjHfMX1aWE6EpO xtBkFbRfpRURlwB4d7SxEaZzGnWFlQOUtSPZob4GToJ6cCsw97dijSdYrFf4YZzkCb9bGw33xo+I 4L/NGLm7fIUWkDwgStoDQIY2+7d1yf8N2d4K6piE9LpMHTVe8GjduzlDfVvgrF6Wz2z/kxJVO3Vn emnoodu/XO9StoCc5TteZMkBepUbLVEAwDF4xfltny3dG1cxnmThQbBwxYJKARexEA6ZbqWejFK4 tErfnwCGvAWeeIcTCJObvj2e2dqEJoDp3bi3QSa8nLwrk+bt3n3raGp+A138fZbWUL8o4XqW2lOT eIp2rUXCwmfjR/TB145Jgj1TULxoa8fhy+ycigKORE4nusCcioO2lx4dy0oEaUWWXfeA6CeWUw7e hkKX7FGW7i02QQbTQqCgUDhdlNSrRmxDP+VMKoz0P8aqPHd9s0+5TvjkLUDqsrNRlZhvkS2RAala ATnp7uid7DaBKCtUOsipZsuh4vKQFIPLuaMghpuVvPbKA2uzfgxKflOdfkoASzhfRRNA+NiL04bh GmBzUTMILtAwFSbV4+84hCWy7zuCMBsk0HaOkpVzAfRhuiYiLUG2bOhqjr2HBmcdzQDJxKOQrj+F Jt5tIyG9A2mSWu7epy/RxeieZ6VTWBsCUduw9URBIX57XF1R2A/NpeghKFjM9+0FJyHOqT1RUKfp 3S+f4sJL+zlTmop+h6ysl5M90VceWKdNZ5+fvLkvzdxnfuy3DD74Gok1HFCm1NNdJS+5go0nUeVN KOqjkEa4uDGaVqFSYbd4Tf9/EfHyq3sZvA6mFqGDSl+lcdaO6oXDO3N3qCT90clDWHAjuyQfjwNy zaT0zGAgc+uosS1RkThxcHPfpuw/VtwIreaxwxkk1pM1IDhiHTgwsNLySILlqzIBtpLo69LrfgND Y8I+SmIX/PDDZBtz5K7kcNK0QVF/gMhKs0qRXYPo923mhP7mkNnAhdjdg8dmJGYy+vdNpZI79ijq /XadnjGRC1Su2CHnXuw24NIzFrdM8zfBpq6xQU7uU8zXU/IWqeeLNwqip+E3QjokJWfCKZI5fQiw 2tU0CB7egF2Au88lQ1LHAjSLSLa15YTwjoJxqbefVrvEnHzNgc+1zjc2kPaKy8BPtHxBdBH7KoRR fHhQxMfZ2zw9NyPI0ZqATFBP1O9eh/9Kcy9t8nuNWU9DP4ApbdDWB68YkV7kgE9MtUYeLhmvVAdt o5vEaHbmvk7+/utpulKQsKQMEgZa7ldGFYK4lZnOv8nLMJSvYEosMnru+xowmMw2TOM9/v2Wv5LD N4h/bFNkzHxybAa55c5T6tm1wZrQ2q0dZ15PVyc/xJUQxasWhwuNsUady6tKjkEB5H2L6613M5PL DYPzzyh+GRtQoC+c5YrAoXuMTOwFfivjuXSazgxIDlzirLosSz2b8QbO1t56E0LHj+LwKvNlToaA GsamEu62HqoX6g8qI63uXacuE7kjh6Vo1MGgfnzk7rfXzdn59shyvnJKVpnDnS4qEjVRibhkiSW4 1exTli/SVasT4Bc6dTTdRGALPEMyIMEaoyZLLlJiJXgAikrZIQSQ+aJJtOaVv5WPfYM9cuz08sdl PNIDO7N/0YGuzhOteSIV8S2Jh44kyRLoFT7UnjM+iTUcSK3zf9QxKted0SBAdga9I4+EavhDSz09 v9/J/LUnx6lXXQoufS0VOI8QILhdsdv5+Qu82jAqP9sFrsGfU0JEcq5anoDXv1+ggiz5i4+mTDy2 0JEexRQ4VrwzMxNOwGjmghKoNCjfd8rUylafys5ot6EtVXmZPmoXcDeC1EGCv2TNuvaS8aRjPdj2 MvW0gouTyfqSnFj4u7MF998gOtjCu4AVllnjpjdPN8cPfMnh230fxUiehhA55pw45vAl4fEdHjtm LO95lDIJp24uusTch9i0gc3Yr3+ORzRjM3KzjYLip8fmkTwlflaE+OzubJJWqIy5+kOeWEezLtJJ nPUPznyM25t4BpgEfmk/ZWQtnrkZMkH6JKadWyuW/GQKpRhfufYlqjWIKACqJUedvyb6AirmGVED EBn9zMu/9jlw5YYtP7IuI87P6F8mVFNA8vryt1M6EB0WCg9RrCdlNdxk1b4EEeQaw1Ffy0pIwmws zkCtsnAdj3XlLt0X4xKcsdN3k2nISbT3hjYRs60vdfyQOusBw+cvse3KEBk3hVyLEgcUaxK5o8Qd V+77KxrcVnVOP6n9uBwTZiZFjDcWmNck2SVbp6If8FFnVOewyh8pmQpJNEdC3f9omI7hTB9MnKiu 6th4Bl0y90IKxtt/EM8qilbxExh2DqIMnSJ/ZdEXVsTmTvIt+EEG5j2wnjx3KH97ZRbl2Ao/HnHn 0OCC/VTHHUHY6HnGs/r3xQ3axiASYs0mgoVI0JAuW4t72V4VpsKfvpiyPTBiO48ryk9/yVQX3uQu lbo9z9JQFGHA7Ulp5W64t7iMwz6XMN+rqzaOhUO/QCCLk7ql8X1fNiGnrCnBQRvyQPt7A3e5tR1F CHg6H4IoFlupVdTzaX2IrfHScg5oc1HrTOVrlkUFO3FGO0q0y7Y53kXJVSZ7Z5TOtaGO0oobuzJA /O3axsWbeQODMpu/SqCh3DUDp7aVTqfqGODFoSBV+NSTZVa3BW1fAD8EkAN2nAqY1uZrhdgaDzg/ tk4isWsuK1WsWkqyCq2GvDQUiPvpIrxAMFowIqYqMiORm7WiQQPIcUhsdCK47igRiqi/UJJSGuQC eCaNNNfj9oNy5RPCB+bo7Au9gRpemDuaKsWEyHinzc0CuaFTHvLUs+icBXHLuPY5KA+24HYdnPyU 035YrlL7huRC+kvzRpCuqy6WD0lxaFn/YyVIijX5LVlYqXjHFoSpE0G7A0fSrh59MjiV2R5XvROY JLnpKnslvGEsrta7+Y1riaLLULQ6gKW1pFwRY6Q8j7zPLQsKGT8jOpH2+7bbz3xgs+VOEELAlCNz s2vruWTysxdq7F8zk3+C+zco+ZyoFBt2m5AHlwp308uVK7fKoq1S9k7Gt2iKnh38mED22k53Gt2o pHDAembNc0jR6aLBJk+8mzk8xM3Aks1Ysaec4ClpSRoi/qqeO+UmKPOykCje+OWpKFZwzeoMtyIS zqqgQL9qxU4mmN6NFwQMHMOqN4buZX3e2nfCOszVeqWALwtbKRJmBoYBdLOdj5srKTS7MXMK556I PzP8GofalsDYoRUnbteoenuPEEsOOYmrjqE+dpux58VXonI/UZfBLafarA7UlFhapGDRbqK+l11f V8cBDLeytk7XFwMu/AOyI1VqF7WYb+90NGKgikKumjUz7lKsi1fffio4Q2WRWFgou2u3g/zI7hIh 3JtgwEG8PjTDQX897ijzrDD3oKuBQtXv+Ep8ESk1EA2PEtdyB6BA9bnVVVhzW+IDsvV7KyUfD+9H Qqm0/4y/cxFB8WMUrwWKoXj8M8YTtr8kFWUKzorb1OE0PLUBe5nHkyBqeLicwdFCEVhfghxYc9Mc ol+J9hwXIky2TiWZOqsCED2A1cI9hJWGuM4ynyl++87S0fkwLSs+jAvFEKrHAwpd6JKg7JSQzvP7 4zbNUkQ6cto37Wcl8LHLp13CpYSw+252arKosk48RfGqObo71lDng/dWop8z7/ENjKegt8XGYw9C 0LPnLQzs9idZy4lqYy8ecv5rNOHmr3fjYtKtHm+idwohPCqzkrS7vL6k2BYmk58yDJ41GptZApVe F/xmq77UuQuEognrd2pwJ5zE2Bh3p2xhqE23iD7vWFNxIqVM///P6JeOHMukpLsFD+EyCUNzOLsY YTmajc7ujBYf+hNCsYhY/rQUt5YSi2puI5XX4t0/aaCflB82vLGvEG4eCCKbMorW0ksA6maLOvum vhQRn0nSWLUa8AOdKXzHK6dN2EtYqh1F6P5E2N4UQzJth9ClOCJh8kmEG2LRI6I+fpobDCHY+YiN oPjAMGZJuLgTYGnd7yONg+xwcKejbpHHVydNZ38zAiOsxqsSa4+URpMHwbiPxna/8/RxwyskHrVE 7ApaeYH0U/AGBLDopNduAPHHr84R1+pPBpPdPfHcbrPEtsaCJFqzddAR9CgJqC/ZRRM1TeuA0U7L Yc7ECOuoC8WvuTYU1Y4ZSIAnlBJEwEkxXR39oYZ6KW0GE5MMwd0NLyrwRchjDoS1B+KFB1y1ZjAl Nz/05pIHoFyRHqi9wUoClRmLDRRT4EVAGi2TK89Ss7FoJBz13+teC11oik3Jx8IMa4hgVg9a5wlu Pw6RQvQSB2eLWbrffFGHSnfadwixRMoFnCmfmnxcv6qnh3rnJfIYagZ/OSGWxIV9fw7m86RNpcpm DHBWX4L4IF4Sx4CBbi0A5qTdc5EBv9bPgvowzkmW1DG8EyKObFxULx0L2zHPeNBkzkizMQOwedhE Cbat7057ZCGdv/YWnxtdywToilk1dShOgsMU/3kQx6BWny+S8Y3dNrZgFSzZC7xhPWLprHllklD6 Y0hVnwMVhkqjYQKRpTuIoWlaYHNsppjG1/NjZy+aVdBHWya52AGY8XSIlijq7yYzdEExlu8hOWl+ LL19OcGPvJnrdhvIH8OxAJSeN6i/mx+dx0oizwTEzH0RjkwIOJ5rG831uP7V44hlUe95kA9mkAAz A8MOtXWeCJC4c72+6ijSdjubUndn3dpCBUTd5BypRu+OiThqdKu7tpfA07BzTqCphwYWPg2Jcswm Ax+m2GM4EGTVpm9ILKcLceEpRqj+DLjDSkNyJ/RsGVDXxreNojQvJD/kpG0pceb2Khioc0UJQT/U ozOKr9kFlMCLcj7gTa7W0A22yxSb4KWYOnTWsV86k2dXL1esFropPnZITtmTv8KmejluO7+TLvaK BQ3pcf0slnCJUDSQJj60wuQH+nhTU3RTadmao2bXLIR6aAbAUAVADvU7cFjvYSGFZvp7stCU2xU6 kjHeHGUvlLHfR3ed7Cdm8YAnG0/ws4KoaHmpCFhphlqZoHktig0U07l3ikFfxTFgZrPOaNpPGdZr IfY02RA3PMQp+Pp1+AqkuPLHVro1AMIeO6rEvP8fzlw+hXfFZXFTGR9XE2OeBydLGLXcYR1iiLGh hF6HMHlJRU3yiDSMzvkW95fYPgtivxgYxGLDkpuLlFJim61/gM70Rg1+TACnR5yqIXSyiSB+ByIN bKf+8mkjHf3rUEEInKpRdS5iGTntXjE94klAATc0bmj6RMjwbiZDUXxgMaOVAFZ91LMt/6wlnENX eHVLUSPxyCBHQdbxCYlGD9Lc5anmCLuAQOk3JgDSICCosncQatk5NrUEof1fGLGmPR0Ijx+HeYen ZIGe7o2JWq+GEiiewyM5xrJK3o/Pz8/NUGii5bMorvMqKddy+XbwVqinSKEOGC04MKhEaR5LRTph w/0wKJylqt/agPWLi4qyF2oqUweXKLmOJFmNrK/DfnO1dMyMypemAcdrz9a48PyosxK0Y5O5QG/e 7QVeYZl6riOQ4HkKLHNc9TnsyN6qZ/L0yQ0GNgUaA9xTKiCffgwOGdWSYUSt2LKPIiZTflfczNpc huz1vPCJbOboR0RPDCvgwWjB0SFJrbyNWGh2cuKkFPhIXcjex1eNT1vcmOKpx0YzijQHUl1meTc4 D0vBkxkiGZvWgiemxuKynJ7+GZiLnJ1ZHPSC54vw/J+l2ICW6kmsqnkKCL2/+xRZv+ZxTbVrcz6q Byql1At30FnmkhG2kzm2YALTQU7+TLULArUoqBPE7gbhRLdDK/l/4M4aJ7YWiLx6sAxQ+IyzTLNa B/WCOwtyBQdMUdmDoRwjT3sT+U3Z46cqqs4aIPaonY/QZEIX6OSyqQOWYMCG7fMRLzea+FR66Ppw hpk1ezewkLoG8u20/ISkigDvBxgel7vC5NNEu4m9SNtf9p+YdiI/siqBPegTv2Ng0jHQtLURUwCc /1NEZu2lkKsku+s8nGh4Ezfujvuntsf2LFaEgfqKPtetPRO+TSgubXb63mKtIhecb+x8bBD/iXp9 fOvk1hHyeTUnj9mBrQYdnTuj7c8kw1bNDqsfLyAswJ0jxT+Y4+nbQh5P+ORqSYfcb+a0dg9oSpxN KXSNmWOi1vngARrjCaGObjTAXIhXYBuITE+2hTLUQv7nyk4DnqBt7fDFJyvMlcIwnFFNQn4JQR63 3uGOukw3aVHAFIX9HymRUyJkJcgoSB91o5/9HiBSiJljEv32/gv/G2AnkMSEaq+R7LOXW23sE6FI hf1pySavylWALY1NGK4Yu26fUcOzu4Q5dTK8F68KgTbTYkpXMtYP1hchR+l8pJXWeqa4nZCbQ6Oc SDkajtYsnpmlCg3sIkCf7G+xdO7SuKWa6glOJKdOliuPmV4h1+D+LO679yfKdmTBVn4FlEwtc0Sl OTpOw0LkfzcDNFsqMBvkoGB+UcfRKv4/gtF3CGX0CAkz/WlKTwzVkGQq4W5BvkHuTFH76dkL88Dv +frDoXogZJPLV6JNCXDol98c34KKGyFA+N2aWD1IVWEBrVW3OxupyTKPFSBLDkuvwkXMJN//zgLf EVxeg9ZO2ZhDmQbdw1C9ZlYZm/2MyWdqD4EvF6FOCL99jg2nXfdIZHNG1BZ4smh6R6F2jaoO9Yxt 7J2KpE/vUQG9BX9pPnEXjQkr/b+693KCGC47m9aL/AUw6O2waRsollA9aiLak+MGupQg9B9VIIBp xfQoueJRcpjx1I7eTdIrOFoG26F5psaz2/l7Ve0DM3ovSNcb2k9OUXeCiJ8YeWKsoPROeWBlRnc0 thkjgwCt+zgPREH/RcPLbb3Iow2kGkofAml6cLv1bTKS6CIMbFYQoREaycr+EIfUJ/UJ9PgkNTm3 AdfiCEOq5X9iA++qROg4ScBGyKNtvsdZDwuAi2OOl/qML1WOcyDib/cLlisCd0SM7q+74OnNq8VE vzvrIJBy/15UTiNYnTriuuwIL/pwG6LqquNrnGSf3Je3gkGJZYOjQwsS6S4MwbY07Qr28Jl2zSYA 0p4Fmp9CWszK6IEyYVupkz6Xu6+NQ01/KmqT4qJ6Az5H5R6hZC25CMn0SHHClF/Zj/eqpcOr31c0 5lPGT8eOZwtDATGzu6tRHJ9TKp04C7/ltU1UHIuO2cFcXmChLv4BzaeObEK5kgJebE+G/v/Ta546 Glm5FcS5Xmj5Lod5vqZkYz31oR92PTUDvxg2+4QRWrtJFVhDOHZp/DKXJospLppsXQymncyiKWB1 1H0vAE5h2anFB3E+o/PueRfeGixz6S4kgkWP6aDs9f+aN3k3ul27d4KM9iIigrl/kfW9gqlv947I wm119jtQU4LIHFwpd0ZqYxovUwz+jysd4vdqs0jKYHFy7bortviBB444KcCtEUiEA260LRP1c06g mVqsLdXqSq4IpA7lydsDxYnDWZoF/Y4mKg9549xwYleXAdCaHAu/kXJgouZPFGet/i7JJysxo/YB 8c876kvXAHmql7liFMxHlzbyN+JuTFtImUczFLe1a1FR6iI8LwD8H60t8DhwbWsUdYR2XogxLLlq C02toPIXF5r6RjXRWAiAALuyeje9SUI4uNo/X93uW+ndirDAJpgiiHY7QM4wMB+Bg2IWomcQmCoo LSwX5qGFzEAaehuWAoCnQFcPu6ut2+AKGtejzaGN0b+NQ06rmej3PJ8wWkwjJg3soJzBP2YLJdoU RNRfh8vMfECDLwYaPXpDSW3+uAoZ7E43A3lfa958Jq9AL990MPjUj4WZMGeDQYd3docxqBARGw18 iog1CEvEWbcMmbGmtWqOdDYurfpMfpcBBwzD/fKv7Q2MDbZz7TS4J4851KLJYM6fi5bMiJWjXYHU tv6wE0mgsLK7TBcouvn+x5eJKmYnviVelZwHo4ksgqAGkDiGlxh81qIqcu7jc7cEnkGA0fQDyuE4 C9cpW0LnrkVJ2m9xddwDcPRANmaGY9QFfUg0tHRKlvWUal333sjz228Kcvj7Mm72YZlWo2S266ZB wx/xAK9xdFVVqXqjxm/R2YNgH+WtS5ZaOf49UZlFxVE5fhjYT7+5rTtYVpyTcVBCoPzu5zMSi03t XXITsLEFhkyGZ79jgYtH9bW/nY2Wt8O4TGg2swa2nQMfji+1C9ce8QR+EYfD1ZSSmefgxhMtuY4K +rfcTYsIkReHe52tB8RqwW3CgC9l6qcwG2vUoAdi+4L1SA36lfMNXVkMyU1JMp0FHyFinOQ9yaNh f9cQ4yMqjPOlfxUuekYUNyq6Wa8d6DQcpGnJrVgT1E31jay+w1UN58TCCYGFYxTDBOb65iNexSUH jal/YRhUZsU+p7O6Cn5QrBGjoY0Xqtlw/Shh8UHCCChWduLLMl7yAFWNboxpYsRweYl3k/sCrCIn zGeQONIDBw6tcgM6vljUO1YpLCModxjVgz1irCpebCEYF6i1r3iGAOY5QS1eaOL0DxhQ6fEVNCqV tW2U8DKbyAFip9zREwC1ptU8knawZfDo+cAmidnldvcWMWMeyPuxlHTr8t5IaJiyOOnf0y4pzwb1 A+hg0mDe2R6ojr9LFbmH6FUnNxUZbbrw10zUAW0qyIHGrgeP/ih0Q4xQyBJMpDrdGu6v1IUtJDPO Jasme+Goa1xImmZplwTbENR0skppEJToE5CD+XBaEHeHx7TX3kGHIV+bxu0vXcmeaLSGkq29Z5AU q6QdL/S7nLUMgHh/smqoi9GDqTX1raDYjNXHpxi5/K9k5cgy30hpzMKsxQ/IeBWGAVm4Z09r+efJ AEPcRnENE5YQAerFiLP1geOA5R1Ww+v/L/VTDgwi1UKfosxBWk3cJaMDZ3WvXNuSrRWjmKCCMkjX TlD6W/644fempXdzDX3Pw/Wzv3yEf8AQMMuzzU/NbLjwyyngsdp+GsdfKqz2RaYQSxA5YGH0xjfJ xp64et/inWtlFShDUjz5h7pQjWyUAjhzsuJgHHJbiuzHZ6VtxdcIOa2T22SUNGCBOm45A5rBbK04 23L1E/Zyjq/cpzlmk+RPl/MLDa0XWCvGa5whtdz14ASfz+3jqyugfXHPY38Oey1NV+MjZbbERUoy DB1EMCdRDWfVBojZiIuc1vFzhePhFX6DL6kRVlZ7gHCZ2jVvKGBIAzxou53LDhpZAMvwRkJtdqQn aTl02lUODVZvc0mhmAyDp5lrWG9a2/whzbH2iXN1Vtt3m5NjNa0W6RzeV2M4xCXmY3af0Pw67dsa 6xx8MEKcqD85/P4UBPz7V7E1Ut0QSafhMKJq5LR84l9Zmj3ZgnjOEC6pqOcno1656bpfZlGhQzEV xyJpmgZ9KH2r+nqH0DO17xIS05ovoE/2DT6AkruTJIcLP/WjuHTjo+JiKx4KMcva9Igh7mh44Foc GWWMBgc3UCmSOPg0PrnyjqvnHDixldS71jo0OzDAgYe36b6m4ExDwPTbXHorAgwSb9+sjOROelG9 O1e0kwMjD6Pf9JA/k5Zu92nvYeIlok+30uH9NWrBapdvIcZnkBD9eqJRtbez0yNNOgZnkB7wQ9Ke G6Wgjto554BFPshlP52bZmuF4u26g0Cs5DKjzFSuyCicjETQ5GQrMNUVKXZ+LtU3ScT3JyTnUp6i 4gnd+cW6PnLxWqA+IDEQMwwlRgLx9pD3xyDEJvh6cs+MwYHUV20EWrOVidp6DGn9Xz2GeUxmL/Ht vmqwvdp1HCRza+NIl8o97DwuxL6tHTxKcZki8S9PmZn4CPju/UXSEc9WLMQaGfbD+OEhEOBSi/4e qZ9rQAlYdqEDAeiLgSvN3WHv53yMPhhPnt+SdJmuqCUtEoSTOurQBWmOaKrmjLx5VYnLnTe1H+4x NJYTkpyDCUMGxCCvI/VJnLQemVRbBeNO+kAidBnC8iq7m41Zw6QPaV3+qZfV19CeGVzcj+jCULhu 72xU8tGm35NJP0xZ8Wycj0v8mqziCCWZl2/2L1vdE7ESHe+oqclRbRSDaqm20WdQnprx++cPbapm YddiTXmqPJ50mI/MhzJebSRdWs1M2KXEh94jUxd+HVEHk18SKfgfJ1Dy5JFGY0aMm+67pAM0RdTq kmLePA84M09Ix9OMikscXIKMKXMMISjjpq6k1F68G6sa8eR0/NwpT0jlSU9t3nOjy2H93lDe40tl Mx+e86VSg7Bb5i7zUBr/6WxdgLx3oSVppVZgeJOUGcjohBezCK3ETUinmWdlSj0DDeW1haoZPVvS xdHRPlSczm/s1oQjNZOCCpuGX9COOC/NnxIvX/3WEJfaYS/lbhHY5h1NGevWOOUp+vwAnHUrOjB/ 3KCIZeDUySoQ1LQA5/Hzxej66m5KwO97Z+mlXIWZunh8u9ZBhhMPuwDnmBhphQF8pcpAGjxB3Kon yN9imlWSeSmLAFiAKWI9u3L420jUiUoF3KssTYWqiJyknCYN2kLYRDqCDOBifPIA7zZeaJblm7bf XOHaE0p/V828z6LIsYnspNGjNwkbeBYkHHW+L3ML9ZMZJGlzl7ueqPL1EfGYi9X8eRGHoDBKeKTx ER2dgArNqY58nE0qn8Y0LTCReyARaVU0SOr0VbJgpsMZ95Ud2NYCllCeozmrvyMkATHxq5R4FTTB JvHKKdE69aJyQpQ6L2kdZNloVredndF7f/akQYizWLqFapTj47puAVLJYnCNziaXhx4ej8/AssKO PU8hYowll/+//GHiRBNZ/bmjQ06RV7spe2kojvI1elMsWYvgGwwJ/x0OSBHg1lKKA8pMCDfa1BQp DVyjdZaD3qn450lsvkcIQLjXlFr2JWnju+w3mCXEVsSwWCaZsoJ/83UiwfVB7AiBcqZ3saZxsfNc fPuwbS15MPqIBj3QS/N6Xr0cqjqmLLM3EVQRhPr1+wMnYn2UXLD4VohtvIKCklZXAq3Orpln1ECU qO0njBqaF5JwfIFT509w6bQjf1zT+LssV50JKpxvpq1ezqtGXolwmfKlEOT19jhU4zAENdBkB/F3 FIpEJDt/DPjWe5DKUbrL0qY13P3gXYG83q+0R+LyMn5QOAkKOVuvXMrIpbDuJjga/45emUGeM8nT NCXzzkzAan4yrg433WxS6JePo4m5Qv/UXat2hcs4J9HkpnXVzsatLviy8BAqKT8FNqEE21udvCkR Y2RM+gMlmrJGyFNTaijJyFdDvqBC4RNA4E/07YwhhvRo9Ulz62jWfR/usAog48hPnYWZVer/34f2 /EqsmhtXkBtpK/tzv94W9q2uFew1B2iN/oa+PSLdP3jnEbyYi+PRvi0vhIl9JKGYrG5BriD2xge0 +6wQqS/bUQc0ph07PIoCKAIUKCgSMinMmUURRUiHABTpH0avvrVJcIzOTXDMfakZPM4wBS3AAf13 4+/Erh+s1C6oW8kmc+frX4jXqu3pAzGZ4SS6MAbYP5aoKT/j1sIcEn5kqgQlZmiJsESTI1Qr95Dp VmXLmMJTky190Sv0nkNrMfob+jZ0J/NICf9h6UKtRmLnla8MaW32YhYBgUjVWcWcbWsKNp+A8ELf Ucf7Tc0jW+buGnxnCWDpRkw02Z2T5fr0dlwJRJdu+hlhV+H6bZwOTfy+YV9spc7KMfXHLZ59TAw6 HrtcUwxBorYpOeyhuIEET4GUDtelwHQ1UyrBc70lVCbB6Ra6rlTbyOvlWdiOX+O3QoTA6AH1jsHK Wo5VHv+QuSJntzd/6IA5TAOCp9AeivBunz95CYW2Ocj3uCHe9A9BiwdtF9PajasG6Ry8B+EDuUpa 4pNMIfZec4dCc64poaRvqu0zLjJWXznekb93B/qe0Ww9J9uQGLDe8aESlsfl5iOM4EIyL3E0phiF KcbPqlDjEYMGQwwfJ3EMjC6p7Ic6gn9UhzpOEHnmDyh9THS0kxM9yEqUPL/B/EULBAII4H7QFHfy IK/fwJrP6a/+KujAzK6xvVlYnOcP4RSu0mCkGqOtpBvlvLJ1ZiAIerD2MvzsjYwNpejIefG5UI3z 8fbNCkxg7ZYX+P3C/Dg5/w03frc5FSLZr/IOE8UDsQo21hvP/56LMwdIUQSyAJK/xVJ9enNzcJM5 sd3CjKjDQLb75KMQAdDg4Gq2VuRZvDVzS+3JfV53cnEiTp5ugrl6FAFKtaHXNIsHo8n7kyylpo3f EKtnnj/USYDAYv+sTYddPJQDy+Du1Eo6RXdvd9QuZ7Gvyil+iAb1pAKmFtyXbZzcbeTdlJ6xAJlz 7+ODKdLiEjWpohDwRCuaDmErVtKbSvNc/ePtLdAuB8O5OEfshKN1VoMmn7VcoTkaaX+MNfOqpag4 w4cHNTP9u4pmHD4dFnr9smTM+yXBctAHzimjQLmV78L3TcWRQoIlE4JuUG+fUGvzIsDwpieqYHrr VXD9VN1dw85A1B6/VP9DIoZswfmQj8oktEsLk1kBuXu5y9cnxUsoB1HsYXzHYg7b0rqP+gRh2F2r KSJ19lPstlpyQDqYgTfxpTHnl5EtOYHszcp5GINiy/U96SOcaRyhkVZfre6u23Yo4pn9HbnN+7Se JF9EFENLjnSaKonjtopbO2M/8QhC13U1WCY+iOa9RVbok472+bNg3nTjQHlK0Y2V7R2Krq/5gp/W obhf8hUT4RZq4i9mGr2BMy1U4YKwDpLjxgltqp3Fsq6+v1PM0AlfBRqunwuC5tv/D37vm2+K/WgV bqZEfwkZzbVpuKLQsxHWPlhJHJDlSApdJoe4SsVjyqbYiYFhw9EStxUHQIKkr1NFP3rOrVL8f+KS bk/DHUzUO4f5hFd4n0vKbwoQ50n3+ErzO+nEtzIwf0j6RSXo5yr8m2p/TSS7i18bU0Jq9D2xFgj0 HirF3BfmIWNb02H7ScTYQhByylpiSI7wZCkIBURHDlTkRQsTpJIOovdXx98l9kqBSMOy0c0ZpWV/ 12OKrqaIrf7iNKbalP1edmrSHNHv0LKLB8oNTZFjkGm0Uml1XaMDF0t1m8JM333IG+But1SESPdu 48uaYRXFMQqHriEBldaHjUCCy9Ia2OLVPPNwSPpP/Ao4ZlDLHE48Pz66Vhq6r3xGPDkHJzxk1Src nY3qwLPh8uXB+TrBuY227xk4He9WkQ14ysVRcNAG5kVnPQtxWUJevMWq/bnE14+dHdApEKSYUY5J a6nrvJYNDHiHwMqhBel5CoxZ70ZKPr5cR+FbytbigYw9CaVjHO7nXitol2jVHBhFaQVOIV6Gtuoi VHYKqOKbvc9oNS18qiXCyk0pexD8wNvhZztCrxYE/b4NLTepy5lFXBBciCjoqzNEZcC+yGKoHFRX onfxmyWPzwU/G0AGoz0CZYcONuscUNSYZic1+LdsDvjoGX/bSIjNPjHBxrPO0Vqn7TsglY0IDsoK rITCHlIjouMF7ApWlQDf4pnSZHK4zoVqKCwGt8mJKoF8MkSig/xYhZLSQEWX07w4HLNXAYR/gxoF xa70HmfVD/zEYeY+RZmCRUIKV4EiD/lAKVXRM9APz1POyRE5xN+0Q+J5sQqg7rrAFtjpvop/1qPf wreshVTKByLVjeIr+UBB+VInEdILjU+o7pMpq3mUU9fSdFUWj6xdtTAR9m74bH9fhlFgnM2A1Ma4 cuvgu5RHeAWuQzCqGrn3KpUsZHTuRaVZPe7tLqeBfpfcYuU0HRlPNOiEU1b7v2qYwxVgpozmrp3N G6XOBrF/eA6y54xnOprcnzDjSN1cQ/FUltsl4PSV0kPYgRzuKxUKVXEGoTpqVyPzbWvGtJLmtaOB KTGgnwtxoqpof2hTxVWJQk6cYSCINaBd8MRx91KcO2JzWgSqlj2XDacgzeJtm3wh9UFzhbnBUH3k fd30vJw5xmFKgzJ8+3xeZ0ypRCqh6n8GF66nH4MwW4tGpemRIkN1Xb/JrbQtKfP1jzKR+s05i64H 92wJqAVR9ECcCnAVbpod+JfbF3/MhZZ15r25ta5IYh0bDUx1fW0D7Lbfcs3oWIcLX7urNboA06jR 7vWGb3AL/yMqNqGvQblcjU/9lXkAEa14VZiPAGPwJY7Y572e5nekttXXDo1rKAOjFXS90J43aOYf Km9m6Ikhamqd5A248M2B+Seo4PsNvgdu++AUi9XfEzCheZPtE5p/XTcBNa6BfOLFSQxZ4SF+w6uI YUKPVJjmDm8dr0dEEng1Su9eikE+JeJBP9iXxCe5Pt0FAR0ZAhTe+1C5OYSV2G26I29tGXdB1J0/ 8ciUJ5fn0hLQ7bNJX9ku/P3fN+MRqY0O1n1+Zg6tH9CWAe2i3XoDswza9OJM8eyIq5WmHOjeUjL2 X60ciuzqIZuuVrXWFV1RIVGUa+9KhjPxKC0mtv3vG+T2T/oFC4lbpgxoYh8ActQNOIf+JEjQDrxg PMsgvN95oPG/tVsU1C4pPP+RTwV/ZpfCNnfifUyNtevfSfzB35Ut/2k/OHmUMLUMwenGdqMSqNaE hPoOqYplH3FVq+tbzt+MCuZbehXTkHMSd4s56FQjSJOGLkzF+Vo01muczF6DTZYHzVrGA7mr8iAZ EnrVr66uIjKG6m9wvNDld84+Bmd1bOy6+FvjqG38bwCwD9sSpG97/f2AMSTGPuPR4yFPaq8kxBSy HX9rpKS+637erpUBnJHGskqJhQ/FjGHC7VRhmfhcap2ihSMaVge/BAGAuk2j+bMJ6pda46whhqIi 7mAu1xr5Aob9Gejh2R/H0wIbvSHgHBSrtfbwxltpdo0bmxB6T+Zk3K2Oi0Ey62S647pRdHpoo0wW 0VmSSxIw3XSB282uRiMQ8dnh3DxiEUlK/XQbC49XNoI7+fTZEFI+699U1TO/em8dNtbyK1FEGX4X WOcZCiGkeHddgGoo3NnznCHCEx6tx0dlQ8iUM1dwr/KEoLZGiBRnGTcHL50zPr2VY4V4cgZKj9As vcJDe1qO2giZf9qez2v6dC9U9petMR+R+EBt3mSxLN0fo5DCJnGCo2YZYU3qkPcEN/d3SeZwXr40 lPdGmmBT4ERJoT3CTl80wi8iB62RalVuHtqEiFb1ebYXcLdIppTr2PYtKY2MKT71TDCYkveArLna 3Ofuq41lKYkRPv5agTDS0Y5PBT/4VOpM5rDW60/P6FIkcv7yTFzr0nIUja5SDlqmdYYABvYIXvAZ C6IDY7adoz3RMz2zagoLOCuil2Ald748eNxGBRCxMUA1iuSp3KsaPvbEu6okCFFRGGOU24hmec+3 /79dKaJIHJgDpPcbiqoLtdxHBoKFER43IA6XbHaWfoZ/S2UgLYfet13ciy53N43O82cfpGtBPUlg 0p8+vWv1J905+4N2GHaFlLaf5o7rdffnC2qA5qGQUvqpRjvRz8skmcTywyY5Kbpw8FQYnP83OeFH npHnk/1040dZr+cn1BuFXwROMqGAGTuF/bTD34uwCht+ltHbzRrFZrEgMcgiegWFvQYIOFUEY1qz e5SoHeg49RUEccJyJh8+OMiEzrvCNKAjqSYGZejAh9ezt0ksjjHREm3etQAHPT4+8W7E+SaG7ex8 1+RfkKqN/Rxv7dinUONVwAcoKjaZUxDxb42digt0A2GJt00ezEa0gopO5TrwaBWxah9JkYgKAEG2 z7Yoyv7npqJAAdEVSPl7qhUe3IIl30Lf5F7Mhm4POYf9oMwp6iVeFjCokPw6BI6ZN4XhaGK71xZK Q9FNU4xRG9l1wwthWkg1SbFu01ZvYif6zfCk1N1IarRv83zxv6+XPkmvhKxS1sqQHXfcuCLh8n/k ukSF/N5Nx38i4RTwpJSP382YT57JDnJFjc31XX9dE43I8Pg6v6TmqzcQ3HiCgv7H1bClByjuIRmT J4rWhUlK/J84yFQnfzapX99L24qX/ClWZ27xAXOHHpWeDo1cqc6OCYBgH7Lpb/N2egW36JnKIJhh kpydUVHpFp5WOMXFdmdd8rSpop34bdCKlz9Z9fXrW5w3CbumDT6MQrOsw4xvBHg6Lq3tNMRhvIz3 JOnfXKagKYzNdEGN4HpeJwTLBY4IoZ3Dw3bR48za9MaisLJ9n9B0PzYICaEhd5CFiAANvwkFyjf1 P9hN4nuxja6g9HpyDrciYhXC6XQAj1Bw4+N+PvFcDUpWY4v+rK/wCeDlifkXelp9UPTih/SviWCb FpOtPBOjUKyVo0NgGH9H/6u/D2gDvi0LPakrBv9i+tnfjXSLEPqbapyJYXUfMh87ohZXMujEc3qO xs/GjWXx5hs34WgH3NvQhbaJ+SrDq6smFEyp+CL/IUxAKZ0dKvCDYVW59n0bQTAAVfD+zKhXutLk T38B+hupdxCUficiVgh8wVqCEyvk5jkDo91bBUj+24WoHYrJkAfDxnctIYYjqHtotRJhqGciRLZ4 FJRWykzNFr2MaPMRcycpEs3/NK6YAaApme9TqYqVqjn/b4ZP7Tr2/MmJL9sM7m/a4sULc16RKKJD hBeB4GWI7B89no6eHl3banJuwLMhKTIIZndwMffEPWWy6mexjnQqHFWV+4PWPsG+fBXkw5XmW0/Z FCIs97KGqZo9K56jwmBagCZ+lan43RI8UdXnIG7Whv9j1WZpRXEdIYkISNH19TYKMpA/ToeIFDcS Iinq/ZtJlwxX0+vRXWg78ObmoRaHOWrb2Gt3NX6V77MmXlnlQS0F1/1rYJpM8MkIURHzOps2I4BG ezjrSx3+KLj9Rxb3mEJGYxERtgNXnM1YEwapkugZPDROI2rxrXi36011vcJ0BQR/ SQ== `protect end_protected
gpl-3.0
bea7ba70dc0ed6b0c3349446bfa5c51b
0.946097
1.835633
false
false
false
false
ymei/TMSPlane
Firmware/test_bench/channel_avg_tb.vhd
1
2,988
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 05/29/2014 07:39:31 PM -- Design Name: -- Module Name: channel_avg_tb - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values USE ieee.numeric_std.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. LIBRARY UNISIM; USE UNISIM.VComponents.ALL; ENTITY channel_avg_tb IS END channel_avg_tb; ARCHITECTURE Behavioral OF channel_avg_tb IS COMPONENT channel_avg IS PORT ( RESET : IN std_logic; CLK : IN std_logic; CONFIG : IN std_logic_vector(7 DOWNTO 0); TRIG : IN std_logic; INDATA_Q : IN std_logic_vector(256-1 DOWNTO 0); OUTVALID : OUT std_logic; OUTDATA_Q : OUT std_logic_vector(256-1 DOWNTO 0) ); END COMPONENT; SIGNAL RESET : std_logic := '0'; SIGNAL CLK : std_logic := '0'; -- SIGNAL CONFIG : std_logic_vector(7 DOWNTO 0) := x"94"; SIGNAL TRIG : std_logic := '0'; SIGNAL INDATA_Q : std_logic_vector(256-1 DOWNTO 0); SIGNAL OUTVALID : std_logic; SIGNAL OUTDATA_Q : std_logic_vector(256-1 DOWNTO 0); -- SIGNAL ch_val : signed(13 DOWNTO 0) := (OTHERS => '0'); -- Clock period definitions CONSTANT CLK_period : time := 10 ns; CONSTANT CLKOUT_period : time := 5 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut : channel_avg PORT MAP ( RESET => RESET, CLK => CLK, CONFIG => CONFIG, TRIG => TRIG, INDATA_Q => INDATA_Q, OUTVALID => OUTVALID, OUTDATA_Q => OUTDATA_Q ); -- Clock process definitions CLK_process : PROCESS BEGIN CLK <= '0'; WAIT FOR CLK_period/2; CLK <= '1'; WAIT FOR CLK_period/2; END PROCESS; PROCESS (CLK, RESET) IS VARIABLE i : integer; BEGIN IF RESET = '1' THEN ch_val <= to_signed(-128, ch_val'length); ELSIF rising_edge(CLK) THEN ch_val <= ch_val + 1; END IF; FOR i IN 0 TO 15 LOOP INDATA_Q(i*16+15 DOWNTO i*16+2) <= std_logic_vector(ch_val); INDATA_Q(i*16+1 DOWNTO i*16) <= "00"; END LOOP; END PROCESS; -- Stimulus process stim_proc : PROCESS BEGIN -- hold reset state RESET <= '0'; WAIT FOR 15 ns; RESET <= '1'; WAIT FOR CLK_period*3; RESET <= '0'; WAIT FOR CLK_period*5.3; TRIG <= '1'; WAIT FOR CLK_period*5.7; TRIG <= '0'; -- -- WAIT; END PROCESS; END Behavioral;
bsd-3-clause
5f0dbe34884e71b12216726df48f576e
0.547189
3.544484
false
false
false
false
fpgaminer/Open-Source-FPGA-Bitcoin-Miner
projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/ramfifo/wr_bin_cntr.vhd
9
21,696
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block PmPu0EUKsjwq0Ps17L1PBf+SSF9+3cBAN7IWblzPGmw7QEbqM1UUfolB3cLr1b6IwRcmTEalIY6v YTHvRWwpZA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block cs5bOPVXekrjG85laxWQz/STReXJtCO64MM2uA+H1UuMsD5AkPtpYMvsjKRW72UJS/xGW5LT/AGu r7gljflGebe7aPbdKadkgZpcWa8yyqw0aI7KR+zjfAVYmIgndivNjvl2jFyFPf5T0SFZcaqh5ait 8pbBgw+OvZ/beQQvRCk= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block rTFmU/hK6pCsQDW2p/8Mqg4qy9Z04gS7on32fUx4C9kxYg5piw+Pg/+agNDlVdV7hu2bR6Y/ZBEL EO3jiS5nn7SAizWmETKnCvhlRns7KvyU5/GDfzuWB+GQQuRwWT/oiR1MJ54WLPnugWqXeEkTfUEk oVxXRh7tEec3DVWotLZMnO2Va9j8aif5YY1Htkex7DO9ncvetF1aPH+1ZBny7FMXUHWOtwVq5iEU w7qZDcpBGUOxO5OFgm6XpKpFYbv/mIC0n16IkeL5a+8Luzmo3sy3MQwqdIXtBW6/2cVYKY0W6SKZ zW5oYWWY8l/kDJtFWGu1cVfeP5uBLzhF6sJkiw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block i5QqXbM5QcNRtRnVqpZ0lKZWG1HV0KYRcTvG3kXZZ5GhnpHtqV1jIleouanE7NoOaWm/cW22cPPn egzRt/ea2O12AbakYf5BGGBRLLz/bxOuNf24pcZDFIeQmN1UZivULXkP1NAYwgLc+MlEHPAB+vOX pqiRfEG+R4a0ovEfoQ8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block tbFn5FcqHs5wXDtYkkO/l9KtwVtETTtWwA9s+f5zNnMn8xWRH1smyaH2CwstdUtmN5jN3zAJwJlX DZN5WFcV34JW1UBaKlZqIA/I0vp7Rtj9yq14HkQLc1a0zhxuLMExbuFF1yz0hj7tK1pUWp5mnuAn 11SSho5fKP9Z2Qfvhg9rvg0AOLguk/DMeF4ZQYMDuVaRcHiJmMXPE9x1GiVdyeT9kVieI10aHPHE nVroj0MSMyK+L2m+vqKhjHVzYBE7IKLo1OM+G1HGjJU/QbEN6dGrL6197WGbsyesR1Z2qchVSjyw XWHTR9y/+skRP7P3BxxN0VMFJbIUyB0Y0J+Z4Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 14320) `protect data_block zdk3GKlJLDpGirL27zAd1B/hUVkJD9ml+IL7fQ8ogMIFhwj24u5ZxxWcbc35QqO0YlOwDIjLPBsR Hs0ZNG2yyJPILEOvNjLSx5E5sqEMFqqB6QybcntyOYRnlg3N2mWBvki+AQNC/CCZy9lN4fSjo3eE VgA9/BnYvNs94+Gy2d+ONjVjI3fSyqurafrgkPK3dyfqXWKG9YFbJ/wWM1zeBC0vhDWFpKHANLKP p7MyMRRxRuLHaRVjk7QSWH/tccw2P3dRa1Ze80VTPAf98vl7kD/w1ZUND25XSMiHhZHTMDnHEPVh hIZrn0naUH1pUy97j30Onptn3mSD36okMuqVDW7wbC0Tqy0g8k19L3fUopKMgMH+NHfCyApbb94L ycDI7KTVGigcUgYJwsFCyH7SMn5qB6xGxn2nuwO6AywWmmvSFQEq1XISipWx6JJXQ5TbIGYkdL5n Rq/AeRY28l1HYbQC8lUBD6fR4pNM7z5h+V+MkCjjKeDskJdD66puYqK9PwssizlvHy66hQHBVDJx ga4qAJbPc6Kp4KmvX863uXvR4KTRdwvvpvCjkDlK/F9eUlNKIGgu0TIvgYU8C35/qUhF72dU70WI dBPdTuOEFIotUFjvsrNBD3F90cX0AxXbaXgwPiOJ/h/KM+4jjO5teB9kzVi4znz7F6vWiol3PorE JZqZYW5vH2Puagt4b72EHQjaPsa1HSrI8hhqfHpr1ubUHhHc/SJ1JZSWtzf2qVwZrAWC3c0QrZeB BNTHqxibwWcSzDJBQ+qiTjAFVGFyf/QO9YSzD/YMs5bTRso6QdZxCHCfSxpzIE448N4nX8gEZncd YwMLKEUVRMV469efqyyBrvCQZmsHruwEOhyEDuNPUGwSs15HHO4ZgsS4wSMQSjpEiUHtAkBrZXaA sV1/DU1sBTjs6thMThqgcpI+m8nu1TVfkWsi0hrij6o4k3lzhF3i8S7FDGgPNEcXhWUMZ5xRaLKD xTDoRYNj3wd2b/+d+Kw1eYHr+bAKEen+bZQ5s7kW9jUABmnLGeiqMRyTcSDzwGMlcJo/roZvBXdi mL+pucl+PXmsrXUot0PzcIdCds+mE3a7l2COI8yOyQq5KEwRTNCG9uFfqselhVOYF9F9BIlmLfBv DWlZtZ7a9psrc7GkrGjUYqYdmHLKVYLPHcCE/cKzKgxsrOIdSoVnRV26PfH3OZOgr4GJ4VITRL5u 7vRIkBVngXKP4RfEHNwcpA0CHzACHSYka89dF1SBpmzrrfhElsT2aQBLsMXXERGW1jdoCkcbqgI/ qFFxlv1mLmEsGF2+lL4n5H2TjYUyf8Q4DJCKkt792nzw5gPdRgze9RvcTDn/0ppxtabsOOZF/QZb QKmf+QluQIiIBJAFqRdmFeUtxKVrR2rTGTVmwJ+UJz0jaTOQZbgrTIDyrS/5Vm54pj3oX2OBsJNq KZxRb5n6GtqKOPyq6xxDQjovB8wejBHEvwwLIFN4iEPbeAxV9j/lQX7aN7tUM3NzwJA4wftcJpXM xHqZX/BtUBrWbGWROOZ3ybcQUdetwcD9Iy3gJKDjP6+w6coWjlnjkoiTCevWLfl7Z05dgHr/OVrX ij9k52EtQzS2COhze8d0ntYsk0KcgIBPZ2HvgjpPQeaA+w6a6PnOAJ3hRnkeVDZshP9pmiVjC39e 89lQvxsneQnhst2keiftBZdCQT7hrWvJvP2e7VTflPgRlGYF6f7+OCq7YlXhGcO66lSwv1kET/VA 5LIhxw2BWG6y3JcVW1Y57sedXq40Iosw4Mlr2EV8bRFBaiZMSbQlxM9xSXJX14GbKc68xtjSmlOn dHgHlKiw1AjJl//VyOzX4XiJ4LreWS+kk4iu9ZRj6GUTQaWMh+9gjHWZKQYriznDbVIR1oQ9vvgz 6wVlk2+5/qp8eWwegQJdXgYsq2xJgRy7pLlYDjBWmHlR38FtVATGPfMOpTSuYRpT2sIZ3sx531Dg p8zT8I/8CnjycUm1TI4RcZz3J8Y89niKpB/z6AaSa+2OGVG4PyRJm8NRKz+9jfR5yrqTj4r4IFY4 IruoTTNpZ/DyDG2jjsiqfpT2s10cAR27ANDFvPb0fWwpjjWwgszxk/f5UjnhYhp4uyQd235DcOrL MaywRx+k5srHNMOYk1FOsd6qSnSE9dQujcQAg1XCRB1wybnABwd/GgwBD5aU1sOL9RErpGGoYEoE PE0mzQPSAOLE4lxE5gXuwYFngog6SyIUyBQSdrQGQVtQJrf7/5b+Tctm84kHgXefLuCCVMEKg48N +qvBaT1q23/xcYOwbB5nJm9d5tAsoGK5Mhbtdrj4eAT2HFF294tDpwGwgH6tQhEStzVPO1Ag+2pA t2QrwD94gaTvvk3msxKrMHUba2kCEutOXVMbXwmO9h6UH++34z+M/k5Ym+/lxa1eOpHHJRMAQFLn gjm8A+zWCCqPatC0v8Cp6eBZkEx6XpjdfIeuo+AI+p4+ilK3nignROX6pEGWAgBnCYALp4jgXFEO 8Be+bIe5rE4jkp83EHqAirlBnemnezneBYg2XB+ZxblZjMBZj5vX4i1OAQicFMTmttdXGHsJ9na5 SNKuPuyl/n2UhDBK/Qiouz1UD0wX78fTg4iExos6H3zTf896ju1/qaJ8RnVHMT3LFNkajm0TmAjo W80ZhGEctJI+3bYSGhRE1bBX3AjmMBo0fC/rx4D4+UUMjQTQcaosbp0pABn4S09KdM67wjKo8XeG 6ON7X+vplHJgntAQtEqa0jAwjM/jrHFutMnF1/kC1mwWUWz68iH+WHOnhuzoPg57CuBAcOqp+GHN JWAoOth42aXsIzVSMxK+kL6q46EcxKZ2fwNZQ10rL7BpUaOIF4J2lozyu+Jp1NZ91eAZEzxh6W+M 9OI0JO/GHQfIHYNQ2sA+HjmWgYIeMFF1ubNm07SWcDkYHbEr2oCaX0g2Th4CXQAnEem9um5b4s/K +arEINwuaurCJAnj4rMgYH/Lfrm35SAd21LCR5tzk46BuPnUOmX8Fy1geZC9fJmmKpyNZWMiNXGD 96yYm93LXBaqczgP0sg0XRSwq+F7+LbxGIGEBPB3hLPJe6Ndn3A/B74PvejWF7PjiKw/lf5x2Ckz CuqB5ayuSd3n7+NLpjjof9ospSHa/yvR5hnJkavwl0JxUsQONvMM76gvafx2AOFUb+7sTX3tNE4f NaUKkDKbFOZtaYC2mPvOGXGkXL06nUvOyNuP9+mJelP5MVCS5WJQJ+dPeZVCJLkz4UvMvg7ZuW1w 38sJiV+Y1D1Fe1ECDZ8OX3AUFbawmypBs5tYh2phwIXK1jHgGh2FcEbTOU4Mgy62jVbzNfJO2gka jJw3ar8GYaChS5ZlpnAt1dZS1P6vzPeB1o2e3CqtrrVRvUrMu4Ijg+2RktRc/tB7KkxlGkh/kJU9 P4wRiZoTBLl0fNswrWmTtzswcQA+GUpG8eqlhe/9ojFQYLRN1fat52+mK9kovDIpY+TeJhfihhcx tD8IcS15Aiv9ZHyaWPy4quclFpGIewW2Q/9q0fxeprAouUMFPYgzq9mAz3MZTassVr/JRPomlkkN lcBumL1uRgftAZUoZ/2a5I7NOmAogEIUtACTeHoTeV+J5S3MEcd4roTY2Zl3hU7rhyC6mSF8unWf ZhEXR/E3bnlwZxzjNhBQcU7o9YnXFoO+lb6xDkkEnOQihhq4kLlpOXUKS2ceJowzc61WabVan78C 6TfEdYJZd4/r+38FtsAMV2UPO0MeesybyR+mO71XiOQkhSE/oOsSqW2cJAixc0RAff28fVyewuqp N9iykXrUnmhDoYPiLVuDVaUvTtinoifSuWzStEyln3iMpiL/FBZHtxYeV6Efcfx+Uln8HonYOnPR TLNOqp2zxsez0APH/ep6wjBRv/RmFrRdRethMX/VbpkJ7hSmLyKsawoy6hBRsiDUe0l/gf+S+Cg7 gbRJfJJrOfS8nmMW2RgWdW0tiaDvmbKVGFj/XySDZ6wIcalt5IPPZJXTGVAwfMp2o8h0lr7W+0C5 MOBBzdedB+g46/pTs3BDR829YsQ4Z20xxM4EBtQ+tn16pvbKlmY8nlsBguvf5UC+/1aBPu52F+jT /Y55RgI9xLtD8fNuS38o/TflgT++A1cFE/CFXApREhbMDWZpNakYo1KYYGKt9aPUT8Xjz8iddHZm rL+NL3MZIBW4WXecu/dqEyU1iAN0g9cxbhbuxXy6NtbOMq7nQdIIsTZ9yGsawEY1W3Om0lkaeuzS g/D5R8sdnMlenygy5QfuYgqAMH5OzKdiDFkgUSwkhZo1pVNRqOh0q2TmvtKkHJ7OI9yIm9hM5Ano C7CKXyPjTRNWpr3iBqTpE72cUoxm2qcKult8BcBCvK+APpkOToj8qpnouuiImgDy7y/0DRufa41J UjkIDRQEcG+BJcq3EqVKKUQMLbbs/Q5LIsSySRHcXJMY4BhtwJQCKnS/pBYoxAd4M7BNlfNBaYMs 4qqASfjJQntmpzZpGbeBtduWBeBqazKJf4PnAMTmaL/J4gFUwMHcFOh/MnJgCTWy9sofqS+g+fCH FZX1LBEKyCu9AkU/ACTbbuJZy9wRgcFQv6gC6JsUlPx4JwYQtq8SG8kzTE93ECXsdz5IB2h1neOj fUEsqojqi/WJPFzPMLaxtduu+pH4kS8nwsDmyGzVKj7iMHO8mGgMT9cTJPB4YstWy516C0UOn7ax i5T+H9P6IswtndBTpcM1Mxpd2gnmXjE8uLj7dJfoIyfL47onLAgGdDVtip8npyHSj2AbC1bHJ57Y T/PNkoi/3uI04efp2KNu7jE8fs72vmLIsa19IOWBvNoOrmh4TpKgVVOU7D3OSFROkAB8/IZjmQj4 IsqomZ+5SaLW+JcLlC2NjfE7lnx1zN8UDZ5DGF5Fl97hQlnIV4jAPFm8PFXxXm/lLjJMmTDSQSgA MhCVXaAEvoih3GjDpYJLKRrdPG1NM055FDBcg5f05QB5vPpXdWNscKFH27WK1RKMSDOI+L8SueBF S107vtEYJt1HWkwSOdC6XtmAXq8+YZxcWtCwVi5US4pmGJvGkTOOTxf9TjPumZ4ZWCG+1JC2+THH FkFZ9LmJ/OFUVTH2HiakMZaSk9/3fHuGnmDiNIwt3OY16xabz+np61/BOwdL45OifH4FVAm/m6o6 W8jOIbWvWkw8rquaXlscqInhmjAQINuFG0eKdRaISnRI0qzDkdZMPyNUTKvSb1M9rmbC5uJCSjiN STiwgIadKW/wmRTHlvajUeDYFp+4TsvClWh33d/EXS+elbZC7V0brsIx9kdLNmAvHntpxhJBcaYY XZ3tVrxp7zUWVGI+PyNhtkApgATFxDFM/ZC7U35NEN+7y+M5jVF7x9bJ3R19SnXMGSp2iaJUxM/8 M0FVnkvlxZRBSHUuCTjAAQxP6OH5uyzFqrzPmLba9jrYx97nwWjglm30l693jqhgnfZaWj3DOI8a VzRRwZlI5pY7S+5g3yX09tylRis6wTZATAnT4m9HU5wvarSL6LNy/ln9IVuBvnDrlima7ivQ3aLQ 8d+eaWdzsJv1F7KtH657i1XJwvuB4+uWer0ecXG2ul+jdHNnme39CgyzjxMLupAffPY7yrKw0L/6 o0NzmIHL3SdZqYMw4sIrki08CkL2sIhYe1lnROCrDZDmgXeIYM5JZ1PJWMuhsWKRNyYYd50M/86a ZCwN2Dh0teW5HxbDibEucO0hRzL5vOjwhbLK9Y0JrwwpRSEl3VMOH/YiEE03ZQdPaW9TFEfL6Yk7 G9ic2GeDxJKBgK8xSgrHcApdU//hknUYb4qCRTzQVFezdjNL4yHaUBo1WHzsnA6nrxbdvNRL3kNO ILcS5vAK0mLEfFQHh9gPCGw0n2O9JCy8YEByoWn1/QnbeDBX40Zu0n3KtGPvWF7yw6SAmX076eb+ zNpgGH5EXahOhIqM0cJZi6I7drx7x+yrBr55ME00GUXFEFiwpJJor2saouLU1JP0rMxZL6zyz9hh qGlnXAPXtI6EBviwcFMVB6BCrYWYZdfTenl2iPuMdUwfX6nmrryeTsbl4IEfZ17v1UzY0aWIJxBG YdpuPz935yEgooXlS9OKbbcMHEaJqY9h1vUsoKo0jq9lW7xQG6VVD7/bKHfl6M3njZpdGxriYozP 1j3Vs6KykYW0ZzdubEur2iPB4ZWcx3GQgeNiyF0+lwiEOs7VegJ47o8BW9xuEHhGnGV+LG46v+j5 hvP7RFsOkHAFgHVYnGfxYG0qP7R3jIELLFR0+fuXUNW6pcWMZUycnxTNONy+C0QgbPXIdA4P/3IG 3oUfFPFIrKyusXVUux5QeWjMLOiVrmpVoNV0aU/pTWqy0L3rCz2d10UTjCo0IeyquKLWshE/5/be TExhfAHZE9bRk4vKTBlp2sX9fJ6GDmpG6W128cnb0lHEXaGHSufXSHvdoWHqjSikZ+5k/yF+ZdB6 dkxG6eiwfWu7f5YstuWgxmtcAkuTmRdirOKOv6IeOqwy7P5bay82pyQSQ9e7SefdqAVmEIA265OM Kj2oordCYb4CE/12Bs9dp2mMswGRBHEKca4N0mcp5NIJ9TAFn+HAetHcspwVbzluhG/k8BGrmJxI 64Yx/5lUvtCiZo/HlR6KEsLfp5d4cqYg6Sa+iUKOnDalkJZ7gEaRCx+H535ZHSlIumE0wHY6k51b sSkWZpL9bUXJtfzkfNzlz1EalYF12k4OQfzZ3ZvxzqeFP9txFU0lqulOOlQkzZujj2+lo/eaAN0K kDkBpU9n/dPDy2XYk+FB++FwLSk88vs5pI2B2bJILJiUo8H5H3NMTE3xXxLTqaxi/hWnAlkYBL3e iYf0wwGpdKnH8+lfY1gnI6o/ZtLOQUSY+BH10+mi6EDlGuIq0Puy3Hq+KAnGVTkgYa+Z3z25+4AM qZ0XfjDq7b7AeTqgqgGEpUhs2gnXC/peKq8gD/X34ADnZFXjCVDUoHKPDy8Fg56ThdvZ+eUYi0CS wTvC3WOmNwpfixdYEJDqIB738b61OMIMNficTrTJS75i77/DKlZs93sJ8390dBXlry8VcI++R2gk SzSNleWhr4O3epLCiIirgDOIuwW1QoptWDb3v9Ptm7P9xt8aiq74eQmii2A76cHt15pRjWgWuPmF 7PG3rDGRrUNeIi6CMFZraIdw8e3BdPITyLSX3N/Bv4opWFC2J7r2mCitPPLtEgaFuSyCYTo+HJaW 1VBROu/j2i5qbRqdU2hH83AbIHSLoOJK2ce7Kf9KQEmJE3b74bHFZWvFIpKmkzQ9ITBrTh18ho0D eJgdMzBLgS4ZiuckTVALZ5lGaW+vVsvnjt/0Y5qgTD3HR4QgA9Hibx1M/lIn+FcHZOl+7Faz3zVz /y+2tmJIITdbYiCsUXAMAzlXBscmDEqc7TP1sev5fm+8QWShYhVjL2lb7HMq8v9+TROLRXK5jRGE TgvJH5fAiNSDO4c93X5Tlfkdv6dLxlZ3lFc+lSAppmlDvf7GU3h+Gu8wvShzsJyTi5MpZJVk2fH9 t+Rvq06/LEReP2ee2WDGiErKB4E+I5qGf+EdZohBsqAqVfXuvhXc6vZs069EJzyB+S8yEYUXhib5 ccwqOS/dUpsYrI5N6VSZA3DYLzdazpyaR4JzpZa5/V1JqPFA+ezNcT7wCs0gZ/LBubwit5UPHpIJ pOPCBqCl+jDo44RaRHYr33r0H0dH41FnVHQsz9wUAzcFrqETIVvZuhXtAa3f1AkbtIvrnk2tPOTo TaXL+Oy+2SAOq7/tR7TFEev5QfFO/Xb00NHyRVrSbeMyx7GbTmCxRKBsWY+E2NayYczMorAlI2wp E6lEf0c2+3Y4jJyYg3d7vbhBOfTBKoe5F21P0jR6SOpaVj/hLB9OYI0eV7iJA04PH2/7a1r4rJ5b oZWjSK2eElGLQGkFl8X1XJDMKik5dtQNqpPtmpKa2CwyONz1sssJs6PgSnvYVaUy2Uq38e1QViQ0 TlHPauNXC+nAjQ4xB4YYHPxqbJEoacMtKTBbXO7U6iwmJjQN03IXCR07+dPnAha7hPjjg50UjhjD U5+j+dOINuF6IqIhUgvBL7MdMGugHsITzayE3kPeJD19CTmSNcxDB+20JrP1sN/+5gkDVwa/OUc8 DwxZd8mkHIBn9JH2KdpkGB4RjMCTAY1sVluhl5T1VYGeyqQSUTcno8v2TBB7h/SPxRQlvyeH5Pdp IQzDQYO9ttakZduM4v0Dk6jbEdK8SICQJ5G9/q5FcOZx0Mxw8LSmBWkei/4cnM3rR5uhWDVEDI2d UtV3HTgYwaOBG3zOW805GJklezeq8DpZ56ZbR8HfaTd7HoQekwZonojYiNT3l2WdS28+FLYxioLh jTQLx6EIk3JFkxonsFEfnLyOvUQ9hnEOXVQajA+0x/mLpTc2Hu3+6NeIasrMOtOC/UbeJOyDVK0e u+eUydCtUJD7+ksAksM+JhmvaVTikPK5zgjy1zGF0wEKz+Mu2sj9WLsw4++mdjTj/JEc5w8DhhSL GucFJhPxhw9waFQqb1mbHhhxLZJzhpKpxOjQUt5uEPIK+ELkY1gP/QBltoS0QkHStY6u32WkCJxL mY77NNN/mBmOxw8j1B6sq3IMsmUykXWid0rjJWLdKdl4r02LufS97LuGkDUX+PQZFfUX0AoOCYOk OjZwEVBfvMrkXEs1rpPNe/Tnwks0dbSYXpiKMf+SXhkLKWqNIQflQb4xfFJHhqmjORZis9+YC9r1 pqaepwUOOWkYOdt+uhlulfiFylX9j97+jO8DPLffRk9PBIbCg4CR0TnAQSghVh4hLrHGysZ0J82w 37mNGbeLpec7QvQKulnwGJ4qElsIpca1H2YX+5vD7UOdfzGwjMMiCHkHNLaPDMTkJYDbI0liSU8l FxtXb1WvSmciHD6Myp1+8AC2nJkAYve+bbVljcdMOg8b3/cZ3j9VqCWvCj0ZuD/oL3vfpd+4YvNg 8ifWpOCeRhY0Kf4u+yxPuadlmH8Nm2ke3uJJFEJ7Nxh2zLrpI13857ON2lFcxRxyydXLay4BLRrR QLRYHgD/losq9oA2ZqNYTx3brdPoyeU4xoXo70DyzFRN5QCBMyt2L/egQe5K7wY/x2ufuI0LEsHv rqnBQ7P0fd+gdG6R+1xu9F/BCMBF6rAI9lJrBQ8gS3xjeXmw0QW2CCVPUCUPuZ8j/sMysS5MDuTd VeBXXditSlT7UBXyehlvG2bwh+/YuSDZY/8ZqdHupbahytqnK+z7POwsAPTKoEDOtrjAk0Qkv+lC T/gcLoDrkVLHFlhg8e1ornQXKGf8Wnc62MoP9tLxJjmqed3ShGt32pgwg3n/zjLhNUtvX0Qb5Nt9 rcl3n1nwIxGJ30qqo++1uDA0gNPa0Qv2BSFi1WZ7OlpJFQfY43O1mg04i6xgOXTgoNOFTgg86W6u zATA5YQOSDd+9g8LGAHBUoI9XcFymNzZh7zn4+thKu/1IJN/4nPNSo2kRZNkmB/CvGs62XCXP2mP OfVK8ZxBPiUAlGelAB13L3+pOft0Xjq/abCzAmA4vVwEdbql9tM5y9tek28Y/HKlP3N6PPMVJ54B fEFG7tOADEzC/NNJ0j6Q/FywO0hW1Prg9e1YoO9mPLgVUbWV836G7pcJiThbnQsGPD+o02Xtjj/y A7pvBIrv4Pi5Pk6H7G2ZIlujICmwUAn98b1Hv60m0nqQq5Gw0Nj0TtscxAZwOB7ke4mwzj/+BceO Y8i80D9jbcycbBGkyfok6CNpK9PZu2GlHXTQPnuEX0LcBprFrWsbKVVk7ZmHEqFA88XqTWnD0Vvg TrWTKl2K2qO3KJk4P+FjqEOOK/QF+BmqGZjzTVxV7OQsAWRu39sSGj0SCT20f3gtLhJiCrqHUWOl QT5PouS+JaA/Ww6+iqyp7dIF50IJnzInhTfQmS5C8JgHYLAZemGH3gbg12p4CqUMf3b6zuE245P3 ZtV/CYGZm4p6waBVHbZ4di3HxedxaXgn25YZHzAi+/W+KMTmw0vMEyFlhxHIW6GGb/bjmh4kvkyp 2S1bbMFuU2RNxC8LQFPiUPPghWbMb/6taJDoIdt19nVSjai1+mgMMXap+RhnTHlxKqgibr1m+lhJ kZ3h0R5ofOJr4mEt/hVCy3oHLKvEuo8IJOAIlDwGhnr2UBICtcDbaydx/W0Iqcul/m8ABF7pnIKn 5OqQy76bKKh8+Uq03sNYIHAX/1MR4JrjJQfaT3n6NthRa5vkVvTNgoIfwkzqBtHqRQTZleeyrx4F fFvE7n7kD38zbDPxbPxvJpgNM2YMQeb/J3De5+78jV0hq84WAuTpOqzRB0Oc7jgc2Bm9XTRZIR4G 02ASrBenOvYrzRUCehsrSHf6aX5Ey47l+Cskn10zEX/5V9TDXlExCXB9di6VrHuVgCoWe6tn+qUY EUL/AcMohjHGGbCuQ5ng/Fmfq+CMmcQrNCR/Ay+7fhPcSIvvZ5JRpPlBNPCaapCA/6yyHrlJ32EV 0UtJ58a5mcPj33H02Zy2DR4ijqJzbwplN7oJOOo3H+RL+oKtmeLNxtT7zE9Udr4IqhhzA0I23DDI hVTU/2lzDK6qr8EUXDKZbR4rAjNoo2IdkTGZ1fjDHzswGlz6wgYuIj9y4kcwBEFVEEwfnEvQKdKo Ecjj+YL1xM8UT6fmitbM4vRGrrvmCea7LbKjDqks5CPI2mgbOm5z9TldFMQzt5cQ9ZV1sGeMzK1B vYRhDgG41LFgXCVehtHtdd7R+ELy4cUfJden3yTGZhnIlXp9nOOaA295LPlGvyAHssXTSxYkm4+g i5PDo+HxZpWfo7V5AuktrHxCe1o+pr83nJmSWDaDQL4p6H0BA/2BvQhhhv0ahIx+9nTbnPk1ZYbY g5oE1EJ9EmE3tgFToNZ7Myeq6AWbbGf6Cf4cH6/ohQ/qlfxzYbS4eC+MP+5ZT50GeTjvObnsXHGk DwxvfiqWUfYMKlkM9wadVbYR/kpyg5GMp95AETolev9YQFzzoj12fuB+SaezEcdkZCAJ9XxIWrm8 O1vavHpqC8l+y8vgS29rYo1gvfIWp6TbhRsidFcpOoy8se2O/PhN6uRrOL8mMckiBf5U62M1y/tw w9dl3OHOP2K6MGh+Uo4q5X7DIte/svyndVyIHRnbb1lZhCFa6BzR6SoaTr+J++804MhpS4tTnpXz SY2Bqp162e/7E/Mb54Rum5Mxrls0Ob5B+7a06PT4Kb/yU3bmiLZMskIi1jZ9t3yrwIGMZcFBipt2 Pvw+bUf7esrjm9+0kgWbsK6zzVPsBhWdHWJac2l87dxpmwNvYj+8HIEq/2T85sKc/DcTbCHoBF0i SdzatNTIk7PEdOeSffEmvhfZwPWkXcUcxF2Kfu5Dtvxc35Jp8fZ9tSIQSuZSOxoI7BwomiKNigvP Y0y9SW/T9ZfJX9qs4fuX3e1LCdPm1KPP1Ug80Mwe5OO1juTA0NTmi7ToTZYa/HnaFI07eqgPnmD6 LCzGrCeoxhAcIB0S8jyZy3eJ7kPO12BXVGYv55JFY0hNWyKwAUZVd59oQgL6FQbPPl4sNmAIiiqy NksiMtWCezJ3aqp80LxebhpiJ4QGCKJMXzzFNIR8yU/vXiAa32dMvZvnas1quhqwTQdZXG8Uzyxm JqD7WHMuZu6bVREmGnNSymx+5S0FqfjmJmIdMeMXP48EKH4orq8HDJXIyICrZZYmWuQJDqOFqofx yzm0YnsprX6NfeoCa1wliX+OCFS29n666NOTb814vLSxTtgvFf608dhH+epZMoy1ibNJw+SfmJCh EwPTeQBEoVg1Sy8z7bfJNa3naR56SD2kG4rUt+orpea/7bBkc6ITlgfBNzoQtEZ3RUJAK/A1l+yW 5HmOuKMnPyou4dJjhrrZEteNs/lm9bjnjoWXn9bpLJ4ltheMCZhFs/0jV4x/7cDzpBmyE/W7GH0N 9kX5dSqxkV1ksBL/uykkAM83r0iBuoTPnaZrLL7boi3mkZMwnr4QIw06scrqJR1W3M91fGBHULYQ L96YnLAuicpS+y5AoLTf19IHtXN04++yuMcvGZwgtAROtJLxq0xsfIx7rXZU891T4aB/xElNVXFA 5LRRm2HZKnH50aGgQVdvdh6sZ4T/5SuC4RchPF69TXjZwf1YD1yrYRdGH1/9ShN9ik/xBdaHRjmK 7r9ZS05uLsZev+/ypGnxGb1Gvr8d6U2zKYWjV+MZPGvAuQdlus6JUuwCAr4lqIJ6kHafSRznz6F3 Qp8oPMCwp5isWXipcd/vSOb5/7qfFz9g7zitrGNNTU5mANnJg2Fla2pcRAZ2pWUfpj0OSyf5jelr QuseF1af8r3Nozt5iO4nESS0Aw1oJUMu0qELhjAaPDV/PaL/h00ldAF+8w/yDo0hI78R///Z9cM7 N1HhS7aaUE/0LJbPEqmWMSKEuIZpbWcINHBOi/CtM6+thSSmfMPDtf/MEdOAcVU83EDZDLxuiLev 1gUo6fZ3S5rXdn09DuOfSiE+seWkXW1p5vEAnnxnwEO3EOfwr8Uwn93dWZF9xOZ3KCG/ePR3L2oR Idt2mMqMjqld58PKbqk21Yg2QB1xkaK124UKjUL0tD+JyA50UNIRfZJJ9MvmTaTcqIBQjQN33+ux eMao34eUokRZsavjcUT4zv8ulnHbdAMngJGAXOUj/1nrI6UnwzY+X/ypq1+VmuO4hxnhz7ITsakA jg8g0EevBqzEsdjDTNW2ERaky7gDknDP+638ua7c+G9/JOxP+vKFO9wyhy6gIDcg3qyxiaVvQY+X V1IZRFp066ppShOH5Q+6B3PoWWsxauYS6lK+V+T+k+gjyNJ9kRVGDLklRpUhT7ZISNNDomHoxtjH fc/AjrhYebPsqePSA5OtPArhp8T8uznNoiAbLMQiUJSRuuYfkAZAmWsR6ZbktPXdpQCF1/mCudVu P0w/OytrZpCAwAC5sd5UoXBn8AnxrQPSOwGbl7JSaiono8fu+wpR39ZgmVCUjwxrZR8w639YOOlu /RgkfUnwE4uZ71uSgE5eSr0XWIiXrEllIjUx2EIdR61k4PoqAoJrkpSno+I+1tM7RVU5wQTFbN8y mooN5djiidvGJxYzYyTn1FKygpbKGDy/p1WhRVTyUhOR8SnnCqAOLZ3DfnbCOuycf3SatYKfoPhi W6IP2TJ3PQXV9CHoCwTB4Y3gWnPfCc1W7U2QR3XLqVYomHTm0dNUnxmUnk7KgdJMXC4q4wt2N2ye z3Ejuq0gBoZXteHPzf4M4gDSF6DUHQAk5xOobHjweGz5QIUb5nX7ufsvIWGNJHHO9MxT8yu/OZ+5 TanY/GRf6b+uKNKtp26Q6RLGtvv5cHNHCjjLyGbuB8LLUbPLgjqWXTZJBHabPuW0EtO/eoB06LfH +13sslFZw3Iz+BX2it+rS6aLg23RSlYy5KnCfe6DLl//JX64oL2V5JMhYqAiOIczxGA2TEg/C5Gu /S0kMoBxMxx9knh4A+amLM8sIorEOQOkBCpv8AC5CGduiEzKS2k39eGK5YnKj50Xc43BCXmS0T/6 uZhW8Q59brnQEO9QCfHS1163+N9mZRHLtm5/XCzS5K1HIvKBIliroQEgePDb+HxulQfLanMs2Diu CKUPlMQnf2bkYXSG1CQsYf/hIOQm08kyT19I1Sm2VzqeJKF0NViJ2phYcgqelCThQPOT9f9jc+1b T2lPKy+Kc7dSottrshjhOouDPZsdIrfgEvt/u3cRBcJb01ofFaeilOvE+We3br1AvIlKmm55GWrS 6E1j/3bA7N9gWIgEi+TDp98DcalHQiASM8+93mgl8yXy063EOVl+HEVfY7D3jqFeag++UKrTNwpH QbedoMX7V/swqF8tLE5yKtX1EEZOUYbvz09oTzFZOQ2bgXY+b7voBOsP0rpwYqybSuZG/0YPRfZc kvEKBaK5dbPCDtu35i+5ujJHKa9Kp9Im1qDg01OB31TxqbQD4hmT+yAHUchW+DOl1CNVRhSRX3iB 94UxznDTFTG91wf9LSTQ7qTYwXt1YndCTXz1+2pXdyVxKw/xYl7iq2ZTRYRnbZrt/3gF0Aw9QdLk qe050xjWRdUr3CZjaR61kacE2rDQpFU1svWh4KnPGdBV9tRV7nA0joRM4WF6TkLa32YiRBAB1ALw boTG8iqMDhH9ffuHr8/TiZ/bHCJ8FAoj3zNcdrx24Bx6/STG0Z4Wvu9es+nQThvEcgkSnqCTuDjD nYKuPxkXF4eorstGNAb/yEtqBXrieLqpUBykGjwFkNtndcwW/8KZuA1hnXqR/eg/7W+wNVwJt+Ra 3frQIdECKo2yH3BATnvc2M7FGUQqHeEcfAuX3YxLp458klmO76ElZUPPBfFaZzoLIhC594Ye7kYS Pg0a9PPwCzbmNmCNhzGo5ju7pJgcyOv5vCjnUPWGZi9knTVSqKQ/MIHhUwPiT6e2FiEHGVXvFibR kfGdlzw5G7Iq8AdF0Tk9oitS6/9TJ38ZKbHPdijMb4sRvOH7/h7yJIsxIqAHqrnuMV8FtbMZe8yk l2nZnA7qGfTjB+/eLiMzv3NnVfMDiVAtNcquwj39oqaqOmoiNPB+JdYqeQUTtsuUX/y7qEZTi1Qu fkniuRsjDorkynf5HnoZtn627yo/k/X3jwsNFcttPIbC0jrMK3Ez3Z8QH90F9bnl5n64H9SL2cXD 8v/EBU+DPtr9S1h9hLiShS7ZJYD0GR8QRLlZzKRZLw4JNtdeJ2jQNh+kr0RwrBrkB+P5vI6StOYV 9NCW0r391C31Ljo8SROFS9pb3xQxEM+xjgMEfJNrr0BKuHm8rrCBu6QSlKmr7TE15qbmmEI5fwtn JHku75Q2CIE9Vfeb9QO47K2l1pHuefSKqtyGtOC3Rh1k8Z+cWKnJzwFtyetzIZtSf6/hvDKbUT6q JbhWQKS+hVmsww3y61j/QXLjh3JyFnyO1k24qgTYVvvnBD94crh7UPBmvmTsCh1Hp/aGg26zeCnr ohcKR/wtsZiHw9wiGXa2Jf/dPAII9LOPBf8CCgGJcbCoaNURCA3v/QNRBHp3V3tvm3/M+EtZ5UK1 lR/kp7KsA1H11rZ7ZXzBY3zKzAfGscSTl4h8kskOG8uQ8/aKViZ5Z1tS6J5vZJcBOIVEzpXimN8B IpYOsqw33G0GeMtBNi3P+s6oY32nnxGcXbUvE1MXT1cs8wswmIyvJz4T9JQ/RMpbIJkmM+AvVt6o 5fzd3Ob4OTZxs5tzfYA0qI/uT+88udkljgHFneZHneATMR3keFCJFEVHk9gm4KRQ0L6/76EFq/wm RP+9Cg5Pa1Ja+oJ8+c9dJFk+rXbF9fTZMFS0nsHpnpc9zvYse1bWhyupzuVQ4JzKD6kz3Ah+x5Vq 0dpGh+SA59yQC4QbFcDfufGMBkkq8A6orAsNcGc+M106Nz/hGou8Zhsv7he3eU7lL2JB2vvGxAFO 8HJ42UzhFvblfNNppfYqao4tyYxMKTznTtBbxoqX6g18oG8de8GAdzXFkCZeiZllU56u/ROgCTt4 btVpePLnoBVvQCtmb+4pKyMJXqGJeZA7+RPn41UXbxl5wmwLPuAj7z4vI23847Af8h8BJpqFynm8 vALz1CalKcKB5u3NvRQVtkXPmVpoUAofIPiIA/GxnZPTS5y/IcMbZ1qpZNXSHkcG2405gnqkIBcj ZviuqdkKpX8r8US0I4Fj7PS1uLqKhqc1+sVtVR/BzOkKI6fSJvInNmk4DZGV2oJT+Gxne1m6xkli 1nTqbTuvwBRoJDAsOCzbTB/hXs+urXfNbDPm/+XdjjojI9RlZjrDVJAaV3RBHiucDW6pzbmASjYV RU90N3m6vY492ZsxM2z+usRli+KbXI5c8YTwH+DBbwB+dmLh5imC+kk08/dTJ5huHP2qsQh2Wj4B fnBZzg2sYxBmdSaIRkz0OZfFKVj850zOypditm5yKa7IxSmiaP4r+Pwo0DXGbouSA0/GQ1qkxUss 36C6251EDRpsB2PZjM0RtiQcexQ2aAnf8t3JnvqQ4xKq2qxbzkbfaLztu3t/aDTTFfwxzkEUWldG tLxDm1yuvgEtaI+zPd8S8/PzjwAfjSypyZci/xZTSNHao3XuL0sPqwJGbM4e2OhBIuY3jWCyXO7R U35L+2IgjjJ9z46NAxyGWJbKbXg523jExizUY/mNatF54JRcUZmOmE2xV2L7COBIrqGp4PB9fz6o mTWuCluKrBa6Y1NhQznGKGMeApspgi/0iXbxwB7oMg8XppvaNgmh8b3TpnmnWKHPuoHr+jEyZ69Z rsjPCiAL/B0Jr45VbRiXMlTSP355vyiYhfnKG1iqb7wPT/sz/QYmWftfQ1by+6UPPN/FGqGHoQ4q uLo39ydbV/YQlu3rr/5HiCjdKeUAZiR0yuxf9PLmFjHhKpj078Z9ZUEs7qHAHZsxkWNtiHvdmh8/ 0Lf73asi6LeiQPDznubwVnS11BI2EQQB9x+CMrjZNxvoB+EfYyyAfDKNvaSNe3icSsiGpSfordPS kSibhRdNxRTNjzMDLzCl/a55FEcBpKeaYqCxT5MT09BdmQhHwUeh/Iyr41to8xfqcN2HrpYF40+t 1kP7p6DbeIY6GphwO5YxPbgnlHq3lYG7Brq+D2STHA1IfOmH0mbuN20W+tGCMJupq7hjX0mI8vu7 dCkgFYHo01PYS53yHF9tS0QIxcU4Mlv2XCBKITMT8NV3fb6S+JChpi3zgP5Qd8NOuMshQBss+oDt dy0UhXZB32xen9V0OwAU3T8/lqE/JS3cQFJlk2Jk2sdzv+AZqHCJsZjjDgXDHF/hVn8t0eeYVp0P kc1cQwI0SVt1R7Z5O2HVdWnSq9JCJQpv/turQPftBSII6cYErr0mGt7sKH+sjyJOeOxfr9g4QKVy PQ2uOQHPXOCZCzSzyOTfI7tBEnaxCVB9djNZ7hUdxomaiRi2glVK3ehI8KAJ4DvRZ+5F5LTOkiUV 8Vl3P0blKcMnvZPpwXV8lmHR2xvwpKZ8/7nsdfCRHvQCP5tmwLoJb+fMgtaYRPEFrRG31oSSas+l tvOAFvaUVIG2J9etZqpmKR76uCyvxHeRyLSB+EhH3dzw4oXN5ZKequ8jfkSWl6gDSpADtGWtOh9h xyKnsqPE+vrbtJHpKTvmNtQ8OM3atg9fmlrLbae9fTi8DEMHAEe+vsdQqyJscFTgtEMrr6DJ4HF8 rApDQLoH5JXtaVwX9ztThEAtq8U0noYnKN64dVJGE9MivFxrLqX2bCk7e7Iwx91xsHu2Qrd6KZRk 4fxhWzppyUKl4vJh8rBCPTfCVee0uIaeFTYuDU16L48W9k+U2inCamMIAFtkpRO9ibFv8+NRM9bI iIa1CWdE04imERr68KvlM0Wo0uLdT+fUCyof94kmpRIb+DaCzTlN4lHLaIREB22K7MxcM/NAOCRC ry7tSroidFF3jW2qpowNVQqD2QxusrwXfkclu+7/0u8pT/QQLQs3TyJSM2qTHk0I/EuIq202Se5R GEtlTzmbQfiP1NCZeAMM2xR7g0aAuLFSWhYe7ciuWMVwShCWzH8NB1x8IsiKGf6mt6uX4OTlORPq hqdN/mZvnkUu/j+lJYfjZByoek+Nsl17mXSn9Czlny3tgLDwePxLwCKmkNz2jkrDgRuAwok0q7X7 c5nNZCKEN5zTCn+Nd8rMx3OjuDpLJhSYbKgKup7rcGn6SNWOrWpLi+Fki71MPuLF06D1dMnthpEk /MWjNp1qfLE8PSQmGhnHUJ6MlyBHBUPWMDsr0AQDpHLm7SiQyB+N0kIV2INuNOKhnHyDQESN3Bum 6SWTu2TVzcIiI+gwNd7DlWhvpqaZoZBvRl1rZh8v/fsKLFtJBXj+b+wkpq7Ex6fQrgSrQktttkhr LgPCIpfYXLTKAKraABt1A/Exa5tirGOK/WikHRNDkwvIQ2834Qk/dcSCn1hz/hxSiJ9JlJLtr8p1 W2sG4l/8NL+W1r64tJVyC647/lTHlnV9m0fMxTwE3l0lqFLFl1hZw3kpyaiorFb+shQqvauaxSfB bhYugYovxRdkuXv2Nu9p41QP6fV4mWTWhb3AtzfrC1vSVPjWcz3d/CwvGqFkiBxFvUUHUCfXhmvo RKthGm4OqR0v8Bupxq6tTUqUloNQcUbiiCsHSRVSJP5c3fEhHc+XTfV48ZVzGXjaCla1AOfRoia/ g0JJ34uvNmUDr8g9UylakBYdMdycZGImY0W5tDrJbew5HzSBhS0f0H1oocFgV3JRGiApoPP9QW9t 6KvQvSF41Q4fL6w+R8gcokctF9lIWW9ZUS/sr8w1asTE+/NLI4cL0MTG6cQznyG1QLVO2pTXQPJz 9cSX/6AGqkNDvMBWPtZP8z4TYVsyYKo0yPtPrcHtBHkKLqDKZGfkkYQU3X5/6iKjvLxTcxu1k7zx KBdS48qnaBBjFUsCpeIk1p89n7CVF7ienR6zbuIbapqJGIBcj0ocWVTOYCjPdGmJwu9LTkCYfox9 ANk8zJFWudMhypcUrOOz5ZWAISeHhJ9aKet7Yc61BTVEMivtG59JB9/z2GoXu58QiT5tFAPbZ0ob Fx/HDwCnUejM3AKm1UNLbgvWApWQTG1ca3O7SvaBMG6c6JtpcbFcgsx16gaesDLoFHxzVU77ekb7 h59t37b7cWZbbUB14EFGBL2ev2CjnpXmnz6TB9dawqnqrGsTOQxFuHem5Cxn2VYuZeEXKTivXE2j 31uu5ZowezzDN++jg+/wKnJ/DK99Zx6YCiFrfXj+l7dHByvg1fCR0VHpv62PF7pzGqMKyJxZa9Ey miXAPDjg1+wnQ0VRMOfK079eM/CuM095aW9OSVRD3S0OkQIwdYLg1+T/3M1kFg4m2z4kCWdje3b9 hIoumYFdwCy3ZICvdmOnHmRuhrxiOLPbJvaKWRZay/Mh0/dyGi2rxJK4gUVwl1rWKK6pXkX7vhzQ AmDFLujx7B8ZhGBvVmANVcKpQlwCCYt9040fJkjPQ8I1EqF7RFjL2qnDmMI1ljzJkteGwtPUJEWl iQliSObD5xxqj7F2HRnF0oJZ1Mt2dX7m9CSHuEBBm6iMA4sMN2ShKwGsrKNEoBalCLfrrgLzrkyL VHn+brksRRg7O3HJwA== `protect end_protected
gpl-3.0
ebce8fdd333ac08866b8cebb5fa740f7
0.938007
1.835222
false
false
false
false
shailcoolboy/Warp-Trinity
edk_user_repository/WARP/pcores/linkport_v1_00_a/hdl/vhdl/global_logic.vhd
4
8,982
-- -- Project: Aurora Module Generator version 2.4 -- -- Date: $Date: 2005/11/16 00:32:43 $ -- Tag: $Name: i+IP+98818 $ -- File: $RCSfile: global_logic_vhd.ejava,v $ -- Rev: $Revision: 1.1.2.5 $ -- -- Company: Xilinx -- Contributors: R. K. Awalt, B. L. Woodard, N. Gulstone -- -- Disclaimer: XILINX IS PROVIDING THIS DESIGN, CODE, OR -- INFORMATION "AS IS" SOLELY FOR USE IN DEVELOPING -- PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS -- ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, -- APPLICATION OR STANDARD, XILINX IS MAKING NO -- REPRESENTATION THAT THIS IMPLEMENTATION IS FREE -- FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE -- RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY -- REQUIRE FOR YOUR IMPLEMENTATION. XILINX -- EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH -- RESPECT TO THE ADEQUACY OF THE IMPLEMENTATION, -- INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE -- FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES -- OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE. -- -- (c) Copyright 2004 Xilinx, Inc. -- All rights reserved. -- -- -- GLOBAL_LOGIC -- -- Author: Nigel Gulstone -- Xilinx - Embedded Networking System Engineering Group -- -- VHDL Translation: Brian Woodard -- Xilinx - Garden Valley Design Team -- -- Description: The GLOBAL_LOGIC module handles channel bonding, channel -- verification, channel error manangement and idle generation. -- -- This module supports 1 2-byte lane designs -- library IEEE; use IEEE.STD_LOGIC_1164.all; entity GLOBAL_LOGIC is generic ( EXTEND_WATCHDOGS : boolean := FALSE ); port ( -- MGT Interface CH_BOND_DONE : in std_logic; EN_CHAN_SYNC : out std_logic; -- Aurora Lane Interface LANE_UP : in std_logic; SOFT_ERROR : in std_logic; HARD_ERROR : in std_logic; CHANNEL_BOND_LOAD : in std_logic; GOT_A : in std_logic_vector(0 to 1); GOT_V : in std_logic; GEN_A : out std_logic; GEN_K : out std_logic_vector(0 to 1); GEN_R : out std_logic_vector(0 to 1); GEN_V : out std_logic_vector(0 to 1); RESET_LANES : out std_logic; -- System Interface USER_CLK : in std_logic; RESET : in std_logic; POWER_DOWN : in std_logic; CHANNEL_UP : out std_logic; START_RX : out std_logic; CHANNEL_SOFT_ERROR : out std_logic; CHANNEL_HARD_ERROR : out std_logic ); end GLOBAL_LOGIC; architecture MAPPED of GLOBAL_LOGIC is -- External Register Declarations -- signal EN_CHAN_SYNC_Buffer : std_logic; signal GEN_A_Buffer : std_logic; signal GEN_K_Buffer : std_logic_vector(0 to 1); signal GEN_R_Buffer : std_logic_vector(0 to 1); signal GEN_V_Buffer : std_logic_vector(0 to 1); signal RESET_LANES_Buffer : std_logic; signal CHANNEL_UP_Buffer : std_logic; signal START_RX_Buffer : std_logic; signal CHANNEL_SOFT_ERROR_Buffer : std_logic; signal CHANNEL_HARD_ERROR_Buffer : std_logic; -- Wire Declarations -- signal gen_ver_i : std_logic; signal reset_channel_i : std_logic; signal did_ver_i : std_logic; -- Component Declarations -- component CHANNEL_INIT_SM generic ( EXTEND_WATCHDOGS : boolean := FALSE ); port ( -- MGT Interface CH_BOND_DONE : in std_logic; EN_CHAN_SYNC : out std_logic; -- Aurora Lane Interface CHANNEL_BOND_LOAD : in std_logic; GOT_A : in std_logic_vector(0 to 1); GOT_V : in std_logic; RESET_LANES : out std_logic; -- System Interface USER_CLK : in std_logic; RESET : in std_logic; CHANNEL_UP : out std_logic; START_RX : out std_logic; -- Idle and Verification Sequence Generator Interface DID_VER : in std_logic; GEN_VER : out std_logic; -- Channel Init State Machine Interface RESET_CHANNEL : in std_logic ); end component; component IDLE_AND_VER_GEN port ( -- Channel Init SM Interface GEN_VER : in std_logic; DID_VER : out std_logic; -- Aurora Lane Interface GEN_A : out std_logic; GEN_K : out std_logic_vector(0 to 1); GEN_R : out std_logic_vector(0 to 1); GEN_V : out std_logic_vector(0 to 1); -- System Interface RESET : in std_logic; USER_CLK : in std_logic ); end component; component CHANNEL_ERROR_DETECT port ( -- Aurora Lane Interface SOFT_ERROR : in std_logic; HARD_ERROR : in std_logic; LANE_UP : in std_logic; -- System Interface USER_CLK : in std_logic; POWER_DOWN : in std_logic; CHANNEL_SOFT_ERROR : out std_logic; CHANNEL_HARD_ERROR : out std_logic; -- Channel Init SM Interface RESET_CHANNEL : out std_logic ); end component; begin EN_CHAN_SYNC <= EN_CHAN_SYNC_Buffer; GEN_A <= GEN_A_Buffer; GEN_K <= GEN_K_Buffer; GEN_R <= GEN_R_Buffer; GEN_V <= GEN_V_Buffer; RESET_LANES <= RESET_LANES_Buffer; CHANNEL_UP <= CHANNEL_UP_Buffer; START_RX <= START_RX_Buffer; CHANNEL_SOFT_ERROR <= CHANNEL_SOFT_ERROR_Buffer; CHANNEL_HARD_ERROR <= CHANNEL_HARD_ERROR_Buffer; -- Main Body of Code -- -- State Machine for channel bonding and verification. channel_init_sm_i : CHANNEL_INIT_SM generic map ( EXTEND_WATCHDOGS => EXTEND_WATCHDOGS ) port map ( -- MGT Interface CH_BOND_DONE => CH_BOND_DONE, EN_CHAN_SYNC => EN_CHAN_SYNC_Buffer, -- Aurora Lane Interface CHANNEL_BOND_LOAD => CHANNEL_BOND_LOAD, GOT_A => GOT_A, GOT_V => GOT_V, RESET_LANES => RESET_LANES_Buffer, -- System Interface USER_CLK => USER_CLK, RESET => RESET, START_RX => START_RX_Buffer, CHANNEL_UP => CHANNEL_UP_Buffer, -- Idle and Verification Sequence Generator Interface DID_VER => did_ver_i, GEN_VER => gen_ver_i, -- Channel Error Management Module Interface RESET_CHANNEL => reset_channel_i ); -- Idle and verification sequence generator module. idle_and_ver_gen_i : IDLE_AND_VER_GEN port map ( -- Channel Init SM Interface GEN_VER => gen_ver_i, DID_VER => did_ver_i, -- Aurora Lane Interface GEN_A => GEN_A_Buffer, GEN_K => GEN_K_Buffer, GEN_R => GEN_R_Buffer, GEN_V => GEN_V_Buffer, -- System Interface RESET => RESET, USER_CLK => USER_CLK ); -- Channel Error Management module. channel_error_detect_i : CHANNEL_ERROR_DETECT port map ( -- Aurora Lane Interface SOFT_ERROR => SOFT_ERROR, HARD_ERROR => HARD_ERROR, LANE_UP => LANE_UP, -- System Interface USER_CLK => USER_CLK, POWER_DOWN => POWER_DOWN, CHANNEL_SOFT_ERROR => CHANNEL_SOFT_ERROR_Buffer, CHANNEL_HARD_ERROR => CHANNEL_HARD_ERROR_Buffer, -- Channel Init State Machine Interface RESET_CHANNEL => reset_channel_i ); end MAPPED;
bsd-2-clause
4fd07ff19265b7a8c498c3ecf172437e
0.492318
4.362312
false
false
false
false
fpgaminer/Open-Source-FPGA-Bitcoin-Miner
projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/ramfifo/compare.vhd
9
11,685
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block SC47WbDm2WnrYCHTmzsALGK0lcvqEtndfKnpUm/1Li8iGJv67zGxAH5r7t1K+mtqeqDMkuU0jk9O Qw1TzRjzCw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jLkpnfppwLDLSuu/a6WMWvTJ9AXUpBVqeKeK4IcJiQy/6uuRD8fV6/tERFyvlG/UdJF/4sYiBKVF wRg2zaR9QamYCA7sw6PSic+jxWW6+whSv3Tu9NBFe+/fuMxQ5PZMDAK6QG7JY18FWhtXKpYUx5KP qrWS+3NUftPztLE7Z0w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block gZ3yuuORF3u3liEnr1VR7TH8vY+EWZq7Ns5sUxBlSilcThMOhPg/JuMoYQ+w5nTi/7XGVa8pfqF9 WVxAOYepAxqhC6+wf7vcaatqH8RmkPC3tASzEthLz06b9zpjdh6UjykYbZUd5T6JxuhxoOLdNPj6 Ufx5TIW8GcUxPmc6nqIE+3mmTyynjXFZ27Y53vqazh0KXutx1KAs+3kqjY4HHn90cY+fs0cPMbi0 XCXKUTVM1R28HwtdnbBvDsQEqg5sNwnIdNDNH39V47Zpyv6iApeNl0sofOLRg21xX7AW9NF2iGBI jtoizc8frP13vPJP4G34VLmSMbYGe8Himnp4Hg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Yqf6+nAfPtFbxvhDlJTiBpJ72C9ti+zp19vAmQRjVODLsIa9qvrByHRh1OCrKVSsowMLBSgu5JBQ 87oulp32NcroYKLciVdd1o/nxHq05knHK7JCXdsJytb3gz8Fzutk0C+xjMHgvtH8m6uK5VFNwmSV bUZaNyDRQvoraylOovI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OyHCSvsx5p0fO3MzDTzcYYHxHBOrZaEjzlpGMhFbPOjBsUWPH+HJIV+bECmLGonEBpAvM28cK7Um n6ERXN5DgPE4vuFWb3FuNWLfDVyAtpQzw7DLibM1iwzOEVuNIQs+Xd+CMW5Uctgr4T1+76dVHuZo /6zLuiBpvhvi/3lU5aXoa14RFmQsmMwX8sCwfcSbN7UsQWKRRxVsB6ZFGEHk6a961NrcnMv6wdJ8 Ocd4dgQOo94Upb7HipOkzKg6hUixtOJ2bL6NjNJZSU5NkvqW9a9LQCzMlxzNYivhy1nGNBOwWqUu Sa7+8311YLBnEpdn3669yqvxX4wJgm3AAGhPdQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6912) `protect data_block 64HeAudmdYthjwN8Ueo8y+HfO6PNa/7lucGpH45Z4TKZYkdBWOCHFVCXwLdXfbwqEp6ud6xjE0/Q 9tkXaIin58CLGR1TZwSCMBZd5PoMc8FN8oAbBcGKZJborl+0tfkpkUTsjr9ts75TSysg59wvdVSl nXyouWwlI9r11oe/e+gFQr4xezy6ZyvQG8MZ75WZccEpe99f1XJeolePD+yIKNkIoInkZV2INj76 86G/7X8RL0L49ONj/kzQCO4rT4UdCzKlpMEX3r7KO3591t8whdYULrfjR9tk/q18kWQZY7/wJfOR XKxYlYo4PGkpRS1EdhnzXM1+NVW3hCmIkQvV3TJLMa8r1iSHP9+5pc+0kd8+pE2tw1N7QvwS7u1m gAAsuTJxCn8GLaX9BQEM+4SAeXMJ7bREkjP1ufpI8tED5GoWx8vqV5DJDNvTKgAQpQpcCNpksiW2 LZypt6p8q1PtWXYjXsTLHJZXZ647BVAu43DqoiIoz9IIyBU1Sce6oizX0utoIp0wDihujVriWjnO yqQvQrv6DmSdFgbupS4Y2GUKr1XrK4Ju6i3y52EtkaokDb5micMB2OPpOjnR/D46uyqd7VB/Q0CH rfzLz6WSiUBdyBLBc1xrLVvwn1Ts+VwUHNsPxSRt2gONQ3aC5+iIWQlalzZXvnIlRALh0KtmDsoP ofC5y8KCB5PHBd0X/qJuln8mNH7sMDw6yH8xxjCDvH82ZLGDF0c2OwpUuOM1jzCVLaA4ChO11w0t HrLObLolhlOfwsE9fUZnIkxGXcltr46WQBdlbcHKXRUbQ+xxOhS/wV+c1ADMCaFPrU/KgkCeXMJq vXOeDyKpn0rbVvdrRpeZuHznDPGbB5qciysN7kA0WlTdSviCOsu28EB06/s0AgSX1q0Z4nSg2cpp o4nOASZhKqIMQn3B7nXvLbNJ+NkM+A9g624OKmPdX9s9ARlW3AR4ooKn7V2yieNMRkbdQG5JYh9x dkS6VhZ4PR2kdWKEvRquGncFTAi5+e/AtSbczl11r9SqVLtV17JIOisJ0wjpilSf+Y7yf2oASePj aSFsHQAqKI8zbJGgyiiALr6PwL6LMziKyTXkXFNHBE4iZVbuPan9G4Jny9KWdMLFB7gFXbRT7EFH YfYR6sB5O1Hx6UfeKBaTCA1UmZsQ6mNWdrj/FgSF78OfGEsdglZo+TmJghL97mpJuA1K1aVtO7R/ UZXkAQAwK07rISAHjdg9zAWLRB4JodgVWrCh3YmrSUMvwC+US45BDl4EW3M7eObBFodlInQb7UV6 F6VyB7MVwXsfM38PUv6e7DR3SAt8OgFpMQZh6kZeUDePmh6o8dRZz1c/gu2JQUtzyX9VI7Xk7c7A dxXBO7O5tE0pL/impk3+Gf7pwJ/SvTBQSy+KTN7OdXAjlFRGrM24MEOtCHoyjQclZT0giLWyUv0g Dw06W07vu5A/gCnFOgYrksYMMQnuvx68qU6HWD5Hh1KWXr888hRP8V5Tv+wbeZdxNKo3DmipnYLn s9cwQbndqX63T1OxPgjQj9iBv0THuIG9s0PWYcD7ru7aggSZkgfYYMWXdbc69GwcMPQzSsrgc49I oQhXp3TP6ObepnrWdzxyLpJTHsuXCMaPB7oQDmo9ClsaCrMKtzzAvcdwjncsvdqxijZJK4oGePN5 /oE77Xx+gt7+k9+ua9vYIaxfRSzfvi4C0pkG3JyyNwWnqEeL9jCcyjGr58ql4ZR3vTNCJERB5+S8 Y5mpyF5SOdYSyauEjCRsIWggIYR9U9tj79ewSIlXjVT4jrJLHzbd1T9S+2uTGtOKid47GYKpbnO2 uZQBppmGLnm5L++hvCMKhz5Ypli5cZsRCOvJxeevTWXCjLCdaNUGQIcdrdb3h/lC9p/B/oXVR6SF EUtwquHalJIdZrN1A7Y9rQE7xf9lQQMFPxTqKrFAZaHjhAEW4quUs588v8S5kzmpWJRyjs35L/2m DKgkdBt5NUqVy97sZcBJi/oh7HAow4TurOueWmYebSYYGsFkq1wzATmNhN7Vx1p4JsaBHM1dEuVC fn5AceOZmqfVd9SDonUmjgFDAt+L/Kltk5iZ2HfV1iOIyYOJ/Gbt98oP5qNqFBjOoxkH1rV93mtu daWN4O2QfpiQUDw9jUr9JUvcgqH8GjO3gt3HMDkPMQK8h5CSLJsNrJ9KFxnleyML8lnDG8Cvoywb V/rfbW83mCiqML1skhf5Pg7nDExjj+2b3CpQ6oKNGYqtn0KqMbebgA9WtEuF5iRTcNfgfI9WW4MJ AUHD5L2z2aZXzrne0Wf35KIyfUHHiGNNaqGbsh5aOhg3gurfnhTqUFOK1T6IY2YdSFTsOQW+cjqk KAokX5P1RrjCgtKpDVCK0Z6EQlj9+0jJMzLGg4ZIUt/9GRFj7zt2wGdbQUmXVmiO2To5GlTSzu0/ KEgOBgRKBw7HJErqgmzKvLiQIEifwbxCC/1LyGfveOdAxI2BEaqpdlxKVZg7KmJ4YTY1MJZusjsc e94b87ipm8g5aEO4FLfBs6YlMk0aSOd3YYCNUiqpupbANiv8IQXFk2GsN6rsWv298L9KC7iyARog RDUB+BqIYa5m4xEgMkv+53zWtcMlrpOyGJdbUiEWstsny0DWhWvjYzzFgdk0r5Huxq+t/Z7DSOeu EB0qgzOR8QTrUC6Yu4WPzqC8UzNV7ATQUfOkLUOiTbfKamiXFhT4PwiQLBhlbS1To8xepGmVhYCt U0dFEKNmOYkjiDApzpI7UtVVlf2fbMn5Q0/k1ECActPvmYmlmCSO73D7OSNqMBhm3dFDFRkEk2UM kwDPh4iv4+VNvQkWF8r32B3DK6YNnvA4Xrwl4t0pGTsoie89EKowkGr0UlPc9Q4BWsBPI7DnariE YpGcsD3qRGREu803nroiPBuSYTMj1CH52+SKoihmfHLphWL51xlXA1wyRqPEJkN8oO/qubcjq5Gv qb2RgPiOeJ0O2XIqDPfsUWtHIUxEeTwPUsNXlrXvZyd8LHh4YAf4aJFnqTnLEYkJUD9nnaLEZ8he uDukPRsMgdM46A+xMqdFk4qQ2vyNeuRb9cvqp3jOsh7V4LDvQVYoCJoYGEcf7OZ1nKJ5u2FofVEY bbCyY9oJjwolRl/r3TSTAYrxM3XmA7tOe1lkkFmuAoksuGZo8PLNqVuk47B98lK4Ku0Xkp6vo1ll WGRxTiwQZ9Xs7vkEs4Zmj441jhFEmL0XPp+137VyiIL0j5STyC40UnYX4ONXE3uZEswg0rAtbPS8 kYMngxcToW2rgB5XSv6UCTDYReG4CXFKmnC972hMXHM/aHY06Or/jV67nPZlmdaqBGVECDz5vnFD KnlgHX7VIl33fdBihCyHloIVKnVcVgwmzWo+MSVPlVCNvnvadshpudRnEG44nEkbdzKLRwC7c7qg naEa8Rf9JgZD5nG0jHZhq3WM9TeICcwyeGbIjCOxk8jGvxQbdEVF0DyidEGYOjRlKG3EmNbXRRVT 0tzYIgqrBC0tRreldILI0qKRsy2gxgDl/N2+zzUfkyqcMRkbvm+9XEr50W8VcYWdg+3VV983zYIV 5jjfELIayAA1E4Rvgd38zUqspGwBlc12JHdc1RVzfrT6iGZ03WCKhuO6Uq8GsjLzVFZQLaWTc9eI sqz+iDd1acMx2FrjN/tl8sE7hQMEnHvCOqhArcu7Vdrn7ad5H7or4VvHZMyfGjRPgdIJzleCEFgR 3Jnfjr30Sq9NGvjMNMbQMXj3e5HjrJuoNKGimhksatWlOdr8GgbsYMMdHa1/MI+BMI7r5XZdYg9B Unfir+L/jfNDLqfwiVhVj1Zq4X9opA1wkoPIsjf+UdH3D97s6PT4OW4X8L2UoLU7M62+9gACPK5W MmVW509xjr0N6xc8lEiDxxJIMVMq+l5o1BC5762rpszmgtaGcJl2Gw/gt/ORSFZhRUjZILcuxTAE r6ONOVfSiUN9s2uFXTz95a5RNgnRYpezMkRX5eNLJ8qLWf1Ags6RLjRHP4tA0sEtkL82HagMdfZ5 E7Ke0vLS5zYB4LO1QqbNQ+u1ZGSLe7++zpdkfVCWKIb8M7BSIwVTrBrvjHjtqpDd5FhyruZfzau6 Im1/GzcEyh+fRffNzMSFXrRmxkh7XKyFSUpbSjORilog8t+NwoXYg+hqgwQtXUXVz4BUFb8cj1LR g05MpgRjiwfFZh7peeNkq6oJ08mJx73hijSZsKRgqdZdRyWlb0ye4CKxKVJxo2nOux/8dbpmIFFy 46bcZ/T1j6dcZp7Yvl23ngEqyip1+GP0hAbq6MSYe8lXI/SJMifgQ9Fixshp5fTUegPj5NivOU/y lIVlA+oE/NXOoQ+0vx+yH/n/5yIdbA6Vh+f7pjQ3F5gTDSICOE2CMe3FdLGMp+sx/WYymV62E6k5 fdjVk/zWIpCiCKrmTMuLpL2t998h1rMY8rH3IIfuNqwSWV6vzr32+dA+BOpUv7Xa5QOb2jxYiUPm 1oppkyMOuPGSJmMRzey0dvszu2jEq17nue8F7yIwJaFy+/q8oht3CfmjjGVg1dIlh+iuJo8ky5dT 7wYvkcf/ieL3a5FtF7xlj/gtZI6/yLWe4hX41xpqWV+BGE7SoxL6ywZ3XnH1HEEfniVIjkPc2COu 21jeg1lMOj775n22CIJRFNwwPDKJ77V/m2oe+rXpYPpTeEX/Tnp8KfgOcCAnl+nFeVq3Hv+3I62S 6vhBcqqXCzHYfcxASi/pMveynTWbKAAHHXJgQBQp2saBSuKm0Wq707SsS8hIkaHfAAK4KoLT7Yk4 tZu1AvMYjVFiCHMFg3AD6cv2Ingu6B4YaB7EXjYFfKShT1ufTWnhzdMAzVCisJP5ftE6BnSea32P RX7DSjVR4ESdTg53qps5iygxpO0+FTXpBFFbY1IiKBjQaBOHy6CAxW/n5Rn07nYNRApZcY9ocwk/ K64IwPXE/MtuIAObOFTznBd0Q5hRR91UKQgJK2ACQmsTHChXTGxdBJAH4W50GQDGtvekt5o/PJuU 5iDQuvGUmkYbnvMsYggjzAC0NCL60eNSx8bXsqMqlfc22unm4Y6b4+MOODXoP9GAIQHOPf6frz5Y /GUHSl78tFAmeWcwinz6689hxmX6DUxOPug0IjDWJHjFIsZXnxJqY4FCc29McEoIb7kDEiyyvWoi iT1fzhxWqipUemQHpLkZlQtUq0p6dPbxrdDPz03NOkRzs0bm91rx2jqW2vsSQRUZaxEKAbD43mWZ BT5UHtC5MLxzIkGvAPl3/hPSBBJtyLjFD72kzhybE59urRT2wfYDO5EwhxBNEu8igPychEHI2hzn vrlQ8gLsyijRcz/D01WMRaQju2ef4wnZMFOJna6OzaH3Wf0BtpnnMGQZ3O6AUrnO1X/Iiw/L0nhx 65yDguY496QT8cpCfB57pOvaryFyVovioA2e6nXOMupQVOkZ0m4VOwTUuBQ/RV7bB5M5LKce/4yP JM6QtRXHGKGLdI4I4whzPNL5wwBfmpFaMVhWAE5DaLu4/9SxKKqZd9mlRrHu8vjdwqQH5E0Jq8+M Q5x9/d2/fX2Fxk2oceTGzTvysp749lca3pMTb0nF6zbG2KZuYc3UGfSlVzCY5Yb0HzG6CriGRO/W 086+nx8HLhpKATf3OyfzELS8al77kAv4PHmfJT5tU2f9A3xMLJIj8ygcwHQv8NEWMUnGfDzF6NW5 xCgT9Zf6nx4nL+nL2GVVTQCE1Ap0IPpz14T1L4RS2hiCIOAf1UVw+eP56slgm1rlYY3pAW9IC7Dm lZ0JV2X/8yBALPgkHOC2VkqtVuHzkYFmcIzdXONEWJXWAd/qb5mxMGxs/gbxX3tyQuVpBYVKdnn9 tf6cg+VYVItw9+N5NIGzIu2Pa61BUDV1/dhW6u5YsRQ3bGWd4hU53Cqih+qKuFdnNGMY3kBSedpU G4ab0kQbd9cbVxjRaRQMIKJ5iT8OPIwrLLfFdV71liB+bTjA/9k4zWeqDi/RDewA4uAe2rpdiLEp twpzI39hIQ7SKAIgHTpW2zDHNnugyg1l5AXFKYVlckHEVm3Pq1I81fk0SJ7SP9HPtXfRhgXwab44 rMUFERShqQDZey8tzdjSVpiesl+80ISjJM4W/lSrfXLHEFE+S+aYGMTewelow3J0l5mVna5wjPaM 8ow5XncfB7Pwb33NvxsQkn54SyCDcqMkBuoA5ebnm6Xtp261WxdnLJ0iyFA8FqmSBJHx/1KoywEd F1vCAFzsjs5FwR1h3WrDJw3YlaPrf17RBPbSvbIyIPKTTN7XOohHDqplneXfHDB/TKLijcwaiMgm hXUARR3je4D/oJB+hj3/hpoyzPqgXYAiRKbAgIWqzYK3mH8HH/aG/kODsXN6tx5Y53U9cQnm9Zdu MSHmb3c7bl67ONU90Je7lti+n5cv2v/9cGpugTgJRGMHixb1WhpzvWykEjG8/4J2/VdDaCd5Qb8u PNx+L4AnuwCnnMuz3z/xBX193IsmtwTjC3QsberZokI5Q9r/UcLCcekDh/aGzoXUfluj10dvOgO3 gCJjZiHWjUFCTL9AmBHEI/SRRhK0Kck5f6VWxjDVuMXBaVXE5SCossdmneivRKkY/nOiWGRzIxP4 C/h5EHuaUcZm3CbC7gmbO4CL/gxMrKwmiY9G1GNKHU0qAcsoQvozHwTkgQ6H/TTo4KmIubpiuWxF lOHHla44F9M476u6RUhg8V74LGB8Kifw8qSfbBIgp6YoQ9SwTUCY1Ik5RB6loAVowyD657JONek+ MTUFn/BP+b1BF6zABKvWYb01sNYiN0v7/Q2oiYnWD4jHmUR9mBKiE67M/zZb4xc/as+CaTg7yHYC szUGVtEuV2ojdvtsptwQuFzSHsrLtpzVuYlZ40+GXFn5Vz98mbcR0xIYHAVI0oG/QXIbV5inhKiL JuGp367/Zs47aWxLAfc+R5689BoddMf/TNfTgbJpO7Zh9URvhXDwODWjDYv3py1eirDdG1CUiep5 53Wyrq5WQhuJuJcCXwFo9Xd5+2IIXRAmTtA/8pfEghV5yeM3yK1j6ebMBcz6XoWwj+9B/oVSOZms woQkGVKJAM59HlPugaT3PpoWHyUgD9TBpfCrbN3E/9F9TnMOLeNUiQzZpf6ulzunz5MPExhFtW9M B3vYx7jikU1QTZDSMS56CaM1ETmq759D7Tj9QcX4inYzFuI13GhZ1hBgkWFoX+yeLAgYvOdrBLFS /2ljpkxGNlwRigpEAAGc9NIRlTfA56p0aTCBze15ZVxD3Bc7jaGb0x5/p3TwJ9fKOlMBUbPPQawR ujAeUPe8DgT+hrvfZ+NppSGyV6plCox28d2BJYTyIu/55E2FMdW5R4H+8QrjVb5MVt7LODwQ36DD D5jMcKGryKpTLfeqHArcNEEyu7YYN9SZsoypTnXju7ZHMPFvvsobAlJj1KGpJA5qXemqNb8JdxBd YqIjOlLCMy8ENs/1OeMQOJL+CIQ1jexSn9RDsX3sCQcJxfJQJFqCMeA5RZ0F3beV65E4LOvFmUkJ RzXWWk+YbCs1ae310NvTO/5+nui8v32zDkmuiJFVJzyN9QNEhNwtCuskR9ycbv6D7SIJ4BlszRRA XTQCsh6dmqSzcu5ltF2UJpyy7eJuqWdiMRXAojML6T/nvELvyF4cpmFF8UtsDBOm0aQlw+paJ+p1 BDIrdaYsLjdw8t0ZA+K9yEiTX0WDNtwQ4U0ndrIKKRdlMcRKWXih+Jbw+bpxgCbebHTfXapwOqxe HslvkKZ1iD2Mh0PPAI+cfH40f6J1laCAsWOCR2AVMpGoVLjPGYfYIVRLVZoI9v3fHgW+xDOwK2ug I10ZZTvAVY/C06d+wJML4NqC1czyaHdwN0uBcQg0Ady2UYbdijb6RFpDMCRnKNFlfAXgHvedPPB1 3viso40oREIbosYTz2PsksnlO/UbSO1+Y86BUwwTyjJM/ls7AO8xh0iZOhgq9NZOW3ci+LdT6pu9 8qWdukqcSgAip2oVckQD115um/3vHFGb7twHzIeE4OF20NlDyGIZ+J5Cy7Kv/20V1i7jbq2tWdL9 ScKQmSQhQnBEt6M4s0VWWfo+luFM83Z8ZSa/J/NkVM7Cw2s10r+sgbI6F8BYqwdHcM3naOyPtaDH lURjdt3rRT2puHR+eMj7qic+y4HLp60IywzX9556fABuh+IWjYgm6OrDPs3oCCCNWRdraMof4Iyi FuoIybNV9GzAYEtaVU+j/5bsV3b2jnRei4OrX+JcNFCfjHIazMEHV66DEOvfyqi3dHH4BY5XJqFe oQoqmmy3DmNYepXToLw5khgAzecYdw3V1kd5qvOEYUwZle1m1NDB5+rdDuFOiBl/8+k67SMkt6pJ r/UZ3bghJXkQMueJCl/z2dqk6POCvoD1kU/TRXHtyYvZvilo25riKb/4c5gin3DJHyaXD2l5p9Vm LCkCsngyzw7zXSnbHoK6uHoENB2GpEcbhVU8olSk9z2ZNoRm0VVvqV4Jwlvt/0nfYObLgPjplnPk nIiGXLBtPfptm6FbUr5Iuo+rMUrTxoswQawN7Lb2/aVEh3FWlPVoQVVz0Jm7RCMPrtXzVr/5cMGZ bNQigiGIqQ//Fx075BRQwgUnYmeObVCgdl0Sxn4lMRqrZbGEMyCIjIo2mU1Vml9SlIEntn60QW0/ pMtwvXSLfMASNmNyhXz+Cl4OzaHCNTm2Whn9iHHYTTnzI25zu0Blb77E0TZ4k3ftSmERw0UJ53Bk gMroteM3FbzwrUkwu4gekpVkjvXcapWPEf6uwRm+ceGeeBY6PdXpEJdPy3MpqlK9TwCLJjtbOuU2 C91j9yQERrtPfdGXHnYtbPzxf/nJ0yJgonNi0yitDKtm/wz2vF7bC+32ceE2JgxErP78TQIKPPet vWeXs1ZcD/i/E8kUr19J67uP4HeGa4LF4e5ovEEYECXm1VyFMFdYF/zNhvTUJaEojXEx+R1868Xb S3Jw+367CJylqq9HKGmKisOPkPQxqQkB74ZipUUs5LN1GCAhYIbTQuBVHZWXgLuUNP2mHlg/VCWl yC/jJ/63NvMUu5HonWkvGekJCNXZVUUa1sfMMqs4sGnkFBCro704qoIIlXvoqBZ0rreuKS0qkCC0 FmixnJtN8KH0Sv+j30Sd/7MyPHLLo67XSY4u3OHmc94ONYZDrmIj51eMbK9J3iyoB1hj+fL3Kx+N QY9afbya/54/OxrzTRCi `protect end_protected
gpl-3.0
309471485251f5ea5744c356805f4d7f
0.930595
1.895377
false
false
false
false
apoloval/avionica
vhdl/ic4021_tb.vhdl
1
1,725
library ieee; use ieee.std_logic_1164.all; entity ic4021_tb is end ic4021_tb; architecture behavior of ic4021_tb is component ic4021 port (d : in std_logic_vector(7 downto 0); pl : in std_logic; ds : in std_logic; cp : in std_logic; q5 : out std_logic; q6 : out std_logic; q7 : out std_logic); end component; signal clock: std_logic := '0'; signal serial: std_logic := '0'; signal load: std_logic := '0'; signal d: std_logic_vector(0 to 7) := "00000000"; signal q5, q6, q7: std_logic; for ic: ic4021 use entity work.ic4021; begin ic: ic4021 port map (d => d, pl => load, ds => serial, cp => clock, q5 => q5, q6 => q6, q7 => q7); process constant byte: std_logic_vector(7 downto 0) := "01001101"; begin wait for 10 ns; report "should load parallel data when PL is high"; d <= byte; load <= '1'; wait for 10 ns; load <= '0'; wait for 10 ns; assert q5 = '0'; assert q6 = '1'; assert q7 = '0'; report "should load parallel data ignoring CP"; d <= byte; load <= '1'; clock <= '1'; wait for 10 ns; clock <= '0'; wait for 10 ns; assert q5 = '0'; assert q6 = '1'; assert q7 = '0'; report "should shift data"; d <= byte; load <= '1'; wait for 10 ns; load <= '0'; serial <= '1'; for i in byte'range loop assert q7 = byte(i); clock <= '1'; wait for 10 ns; clock <= '0'; wait for 10 ns; end loop; assert q7 = serial; report "end of test"; wait; end process; end behavior;
mpl-2.0
b737941747f7a237a5123ca295ac8c3b
0.510145
3.388998
false
false
false
false
timvideos/HDMI2USB-jahanzeb-firmware
ipcore_dir/bytefifoFPGA/simulation/bytefifoFPGA_pkg.vhd
3
11,664
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: bytefifoFPGA_pkg.vhd -- -- Description: -- This is the demo testbench package file for FIFO Generator core. -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE ieee.std_logic_arith.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; PACKAGE bytefifoFPGA_pkg IS FUNCTION divroundup ( data_value : INTEGER; divisor : INTEGER) RETURN INTEGER; ------------------------ FUNCTION if_then_else ( condition : BOOLEAN; true_case : INTEGER; false_case : INTEGER) RETURN INTEGER; ------------------------ FUNCTION if_then_else ( condition : BOOLEAN; true_case : STD_LOGIC; false_case : STD_LOGIC) RETURN STD_LOGIC; ------------------------ FUNCTION if_then_else ( condition : BOOLEAN; true_case : TIME; false_case : TIME) RETURN TIME; ------------------------ FUNCTION log2roundup ( data_value : INTEGER) RETURN INTEGER; ------------------------ FUNCTION hexstr_to_std_logic_vec( arg1 : string; size : integer ) RETURN std_logic_vector; ------------------------ COMPONENT bytefifoFPGA_rng IS GENERIC (WIDTH : integer := 8; SEED : integer := 3); PORT ( CLK : IN STD_LOGIC; RESET : IN STD_LOGIC; ENABLE : IN STD_LOGIC; RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0) ); END COMPONENT; ------------------------ COMPONENT bytefifoFPGA_dgen IS GENERIC ( C_DIN_WIDTH : INTEGER := 32; C_DOUT_WIDTH : INTEGER := 32; C_CH_TYPE : INTEGER := 0; TB_SEED : INTEGER := 2 ); PORT ( RESET : IN STD_LOGIC; WR_CLK : IN STD_LOGIC; PRC_WR_EN : IN STD_LOGIC; FULL : IN STD_LOGIC; WR_EN : OUT STD_LOGIC; WR_DATA : OUT STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0) ); END COMPONENT; ------------------------ COMPONENT bytefifoFPGA_dverif IS GENERIC( C_DIN_WIDTH : INTEGER := 0; C_DOUT_WIDTH : INTEGER := 0; C_USE_EMBEDDED_REG : INTEGER := 0; C_CH_TYPE : INTEGER := 0; TB_SEED : INTEGER := 2 ); PORT( RESET : IN STD_LOGIC; RD_CLK : IN STD_LOGIC; PRC_RD_EN : IN STD_LOGIC; EMPTY : IN STD_LOGIC; DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); RD_EN : OUT STD_LOGIC; DOUT_CHK : OUT STD_LOGIC ); END COMPONENT; ------------------------ COMPONENT bytefifoFPGA_pctrl IS GENERIC( AXI_CHANNEL : STRING := "NONE"; C_APPLICATION_TYPE : INTEGER := 0; C_DIN_WIDTH : INTEGER := 0; C_DOUT_WIDTH : INTEGER := 0; C_WR_PNTR_WIDTH : INTEGER := 0; C_RD_PNTR_WIDTH : INTEGER := 0; C_CH_TYPE : INTEGER := 0; FREEZEON_ERROR : INTEGER := 0; TB_STOP_CNT : INTEGER := 2; TB_SEED : INTEGER := 2 ); PORT( RESET_WR : IN STD_LOGIC; RESET_RD : IN STD_LOGIC; WR_CLK : IN STD_LOGIC; RD_CLK : IN STD_LOGIC; FULL : IN STD_LOGIC; EMPTY : IN STD_LOGIC; ALMOST_FULL : IN STD_LOGIC; ALMOST_EMPTY : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); DOUT_CHK : IN STD_LOGIC; PRC_WR_EN : OUT STD_LOGIC; PRC_RD_EN : OUT STD_LOGIC; RESET_EN : OUT STD_LOGIC; SIM_DONE : OUT STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END COMPONENT; ------------------------ COMPONENT bytefifoFPGA_synth IS GENERIC( FREEZEON_ERROR : INTEGER := 0; TB_STOP_CNT : INTEGER := 0; TB_SEED : INTEGER := 1 ); PORT( WR_CLK : IN STD_LOGIC; RD_CLK : IN STD_LOGIC; RESET : IN STD_LOGIC; SIM_DONE : OUT STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END COMPONENT; ------------------------ COMPONENT bytefifoFPGA_exdes IS PORT ( WR_CLK : IN std_logic; RD_CLK : IN std_logic; ALMOST_FULL : OUT std_logic; ALMOST_EMPTY : OUT std_logic; RST : IN std_logic; PROG_FULL : OUT std_logic; OVERFLOW : OUT std_logic; UNDERFLOW : OUT std_logic; WR_EN : IN std_logic; RD_EN : IN std_logic; DIN : IN std_logic_vector(8-1 DOWNTO 0); DOUT : OUT std_logic_vector(8-1 DOWNTO 0); FULL : OUT std_logic; EMPTY : OUT std_logic); END COMPONENT; ------------------------ END bytefifoFPGA_pkg; PACKAGE BODY bytefifoFPGA_pkg IS FUNCTION divroundup ( data_value : INTEGER; divisor : INTEGER) RETURN INTEGER IS VARIABLE div : INTEGER; BEGIN div := data_value/divisor; IF ( (data_value MOD divisor) /= 0) THEN div := div+1; END IF; RETURN div; END divroundup; --------------------------------- FUNCTION if_then_else ( condition : BOOLEAN; true_case : INTEGER; false_case : INTEGER) RETURN INTEGER IS VARIABLE retval : INTEGER := 0; BEGIN IF condition=false THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; --------------------------------- FUNCTION if_then_else ( condition : BOOLEAN; true_case : STD_LOGIC; false_case : STD_LOGIC) RETURN STD_LOGIC IS VARIABLE retval : STD_LOGIC := '0'; BEGIN IF condition=false THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; --------------------------------- FUNCTION if_then_else ( condition : BOOLEAN; true_case : TIME; false_case : TIME) RETURN TIME IS VARIABLE retval : TIME := 0 ps; BEGIN IF condition=false THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; ------------------------------- FUNCTION log2roundup ( data_value : INTEGER) RETURN INTEGER IS VARIABLE width : INTEGER := 0; VARIABLE cnt : INTEGER := 1; BEGIN IF (data_value <= 1) THEN width := 1; ELSE WHILE (cnt < data_value) LOOP width := width + 1; cnt := cnt *2; END LOOP; END IF; RETURN width; END log2roundup; ------------------------------------------------------------------------------ -- hexstr_to_std_logic_vec -- This function converts a hex string to a std_logic_vector ------------------------------------------------------------------------------ FUNCTION hexstr_to_std_logic_vec( arg1 : string; size : integer ) RETURN std_logic_vector IS VARIABLE result : std_logic_vector(size-1 DOWNTO 0) := (OTHERS => '0'); VARIABLE bin : std_logic_vector(3 DOWNTO 0); VARIABLE index : integer := 0; BEGIN FOR i IN arg1'reverse_range LOOP CASE arg1(i) IS WHEN '0' => bin := (OTHERS => '0'); WHEN '1' => bin := (0 => '1', OTHERS => '0'); WHEN '2' => bin := (1 => '1', OTHERS => '0'); WHEN '3' => bin := (0 => '1', 1 => '1', OTHERS => '0'); WHEN '4' => bin := (2 => '1', OTHERS => '0'); WHEN '5' => bin := (0 => '1', 2 => '1', OTHERS => '0'); WHEN '6' => bin := (1 => '1', 2 => '1', OTHERS => '0'); WHEN '7' => bin := (3 => '0', OTHERS => '1'); WHEN '8' => bin := (3 => '1', OTHERS => '0'); WHEN '9' => bin := (0 => '1', 3 => '1', OTHERS => '0'); WHEN 'A' => bin := (0 => '0', 2 => '0', OTHERS => '1'); WHEN 'a' => bin := (0 => '0', 2 => '0', OTHERS => '1'); WHEN 'B' => bin := (2 => '0', OTHERS => '1'); WHEN 'b' => bin := (2 => '0', OTHERS => '1'); WHEN 'C' => bin := (0 => '0', 1 => '0', OTHERS => '1'); WHEN 'c' => bin := (0 => '0', 1 => '0', OTHERS => '1'); WHEN 'D' => bin := (1 => '0', OTHERS => '1'); WHEN 'd' => bin := (1 => '0', OTHERS => '1'); WHEN 'E' => bin := (0 => '0', OTHERS => '1'); WHEN 'e' => bin := (0 => '0', OTHERS => '1'); WHEN 'F' => bin := (OTHERS => '1'); WHEN 'f' => bin := (OTHERS => '1'); WHEN OTHERS => FOR j IN 0 TO 3 LOOP bin(j) := 'X'; END LOOP; END CASE; FOR j IN 0 TO 3 LOOP IF (index*4)+j < size THEN result((index*4)+j) := bin(j); END IF; END LOOP; index := index + 1; END LOOP; RETURN result; END hexstr_to_std_logic_vec; END bytefifoFPGA_pkg;
bsd-2-clause
eeee0176e910c8d13bcb2b78ab08ab47
0.504715
4.004119
false
false
false
false
fpgaminer/Open-Source-FPGA-Bitcoin-Miner
projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/builtin/builtin_prim_v6.vhd
9
36,934
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block UxAjvyweXAggxqtr/lU8Scspe57lHNR6q+bDc/DotHD1Dg5u7wJG+Ly5GwnwnXvbogWU3Mx2qOyd vfxSWugYoA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block UA3jowVZ45NWbRJw6X8yF38IuIi+1dE7bQgzA24Wntuh0HKs7kHUEGS8o2tTVNPY9yJ4ia7sGMY2 4KpqvVQ0FKhZFVdv76xXHwhSeIxzpRYvijDGwnZz7FIb1/JdsT8sR6PPXdd/eyKRARt5xih6X1lb FPQ6lVf1zJ5rf+4Vkzo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block p+SwbzviQRCt4xfR/NFay/2sfKuraLw8WCNSwRFKcFs4ATj9dvp13YurW+Gkg94bTdv0zN3/wcJO g7BXs1O0lZ8t67pBa/RiDZRmNMKAeR6qMhBEPq6HMvuRadGGGxgRsTCsqvs+ABdvFNMVmcw+2XSi rxOw030ETw8VwaDjstjRLpRhbP2ZasUqtSdMaXJkjqCQrowleIC+9c16egHzlEgureX21grFfPXd SXxT35d3t4NYR9DVdSDNrLAwke3OkNayGbDcXn0BfVuO/lyUrRcrEhmBgu93xQDkzl6ZZQGO1rkV HnetNfYVb6yMaxrBmRpCCF1pfYpYRssnI5Cb+w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block vcvwwfn5tu4KjBx4z7ytVcGUzM/GkXwQBAQ6YLA2rDu5dr/ImmzbSdc5Te11lHZa3IGenrti3GLf WsvRjUzN05DkzfRfg9L+sUzgUfWWcAL0v1G7HENj6GCRt/51Bwoi/wYsJlM4tmtNdeE7VnXaJQ3h EfMqWSThUJiMZ5Rvc+8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Niv6QxuiGuEvd2bgP9D+akiWHeOTcROLlZVHwisfGq8KPW/DBBdQag9xU4XGdiOySwTk3H6qhb1N ocoH8sVG0XbW/kOZ2M0iHOWtty1tRT3xFVdKmmj7qY3FSab9h3iQ6Yftnzm/aNDpjG7XL3SEQteZ jqQvxRn5CEgKyn4sOjgaOb/XLSMQFz5xB4WoAdYx/w/br5VFd5Y4F/4jDE03PYGY0BiC5T594I2f xA/f57bPmC/g4z6Ew/jddSgmm25i4yKX8ca6tc5fU3AWB1i6d7fDEBydpSUecEXVG0axrJ0nc4Mc 1tjFSagJBAS9vAJQphQQbJuDgRo3RH7zuh1iPg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 25600) `protect data_block bPyuiwjMc7O/yq/QnC7cPANSmuiqx51AVzhWtMbrvyRNbJkpB5rqHl4SgAAH/IlIgTa3LoOjttPL 1hJzDhcX1hYDoyESGSmGvN1WNAnjzlbuLU8TEwnOMLa9iPPZE+TpMt+w156gKtQto6FRLnl3W5wf ZLZ6kc6ryM0jCnYBGsAZUVf3OYozmXr8WiHk+TvcbMHDMbMnUDEKb5U8twEBkOFpNPHtcFjrpLj2 /sAjxQERQ08msV4w2oUDuNtnsNCfnWyw/SoYiMeFhorlstFsx+vWXkzOIRSq4y7I1rCW5jIGelJ7 yMmkHZFJouUr4kRbXdjXy2BNHgan7bMgiof65DhiN+gG8pDkWgkNC30tG+PkD5p6Gx9gBpMcN+IN LiJ/kwqYLqv4XtwuWxRlKdiG02FkVicqOlkHvXdAeDIVS19+0njCMXlKBzkTV1cyYmmeiwTpCgf6 uSHntH8kdc8/7SonG3awevp/obrzU5EY87DIsm0gNDmQBAru7bosPWVYbD+IuTBOIdfJM9izkKUg fMZhigDCltHYkG5dXNUCh7TmzqPHctYPKaiaFtO3tyEZIQgvcUyHp6Q3l7PDwCkRaYhkL1bEa+te aFA6i8KYFvWCwKqKUbMs1fBo3RKmFhJYNxPq47TkvaxEF+2X6aakL/bFXglPX8CvxRmnf99z8UH/ kouOqkmJC658UdGJoTzFXqVvUr0vs/ghLHMLjzaEK9tc0X9wkSBGEw6popR6D/PUmtu/hGOp+nOH xaukiWG0jc8WEkOxug9JQVSOsznkqYrJHThUE2/gUVUXoQZQmcIIDFbZTkXhOnxGDkIM+VVTTlMx ipPXjBWrTftXYakONoKDsTy/KUM6HRyAnd/W0hlrLjkNpiRyuNbyHqDF+OTimUb5jr6uW+71FWO9 autZFv4nwCVseGWM/SV3r8fUku5A6l0ktEXOKlZZ3ij8etZ+B+U2qsrVJO+RqVBLKu58m6dF6CsI R7GIEM9mlVOp1RonhE2t0sZFM11Ok5Gif52w87/tedo8zn5fPJNhvke1k+dpM+/gcCiCmRvTzDSS KJq9oe2X1R1iAQeeEL/YEQqWGn+rBDqXh8w5/vw0u3SGnr+1k8DCYZvnWVgX6nhppZim14r3ZP+t D7T0wJ+z2TEdLzoeUl2iXFVXNFC6EZ+ltqGjPOnoqb8XKoHLAE3roMRbybuwuZxxDRxHrGPrq032 zyC/IAzqvzjD4X2A271pod4f7w6DIGS0iZRjQmcl4B2bsCnKWW1bje60zfQAdXUpP0fc5p0W6nOY d8/ED/R92hZHZlcPA8vVkBiKEYmAvI3zGPEYdC+ab3wMfdZabEr1xI7xB6qu8YR4l9rQzxrtlm36 m6EWSiIVlCVZtWRJdhjvGVtTrf0vkYyGqm0mKrWFbpz5XRYweDnDYP8Ov77JNCAY4jl/pciN70nk oryr5FnOuS4cTw7ghK/PCDBhF+K3yOJcOnNUBfvyvWPIdLjtgd0m9aC3/BS817TVczNdYiwQ4Rla guwR/CVOzitaie5Onr7fu9+IptXIPSwDwTKEjqJN+llk2BSiZqmCac+bFTTP21cx7xxb+WVFBlke g9Xvpx8u+x2n7VDKJRlVLGj8uhD8yWIUA3F8aoIisadib0QMIbMKy8CKy/62FhqhRLtOUD1K2ZLL LpPo8JRbq6k0Ojeb43KLB/gejf7AUUl/6+IRJfMaRaSoDpU7rrb8AlstKYab1xBra+W+THf6MXis HZG9o/5V/yKchm+N8LNTnXMEOHXHG+NsHaPYPgBAcCp4T2MyO1348ilKVZytfTyUHJpwZQCZyE2O SrvOuy337PpxkulKIG4WuY2aKz9yHE4rAytRclt7e9IL6uKvfQwFcLQmjZPt8ebWMdfdTLGWWg6S LP3rrxJBF8vcQNZM+WnC4Tqp+05pKnM4krQJNNEyezYvgHiOz4xvUIMmd6OyUS+0K94l2lwRvwZK sRKQlvEgwZ5j5LrVfLIhOUVZgxzGJg3VvIdH6EaNM5OL+/weQ2LT7BKd2hpUC9CSWC6WJhjLdDwa PykRRvR98+WBJg/VjA1YH/BhjzcSdU2DCEG8QuRq/VGiDYqNaiztHddHpzXLqVqqMXiCAPKZ3eYb BwLsfWvbZEx8Da4c4kOk0S1Gz0NhqAt9U8Dcry8d/LS/SLDE8eZ4uDMkMl6mfXfxaT8wrupW42Kr sxHeaux/UbuhCXr+DyEDrb13awkhL94mQXhuwMwdbB8SiEpw8NcQx5OqpHOGahVCQOIXWbEw3KWp bYyUFJRg4iFdXhZILaAKDMNM8zHP49arnpPd6/EhK6A/S73B9MiNoIv3TQZ/cEpIGVRGsV7uCcqn JPKzPWUwxzNKEy08HmSeaIk3TwzsZa+LzvSuZhc22giMiPgrGNdVrRDeNIvBqo1tLfvHYyZjIvTW HeCfinoCpJK1hBptRc2CaQy5AjpDdZ0DWfsKr/BSoqAt0sG85Ry/Yg93BIwjsxFAyc827v8Ls3kA wwfMGIa2ayhGoEwZOaWCJG+U8ZwEnaKx6I2yjHGgAs667RkaUqjl053xe4NJu9RRTK2bnMLfVPUo 0DFRU/8LcEjSXU2vUts5RZSDa9xEUvJfwPqJ2SPap1+q02p0dv1fj1INE+kbb2cDPxAo48NyomBi JVIJsq9boctlCaIa9qTHAskvDgCp+fkF240Kzjr2r83mHDud2BkDF7gyQyTVyMm0oBrqwIXVf6yq 1PuMePupCHvBQL2l8sE78aC7HnRmnUrcCfaorEI+OC8FKLV+r2adTNPNRDCS0zO9KNs60kCb6qWP 6qsLownsndvedVcphVxMDrrZ137suemTh/NuL1O2kfz3+h830vPrNhyUJbNnKPG3cF7Oc5W2QjeR 4R50dDdUMrL83vvFfBkil+67/qoJDHPvGNrTRhwxfXTx9/CuX0OHT975/57E7xj/Q6lWpRh5Qmr8 2A+MjA9xFHji/EAqtj3o0uqRTZTtkduyY7+3ELTLHeud0zuzXH+ALBtTFa9Jny6cA71joHrbkytH 2UwNyXtUFDMThVHumaHg5fdMtmmMM3eVAEeQNuHQfktL2vHPu4wqWWaYuAs+X0R3QCTXu+JERJCH KSuO9jMtU4ZX/AqcP9QVSThKnUalndQRhmecEKiXlxDxI4mhuc5kDfg+kFHyIuHoJY0e3NiCB8op Lr7812W0evkqFJcnNU08qKDab1Wb4XPx2lpAQR9NT3ZdLmsiWdggE09l4/uHRy12Y02DNm0owlwx f+kQYb4rQxyGT0V2hiVN+yfDAaOQvUp5WVndwHv1XjnrZj9fj17JbZV8KhOF1V6XxJKlTgLiRLwF iKEUYhqmad7RmybupHeMW/mL7Fj1yKmhg4PfiP+0BvZ6QslzHTD3ia5mGHAXuBHxxkF0hK9bw/TR gHKdR0dZfhOJEKECfr/0lk4GZMDCX5g0osdHKPkYM8PzvQQVnSEFX8wbpsUYVkECEjqYqMKNijCa dcYA7yFyMSyA+IdoqGKD7dd3q7poeJQNV6w7GT5wBuEE02dPACELgLW1F7W4doapZa7NYv2QbZUD PjD/TjQBW7kY1CGRs2YosLsedEze1VLjl7dYGZdr+TsCspSvr7xLh+gepVoWVxFCS8IXj6bTHxYz tgwqnd+efNk3wDTVeMr9FT6cJsbhuVeKEU7Md6u0l3JRWKpcRMqbSe22y6E8qw+dDo7bZod9nC1Q 7zfcCQxzjYZTUgIOX2o9TMcVOSUvmISAIEfZ8bqNqrUNeyeLoBtQrfTtzg/vIkwWkGwLNK6Y1//g iHdojRP+zvBqM7RV0EUneUdqMxS+T2qQGbaKZzMozMCNo9BaZ+TapFKAsN4qDSzQDReycAs9R+Of MYi9tEgX2x8eBK166BAuNu19Au39Kvl9I6+9SEJ54qGgSCs1iNkHlN4fXiu2SpykO7IsPOLjKlr6 n4otKq6dQC4OlS4SfJBVsuTGaWB5m6VtGkZglPdUh3qogLeB5t2J/mAwUvg6tMliaXljCOO/rMLh b4SehdFZyBWr6BOzhZt2ZrdEYtgsI8ZCVYf21mHrf269hS7Ys0fpN60ROPDxqNmamO8fFH6S2NMv AvxXGufjKdat4yEyF/Pcwg4w4jv7n7XAv9E/hVAym+ze3fpDGR92YfwdwEHLUUE73PqkwQXP75pN X1a7ZnfaV1lq79NMIvs/jba0lti2X8CLxY8n19jpS+yGtCVJ5RIjHwA+sTnjQMXcbtxhxl+cSy0e /m3mGZSN3fyxu/zqfuIAxBcXdXAQXJv3WVbR2aterLX1RwACSNtGgogdiu3gDsU8dZSqQWJ2Xwkm Uje1O2moUJj+RRtvgp8d6JPCHrTpSlUp6KAoOalP2h0e6WrV+0g8/Dv8uwK5AH3Z8iwWCuzVZzVo nehDwpWDnFuAq0uFMGugNKLc62SDkxPD/a6COVwdjTWGI1wSnW3MnT9TFFuOTwN36gPA0FuU2soO HH7Wl4jPeayusx92FEqSu76aa6CDWSv6kkGfKCqZQHYATQ203m9obh5PTQBiB80woaqmQlmp1M/r AMFCo4QIGku9gVmCB419uuKZQiGk8Az064LsjOsuUuVxbJ79amWe7irZs6No3k9U3tHFSJZ3QPU1 8vicHa04mkqynoNuyjtkbazqWJA9DVe2DgJaNKqTvaTrDkioAdskGgYS+8JxT2svbWLWr2pl7KBu GkKnTpNd1D4V/SvMVaWcY48QE0JItANxRKLc1NjSp0NpvCK0BrkWviXP5yslchpgJgNcVzJ3GJff GMEGhnofhNRXRzzTW0oILAFhgDvu7TE0GYnpeEH8TAbeSZV0G/mFxW13vWatZAOGrIfBo34lY4rt iIihSSL3cZwqZT79JnBojeq7An1uIumotiDL5JcHrCw5nl9UAPU2wueE7O1+O8gOpsaJAMP9Tukm 612YPI0XILdyVjX9xrmwMk4EGU3Ww/YTCHN0BzZu507LBy6SpCKL+y/oXrB2p4UbiHCSE3gNOniP zOVTu9/+gQg4OE4SYTjNrTTfAiJVFmwMQHhW6amMDM8TslrWDFcPwp4R9MUVklH6VvYS6dWUGXKf DdixPgiXUMEDX8Pwt9en3SIdAp5wjslKR20aHiMptaOyHfmmcsPb6ZHvIfKCwc8bgAvO8p6cOS8q SPc54INUKYitZE42C3XFjy3T+MlVvqdriW6AAO1Sbuz5KJ8whxQpqa/6dbyZsnBmDzpgQTFNdTBx xAzyED+eua+7U02RBAAia/aFNHFT0/Z1G7u3Yg0z9fOqi2SDjcfaa3FOllxCLeJVhPqI1vCpCyRU yNA7wqHgaCcuGM/HReAoo+0X3iI6CLvKl+voVF2WLLhe8Rszcc9HCgliOzRBd/bG1Dt82ld0bWIf oaFsE3tB6UhMdWwUcyIx+rQP7zik9lYzgn71N6SSGtgJ+uu3UaaT+Xo3fpFItJ20hQ+pdmJH8E4q hvaBRLbXbbi9D/3AtK1Cdmw/+Gh8mnn6kOH0qwqGekesXSTefiUp8YpZEAUj6WEu8VQaJVrRy0wh dWv3c2nqd76bAtmjWsybR00pn1U/CFNbzYtFEngUbwnt1TY5Hhsqm2/uRhF54QfQD0zyOtnBKAtd R8yXNQ4gSUOlakcDNGvYgs298+yMTiVQI3oevwBQqK03ZHO3uzMy9m/CHcH3Nj1qsPvfnLzRK8uT itSut3llWu92ZZ7FaIFroNGEYD5Qkon4+FE/sU7dpO1iFGnvZqE3nmepp4fB1zv2jVMketeGKl5l MSk15ov6IvJYy1f+w7bpirhxx5gV5RZ9sotnndNS42NOisdjyi5F0abRpvOAYlTdYLe3WYlWmt4f yzrk9mLm9/ruhzooKbvDHpmFMP5tPC5wYT/RjYOVoK073GR4dJK8yq7FqCAbAKko3itkB2tBbOw/ uTnO2xOcSQoGq940wfgEjhCnRPLnRMPXdxKhpvVMy7+lT78TrashOqfFgJX78rvSZxKuIf7UemZO asrgIQS8g7l5OmMyQV8qKnDxP2JP5+djlKeW5UFt+41KGizrgk+AcyMkR8a9zHM1Xzh1CoA7I+D1 KCG5LT0v10cgYQqUA9CY5uw3icKdbHluXRU3jlCmhFgVcHsA3m+FtycERBD5MsJUoDHSSMzfJ7zN N8H/PPRHNsbA3YrCawWrsJJKOjbyISLEWuuaEoEem0nZ9lUdF4LX9JJ8qseSMDqnM07+04HiCF6n 7P7FLxVSHjm2J59mAEtIwLWKVGM3bvXa6sJiS5/WnlyoqpdZAST+ddyey9V0UDxOoO8PKVUiwb3d N4w4odTzvw8xB5y1YOD9fodY7I03qtx9hzp4kimrp3bFXmLpjG/KFOFJStHKe+fOL/yCUxYWrd3v l3dWCXzxI6jLEgCUI+mm4XZRSncJqPdAMNW5KJ8LL1DS+JRi2RBuTXa/suCG/f6RdmCCnTzAHN3U +jHWalzbHUz8lirML+0hM00YWP5UiNZqwjEBJuTbbZanhYCAQpwP/vB4Obw8G7rRQulwepDvicv9 0eggzyD+q/puDqLNOnrUL9JNA5HTlB/kqFa4rwXSixVKKZpkNZrw8LRNoQjReKWREvm4Y4q9nwLY gAKlNyMwuM0aLwUvAZj89TVURnjkBnytBfEExrGc1ix87ntB0fo4eOgnwyadZwT5XjOCTmbuaZaB H2qFcThu29KVSZoClHFnNgNQtQVsaNn8stMgCWgxNX2rmArh4xkBxz5XCQw2jTemvII9+kSTH25k avyl8cN5rHIubtJ7kDIS1q0v5+bWDVcGQdu6RzAz1OuyYCCk7ENPMnjSbgdaKYvjDmhNHGJEVU68 C4ys0DfcmHNjSmPFgA2636er3gic7bWyAQIfsNI06oC7NSYbShCwD4ZZbw0zYv/9ZHg6q5XbePln Ci/KOHVojKQ8gqKIPb36KCY5AEuYhgA4yYJY5vZ3g86ViHgItRhMCyzzu8odJ15qUtWRm13kfUsR /YpqdYVfUIMgKiYRu4+8ja0bE/HKZu+qk/Mpq0q29Ngv14tEdAMdCLt7MLCFfs2QVqk+9blEfQg5 QLF3Zi+Lp2edw2J5/zHLQgFAw24YtPI74FUrBFi51nJg4hS9A0W9VSBUQN1bte2P8JC4+XEl10Jp NiWX+GbWnYWv7Q0Hivm7hlEr0uUiO9o4CNgHY5cbUi3PJ0OMK6tCzTHIijUMMYZhXR6rnUAgQWdq f7ZeqlZtFfKzRWMsd8Pc2QfmoPbizbnV2HTwQC8PDR7y0jsjN+70Cf4TOlrcgzzeGOoYC3yjC8EY /R5/oonJNF6EBACCF9WFqULfKYmvVITjnBk6JFaZ32jlZrxQ+u5VF6h85iLTo41PEtuYrdYiG+g0 IDA33I58IwJs/h3BttHP3+EgAqkYiTgz7mWn4d16Ah16yyQEtJrrmblXphUkS1E9BBqepE5r0I5r XB1UAn5FlFqQIpWaRd6RZ6fNt/8+Knpmg9x3cqYrpsowjQ8JzwhynedErGscGNlG0t2LedRcaMz1 h14Nv3RhR4XoQTUUUMXyiyUfPMHjLnn8NxCg0TkaDiIBYxZ3J8lo97oydAl25LTmnTuT6jwRyf9/ /Uf1N0/aLxbisrL2hIFxoCb5vfLB7zobcl+Ye/mEBniFIh0B1arIQIu6Z2DOvGt0vkZJqSSUaKk2 bx+QhOy+XrPjbRDousQfpBkE2+8Ibdr5faoqHN916TuJ0wRebmDB1mN7fszYWDBcRpDN3789gWiG fAREtunnJS5rT2K8HxP2kPMRzjbUm3XWZqqovEay2xKWP84dwlM/khbUYlhuZ5OgAw7iMD7HpuiJ MWPAntx/f7m3kecz7uWLOVOMqzy+T8UdWPjuTzErbnEEU8yM/Adg7u1/6G/CSn+044OHC+LBmmTu eXdPno6igbodjymdnYg19euXdfVGCgwuBDY0bc9PfUVhXFVv8cSG+p7iSae2ooygb3j/5z/+YFrC g6cQ4Pl06iC/dEySvhLoB7C7LU52jwGwLGovrHZyeIv/781ZL3LM3G/Kpn8eivu2jQ3Qz9X4m0Kb XCgOnMmmTBBFF/3H2qJ7OiWwI4wfzz6tpTGTDy/4pRoKRdkyUqfxg7CTYg0lHaJjqggCsbEIipw3 YpgKFrpJTF/KFx0/HYC0nn3vGfu5emPA+BwC63JAHNUPHa4H/mqcOnWeLkPXmx8CRcPN0avM5TxP ZFGcXw342e9TAmNOFK+gI8GwQf8445rTlRVkVmsgxnoszNJEN4EYjpos/GlLe27P8E3+Z9WMRS8Z nzEy63Dx11moLql68yfGcL4gYbLkdH7JVO0c0z6qHl6QAJ9o80vO7/h8dKd9kmbblSawnQrszTLt hIrUJVkSNbFowRLcmbuKbuLiS4LJVTnZh9miuVVU/J4AQL7QwQcvy/+sLen/vUbFJA/iIl5kUJEN B69gDlCGMkP2EuUlueD45sBfyQnedFiIUwbq1Ihpu5hzCwonJJFPeWBBlO46HE1mL9EQpoi562Q5 D7BN8PT91BHZhh49L4mfCbmr4PZqSe4HSHJ90nfbhhSD5y9iZY5OSuX7ENZAvspcwY1Qoq4WFRY+ OJ+b6Z4tEJa6ZL0dU6YrvN3kN/d+v5ZooI+pKzvMZeqeCeGoXbI8c24q4omiWA5ouzBAdqIJO1VL qBVzuOwegj/fH63NV8fCVk0N3IqSIxSnqECItCHC/dH6nJXLmBjOZMLdLAcQxo+Sb3VA+goAdD26 OXspyZNjCn6vxUFsrrcDM1H+s9TRZB73vZRee/+XfHjsRVexa/OWFuvzc2QyUH8Kw9irEcMRAWY8 i+n+0y0ZCXX7XNFl3PvQ4lLA/Ap7KXnSM/rglHdXcUZTYeiZSUHBeid7kV5VhxSro/soxW7ZAd6B ssbSC45mEB7yJ+w5NxXb1Bypk9AaLSeafaHla1UxPMmc4OJb1CqpQEDME1dwmKAU/e10xPtge5w+ EO3ZM9yGwpgMZhNoqDN961La9wYH+TzkHC8miY71Pw8/7nkCXPOyaDnN5qHRNeoawlKz4YFkjh3W doD41zi2wdpj4mXGKbCxgXejNOwmfeIpXJe5Yll2PyMYONigpyMMPc51ZRuQx3OjZMknhhyQ8BMQ k3GHCN+UU4gwsh0S5XX9depbNaY8RB51s4Xu1C9G0+TQi0HI+GeHz3fkTqLarb0MR3wdc6PmBe8p yos3rxBTCCqI8S6+ne/QXWSLnHlauvdumfcOk3CSF0YArziWj8X2FjZZh1fsjzccY3hGnxFYI60T 3bIHZ+t5AW90xs50B4PfE4leEB3gYkoPmUV+sdR8h7oOfAoBNESn3B7j5VZ7LfOCcTGoy93pnY6n yEURlbZ/EDBiWMRVBLIZCnwKYKIZfkpJym2zzXNmNgzZKdgc+bxPdp8dkf9pK+H+yDAAF+WNtZhL SmP1utKlBUi5cVtGCCujFDE0mQDPIw3rzi5MGp9DBsp2Q+92Lb20/Ns0J1YEwFQT57sd7GWlKJk6 9tRyVz4HdxAMB1IMMKWb6of/NACLhjAVQ3fuCbX7WVgJK13MffW5k7xbhNxb3D3YxrKCq55aOFJo HV82LzuBOHq98QI00eLpIr0Ij5XHLaKPHalKWbEKN5ARQh39GBrJGWqkU+ddWvunMLQAA0TQ0zCR Ub8fwBR5fkjeDhsmFDIeTm+OAvIe+29t7GyxL/z6vpHpr80t0zf6VwAknT7ixOwMk/7qVDqn/Bnt Ks1PDmXA3ZTQh4PaZ9m8fIpYdqOvmpjAOPYfoJq8kJ0zcYfvhWNfTajnliI/eruUSe5bYbdfMaHp 1yRR+3VMV3COhA5SJm6rFukvTbkyCEKwEQOGltKERBiHRdxvnheklxywk5a5vDK8G5mtttExxfQr vd9C7z6HqRpNvn10FChP71LhQyXVRgtoxP63f42h3IQeDw/2XqxdVQd2lLTEebnT8l7aiirVhhWU BxlREnZr3PXKpmLh9NaIwBeepOtVj9nMn0xlToZ73qHEmTOuVQ3w6QZtqqv9oIoGNGu/poieimmx wo3nqAqysYq6ZY7Ml6RzLTOK1mug1hFqxzxgtyqmhHhkxR7s54CzEKz+WtRgR9zD3+eOc7zDbRn4 cm0un3rmPzlgC50XB/AC73dIm8fzg+HgUcT6G+1IzQnBG9a3LXuaRPxFvhHqkDTjSpFohvBTwfl2 0VAqgNLs2frg9ii6MTI8i6tNPCuWtb1mrTZDSuDJqdhrBEqu8dg5g0H1wZo0/OCBdXEfgKCR7Kud lbYT7v/OOVScb1r/KONYkcgS5YlsA0gWuOXbJlipEyMcKoIIbPdpXdQTHfrqbBdzFpB5vccrJvD9 A9EecVS7WdBKq33RiJCURlPvNi/K3gHodzfQdDxXprZJsBrPdFFF3BBazvTQyrPsE1k76PpAGikZ uSQA+KAnjc19wM+1FnVW4bKYjjqBCA+J1vpkPzI0ccO86PaFobCXp/wjt2lnL+D/jXJiwo7o66b1 W1ltJiA72rj+BM9phHB7uxUIntDXSMdFJU6OMoGhZl+kzD6X4w9VR7ASq/DXXM8e5JpkvH+C7hTI h1jVW+6JGdi5EuxgQ4cGabNq2HD9TAv7UqjYAiGjoQPC97+MxuyRLTliKMlxCQGG7lRfEWUJINSI h9Es/5JT0+dGWH85aDHeg0Ugt590hoGdO3zSQVYSWYdHhmDkaSd/Bhfb1wJfAl+SsLdxsJ3PNmRl bsE7E7gVNAF+R9fFdpYtXjPDDZdkeSQK7DwBC6U7xOJskI0whvpbR8gk/BCL9fcYPK5Fxob4Uw7Q zApt3GOScQJ54aINMJUeZOGV+Hr4FXEjM6KzOd72RKuEO+fqtg5VKolBp3EKbaKE6ik1+Rg3sIUK fR1w/YConKwVDkD3S4/ipipBL8OxInDkiIi20+7kj6I7n2Bz+qWWoecsCJGSPlgTOzg9GTLAFGdc qFU5oOYxu5rr4eIYAYU6/QrKtfFB5u7I5q/oDSuJqPNM3hDAqloIXZnNiZqra+jBJMf2syU06D/y isg2CqSSpycxz6bnq7Y4gJQX3zxanab+tL9UtVwdTz/ZKrFfvTv4VbZ3XExe7PGfKJGryHbWAhSX grSGo5HnxjO7r70zSogpDzFWMgEojE/fGZTNE4Z1lG92Z9qI65VK6ga7tmH+wcukL5UfhkZ6bj91 +kVZZqDwaIlRxgoTgx4M3R4YbgSQpZiWWGomYItUVljCETQb6xpq/To3j5ySXQ9t7lzhb9ORF2LE HZXn1y88vusKdK3R4B50Qti/GS1wnMrj94300uEYfg/Ld7NR3/ZPL5/v1l4oCCNjKy4MmQC7mAvg W3UmbgWjSO4tHm9CGcpQREkEQEmxzkXGamt1+kMLE6nBRPk2lhDc/pM2XgeeXAprFt95I2sR/+GT Dkb1L9QP0tY9uUTclQo6OdR/3FYjqkWlWejFj1f5a1Iamhb80GCAy8SrSGdx2uAdp3TVTbnTC55v pWtvy2ppCMhKCKTe7e5V0Ib+eaWSc1YS8W2BnSfsJKzCvYIVq9X5EqWuRXygd/3B1OstSf3Y2meD FKmS0+fGCRzJdMEVRwwNGd0/wPEea95cUvsTzGr3uGgPB259kC8iRqQiO6cEDAm3EyudRc4nKKF+ HjRlSgArpIe4CK7bG+D7D2QbubVkWALD73WQKmJBOoTrRxwT3Id8BX44IEqXcxZEzWuoKdAad7V+ qmA8HRt7cxLt9KqD2QJ99R19Ukv3SN+v6jOBbKl9l6Q5JqguL3YkE+ErBnX2KWYPLWsum6g/tE2t /mc7g4CPQKUn6nDRB1BAh7Og8H1O26FyVOmCfIdAH0c4HHJe5FxsmmBxcXbAUfPwQfTktjDatAQl 67y19UPs1TteqL9wVR6zc1XPjRGe61kNZBWD1xN3OOh4TfOC8o9ASkR6tByyq9yIGWuTFOKgZnAD BKG2HSrTc0YaTtXkYB+mswPIcj2yctX2yWj2WP9y9s+JyxMW/ZiMfn2Uuf7gYNwlQ3J5ikaGrJ+d gb8Wc1rus4KSwHgG+NeEwd7HqyIvB7jV1jIDyA3cb3bQzGGbYOzsNZSzrfkR9PzvcGaSJw18nvMC EgJ9AAbTE+V+cs/u24pdNKwlMqosX0nuYXS4Tef4y7UzG5hN3iwvtlrFwHHaURXiXbJhcCbh4jzA cidxRtR/ii6ZIVtPYIeZZz0nq9EcUZNyXyWgJtJnGG2uYbikiNRPvGyEL3fO7eGoe5fr0GCOTrMU weGK8o3Tc2gdPP37HSjArwE7xneFu2LYjLgNtCrxMN/HA4DeCvrtpq8vhbdAtn8dHVArLHp4K5mt kcrvdcaSKNg13AK+qp/lbgR9CgC/zDcFKbf6I7kGA2qiLwU/0RJgarkDSyHx5WCTvE6X7GguCatq 2ctI3kRrDP/bbbMTUuOle7ZnlOgmu/CwSRk3yWUgLeA0ZmroBG9wzIt9624V3lgRoa5hPvHPxHyb nw2F6n8EW/YINuwq3wT/4KqtJnrFbHrFpy38jIfMoWQyyY0Ef4gPyLrKDepnV1tIfp+tXoiBS/5R CnTD2UOdzPOt06GdPI3qlnNZNV47ca/nxQIvgAkM1795ZMzX9iBIqEF20W1EbwFQ+yQ08efiIc+w FeAvte9/NFKf39GgzlAF5JzPmFJ0ZkCges53MbMFZHYwe73uo45TpltevvOd5XMESbJvHyHIQ33H b0iBqhi//7SD0liXZ/vWjG4w+2QWcyhKtReWhYWGqRkZLwN4DA1LGrHVaxC071JvqdKmtnpEtz+O i7eOqIeDYXFY0ln0biT3grIJABhUwqcUAEBQ8WCaCJvaUdKFSgcCk/8BSIJ2E+4kCAvrylDUnXkz KUL1LHwlKx21zBpqt06yTNfXlU4EkEQZj6JmagKfcbYAQJQFIX9FaE95qssEDymhu+4Vh1eY+Ps0 4TImtuNcXz72bcr149Tya87vwBw8hUByLFO6WG2K3Cdlw4vz1+pihZGwUq83bKztNAHvsnpODNpl IyC/Q051tdmWP4Am9Q0vyM72DTiPb8rZhdTODZZf3Rxu+exVpzmQYF7W7m2VNOTeAhNxZnG+pFCS uFfz6qHVoEfWoUsZBbgBCEGveo/Vkf1vK5u5rU4CqC9XbD74frxJCaAak9s2km1PXQDaMvV4x0it LU8lhzevki2Y2Y8DnUdDMUVpi3BBn9rwHBN0/N/lo+0hz6EJpCbTDy9qd2u7yCtXJv8+CzCFAwqr TDtniRI/bOp2hWFOVoiGaBSmFWQiy83ny9GHfiL2SpKK9f1/D/o3obyVNNut2n5qIxoM4nLVflmG dyvSky8q4uyVAXnQuY9siSqdvZeOoaHg1O8gc+0NftHvJ7qZ6HPuaWSZx3hl900XzLQqtwzTLqnb 7vteuEK+j23kh9V4UaA2iUp9CAGQC2TgH1N9JlRt7zwZ06ooskgpACYqYnjXIMFgY6oW/9cm9rlb zk0C9Kt2F4aj6cwSW1AW62ZFvRkxlMixnkrkeqc6ARjfB40ZOnWgmOj+/pECNIru8EjUl6tgI9HW 0dCJK6qqbyrKcddz6BvEeCNL1S5EwIdY6XqBEkk7V5sd13dAJEK5yi4sqkWMgTQr3dfwVbKYsmNt rFp+Q8DJehgp3+RRv4AWo+q767ekXiibgTe5JHubuLzkYgxLSDnROQVAJv24+eTibBcC11VedFB0 bwuXr1YNVLldJWzOhsgMOw9hHVrQIYihkVTzbWgD9WkpUjlv32pGv1kvlWKXTy9CDVmE2sbYor/X //OMuthJovW6eCBCvV8DoT8EYl5Inijcc/54GsjDYJlLlMc6lNIf/J/JWxgmhNVM+kRHz4JHgT3M FP5c5vcBoEXSgYqq754Y5zo4HzHSO/5F16FGhiFIVlR5PfDGNUb/PGAs7sDIUsV2vCU6wbOvxFJ1 kbuwTE3VSx8nFRloaL2xM2WVsD6thQmnbEXXrVIQ8v/Z6HCvXR7/ZDglV03MMjW5rqlBpHvO07cR Tc9xOXNLxiS07HysgCVcQeJ8fFNggIzqFnqkqjMgPxLIOcAltN6PICsMARKsV+UsImgHlZEa5tiO AhaqFFyyMUmHhQwKaoB5JQAmqQCEwtXBn/B0puw6p9YZLbZT+wTna/Vl1aTt2RBAbvVm3GuTFySz riW6eDLbTOhZpp9e8ad0eMCi9TpedCU83HciKmWKR2V2BcowVvG/X31zvMKKDCwWfZ/E4ADOBxSy YaaRfcPuvh4wO0ngZgKhFmG3Ew81cYcBUvnR+3whtDWPEuJuNVq5MYmQU/WbzI//ZgwlmGn9SllJ QBBPnhRFfUr3ouIBtoj7XKdsnjnMLt3nesGfnLji3E7c0X6kRAA3Fhf4trPdWqGzgQYvVChrz04f R+dDZ3DaeINZTIM7GR4R/1GFuuBmzMy2/7ZifK45fX5rXllG8Q5HMLkeOl2w6WLg5z+dIQTxWMYU D4AQgTGDLuKXtE2YgxrwlfKzoDDCAd/PQE201m58WnKYoSJY1XeDL6eDR0qRwPY5bfXTMYd8yeEd tY4MW9DM2HtDck0o+XtXsyHWN693dU3DaFdPeBuxjUPtCtsiY8vkzT6xNLhaOCwkh7NRY8qUvlFo xMlxbf6GFbsvQ4j1bO6hAE9SSiPkhbINyht85CDc1W09prTSf+ZISG7It6E8sY3T9uSUrt+KlRTC es/Vvv3lQ/564Qzel8jbH0abDpd4BNG/KIpUMXq/dtekVSMFNEPSzQgTFN4gQ5XLj5qUc81vj6NA 9UJ58snLBwjsgDucctb4dShpueEY1Vo2lhNGAsXfEW9+raO+ghXWlQenuyD7SeuZ4fbg2daQpCzy T73lWmgmtP+HaGy3XnMTUofEmm5hk7CzI4ONmG9A0Jjx4EhOM91sX0aTXsM5Pv8IPuUJqD3McN0k kqaOP1lIB/D3LjRN37oj6c3Nf0PPmlGmYcY10jMvyxeAqUHRHtZmym7igKNowZnZDxvbQ0wJ1XWN faCcT5E5h0yaX/4I2Gl7GcXoECjfpr92jmDD53dgxZCFfBtsRAUJKuwkhs5qEcaq7Q/U2lUfyvNC O7nrLaifcvGKVMU9MKfhah1E27fnvvkFzAycLU1qb9QN9QAAHxNG7gaQ9DuBQVZ9DclnNsfUZaeh RqvvDLQNlmSeWmqMfa9iWyJol2cdOdDiNNdXOkUmdTQIawm+ZhqLNdQeRmd1btDQGGScJrkkutNk j8I+qbnT5dhQmacyddD3EyG4tqg9wOI/19yZcokjWf162SKeewkcVsz+CyDMghplro/3PyvdVrGz FnwhY4Oj3v9rRzYxkzUtQu9iJO9V73vl92Y2bvMyMk+lQ73efeTOxVWsShT3SBRuU1pmQR4aYZck +ssbYKOFHjf144UyQ2j9y9N4TyN/dwUEmSavJkKzKzBFPATfxSER2kvAeVk67v/adR0CfqIvKXOE ebeBvnk3fOluyohyh3J9v1NlhD+B2CN43/0I5FUdkpBy0uvnhQaET1kKSZU+vY97GFaCodujwTpd laoLZwCn9y6dk1gss9DDl6EuxAK2luygP9aXyG/32qqFz/RPH5hXi0WO/UvYqyiK/OyhihMK0pIQ f//IQb5QN6ZZ0BJ8CBaNpFU7y9/O1cTrJPGIeyFi+5xOlpajpS9htcBolInSb+wpa+4hBpOHiVBD 8Y8lHFVVJ+9W/4qZ901NRpLDUR9xvj7yQrItiq0GELluzGSyNNF+43OZ3o+B64dF2xQjxUN91t1N OYEJNtVtMvyyLD74aFO5IriaZJvMZgLqnQ4zc+tqT4yflsXI3G3OHwE53TIhqSIBLipWPPS5CMQ5 GJCopO1aVeTfJFUSVkgGbzmGPHZ8ujQWFTRs2rBZA8U7dBHZgiwBCkc05GsimBFc2/3lk1lK9JWG x9f4/Y57p+TnNfx7rn7Wj8P7ghq6TQH+7Ul1DY1tnukByzAq4DmrwT2bm+GpTccWlcDqDqP2UZ2c k1KXhXqyvgFXpblHsvWcpAwEw6TPOPVf+dVrAnYqF5PM5ULYH1b1ZrD0KMRLTcF5/6O0InU49m2+ Uzf9WE2Z9gokj3Iri8+1K/PwEQpCXiipUl+l3PSvVjtnn2D2W11RBcAQV9hPe2kMI04kTG3Haa+T prqaDanp9SGIVSXBzylo/DDPt3isTO1Cpm4DxtqsUqeYEb8yKgz1st1geAOKhTHQLo/K/HBPZ6vJ kENp3d0rLqZAEQTh0ouiZfFOubWahb1svioRT72iBvYfkO8+jODIh0Gph5612HLwm0jYVkYVtwqT HVdpIbAfbVEUxEJXZFPB6PWpnfkcAzqoH49A7zzaiWIekHr8WdnW5sIspE4/586Iy0vMx+jq2xCz dtsId/qo0aRsbqn/iQowUY3ur9tyBb6+PTgbL4SdTqOfUI05XyIhQkMRLgDPetoG2lb1Z/csy+lV kTSELXCdChzCGOYr/aRPhkvbg0Qt+uU7+zrcwPdyL1nS+68U9nFiGaZ5Nhi/FtLz8zEnMrWEArLB qDQIxxLbB6O88inA6CijdSSxYxJaLFRyb4RTBQocnCJnmzLFvND/L6FFLPBqp5lul+OJi3121w1A CdIOTg3XM591S9UCYXTeT/CLqGj4sH8GGMBQghKBUwjml4Nt+OjQshhQyBs1P5LN19r2buIk4onC cVJ898udUbs8A0iIyFDk9I6RdDgsDdu3XWRX67wvqx5IDoj6Do5ZEQuckHYgJomUmWDm3eDCWvlS a8BtzfHrFYtvo9RYNjxUOxMQiuOCJhPem5sIqOpkaaDdSMxgmDHiqIEwbbkewAuAtf0Unlo//fXT zAVohsQRHoeYiuexbZky/7d4C3XBUWa9mLrj4TPpxk4nTRSinkWIR06N19oIfIpAk48Zo+7BQICy /KHekAFcb3u/KGvadUrHPVJHF72VaeSNWwd6ljZwIEcPyT0wf1983003XRzj0sCWJa+mRZcRyAsy WQ7zwGTqL9yA62bhbnJJ94sjQ7epMHjLbo5u4WYsOVxyHPz0QUuEHFfZK6MgmHisxxw9QuWtS9XT Pa6sQxrznsp/DhEgr2zBD7Up9Zzo4DT+2fTVxpRYppjdej+8zzOtUBB8ZZKAYV4WiQEgex81ybhg 79NwPQcO5HEEbesCoSqd44xRyRz82I6A8FHh3VQxwf3IVQ/JfDuZRSpzsglj+fVFD5ObmDh0WiJH tsigaE6TKR16zZAFLSZAMTyVda1eoWz12kKKAeetgAWQCah+ECmKU9vq9us4jS5gA2zFV/ULw9pq v55zTaq0/f1TD0IFQ4yKAZjoZ0NOrvNoM51ol3rnBTwi9uY+1EsrF24LF9e9bmCivkAvBeIJCKIK CF31edlRgBWd1QmXzb3ATxEDH0blaJvD5s5WjC2Hoh/OXW021Y2nUhlEtsSDJRewxrAl24rMo/Am XI/wTcy0Rw0mZb+f6WMGtm6/q6/lJLxj8aCnXr1MX+wEmn1/VLXc65suaotbMNNX8gG6g1g5Lyfd kmzBgeHUAO6+cSDL61SffzWb3ilhVtQhC7NEho1i6JwBxDRAFeH+VhEtVB4pgfYI3IQIdqo8CNMl PS5SFJirUtncEUhoZbt7JYxIwfh+d/oETDq2tSHccUfoDcJPd2zzi5Yur3qLz9HaSghxpcavPwqW KRDWl8Ff8gMRfiPC2qdTMI4OBc20SwMWNMiTe/IrQOvdxuh8blsbRAlQ06tWETcWNTTd7uT+IAgG 9lPqJbVFNHTpl2DiOTNp/riRvN5UiXhj2ms+A4BA4Vy1U2cTVeH13LQipgFSMfydQACS5gVpKA7R yqtMmoOuu71f6rHkW9pURS/ifvYbSzeu7uXoby/fgSqe4wADjPgP5nwgbtgiflJ3pbeKqCnzA3NJ pVBIxPHaeJRgbUkoQUVUfN7MK5uPsmHdCCi3K+qb5lS02IA+EJ3ZxstBnRx9YEMPE8fIAZ50opFs uQpft7s3xkHz/c0H9FDvt0av8glYTgHatQV2s13j9azdUoAUHCUu+Ss7rsJL1rfFRgYuJVfFmTgh dktFzARWI5c0DPwwUE4GWKg7SxperqMM3874bpbRxt9QFSEHdIRAhCQXMVUWp2OsEUX+CDb8fEYf X4xG0Dn7IOQFYuNWRyHfF5J1pT0vGDFNiRcK7k3QLjHoftTbFYODKJY4kMtoa9avZ7Pe/aScNhV1 cq7XE+DeRauPq6N3r2pQj7NBuHOrMNKm7G/XJ5Du7Zy8oKE1VEycEBt1UcSpaSOylYac2ffoTUIU 1yQZeg4aFvIg9hKxM+GiZtSXRVnMW6f0nVXEX/HPTN8UPlbjEnBYe4ilSC8OBfZrLs0AT5rVUZDN NLjxgqy8+TgSkxbGRd+My1vM1adAlj9WVhq/zXXUe/4V9ppBdpaDKL8oFMyS+sUXkpvahdJNiluY Xk4X25wf+mW92PoeE1LQ8/38qYLgOvL5QoEbmh2Lb/E/M3uck0HEAE1MdzwDSO+H1CWAIxttl6uB MOOds7eVnBHnUdc79ZaC5AAu0I6x/osDqK0LpnZBO9wcnYv6NKfonoy/WIP3YLjO+hd0UYlm1Yk7 oNMebHVobUH1GnBi4AmgAXuAt1MkDHTvOy/6/vGf6NHAO6AtXZxgvU2DIjCKLxjgmCYJthsHRmy3 PqQElAPcZQU/b1y+L6bucorCijndmslV7LuyFmkly3fAbAl8/OBDcu/YzL5jWRmgbc6wGFrwXXJb 0pxo+GC9qfqKjwEE6GqZVkRj5D4HCPESf9YMcE6ZdhDXQQSP34mPneBQU3v4yuafqi9XCaGWmizE DmQGpy5tZg69gwpURZlzN8ib/vLOnGX+GAfB7vtIeKnLoLf0L5iwWjnqlCuOIoJwByOCNsfth/SD QorxRqTJKhCAEnaQS/BVQGY6kqRwmGWKnDYWN+NEtaIh6BHxWmz6gS2EhhtXjXvyZPRZvyyn94Ar ovLqSVRwsP5EXwdYSHVuZxz3/Dk0xOWQ2bXrC/qeB7XB3da4x6BYq5bKFS1xVuLMKTnsMagep7WD fWtpaUQH9pZlh7/MTUKCBQRhIK2P3AvZQnlal0/iQfe6/zgClWzkypvGddvYPk61BcikOukHreyr QqsPMKKMtpnjQghti8zdheUy9YFRHjerAG2qR8memSbyNNYdlMSij8iECmbx5oXKTJMr70JCT6Ag YXqwUt/wVjycbhIiZu5taUG7K8dhPuhTKzmWxxJ1GJI7acxWKMS0Z1pzWbUgET4OqEjgD9qv1qr/ zlw2vsMBonLF+DZYzqXp5c7CbBc7tuJfAocjRW6KKXqJYtZVozNb6feX3Zs/y35m50e4K3zN7m/p KmMoV1h/1rDYiK3fLBgPhoL5U7GuJy9b/fjVvuf+9KGs08KFH8eFpNwQuBrCIjxTm2EcCmtjWHum 0ghceATf1RLCVl2+AnRP+aG6ASGgp4DyYo2Ob+UDKGcWjOdxQ88aS3CJsjKyZ4Uiltv8YaY51jrT LXDDdbRy1ao2GzfFSJKB/MtexEgCsPcmN5kDn2rnWhINJ5mkRw4qsuQr2BrSObPyrLO0S5BFM1Q6 921Qd/yYXjHvUmMYYfwCcVV81gJ/j4aPcIXq+mQ82+9pLwm9zlOgck5gCXU/IryVQR7VsrB+XpXw lrtBXJOYwwZK6t06cec/Kyo0yRiYo7EnE+d5/9inqIkGVfIvT9q1qV6Q/ur7vEbOkqou2iTgGlZq 5Ugn1/2KIvZTuKxu11Inrbe1wCnNEIbUhj84UUvqpY1LxtEhuwnS+p9Du6+5rPwNp3vmKOfEPjZW L+Mz7UZzbudA5T7ScB4cRUXy24JupbwRHj7Ogsg8vmTkK0gPBFcu2otCfbEa1f1DamzRIg0v1zbF ack9csUnDR8J/XUiblYncOa24wav4S1uGrcy//PsSD5ypCGU46t7kI89hZbVhp4UkTS2pElBSuf5 U7iDTKN4wjV5iHPUsJ8AAf1AsXnUgstwytVtNF4RewwKqOeAH0+DxRWqJFh9BsniknByaKjjVlf0 f+K14g1jaBhQh9m/fxPBFTgDcilmSvGGGbTXf4Xp7xg7ONPVDYLtgEBUHrw4qlyQHEsVfyGIg2dC IwGjJ+8JpzJdtexFQJkfW0dAJ7hUmGYtQUEqVpKfz4gtL86YZawpLulCjDM+vfPRTc//qmS7JAeI mslmF05IL0h05uHBt+5jPXIsO+WQUFe17cFGQhnGiRWYvwiiPyRHWA9CMNAeeqECoDZiGEvZ0qpW Y+6NKC9syxdbR2uDhINSnmFT75G5bOAl4PO+5zwDINuuhxQ5ZMbO6oShpc32mo+pWgclhFheBF9/ 8MnHk4vvkY+g838qjIALa54LIGHz968kppB724jR6kMAGUqqbC2NgHCml8VR76qJQ+DKJ9zZGyrg 0jMjPD19DTw+MdN+XEi0F2V97rEMT8M79Qj/MxbXgQX/jOKx4JXjPd85YpgNIQ+a7tZ4NzCXX6Ek 4D+sfisTfGRqFxHV2kXtXutKfOFDrR4KCjBxlnaeqK+X11P3qsGJSbuumj5AXEmX8J0P6Gqt9ShQ sddhVIVHzKgkOC0RdKMhGWCakhcnGqDsTQaAZik1W8nJ4Yf7nH6LLLqy1+Zx1wXDkgmCcGTpWzT0 XAMlw83Q9TlrmCdPyS0it62qZhcgtfVm8m6RQfh0UrmchuCRti4w9NepMt9zriJao9ogD1zhT6Wd LNtbf+2hbbxCDFM5OINvV7veNHm7mME8fl7NO3amamTSFL2d4gq5VRzr0mQEpZuaXwDyedrybfzA 1VcnMek0o72Ky03Lvkz2FUeaF472dqxLr1FrWw4bsmnVMWteIYhCI6TVeciFZXapo5YssCSwGQ6R ZxImP/FW/0F4RsawimcbqQejSkiWpwk/9AX51qsWxeV2q/Eg2ocqKLzTXpqxb1H2BvFPC5B7/PrX wHbtUPQm7q0KUKTOQstsDT+XIycHWFme+8/Svt+S4KmxvNvUXuWrfdDZjgB6RKmmmDaWmUbu1+fV YrOWtkxf+Oakkw56Iy59IaOkxClBEk1+/XTnc5Q1L5m/aWx7bBmdI7riXbrmGsKnxA5NGbkFKjUZ JSNCrNFC+aQ3Fo+5HUDxjWI5HFkit4q4Wkuez9pH1u5BgYVatdTvv+uka1N+wU4oRps6C4EF5vBu 7Z7G5//m3wLbZPcNFKYeQNlUpHb/BhTwa7ThCrGRkCJmX5KMsnJr6MQHiCfWYjw08U5QZRQ54Tgk GiBWby3AZ7TgQr+Oao0NwPhV961/VDGiMLB4lkGeiyhEnvI2XJNOB9fanEqdN7OWLtutRMGNE40C wJX8i7P+sW9Xmpvpm7XMuduM2XJ1I1mmA73gMuyJMS68yiB3A0ccCa1oHevDWeJYjrpECX1/Llwm Wwx4O2Q+35HEveKnWlp51hb25mhZPyCxeKzmNvqloj1Df5kw9YaEsdNZFmlyVbQcYL417ChNWwFW H4/TcDTuTEAs66SAwrB7JrL8TO8LW6Kz8UaUgSTKcrwy39NNiQnvOoBsETmAl2YYfkxdjv1cDo/S aFkhi0nlVyAI5h/ms/pYJUfPW5Bj6xQbD4yqrAeCnPRPxk/osKHHv9uGmMlCzR0XI235hxFnISEg NN6XDpVqZpuFq+QjqKTM82wSUWPR/R+CSUgbDpTDj8XCISrBtY2cJD8ANM9i9Iug7tploEz2OLHc 126M900A1xKCpQhSvmvApa0KD9d9D5Ic4qttYkoGNQAsIDEja/HwdlWsD2/k/Slj9yTW4P1oVQEN 7CsAjVCjP4u+CcUqbPUIIP7xduwYpHgh43WI0fOdMM5CztKrqV3nFVcaXZGoZEyW9aSqTpGyuvKD oxbGqkIGheD95TC8IzYCSQlCGXr4yvdCpi5SZUCMCWDVWBZRIeGcwI9ztO7vRQVsZHmvuOAvQKv+ JYwhzmhcfFQPisoZtuJLKRHFhLpkrhgku3BadMj/KhbOZSPuwSpQWh04EJk81gRopm5Sd+xPtztI kF05urPXYme/cy/fEhYlCUGPSFGFgZ7pVHbWv9OqQ9ylWf1j4Ivh6wIhIrcZajjfVqZYuursj1sB itKP3zqI8OpphLcO0vOvbMoZm9WGM55RwVFXT1E6onVJuYSgYiFRkKK6WRPT3NZiN6V2xeYy3qHb 7nu4QrDMjU50CVkFj0Q2LeLYL4ZSCrghl/LV9MBdIBpavFVH2/Q6HazaBCcylWlx42a5A1ETmatz PMumZdx/p7ZuJHZyNNXql/jo5KqKv65zOebh1ESo8+HCBxsXu6PUOHuW7hmveWGlHAN2rHywKDsL uOx0+H5BTe8D7OJAES2ID0N9YcZ5Aqhw5v87OuHbrBr3TadDQLUFopiEIRWy8KSDihV092KuwxK2 zSFeSptuWuw1QEYZG9q/nvuH2imTXtaccsuxv5aLO5pSs2PzL+lIyIGSMcTO1h69Av/Jc4yjHapg p1eqbjbNtAZuK5HO1KQYVu+BZkkDeW0QMZJIb5W7WCFAFEmTP5MuyuMwkvHU//9Kj1/x8gnGAUt+ U3dJrLrEEi6o/DX9SAbJJ9uWCbaU54N9CtvuSppAoYsyk+rLsqcoBluHLMUBk9iScGOlTHfLHZ8z U9qqYZKE7pgSJi5oHWwfRyQLSxDWYX/azLH058ytpJm+yV8Dp+MxRfGPGWL3YzoBrx/vul33K7Oj O8n2wo47Vb4YmIWtk7iHFpyh1MK26Y26p3kggqCloTq4Un28MT4Jdjef1u1gkM1gmK+gdXrRjxg1 bwgFNWjA6qhQuqaO11KOoLdr7IvIcnzokx8ooK6AJc5e/5mLtj75TJTAlQ6kI5fnuN6UiJHZ2Hw6 XWRgDvW5QW3OolEAgs/fG2PUsUUqcMGGmGVYTjCYLAwVa9jLzCgfAUOqbk3oZ37DuasuDlOZowlE eZnSgpwgdA/l6Cf2agPV9wd3l0HP7jvnWXvgHqkfa1hyNrpo03J4JT8uQwVtYH6reklbbU9Q2RuL WUxvwmd4BX8hnLS8dfdBnva2w6namg0CBNfBnEkeTD8H0RSPlMF+CZ3amN5yBTcNdkNA1Zhhfvwe Nzu98DpPrDkIJC//UznUxteaM716BH1iYN9p1y7vsZ+jiWNWhvej2IcqSljR5wTMKfzeIrEerDv+ zmwRqC5avL/QmFnJyiaSXAKWzJkYswcbgeMi4kWH96V0SYWdDtg6McisA281d6NLGAEdrwOXdPIh qFx1PzHpvAdIgUFvo73mnFo3AafZWTFT2UCyrA8yjHVYLx5T369rBQJej8IXjVX3Sp5ImFH8zXUq cW91X8CyJs2bTdLCA+v3Rphn2XDfNaddfPMt5M2tT2b8gnhTg2GNj3MZuN5Oyco1iL6xiNxXa/1Q KGndFoOZ9eVhTMSU4iY9uM9SAUaFl84yJvKu+wLTd6t+kBRs2Ii0GiivK88yge3XVWAJ5qxzwz4f j892Jr47HWaRoBNHQn5F6nPhLXy963ijVR+QqDYd+JUPbPHGsw/L6sCvgmtrTdwOH71Yn/l2aCrs s2aT/jK3TlS+LWdRKgaBCf1cji8XRpVN8xDIpxJtpro33tUews6bTq1Ooi+pJ6e8AVj69rBdX3IK vWDUH4UipG+xXqCckfJFWxOnB1bUQhnMXLmjMb/CermnARCkw0jRJNBNRky1PN2CkbCY8p4IpedG EQm6h/5r2R2jqB+fbA/6j38Cm7uD6IVoTnDxMIdOlaqCWW5IJNeGKjB3Bbvw0HaGI87A8ntL/KOy eoybqAGD+Nmk/8Y+GIQItaCTg8QUC1rcTpIMLNy7m6RtEIbWuHVFSj+GEUtIC4+4KnlXhzUxnBpa N/hZeqeJE7KhapfMdwtzQpLZgWJ583BII+00sMOycD9/WUsDYZPCFES5Tb8nCl1RuP/8FTz8SkcB ejnsxiOnJuKHgEYzf1OxiaHl2qAZi3vI1j9wdzHigCvZNSBpNesrm07k5ecgYJkqHGli952aDGS5 2e25eiRSJx0xYLzW2y/PkMVzmK1m/QrPIqOVAOkCPGiM8mleR9LBghI16rmwM3m/TNgeT+d7772o +bx8fq8jHmj3J5xNO1QHoKl+VjH/InNzKvxAwAY3laEM2kPXue6zL9lbHimfDLjMSJeU1d+ST1Xt +/D7ME5sZoo+dOaWyaUvAykTsCQwGK3U++zx7gmJB8wCNn/G7UiYx/z1L2XLbF0FCUaVJ1R4fu/u 4I1ZY1Qcpy5rrpTtLXJf+k9USoejLsPPyh/dfyKee+1QXsX1kNySrS3X97KcAgTx2M3920WgSCEU bMQrj34fIHXMhz1iIl9Ffv7Zl3O3c5hMcGZwFXWhOOJra9Yn6HVYNDY6h9Z2NO/9Shk/idT5bTrM /eo6jGYp6/JXyNUI4tJmDthGqktxxdzjPPfFpSGemw01DGx4scLnRvvbUdQzPz+vpxPiJbxr4o+D 2JGTy7BzIKOeEKMyzQNF/JGu3K7Y4E7zbqdvO4eKRiuwpU4Ba9cggPJ1/+b7LG3y1wpfReMDTuEH JLvoe3BJBNA13Ie/RfGh7jg98fFLvNAPUULGVNSBk7oXhmtcYX4fAJkxVpyPSddxsnt9NDH0wPAs s8mCaYpuGuMhLHT4DIwI1ZEZj4XHCuuPYQ8fDqwLT0GpKTlAZOKNQGG0hwF1E/BT5i6wzw2/TsQm 4Ewo5v8DAh8DAMMigw0u8t2V5zy/n1zoQ1uKiQ5Dmky2Y+QuIx5GrIpTIrf2IAbkknnnyxtbU1bQ OZuXQ6Ca3HYwBQ8qFoYmjuTOdBrP4yacKwd1vpIZ1Zw5E6t796VIPBuJkw1XsT3xCyKfNXY5FmkZ t9QO4dtHLs0DnJj2AU2V8R3BWOw0qWWYi5FzGfdvCMzNGjpKp1pT9bdXHWvWwsmO8Vns0qUvIOcU OfKZ049KDoihi01ZuEicRm/Gjb44HFreVKLt80Df3+EL9dOxyDu0bOC9malcDfxnYxFHuALYR4ce y7v5Io35NxCB2XCznCSLnXDKZl4tXq6W1MFzOHTC8rkUQNJL0va3FvgRLSYKsVML77vyCssw6NL8 i9+W7KmLkN69M6U4D/s8A2rHNWq/wqiLMd8QBnsBHfLwBJ/nLx6YT7zZcJAXuOMNk+0xfL6U9CAG l2jrgcU/Q8CmYyxIUzuNEbUjwJ2jmVypUFTCcNSjdtPGPAld1SRj4a0Jit9UcySlzURr2o+//wW8 s0TVXOD4Wpz7lYOFV/LLd9tJr0UwyZeNWMNVZTvLyjJXOf0Uc+on5DU3fQ51C9K/HSq9S1Kf6xt4 4xDHSXe++igJ0gEr4WTZv3OxUzMYbEbv4aM7ZN11WL1btP8ejJu/hcnUYKum7HDkRdDZMHcvCQ/6 NzYiMk8ysSe/jUTRevojTKpJ1UXLNr3BXg3xb2ShS79dpWBclfPfykagM+fGgdY4AAPdmYydU+VD nwXiRDxl9ieXSzq3rT5d96djjO4fz7kEmtRAT9cQrm89Sc2jUpMRbddmbf9JYQ9rMDVyeLDLztV0 4XChcTyMwcWVYFdUG4ckIgZoIETU99UMLoT6Y10k1EhUM2ON8NDZjI3lXp393g+BZNnhR9ZNVSsj AsYd18SwkPkVh5Stjwf475qMFZ8GdTopqE42PTeOUtNHbFUe9Mv8FpufNwziYzsQLyx+n8GtCACG iLum4apQXLws4hTW/Bvd3LCU/ZiORlHzjnc3KpOc9P6MaKEOXaLqlpaiZ7SHyuKLRE0Smo9fdSno VdLApB0sIPG4ya1cK12SW4u/EPiksCk1gcIFum2dHwSHwsDXaOx7cxfYXQ9p4sUL/R5NH+34xaWG Lfr7VO3+PeM6jeePh++SvuF+mTLGuAP/zSkWXzjv3u8EhtbU54HVmO+eIxPoHPOE46jiOwLSbIOw mrGWHSfKTHhablTGDZkvjsc6wbSTeVJx4KWJPSt0S3QifOqLg5FdIYg8J5SEqVVmN3FV5nbN+wPV 1OqOmG6xW2/lPPXJOVeZ7XTZSmQvdOatkvsQkt/A4Z06g5bNjc/y1ZrEcGYB7dnPO9H/fR2DaRwb TUvfXyURRcrhcWJOm+j5UnPy7W2plRtW05CDCiarsm+I+w9ErQgPQ3aoKohrHIwng2ycQIoxRNSE VrYck/W4AQ+osXmREEjQahllLAOSThTYoI8tZEtsSd0uVLJHOyHviRi1UIjkRlb+xY5qomdzLGPO GOKA2zU3+XBDpX5KXhVfM9/lyEYPBRFtw3rSH7WkUEOK7rznci5jeWTUg0cO89cF7agWoxm4XpMx vewQhfDYsFkeVAbEigYMmI3Xynin2+QtlchYHxTS3iQ+iaxO64ztSZR+mop0cHMGrgXmsEUE59Sa HRBG8BuoCojGQIWDIcccklThl7CQ6sdLLJDZhyw/CcNc//xLhCKu86+18FUuljbzUJng0ob4ymlG +QnE2R5M4AHM819bmDvCnle/GyDRegMLeM25SP94Ic0chdC4FA2vqaOXEQ5CGps8hgNbNvn8Ukmg 3fdzpCXcCL4tpWU2O8s4UmfYfhCD8m+LczKG3PORdjpiWRyJJTOm7mvBas7F/MRFCRYLUnR1q4pZ BZUAQ3pWqoOsgBB+iR13qZLrjS8GckG5Io9ivsC+twSFtK8AanY7mxZ6W21ewI6B1x9qn3KPoCQJ R0cdZWDQdK034VW+2SsL6pplwC5df05FEds+E/lhaWwZrHbtYdQEaUbbITM/1jXacsOrK9H6FBt5 ylZukgR/GZ33ED/aUs+DR9DcI5J1o9SelQ55m1V3UBOLUUn1MgT1BwNYcV+n0h+QltJaSjjqPokk kWymDIMA5WuM1dDH1liiGfrkdlLiQbTNyJjYd10RJdeliUF1V7JlTrffoWcuucKJsjbwucetGqNr 5fKbe+htM2iPNgb6jd95ivYicbbc6RLFQh3PjD8qaO1cm0TyTnKOo25Y4VsnHw4Kq32vs27jwHg6 K3TEn49rgkBVknYlQ00aiJgSAx1Kgdh99XKKVRXihimow/8t9oiCQE567ujdn8YHB5DDAVpgPPQS sewiJDFRDfG3fYomqQ/5tETP3uMXe8b6XmRZFkncvSFSrZZaM/qb1smkIExDWZ4eLTaYZnncLkAn n8VTPc1wVBaVGAgN/FNXNpapogNyYDs3YyMnRKgYX2GEZ0ow63H39WJ+g3XKrzokvBce7xw8tEJC +YLsYUGwzZsecPVdiEME+YrSkyhkxi5HXLve5ffYZ27pzqK30yc5kDgX5eclD5DAMNacYnkX7OWm 0+7yUHVDbtbqdCuKmXy2MWPoyjWlN7/SQmDmGmKBU+bC4wxJwr9hEV68RKpP9DiwVRAHNOD21OQf OCz2JAXOMwkhmC7IyixWe7lnYP0TBG6UMDiTuLEpflzNMnXc5f5szzKrbjHlsgj+ofcokx9wYuNK 6z2sn+3lNpVgsLlDSrLTec4Uuu44ak6vv2lZYj3OW/MjYUgjmcciAwze7ViIr8vgLkslQhbq3mou HeKuov2aBT6JeyiNZIzSSD0jXlIJx57KDu0Y8qziUoTZVeIfXizJ3ibAbuyCVmWCI0Wr4uEuL561 ghN/tALz/A8Wtd7sbe9JSUGLZ+2AnhFBfs+n1xo+OzIKmD2s6SRfuznfKJGNRUx4l2RPCKCwC5k8 CXEo2ns+gVqrcZMH9XFstLXDhO/YxiSyFU2joMAOjEOdCwbibKpHGFXWPx+RGgbYcCuELFOul/Pg p0KbaGzGXMpH8kysfH20JdVfzx00fDiOPqVhAUEYn8hkgJIAGsLbDvUEd+XNlJH8J7YWx7mH6u9A SD3FGYJJ2PWJ5K+d4+j4amhjMhkgB7jLBiBhKv+Ki8VTsdAPIjtjdo5XqrKqeRgPnNT3Vus2i+Ab GY0uJAPp2kbqDrirSp3jLN1Gcw6y2x9aFetr8bUqRuVCylIPjBiIcbwJdUF4PQzz8g3o1QhvpAP4 qUx7QzZF7Too/mENVbAqLoUMFd3qm5IF32GCoXBKX6sKrRLSzACp9s22ppHfOwGh38BAS60pp3k1 ewbuUcAtV5SLM5mAHNwuLUeASo/7uV+ohDS/kreZqiRDSvn6lEv4BT/KspnzzGNcdnOvYRADHSY7 lO7cf8RKRE3AV6d7fW6TI7EqIHDaNzqsyuhop6iEPKlXlFcMWn1wlZtOtS8s9WY68HCXr3HS3juI 6Y88EplzplQ0yX94U0NXAs85xFYZrCbcgALqLHtRWZgJBNqV9ytCt5sZYSazQNfFwpgdP0DFT1Q8 Kg2nc3SFlVbAwXw55pfoFOHQh5oU+FHIu8d32nxvJSzXmkNRtBXz/dPM7ZaeGpf7RfFXybiJI46T O9V4dtrrygyqc3TpwttkPb/q33b25a6qWZPy5jgFvvwHBpFRsrH354K8fNAT6izBObJxiPPttOQB uM02VuL8fHK2MVD3KrxRoqjOr7pDElQ0MiCXtSkIUt6RUZ9NnfD76ukexY2cpkkKCy4bwMTQIxpD FlvtSikjAtQAzpVYcRT/no1Ft3JQi47oMJ70bPEQWrrSHLa4hTh9w/RR3rAlRj7beqpqKuzc7sIG zF13NyaJiQpja7kC5nHLkOmF2KOvZXvVgTsByfWMz/Q395hYESZ2uXjOCnXBeYufOlv69oKlLZfC vyq8o/RF2Pn7foHKsAQytOD9zPu3A+lKM15OaWEQZ8cW/j37D+ViCOikUIIseKtNEf23HLsqSvQc e8/QtkV88gNVY/chp3Ixfyx0RSQl10gF3G21gIbFmo96LvMiUG3tH/vi6CEY1FIjEYjEuaq2evR1 HMXTwjVshf+h3f6e6BNiTYOBkJcQzaN+HdJfqizOYJM+hQeE/rmxr91C+YPAuvTq5sWcNECHypWb 6ACuXhOzqsSJpOiSi8OO3p304GfhahYP+kt2/utr9hdmRbOF2OYMjhMWJUQoQ9AOfM5IFz23K9Af 5ZyMVSJ+yzn4vNq9NzplH3Raae81YWMeeeUnDfDrYC3XncYqdYm+Xa38+wIXfqDmrbkcuz0ObW16 7rZQxpikDt8Dc1zaVQNe51p49NhmovZc/MXaL4qZj6QWblviC5MuLLhNpDoFnd6gwXgS/kew1q3f Sz7NyYEUZ6NS+NaC7u4wkWuIYnEi/TkfMR7rXijv9W5wzX7X3B4dh3rWyDB1YG0nnaCc5SBnzaHh DRVW1jWxePORTwVoUtFAE5TyQ1cI4JQNS8BAaNV98VO57Svd6TUkMHRn2nsUSZvyyYPzGgYbyekx Xv521y5V8AZvPoV5eYuz7d9ezZuqip7S7ZzU+daf0kYLxpbe75hGK/KAZPwM3hgurejlyzvx5AwX r34uatk4VPQqBeQzanzRkWxn84YJa4izvAQe0iVkeAi4IufadfjA0PjCY106O/D9wykKa3z0DRip IKBWcHc+YAjw87PX6aFY2OCWke6VT3wYOKT5CAq2ipTY1ZQn5fsRT8S+jsDhzlLuqRukYte30ubn JmHKbr/sIFWWCCRb36ohNMBJCsNrBRGORuOGMUtTxaGm4NNfBKI9ldwKG3TmnzERP47d8Btl7exP CuyA9g+w5FHxLzgC87oAg1ol1xF6/lXr2dDr8B+vJ3sWKaNMxtXVHriH2I2QgovCoPnwIg+Kz00B SlMV8R3enzndKuk7qUpqky4Oecvc3EOO9TLL6a5YQFqgmIV07P1XrdrK0D5OVZRGXhqCtqu78S5C +H4wTTrsGSkHPIWteQ3+hEgQOPTjXRY0biUBperOzNK/pNghy82PVSk8NxWyQQQZLGJVZKPDQHyz oiZKG/MVYtEgSbzot2mQcburZjRC6rPiCJV/cOraxdN0uV8U/8qx4YFn5TF7os0OAstGSu1zuOcv tRw+WJmSC+6+DHdyB5osRE0S8NoqJpgV0MiThidMHp19113EADagrPfPRKuWyeMui4TTXgaba1iO fWzlF28ZTenoKgRWDI0OagOoz+XE8SCTdGqG9EmXWtKWRU3C0Di6zAEtitiMqBtuRBIFbTwSMC+0 B6Zn4Zi/r+tHh6ExJaCnEQ5cW/po7w1Oeozg9uAoMXwPpNKbHGOOCvyT2dNqcb/KDEDhcOFzF0RV UFnoyLfSVGrbcu8BbFH0GslntgfzGsMD+QQzt+jZW1T+TxkcITGOyZAm1TuqVr/8teGujug8qyFA AH4Q5v/uXgm206ZzFWOloHnz78awj8cpmZuvJkM6mWYBUCOL6tieoiCJl66fuPQca2jc7GW7GfbK JQASmp8+Lm/L46WptMSulT51LFbKh3kdTgQq12qZG8C5h6zBKOw1RWDvhAJNOXUOg80CUt+Gm7zP fzfgA8Sn/qxTq22TIgUGuHwlvPR0u8oL8oeKAWS18x+Icrv8iE4kVDDU95+HqmOIO2lZpYWLfXip QrxnkKnhlYsHr5wfS/2pAgVmnnW4R9pszjOLDxy9LBY/r323y7CB1PsBzw3HihtJKUoJFhOPkJOp nqU0fOPZATn0x2UyJYjqSzFwtcKz88uKVUKuquuQpAdHjUVIcrdQhXkZFp9FA+n3G+a/OjmfMPOe yz1MTMhafriAOuqlrmmXwiUPJ/MKRkiatUrhN8+Pi7Kpw3yqZb+WRKxEEnbCRoOpX+LDWuAB89Ax uv09wPj77dJljYjPMgKaKiEapoh5wXMS+3xxmuct69XtmM1+TVAQiYcwe3LeIG+honWJfwRzkKMP CGUYRa1W6vP8n08ahgH0nbJYbzZDAugpi7WhdCvz/Cf7/IqyBP14NjU0HPJM9OdpS8qCvt7fnWXm 9VZMNw/eJI6Q4PBKqYXRoPss7NBTpGSFSauLoqAasJ4uAIH7WVuyudbBvNpjRd2K2XipKXUlmPDk 4AlvM28bkuknhrgPUQnXrIzSChlBLKSTEvaOjLlj2wBJ49HtM+jBT0dw1uSyFBFKybjBECnQTFeQ ruOd95Apsle3dPYaHGPJEcqouQTsTiTCDKTHAa6kVRiKWUZS0F3BOhq1Tv89FPgooMNP5GRt1Im3 3mtgkgt5bAXZN3HvGfNTKlMI+MnCkH2E7J4E68kc/GCuxX3O0MUYd0pS/BpUnmLbJqI5CJX+FLSa LKpWJT4I2Qu+eYHo5cM7Iogdjf1ERpah29cx98+pv1wI1yu9KZ9fgVS1F8dsWeHRZiOVQ9jxiDlC Czev3nBqejWJXb0RAOVuOS//7iSHp2YACLLeHUMAPSCoLmhAaIKQpUmSBA/S/FkxjtUFuHJc+Lak pSWIcFEpkyu3C7PrdSNBUWrOxuc4og5Ju5bxZVQR5I9JnIMFLz+5/K2V8AevnkZod88ofLHBPZmq JVzzmCoMxCBgLBJLQfgder4ABP6vz9TsVLNzC/3C7T4ieqiyHhzeILWAFwiJpUSfXW90f47TzIfS 9oy3J0BiRzSJCdTTHVFqpTa6wwNeews2uHZbznO6wYnlER/uImkhMUcoF56xvKVocAhaZXLtg6PL frnVlvHwQgjStjc+xoGwpaQXMHhrPICf8M+w2nBXgbE16M3jCLaYjOQYvFTYOcEgOPebV8bfudOE KZbW+ZBmV4xRWxJmvOMjTgGeI7FQNIFy4l9z2uReWUmCZgmPYQ2i+mcJp8N9B8c44ZmSPlAsuaMa 4W5bh2z+k6U4O5LseudSl6ildCDVfyYEHBS0NJL2Olu5wmftc1ZxOXjlPUTLO1wfCRBCk0cbwKEF P5ayB2jASzEYfUzw4AFJNnt3C+8IOm1KmeNJdWsTyUcd/byUngJgK/rR7BGh6ZyTXdATL/kDzb+q wWKy0JPAEgDniZFvs0/bc4B43uqWRC17rSRXYE3/2wWglqpKdDBn28R77MtexF1Ck1MwXCy/Br0t +XO3i2WT6TQ67/+9S38KmYaQUXBrRDtx+2/YABTF8IAsRON0R2NyMXOT+pzfOScGqMDBxH6zAAPY ABcC0wib3nktOAJAaOOIZVnz6Jb1GmZjU+JqtDSnF2vnAvZYCNufmS5C8FEfh3bd3aVcJpcORHib 2N18zYMqBpX/XZKSioir5oyhtASoJMDJbsW054AnZqqMqJvagc/KX2+zNKXFdiCyGzwu+0yYi6Pr QImcXm+/5Y/6eA/YROgPZHl12TT+aWCtuwC/1yrAblcz6dbukW9WedSLLmVPjrklOPkEnsoHhEyR dDF49ZKwz7jdRsOPiVPLXTbXFjwyzIw8wj9pc42aP/CAdcbCF1/PwP9htH3+0djuIQRGC7Shzw2U Gmk64pXiBP18hhIf4uWy8svR8J+QC0I19Y4fviaCywoWlE+rXI2TlNiLQ1iHmZRyISgdRV2gINKR tHc7YGSdcB4cnJ5hOPQw5nDSVjN06B5e2VUSjq7ZOT3yftXvn02eBGypiT/AA5qgLCjqlbveghpN NJKd6lxwjaSu2toIiDe1K8nS6lxSd357LmuwWFSrl2vMnP7pD1QgZu4BiXnS3VzlcGg4zQPJp19Q DaH0li1DI8bSEIb8GyqY9x1RUshlcVBfkuCyQkVyZmAlLaUJyv+daIpZ7d2iXBKeObR41uwb8W5r I/bzhcD4I9RyXOMrRE1LXwxz9dm3nh3Juei/gWguIrm+bF6GtMClnlLTHGyj/BuiuZndFSrMufvq JI/2euWuqQcZBC09XseKAL4V5DI2J+0z6N9Wwoph8vMkaVigi0wO/1iLdcCHFtgBj0aldvNEL4M5 8NTfAz0bqLGJiW4IR1ocfHPSURAbvjK7Ii6R+8JnGT1EUdHDdcpClgApdyfmxgnbYlaQ+IUVg76k tSFy80y1ycMetwU+DTlxrmfNk+ZBkWtfR3eLi9ii8d/7Fb+iGghyxj2PG4t84dvAYhlJ4TfMS2jn oytSzYsjFh03fivlyGxAmoXF3+OWW8adIAnR/kph2dTJuGoOCMdLRos3JXkX/lQfqZ+cGg5+KmAl 7okEwA59IKoH4OzdTqWpa/hjNum4VPZUn/cpumqyVH8hU989WeTIdSzFNdJSoDyTIyHj1fOkmGhX GN+fgHWE07CHPBeVh+zz9/iSqzXm9OZsMKYqcTJBjPRv/Px32httb7jLHBFlrlyJ5TM1TrJvfrN2 u6bkXdG/6AMurvpNZJjFUGd9Al3WaC4CoHaOuFLUSUSAE5cABvTjrTM2srMW3MMyvD1GcjD1mQJT 7+7OhgPGR+lSsQSYLSlnmIVnkRhZZBQSOwmdSx/9FBXnhd9NCoLcAMRfyS1NrKJnNM27Pqm5YotX bv2xlQyqw9Gdfqr7Ud/vPUSXM1T9+JaTGMd/xBfOvhAXIGVh08M09cqgeQcYWVyJo0ngnqpBrf1o AVGQuJqglLCaygU7CBaBWoKDEckIAyVuNHz0VB8LTEXJ95fZuTb7wlkimyQEUcHcW9u7atN4G83K x6RLQtDgTTvUaLZ0A6Ff3sRY50Jhv43NQq63VL+ilPfOa3xrEVdoJ2nlugB1BZDNgvJYq49+D1Yp 8uKDTWIpVeW98RCvJhjp0mckjbcOiBXy1EGdCs21V+yfB3XK22BPFuS4ZTc+t2N1xpmS38OTc6iG /YXer/MPcVqAj0cW65jFNQLQRtSkfOaCProbAF4eJk7OpCjkPxF2TS19/B084q48f5F0eaks6H/u WiMxdNmAj/ZN2F5RZyw0VsFljS2IFw15ewkgp3EdkouyiBrU5uFJhnK2lbo94PZfO/unO3eD/HAu v+tJeh6lmFx6RCK7VAGcSR1bWcK85IaQn6GRQ07NA265+V25DYx3YSqH4R+mTWXmEtH2Lw3Bf9lA Li39bU7SgPJ9AWwFpnyNNNhWFCNw60H0wUXQuRQG8q30i5KrfbL8sNyA+RgBlIcj9EzoJTErbLXD OsRxvsNv3NBSE4L6ZfyVIoEtKKGa3SMhgXwTROhziq8XD03gXtsFyOFgyZc1JoavyupcOIVkgfU1 7CzMr/jc7Ucq+Efwa4kNkO+yHXA6VRWaKs9WIb7Ria1En7mTVPJv/+apoWDC1RDWa7+tjp6Uf3OQ yvnVqtiO8B+fV4fXhy/aYtR0SVCJRKTZzsne/naxA8arCBXakXxnWewSlrlngcfncArWKPcKDwwg PkEfyQs/uzkKHf57x0J+erD2tx4ahOBMSAdd07TxScPp6997N9Xh81L8aEh+ZfbGxcNqLltavU2K 2B/5bVuTBMVin2cHA4j//9ZxDH5zLKPsZbCYM95eQlb3PUY+c70kz3xRRgb3dfMTFynlG3AcwsJm QQg/ez6gCg== `protect end_protected
gpl-3.0
1980940e9a8b36c22f76b5b390744cfb
0.947014
1.83669
false
false
false
false
fpgaminer/Open-Source-FPGA-Bitcoin-Miner
projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/blk_mem_gen_v8_0/blk_mem_gen_ecc_encoder.vhd
9
20,723
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block bXOf+NyPOFKyAbR7M9ZG95bTMwfg0MjwviSGuv0e1XYvP1cKLa1o/YioaJ7nbdN6Cqn8/6k83/yX GKAkr0jkLA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block SnvXusHaXqdOSb/amn6FWfZ+yZZJp1Z9lvUO8MUXtsiORIX8i3qHTsucd8Mw0mWna8zNKopCr1D6 EpoUCyZP35d2y02+/MNEu/20zvEcHvTi49n6y9ilWtGQmAskTUapgzuFUg1Oh2Mrf1U4WLSmATcg BoIl8+xN0Y0hV5y7dpQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block o7w5ZRvhoe3QaU99/6ZnHuQ6ef/3WhWNxuN5zKJGz/Udt1EPoRIdjcuAt3l/WCen7yvSKp3Kd+99 HgnnX3vLBcbx8XtejxYGIpyJ9Tnm0nynVKz/VDgUFKllGx9137VVT/IJctw3dYRJNAgW6Vt6+x6R ExcMo+6V307aGNmZ4Zd/i8kOzeXkO+Br9gPji5IXmG5GmR4HddhUL/Ns9ILw8+ehVAJyG2x8NQil rEKKEO9h2AaJQJ4FRE1qWrRByYzJNetcjmX2Z0hxRlQW0hWhQjTtZ1UBi0WWyQlq10YvEqi5I7/y V+etoXboYHCVZ86amqt+P4F5hHkjecHFb2Y+kw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block j1XMHdGWcxH8JTR49sTmT8wZAsXlPmQMlyjf5hD7XgFoitjM4F7KuJ2NlyEwcj9xett6LNd94NUx sywk6EC1bq3xHatQk7bEITUs1BH3rg4jrsCO0ce8idj1q575W5/JbnRdSnI3q4TPnOk/mC15beC1 E1pRqwTQAFC15BR2bdg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ggePePlyhwfpik6K+GQihwnmoPqWXxXx6PSefdfXXLWWT/C1DzU1B2K9gI6UXtidLj7C3iBKqsa2 Yhb48FmIbwidfcckyIAsWLwCAyEydWQytihhsFChsGlLbc06nzPWNqS37kVAs7yKRf9lpcQLtWod mynnRUHpErbbNrIhQlnEE48jq+fuBk8SmolAGXwEL3//k4U2hpLEhCWocdyIHN615duJe+zr+uzO NNSqZImbQ2TIuH9GiaZ23Js0nBbrpH2X9500mHSbsIM46lcpXDqh/WMRbqKfOe2lQmaATHcjySuy PEaBmwm1t7gANQtYLHsJV+yXwmIeXx9EkvBSdQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13600) `protect data_block NiltjNPWY8nSKNjgBoEulzWB0Vbh5yfcUqiwDGv4ugKQZxoodKvGXXFM52FDdFWdH8AEuLL84VGR gFzo/ZZAWnex1vlezN9OS1dditAniewO0Vn1CUSWuaWeTvc7K/4dms0kczzRQSt8SY7UP/AFaK15 A33+Od0RFeC1WktP6ySPBeplMkohFZsrSjY33W2GE4SJWjWcw2TAoWzn/9yZnIq+DuqbL/jsqEeA lf0OZ+NwjH/tQ8iemSnMZFaGRpKKu3Ym4IwZmg7j2WmnCpEGtNsrMa1rDSAMFYthnedLuLUubg8H wk1YdKh2YBL6WgiCowssZCdB7i0QcFZkOkpEJNNEtF7qY8c8O8zJcHHNNdWk7d0s4kkbKY/visH6 vEtlVnWkZAeiaquifjRadIZqOktx2h8DT8FbQUBa2mFYB1880pwdfI3Rf5tzsR8ipU05mj+oj3kf Nx/PoRLOVxJIRx1XNtbRc8q0uLeSs/jE7CSZrHfH70xnAAtbcPdcS7gKlyPsrHWIR9B0o9jEe3/G bv2OC0DWnHqAuaTq+x2QzvJAxQvdxZOe4Zmb4I2TPFrSsBCpB8Ml6wL5FUboqaK/ypszlc/tvfgi u7VhJHkN2vcUrrSC6++SkjW2u6xVpS4MJ1uibf5QjPY4i8gQuVLzpNIwKKiobozgoBTPiQw2YKoN lavgnh1JlfUxQNk6vtdx2eGwzkYx61ZBUjKRGQzcUJuV6Z0FjUbkQS1CIbAzdSCKKzKXmdiO8U9e G5vsddl3+8Uq5KFu6DndRgaOCsPsLJVWZ4rY2qvxjF75DqdZZ8n/k3Hkrb6DJi9zz0wg/jmKUyEg ppbJB9LcBqj0M00Xck+GlI3KGGFYZOiedeibSyr0f7AcQbgwNMRrJWhrN7ma1jbk1SOScdq7Veap Acgfh1R9RQqbg03GAfkIx5vI6Qkp4RLpXcsAKbIeUfTKt6Zup89DWZq+OMd6U4ksjrHwKQoAvcHX jSARIYU22HWHw3qsRmmlIibmlkPfL67H8xqTgRfT3qt+CH+ZaP+vkDLHiBa+8BZq6L4SdvSlvenL cPJnAYpG4Bz7q3OtGa3F4/Y2WCAS/irm2uRoE3nm940Z+aNcVN9NIO1Wis7QI2zsSrPYUIxoYGjR NMGeYagrtKfFNEvnoCUehZReuc0yP1uRhfTwvmHk8+nbmIrDGE+ONS/L1dvKgAPKbwiEyRaRsXmf +Ri4sL8LUybC4MR2mxMHUic8wT8EZjYJy72syjCC3+BLk+XfYOrqD2eYaTRToRJDJVpDaaWOVbxA dpy1wC8gGbYK0GhG19RsF4XR8SEP3uUanEFPa9Q/Hrou3oFVXcvW+e36tntQn9M8CVFSrXY+gbGD NTIsJEdDNdllTQbf367qxJNI0DwptJeP9wqjW9FylsOWReCC9SVjOzTCNTUTB9Q82QkiBMIhfpI5 gCu29N58xPsN25s5+/e+FlAhcmF21QooqezbybHhb7O0flAbGm6q/mTx17Ne3xidrEJ95zdcw4jO jWc+kdBwrdlpOUS+tvdA8ZaC34doHjMw5fCWIafKMr6j+TB/5f11JZ5PQJthh8iZqYT3ZlxA6ncU fRuIOGW3aRHhTISCiPvVYSG2J9fZAEVaVY48JZGTqyNuKljy1oY+jveSvW/Jx2OHlFf8/c8cF9W5 +P0ksTut4TF1nMG+QFOUtRQMTf2W56jQR3x6GrSfHdpvDG8lAH/fWhS8UIiL0GZe1nVKvlJtyQwk WFsIJD1XFzz2jLIJyA5K9jE2eDP9ZrS75o/0Bm30KbpgfTvyOq1LRqPLfdSbbvtcMZdHpyL90Gno YY7rcHO3u80goplL10mufT9XsLnO/eUV8Sz/LgpZh6K15MJ+5T+btdAK87tDTLWksMQ9T/9w5Wu1 6ozfmcBRMB3X3LDQR4llovlZlNJYB1wqhBXLJZDuoRGLYnwAKxeI9Mirn95KKK3ASOWhZt5619hx fuO5zAB/C0A7RgFtWj5dgdYSH1xn55+8RgwZZhI2BxPi8lCRVbj+HfvZcVBp8jAZkoexOj4O2/Jr 3q6iEBivVin7F+uOBjRVMOiiJI7NyhMFHcR6EK44afBNTT8FRGhIxv16WDeE0P7oN7qdi6ariQtI 7PHos1GHm4S5mj3EgZiYtyCGb1YP1tpZBN4OMdGUviw5adi0hsAUx6uLa4uqsB+NgIWe/oe63ESe oERvO2whmnZN4sQtgmxm3NtAyGgwFvPaQd9JdxEjGyu7Zu0xViDmViNHo+TBPjvsF0FVr4rlVflj 5MJGhfnraBoi/r+N0w/RHgQn2XHh1GXtfXNXnm5oWTmtP3EKoCTUBSVFc3LQ4GLdth3fJ+kxaRPs 4oAmd/jjo4M7kAuflaOW6I6qw0vgmmNrhZFSJCQk+Zm/bqVAJ+yEQfOpZWrQWdOAdljvZvvdp1AP lPYw5ph89PvfKrzIWEsB5/8byg6gg7w36NRITAIWttbpjQNZWPJ/OSJa8+7GN6b5i62hBx8pUQMt fdkhuBpV+vLQZFPtGi1bKDuNYEEZmEwSX8RMIq66c9XHrE/TzuyGMFES4CpG3bxOBMyDKO24b9Su oHWNvxKg/rC1ia4VfWO896sH64wRZm2syEZM/rO8wXQ7FZTs5jLJ77p1/Qy7mM660o9laIMZxHJE xoUVZ3EyBG1nZUmofBV9C0hIx7rIZhAuwxc8yUoePdUg6aeSH2BM5uY/H9+FHRHF2EttpgOyuiiZ ciFONEkeFBkEEfDZwn47txnxjOwI+25p7HLtA9ZW/bMHFGP5U82TcqMlWHrFdgVZ3QijjvN2upCf OUjfYrww9oiuqgi5sXfhxmorqGcyQU2yNgmkasUrwzuj/mNMkdZBuZDLMH0FqDcOp+oGA1skxgsj 6SDrYt7k4VjU3u2kpZvVAfEJ6F7xh/PKBwWrRa05AJf31OISAses1ThcS2XzZazvQZvlD+Ac02/6 xdTJdb/wMSHefUJCRR2yVTe7sFKuP4ZSMqWcI+D4tQTbVnf1Oi0FO6kfif1+gTn27LhA+xv5xZvW 6jPb50fFTBV9bsSDe+vpkg3suaQrZLKKhFMq6Hr1ylR8aFjjB71iuXyeBgbsVM5dDEaldb4UZgEm RhGKNQIcCXAOT1YW/dmc0hnPEYJ0BLiRq0GmIE5M+GgZSlc+YuVgD64QfjifrLjO0IZyW4sR30te Q7Y3GKFCMPnbqhInW59gY11hcpv9s9jo724iMhTuM+Y4jdGsw+sk6e17KJzTaR5fnidIEN7mbhzN GWleGGgqUViwDOdLnjX/aoKObPmXQ6+jC5Chey2J0n2omBDkJYsLP47I9D6Q1RIn9TeLSKT3ijFs ImKWKHbwlwLXTK+hQCL+RGyXZzHG9v26ugYXu0aI7QSHjXm8VQVfPT5POn6+xMW2XDJSQnEjTZlZ DDK1nx5dEtpI9I6RC4RSkpP7dn9Wp6If1k3QO8pW54mmycv+wHyoJJeomFgZw8t3dtiMwfgIrwod 2RtIDetNqULSlKMcGjKc0qLuxoCKA39KFExMsJLlyc7CA/oUVi0VXmrK1HmrqUiOg0zoFaMY6ag5 QWL0WgCGx8hrOCLednM18ntTV2hIV7tt+BVuAhyGBOOAUhEGp214YrFkVAuy1cI7/3/AVNafIrH7 auY29xEbFIZ9dOATsdhcO0UzooQVCNlvBfKztI81vZHUfpSvuamuu0/6qnSfiyU1QCCYFevLCTOR 3NJkispCrqsg38HFoQCJPNW2h7nVNZ0atOuby+fPyNOXsEB9Oqmql7paV/hDVprxhuO7PHRtSdeA jbsuamnr6GPGTM3+oBp1LwTRkWCQfUsZoX+6SV2jzq4E8ZGXKmIPQJdXk3IcabsMZsUbHN/9/NR9 Lkg7njEOeo5WatrrXRTSI3/IX8b0jiOJau+YGJAO7J65XCjHcIHGWQcbitrR+EYI63P1b4wNNwH7 PUrJzrlWJEyUQ3RNZXNI9i7R6DYupXqVDG7KIQmeigYsKZcaJWM2Zu5UNsbaDR7yLCtqJbV1KTgg kGFcXLc1DQsox9emnQ0Z9uBMDfbRckb468viEvf8b6CZdIaX7ZIhP+v9wF5r+HFevgsyBaqPAMq5 Q3uZA24emASAZ706SeOWbjrQL4jjOS1nSvVtkUbKV60nGaYVb850l01tdS8jS8KiB1e5GL/N1i6c JLkyUKEhGy1clw63ykIuq9MoHH8cYipBGqQyJ5a4yvYcWkjENf9ngjBXlHa84nDXNeCx1dW9ggXd Pi/JjqJFku+4BK+BY5dMB/lLdIovRc656l0xF6Mnpjc2l+BrP1iMaXnt71cFTOu1tG84ShnmglmJ znbpCK1StmGt5rGa6EEERir8IMSmcj41hbCsnlmTFFf+ZpbRBIFIHILoJ2ZGhwqyStiRnLdGve1B DiwseOW+GYW01LxuTSr4pwCOmTvRclVzd8TnKALuI91g/G6jEDGJD5U/TBR3zbZCTrPlkYhCyYpE y52spc8h3/wTLWL1LlP2X+B3/7Dc7mVeCF2zcpH119fVNVBJapO6QthbzJ1bBr8aQS5Fmw5MuYs6 Tjosw8UNk3UKknoMX2xsaTPfRhuSVd1pCTLQJ90IQ70//jW0xjTJCmVRYQYjQlthYY3HItx4pDdt 5nBOoIJwxMLStxcjY7VMNurhqDk7WRHkiPgKkJzio0QP/mMIinQx5uwyFcSV2hyWF5fDK3Cn9bD3 kZnSRWRZY0bopFGMReGtw1YBuBp9uk3/PI05A2BdMVWpV89imTZy97OvcZGBdUtQH5mNkNTGkn3L i0HdAdZsceVAd3IJqGansEwzNWVy2vz3ysrZFwdKSy+hIut/ubZzxwBWlqE4hjwv958NvTsHuYnE FKzko/X7+ktZoG7DEryZQ9eDx8AKT1veNz2YyDS9MyxcLU1U0a0/jLERJdUKFImlvW7yHBJgceI6 gueS+PONCKOZKMCp7+vyZj+y26wpNNbs1df5KHRtj3czawbs4nx8mc+D+I7rfaAwO4dlXYKJAZQL 9R6XnGWLE+o5H96J0vDOuwK0+BDCLhYESRoDkD804Exj6SfPuoWusWcsBPZfKwfuK4gsPQZeYomJ c8s6sqK3fGQBi7xPMreLECVKz22Kr6E1agI01HJeIGj9mwIpQeWLksd/4b5DNXam+GFVkXwY/RN+ 5NyCmSsSA4szUBImcHuOJLW+nfIRmaZy5I20PLmvsHgHwPksPxjgUW1uoGeGpg2C1mQc55uLRs+a rBvbiuspmiBD3VZjejJfyFKkkvO3VhNuxezyGwx3DrjwCP/GiYHDtqj4pQObNEiv/xgaFoi1EcNz 1cERcVQIDLmyxLpPUQiHo4ROLu9xt1ajCStVrUsADMN2Y3HFr0oD1BIEaAHIUXt5PhNguWu+WFxX g532frK+q/7E3a6BWQKITxgTBU2hZJ5gZNzpHgmRpc2kdAucfXyfwCBLm4H2Kq8cLIgJp8ceXfbb MIehEsfOuk6Byh7KVkFfMQQ46oT44LqJpJskhHz1nXdJqH+0dA837vM+FWtmXj0xsAdCwLul2saf 97I/wiWqGLTHPfpsRLvhETyQICjf8f0ohU3uY7Kb5aj2ljnwXS1DNhAXOG3G8zFuS///tIXidmLq h3xES05NNjauhf5gM/dC7AsrmvLS3FD0wsEB5hUw2/7chCHH9f7ub9f8BYVALU4dJdoAEAnokVmM /4koDfG5k1inXvF9+NKJUaskmN5OMynfafZkHCpE3yWnNBZfOoKDhO99U1BCP3nUQsr98Fv3ZWyl kBsKI91yeZvaHXJGks2NNMlCGw3NDZdGeygSa0JIS/1PI/3gYbbxS2PNKd6qEesV3XSHlJ/QTbM6 TJGcpu2YqDbj01bpk2DHZGj4btCjY6dInty16vyd+1jN0fZSOvJPNx24SOf7hGuCQnB63toeFv6F x25Sq9QeW35jx5iDT8O7HfSwhd1sZuFNZRz4wcXt77eeRJzJ81pgRUHwXQrms6bzYTVF2FP9C20j XueAFGTxECTZI0ercSeNN9xa9N6mWOCpN7ZbxvxoihrKDdeipJTSa1Vxx4qhzH8r6PH4GZKI5NmO P1bO6tOrkOZtYoaKKXw+blZH/IkFXoPAKT0tXEaGtxhGlJFsYHJLomPfJVFeWq9cxhZ0uY2bn5GU Os2kklNTwDpReFBywd6Ph3BBRDgOuPpd3UAKCB1Mm+P6Q5ZIu5ZIBFguhqcqo+eas0vkUFxoCudj F1xEOj8HAczDb1D1YKOs2oXe4ARHJ+7BKfKq6sOYU3gXjNC+snuO0WR2KEEFyyt2LOgFm5Kk3TA9 6mTc/uTrTEOg58kfjphQqGVHyUSwmg74y5+sy5UG7DnmbJ4R99Ws1nhaSEBbT3aNg9qxnBtIlkoB XS/5UBrMWa/r9PzT4FPdP9xAOifzNoZxycU1BIq5cgi6oi+Vpdvo27bIwKtRGrM9pa4EK46ZPoWF yIn2RF2Jv4fXga/uHAYAYU6Y02VnY7tuSsXwYMqQHs6KJA+1HmBYu+dPPh7xOCZ7JnDCihrhtB4k hSjPtWJF/Mlt0AHSVTwYSYkC5CPrs1PLqdArpmg7TkwuxJCHvOAf56WZ1bE5HkICDYOPUvDCP6Q5 dRiG7BZ7eaPb85X0ipiPcHZSuJptljgusp0z+ZmWwS0KvwA1rSP4aSrUaQLnFu5Qrz7RIKsxkTl1 PNxdW8MjWSJqAhyuv9fi/CvE/VBNWBDTlYK3iIN02B8n1wFp4h5Cn3+u89jkDd/8R8cNkRaynoB8 cPtRhGg/bDgzpb0WfSHNIsvrkxUy9SEWexhZ3nMTjOU4tw0j+CZh4wEc7q+6Sqzjr54/7nugoPPN lVeG+3ilYRSGVXlIfcvqjjOp8SSU+Yr/yMqHLKlAB1TYZp5SDdmvxp3BNMavCU7tBoFzLiIzgwt/ WCd+u8x7iHsFAtD9A7j/Xn9nx4dgRAihqGNqMdHw5nmPdUHMnZWWSZ0BGDIfTB7Yed4ZG76pedxZ JnccLV6fqpOkkY/HM/HziKQZ/Sbx/ERMv6BOEK8vnpW1jW+mg1CwkK6CMvbMhh5e3nMduMRXiAQO Xq5su193B1xlb7lWQqw5vD/ceMcaBPXlvIz5R8K6f0RlKzuTrzpsufaq1KBV5pcZEm5SyvkkJfmF zj6i8z1EQLzMEn1LSwcpmsaEKdqte72HYG3oX4ZZ/gcng/bFaYnqDIBqtvamAHOUbObw8d06kVYR k3c2svsSTso0MGnA7Q+3mvnY5tPQgrzP30aQlIhw26JcInEe3blDgKpvbpKxsq8B3toKtX7hOt3U 8Gcx15lr6iDJu412/mgfacnBoVLEzbCHR3230DZX4mirf2nLFTxvtYy70VpLwvhQsB0RMrGUzvU/ +Sr24lKW+2yWhVQe+uirvTXpeMeSWUD5aToE4ss+8hal9E0vGkiUWUJoW/ftxrivi+wtoCCyiuZx si40hXVqlbAXkv6Xxd83xz0P69/OXoMhQUQcRTWPM+rVtaMg2TDFW3pWur/cnzp72dC87J3ee8XG tV8mNnkP3Nj6xGX1XSk4cqax97CcJjllk3XQPJ/WJO5Bn9q2AvYp9//18uOnevqCve0TejpG5wzD fCmqhkHBivET3Q+rX56dw8wPF+oHKrfjfjpTVL7i5pbTnkZtCNaOmyFJQ7TvuqH2GbyP5R0TIjv6 lWjGY9IchsetrE86EcepvzNFGR53QZ21K/wOb32B2xuS+TAOwgKyNLqOMvxXLDVpBhEHhivPw+7x CUQ8zzou24BUB6QeAQAPQYoFu8tsLbrTQhUZKPAV6x+8NX6QMxpyiUZeCl3LcaTBlzYTvCrNU2Pn pvBEx6gj+b7pN7/TXqNzra1vpe/vdBGp/wh4DmwvGeYRlNLdteOdh3udL1MYuQeIeylUqZM6nZDm LRxXO93t6Z2mRx718ftWBRmBDg+Z0Zcj7luSW1D38ScpLiTz3hrC2C3+zEI0x1MibhsoYMBWE0aF 0tIyBp/KDL0VZ7mvxwg6V31LqXxtkVdLP3BPJo57S+vpXWIBX/vQQd2LCPbtQfuly2gNAokjHA0X trUEEtjObwiEtd/Qg5h5Qq2SEeGt2XTlmE3Rm8wEuoY3G1emtmMkhdzavOgshQqh2o/fVFoe462X z7Hdw92V1ZHPwXTK7E8JzihbpWCyJ5WEU/LqEP2+zRKRSe5sNJGqSlFWzJNRmZCqzxieDQdNVEi3 PF+iFtIThMECgOGpNqW1pLvMwwtvV/td1RSAcuEQFM20/SuJqSH41p1THGw/Mv3zzEfa1kvLts7O /wGFfx18bjEgCbQiAfKLdUzYxU6RfKwMwY0p2fa8lGAlNlMYCONk2DHjjMlmVAo7d2j52DiO/aU7 W38+fYuDScwAaiKvg739LL5KneyAOEu36r+JJYyiPXhz9cpvVQpXfdNgAvPV2fAWmXhtCWNoD7IP muJWhXC4DbhaqdbCm+SoxbXyXNOBS2zl6PutII0d0wpU/W/1dHne+PzG4ekW0Hn3Sk/H+CIQWsjd XJcMKSyTF+qDnzkfv/RKu3Dw+BFJ+hkgiiKoyRCSd5eNB9h3DOjqK5DyZgkZWLg2BAaTYmvlzzUM bdwZecpqM0rxmXFtybefyd3K4v0UGvI4Seb2WM8iSjnIjwEBXKqiWnLmHza7v0q2wQhxuOQB4P11 NE2hYiTytegG8iXvjDclCBzkPWJtT13Z7aemhtjWRKlsExQ+cphE9sYu4u5wC7dHnHhJqDxjADCA gx1r9KaJeT+QVycfC+2McORcMdkg2raLQ2JLqsi5uvjnBkfaBPDkMzH4/0k8YJfXy0dmXuY7fuGi B5JBZz26ym1JXpWGGiatran5S7EwRxwfIfMOADvjX04YujXp7y+KiLiREFkvS2tRkMH7Wkcgqvu2 pRzc6Fl4KcoQdvxkzYDZMzCc0pynidXzbvgkbQKRKCtXIWZnrcAp+VAGv2UziDY+YWl1R2ncZPnm FT64rsm/RIbxn0PPOuJsK78SEpjPLIgF0Ll1xqtZnLFVeL7b6vGxnWVBUnj651t/6LUuJkbWvrpj mCxaoHtxUm3IXpVWJwBS9BvJK0qwaLNIy9/OC4yi6Rg80qAoSUHfYy/NR6PFTvf+6UGKMG2TQH2H 7wwXC44ZWOZR7Y/3kSbcxOHZOMdyfXJ2V678diQP/rr0m3Xgw2gOJP14AqRIqVv7NypemT/Pqg60 ISEuF07LsKyFn+o3whEbo5xKP2iOc7xhvHr6pTBFtUy6jPXO566HnSBjT1ueeAjEfqeOMWafjn54 0rFP0emvJzAsconPqeJn6HUUZhduuKXnIra0JfS34opa0DqeNtEip4c2fUuSw9vY61zw2i7n4NQM +YVnjYmy6CuEO0uGYAfZyzMfpThx2EmeDgldEJznZdB2wP9MgzhF3ca4puL92KQYu1MUvKj8nOUk FlmghzLQ4RCVre2312FKklQ2cv8q4PwddcsUllxlHtuEK9hrvCNf8FAXa9Q4Prr2H31IqBqwKP1v f4oWxRZm0pqujikj2Hs4LVoCfCbs4Vp3IjGF/T1JAzOl1fVlZC14bSQTgwnTB0uhjh6PFbGRIHIi ocpkVp/ftcCwHMhJHeiF4lVDvF35EZxWOFL59WjG4XQRQEdUgIJx4lqbbIxuA69wjWff0dSLDOWn okLFsD9E99ROu2TJPSN5COgFuAtukYhM4xuKyMNLweu6qPMsjSD0P1f48VOZa2O1n3dCcuETuJQz ATTlFknKa5cDdfb86IO+Q6DFBK7xBxihmLE0m+8biMEO0pwYrLBt+SxYI4UmMVecj6yZ/gZW6onZ bY5nr5m3Cc9psCFXickgG4FyuEPejR8dFYzO0PjNl41kwheGNbRwVrP29piWggLk1fDwbft3M0Mz pvABgDvOf/BgedNjJhiyUQTc166mMM1wINndW2RIlRO9iBZF4XEMAkDJc54E2vREeiM2xMitwXdG +HbL3O8qhCNaB0ugcKY2hCnBxH6LtijG328V+a6tab4U0cMa7MS5ejXJHu6joFPwtWEzzdSe7H8L NQTL0YKfJbf+bM/c9cso1DT8cjT6z6cowyidKIQEQLuK22BK7O+gOryTXP2bpuVM7QgtZMDCAZVr daEO+llYE1g4wEPtiylFZJTM16RbrJA/ragjGNWVjfMcfnobmt7V7AyO3do26aPqt6iI4IBeSuVk B1hTiG/XsGjbtQlD2lxSQ5kWzUsRrbHW3+3cH+8fDQeF3u7YIvzEmo05JLOVXhASx9QLMYOaTshg GI2YKuf/9BKEqk3eh+GfDWA//lMZpTqU8WLU7rXEgQ2hVt9LY9DhlcW3pS3pC+r0CMuSdmuYIrvG lJDRdEbXKCfJDi1bw7myWxWnKp3ZyhJQOWe/yU6Ad77N4Vmn3jQwwAmyAcw8OJQ2SuTOvGAJhiN1 0z9siCV3zWv2fIPuEhVxmqVR/hQ6UI+4sVyoof64lmkA3cd6DG7atME3hkNk/QUGaVIyFozS6oZO vh5QuGfcvvxK2sdXjk8lfbAliysoM/4t2Me2qVSxI8WT9yD2TOIg9pUct+3VeFrOqorfdjEf8Lro 09VPSck/c7+zAjjS8aXgTiXPRVbwMa9hl2QjEvvFAWHJeVNXzolf5H4O2B1SfU04ePEukGMsMkH/ MpXxoz1k4T3gJ3OTmDDKJYtGP+ZOcA2zppDZwZImcllnEf2oS2NgeTswN764nM9NXpaGIZAfwG9J uxkoTLbJkfpCuJaMNb5E0m4umdBwfOGPZ3qzC/mZJL/kowy1MovEPYQNcG6UFGLyBrWe9srHTZna k2D7wdlZje64AJZZcNh3Ve6t6y20eJNtU8+faaR2Z9NOeb0DlhGEuL8naPKClxQVrBL+s1GHOmvK XysvojLd8fyDwip9AbyCgXVqdYMrE/cJEjBwJwC6fwQTBCasyM11v4Hu66a9ZVDFZOYNznFDNROR W4CQse0qK0wWzWx/vv8xEjlAwQh8Q42vpZjWLN/jbMhpZCnKztWIEBpvXPpHML2l5YWDq7RPWJjr 1gfMDIDUvx8GtL+KFzPtU0vYlQr7Q5MeNB2eW8jKdo+w1ZBn0wSPf+JpvvXrzu8mPkbnkhY8C7DB zI+bdNdqP4+6cT6fdZB4Jl7IfErx35vh6fbxFnwbGAhVo3qiEhNq4PV1+jZ7fHI6nV0/AjnchGQd tphFZiwlZdfMB2VC08T/WhF2Jha0azKf7paM1BT5xkeWUR3SBWp51+wrad5ic18nov/5G81awkc2 rZSk/PWrrAqX3ilbKilxx34fSsMJVcFve8xia8OgQ/uSPcve9gKveNaBTrrS+TeCcQ9YxdaFAhzV vyUfe+yQlKkqqX0/p2sE6jgTPM7lt4jSG8bvuNPfD3zQ/zObacd6bHBKyy5WYcy/+I7boLAFfa/u s8lMiArM+HUUCb/4JX3GEB1gxmK0UP3N/86y1Jl0495+NP+EpN23lfH4/pNkRwsuO5jNpXz8A4zo M9cr2ZDhQzLC0dZJmyDXvwhBu79AkLfIAwdRkIN0CcsRx3UOMMjArIcGa0CHKYYposplK3iu6+bG mVIH0x4rc93ry16MKrDNKDJ1G8voJKXat8IA6OZJUb7NrFfdpPayk8qBNGgxZkbklb+RfIx1N3QW 9a5VL582C0pplFlWh5oqrqtnPorEjrTFeAOlt4owofLrnC8wzGMnnoRKYt3BblHRRnyNOSlf82rL ciifCJc0uJ+2hinNn8Nx13XfjDrXab46OhTcWG8d4CDyck+HQLC5eEIZBpsMzaaYzsPPswFuCKVZ pou1fqu2TG4t3A1J1Up6OZZiKZILVyXNLEYUYbZdeK3r+OB4tznfHpr0BPucfE5nz/3dXpqtqWpH 5Gs9tbiIz6mFqrvOe/qW9YQTTszs0s3S7DeTzAxrpjLzsTXHvgy1SDZxRuK+aVzJm2btRnf6NyL8 alc62+LIYZmpsrzq0566aUwKbN/M6G33LXiGi6+3h2JuqyXvNq4AnvlApXZ1rH78lkQer+n8ZaYO pv3TLiE9nXziUSq3DrYbOop6HXrnDU5+gWaVL9coWBWiWQ4y2ow5Ceb4/iqZe12zIkN5g3qy3BIq H3/pLXpc8QORGEZihQd02ep/pvu21kjlV5dKj7NYgLmzt2k+GGi5YZtn04uYMvjD24a++yRwxzQR Tu3peBgDlGZFVxJL/94ssvl7AnXmzRojVLlX7i6ByghYHvkOvfGoaXCQJKMw31ukL8cYafUwqkF9 dy6t4aPFwrT5+ZA5iEi3Y/iknMlbARZMZoebHeiDWbHiYLi8N8LRHaClCFvGQhNBgbGn45YPm1Js BzgmfgP+e2M0XydUvzzk7UBsT4vti9JXa8UsSknSffod+Nb+fnibMocR7YM7rr/bcLCYo20D9ugk fXClnFmGrNtKft0YGbDS2tjAIyLKHv+8Fzu+wPwNnZqCQkjIEgtmwNml4IqllayXxdW2LUXTE+Tl 0qkQuLnBZvH5JfzreVvaQYHwdtYqrhul3XwYBkNi/HoAYx5hoBpujD87K2oIHEAKm/yrip1IBcqa vbT0UcwunZqQGabMeWuV83tSAUWgzS4kUjIVqcDqZZfzYa76RaS74HfjePL73u1fGhBuQX/ptd/H Wwb1msewSCLd9qvpr+RR+xl4wGLYM1l6BHpkAb8Wr5znHkT3w9LpHs1qR2hEzaqKUCfJREYZFCyq z0cGZ8A6ZaxRCqMxBZ6VyZhS73NzcZTEjPhqIX2vQlH+tArA1Jk2xaVylWwp2eQJ3qMQ90qZYLDs 28wPCJq2Hz1ImIyws9fGBZDRyGuRZBJoNihA/GljHK6qQ6m677LeKrb6V3UV6i7aNwjb4zeCRU5E z3L8vpUk8Mx6fahG5h8cMZoK2njQh1NXxWQyahqob5EzksacDznRQEQp1821bJHy1hUCiTTbZspe PLJBkU/J9spoPI/RV+4efKRU2uELO9IrKl3jL2O3TNsNz65+czLf6U5N2wdWPzSBsgDWg1uKJMVj cVhW+oS8HPMsxmAJE1tzqMljgRJvV7GZcAeK+6mGXVWjj8n+S4AcPHMdFsPilTBDnXQ9dlLrDrrB 1yncOCfhQWUrUGpaOQog44e9dak5OcJLT7fA2e1ByQMHFHShaKy7T5F2nFNE8ngbh4m9O8nC4LvL NxjZSlM5qqWSx3NIpvuQMd4/RmlbeanoVQ2Icy/qqIWXfJ+3GK/FDm3S1ArgyPzjsWzcZf7irgsp 4jiRs3RQAcACyUsPeVRYvKL8OIB+IKTIIrcxA9Ioy9kZ/gynYVvLUqa7W0PUu935HSpRegfr8Xqt cmwR8QoNGNC3NVgHpS5CuxgFpCpawBES/qQ0KvxXCj3GS1DSVcKxD614/MDx8s1OBRKfTS1ANACY qMqttqHgl2R1hLSFXq3quv40OiLyC0Ku0gMPfPo2xHf/ho8/6nZtxoSUUG2jBcYp4B3OQrHYl84b oi6UmBWe55p0DS+cw4Q8RqgJkuwb4u/EPCPHvhg+QxNRhgo5xPrKWjzWGAVwSSb1/KuxjMfgZZ4Z ua70cEHdFNhZhfiu6E8j0ByUr7xmGJndhL8xrpGY/BSFgyovEjjXRdaslxDMcTxyC4O6CcMcxEZQ e6vgrAFrDcNVcnoGE+fkPQ9sZdbEqYK1TVXt2BoMHmPd8YYy9tbYpTwiTn/S3mjIGGQvcmejHp17 zy6Dq6rbQ0A7SiqldmEc7UCM1+MLj6GouuZXe2myZKJ55TjdkivthQDuZ0lEMR/fwog3L0oB2LJc Vi57sW3Tuz1YUywS+9YMzmPBm6ZqSFOIXjsCWJ81VfmGwkwFf6C8tjR6JS8ZnCiM9zawJGCUFjrd MpaV0mFoWZGxErFPVFiZlh629HmJnDq9JJPLLTps3YMOOp1gLZOTyRCTGQO5yenhyA82ftai7PpC qDYMHyeiwLvP8nC3GH7R2N6CvyTbLoEivBWw/N0/NUwGLd7W562aMPjB5g10gb8M3cEioJyYNzBw rirGELmA3ZGQC8VlOgPMSAKphomWslGWAAiGRlb36uLPNwgKGqMKHPPdjDj19lwumQ6SpQ3lA0FC a9kfqpz6N9Ta5xv/tGgnXNnnFi2h8OLDI+V7eqCIl87MhovQYknQ3MIN7qI5fn4nuCLkMjvUKZrO O7sOdq6Os9R4rj3OJo+/PXVy/AKJzjwU/ILDhkJfSYPw0m91qMRmwDzCLYCgTsnnCQOnCkqbKQfH StreLKrzfkJmJyjT/3C9RJt4Je29nED8CZrRvjMSS4zpCXfQQY0qBsPZDjC5O7gMUyY9aTfOOfh2 B48d70oJoEE0SiO8LtNsVCtCG/fXPwpJd1fOTg2bF9pxmb9WvfuI1FWHU1sVLpZ5/pACnbZ1Wn8b S64nEWJ085RCoU/4JxwB2DfJZKr5RJprfqvhotCReKFTMCl4CFWBMcx++j7vrN8K4HHtiRGQZuce 5KM5ZVrOEU+iNY9zyA6kxov0py+eddo+i+Y9trQX7LnUL4pvCUSBSdf1jwGP5Im4sX0qRk/KgIdU uQFErsDp0CyRZ1ldlxs5fDhHUYCn+BIBiHQMQ3JvIup6ZHbiYhIsNXvpNkzl+I33NiFUzkIB9Y7o 2PE2HAho/wEi4m9jSptQ8cgssDZ+LbhfbjaisFYcrRx93RlMV364XnPvP/1mi6O3v8KDZ1/zeoA2 8Gs3XkM78tm47g9DqZr87gswJivtumLZAjnENeVEvdud+tCvNdGaLcGPkWVYdhORO9ZupzUMrGVY 0fvKO7WWW402UjGWUeyOGMGURkHrhC4vGHJrvJ99oGWvBWKIOoweT4u54pHG0Y1qJ1vSfYCYmKV6 5YqiE8C7PFkWpgONMNN/hukWWK19GpwuwOHn7ACADqlTtoDjD1dTGNAMog0K1iM3xF/K4QVyRxzL nFJKBV18kXaBEFpEqSzQ6f65+ZPKv05ro7PVwqLcv9e5/DrWSQguSLHL5WfgIeUgNI/DNM31mzE1 KMwlW1hAVZ4plUnTOZJNE5BTNF/rWAR+k1attXmKbjrwEH2u9chU+KqX3X6iw3e7N43kHTX9YKab y6BsjkDWgwOGw5ksbejymBrO7bfzXXJIOoWAaxSiE3eDOwtme3ElQ8W9/k83JrFEQlTP6+c9/IY2 W0clvyPSYehQbRCkNNsDICck2N0StBik3v0Y+nTo3GLQPQsal51CVfL5MbLo/Yp5n7FL+vjVN5V+ wLsBpGZHPw3SulD/jeRQ2OKRvunGpJ6dCyRvR90IJHhFP3fXR8t/XIRDOVhhjtVyG+AAIlrWirpd sHLtbzmOfqLzAk/+qK36N2keH13KoVK9rJQ6Uv/jWxujc/4QTSos6z4dcUHR704McGr4BCSpqxMF 27Stj5TNdamraORGry12tYWTLSq5qtQ2iDV0k9I2g+EEnNi5hYULUtTBUzwjtFbz0sUPPLzHkkXX xSo39Wt+1DeHwLga7VJomucucmEoa3G3RMroRe9fhGqUsCpKxRDZ6MOh9k/J1RHuAvWsJ2uo8pj+ v8mQBJk8glzy9d/nEwgNExck/1/wysfpFQ8H1jvvgSByTFRmRF3oV06lzMdkmOICFuIVBGNmfojp 4B3GhYGDP1BEYw9uxxNGnGAYrJJfgFcfvJNtImP5A7fA8I8lJTMDPj3H7z1W29nESiKJH/UbXe9q KPgMWz8+GtlCn/P+WswwqnC7AHNHfz42FkY5RBuelZNBIvew1VSI6ypC9l1xtpU08uCitK+tfws7 piCmiPWg4HeGmWuEFD/KtzqObEA9izoc3XkmM75MExGmOCgPwS+XV8E63kN5zmCBU5L2VbmtfS5i AFMKgx3MumKgIyRapBVraOaNL4EvrwJdyxGgJ1g99VXiq/WCtjCsjDKc3JH75ZP9iROlvR/aYl+3 NPktkLMH5y3Ikq4Hl5d/IPJ3VdNDACmfstrMgM1uaYt24Fpi7Nr/o8wtewCc3oYcSWaw4kgnhWvQ oku4DWELilbi+OKG5FAYdDozFkgJSkIL94AJJbqLDVvpMRK4h/505SMoZUNniENQ0UFunZWQnclQ zGM6GCRyBNO2bhrYDWxTLz7mZR7N+EptEmh4YjQ0PFx87TXntgBNa2aMd7Y5QxNj+QmJGrCjjBnf Grjc5/UYveMPMde1gV9tLwzG0ClX4ee9myVCw4HLO9pqp9UhQolgm7V6i0zWeEtjgcIPfkBi8O+i 6W9TXkEndMo7xwOiTDQRn4/my16MBJ3rEu1wxhUKsyO1XslsJt6gTCnuQfb0+b/9z0FM6p4C5BDW bJf5dJfZpYs3Y4E6n3ixnwXU9QRaVcm11Mra9kcILM9oKsq5Tp/e+p6+hThtNMldBOBk5XRrTr5s a4+q6kIUvgi6UFgxOx+NjA3JeKnH1/USx5ghB24RU/bvLZ32pTMwt6wOWXNGL5ekLoMFCr9i01ra wAza8hPEVhW80zoyGBJpl1+yH5nRCqbS3abC/3ZZue3XvfRDUYCHgXqyqat5zaHfmXn3+gOSsuru YGcUkFtbstvCd2d+dl3mXkb4Af/zsNz4b36G/Gt0f/M/V4Eb9NWDSqgtEh3u4a9frAx6KK6VRHJr xO+KuS37ovzIfq9JD/eI+NdQZpMzYpST2Ye0Gn4Em/mpLVUotlmmy3MosRLlTbAI0zdqUbtEKxxY fWfe+C7eoh3ZZ8xtparA/59JS85AH/ugj4jXxJCiusm7PBaNAPPvUc5IPDt3NLZP0d6F4FKCCjPM 3qS96U0GlXkYsF+uKlDF56/UZbBp3utyXWwwj2yKc/87U+pl9fRI2Q0jL2acgscL51CfQc1sKvag 0UV4YyD1NKJyU0mxPjxZzsBO2cBYJ0BmlQ/sN0DN7T9UnOwuvhFrFjw7RkxxLYAr2iGtv59JtPNG x0WQz0O2Nf/jyEcBpna+8NLea+pM60z+k0WPXefaWN/wvLo0E93Z8VPPH6pLW/2TDSTqTbsblbhC eqD35VeM7Bc2hcSfvnCaeebp2/EusBjQX/MFS101qf7THRar63dULvUqKiZrOPe34KEJxXKj2aYY cH/QF2XAPNXJoUNrV/zegGDODDglpiIVl2Z3zN2Han58h7RtWJgtVRjKOP4f3u9pm2/a1DSGG23g AFEqIHV2vqYozbPNoaZFG3wxx162kTnZyufxKSOUmtbkH9WWTdbEBSwu7zpfHPpLwwQUksahOSdR juTIM2TonnmROqx0q45XMLMe0E0Y8FR57FPilIidQYb/eKIcCvyByL6mgRoVJ63hWHjpfdXgqhiL tHkt2fY+xkCbZCzBcOV5ApTLZQ3fXGe4cDyp7xkFWJ32XUiNXGZKK0r9nkOOkOW4bol/kbvt7y7K xenFV/+2kCr5KtdpMjSiiOQ9k2itmyr0RvZhRQo08ZQzf7n/m4maVKlmIRwXypIkzjl5YBz9dJ1W PqFpXyogVnvFp043d8lkB/Bvj79SpPuEoOWyaYWZyRmRwnmLPOwi2gcI0It/TpCyaKTdGzXe7jOc 8Q+ExmgU2GNjjWZM8IM9zeGMlsOY2k3+jXBoekYUBqsSDxjnXRW+DHFOVcHSvHMpg3gaKFvmWTGj lj4QF3BZRPcUyTa0ytMmySQVKzCUhA7YxtB7giAGphiSgkYNE6pPJG4a+IeCJvkqO+3gRm1BNSa1 FXA3N0d7L2nYSELMQEmUznOYEp9paGKN/Qp14b9BknfXO8mWu7RVJbt+cu5MsPibFkMKb+jeiD60 zGzJAWc6NkEqXKFyaNBHi5n5cifH69+IsrefCrlPiG/xNxwYPWvwRNpnk/g/NE0fVFer9Gw46Zb8 rfoMQg6jtn8bWEAtXBz9tCD1EuZGbAlxhUsu2/YTq1B/NAGrXtWGkntkaFLulb7TxIVXd02gA4xx c2qqLcJTsGkkU7je1NvsLP9TlLkwlrmr4U2mDSsbV1xvVYlUSvogdUxp4uCoktZoGR4BhwZGGeOk Gi/c+7Foh1fzumnKx865lSvXrTJUAwJSs5VY4p8xmYEIdDEHoxxEEQDSwZO2/ZRqk7S5RZwb9lwy +7NVgUY5IaYII40KopazfLdDKbwov6o8ehob/nnvZbil5o6ZlmGhm5IrqUgahbKA4AdlWI3de198 krPSHzxPJXO8R78WmXEDB7wILhdCeYUhKiXkFJcUjFjt+g== `protect end_protected
gpl-3.0
50540d35f28b7b85ab9e75e498a9c076
0.941273
1.851425
false
false
false
false
fpgaminer/Open-Source-FPGA-Bitcoin-Miner
projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/common/input_blk.vhd
9
28,136
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block RlfItrBP2dXV2n8Ld+8AcLKvHOqD6HaeHtcM1ZQvksYtjq1Fs5oVI2SBjV8AmO9IiyJSpMdfy0uh Su8ntPzG7g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block NpDp4Y0GkdshfA8BWf95HAAEdqfO3hEOHloNvcNt0LAVXuTaHC2Y2Kd7U+G172t+jQqqpZoY8zn5 d2TLAMcs1n0/kUB5mIdWLbzKbP2wxQCQsBypKDXsXIVT9pC7YXZVywzQv/yoqztIlsUnnV4K8kZ/ 988seSyskp0Zq/n2reY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block tZNydnyeLYdVQs0bj8l6U7q20KMO/Wxt6mUC5MVZeoPp+GMb+R0We34z9dZmKaphxEVFA2tx6hAt hFheNyU/FLCU2dnjhx7aco4wUxhFkq6zS5/fN8Bx9YteBhDg2CPxmhZADfOKA6bGMHggG5czBrZC sAa8BlqHUS6ni5BRkq0KRiyuNKgv2Bs9cjQ8Bmiby2mPqhuEcFCOn51f8Mtj1VrpO2bmA/tNWO+M jqObEmiLHNdW3dnj7TCllBV2aQLxpuDYupA2+cOociKLVf5HJWJoyby4W3vz2sH7X/hdY0tW1hqz WLNhT202sv7yuwfesAiiGfksaHY8UwFM1K9hAA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 4ABns/uCsb5/6KK7PwZTtRkykKVwbLhqo58xiIO46mNP3jN2/yCw+egRwO5MZtPdjugLgl4sIfjS ntQ0OJooKKjTjPcVpeo9hTEELOGZsaTuRHThmlHsw+YcH0uiqUneG5wgi7zMYSZEoeC6KZhock4m CAhbJYYW7NydsuHSkNU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cRraZTE3WlbZKmgtC8RzLH6Hv9U9rVkLkXiZMrpJOUr4w4jkAXuQACYsPE+MmYGcFeel4bdLbK4O 6oQ7JPR92DsCr09g9wOVQFRGk5MiXM1G/wpABkrZRCZa9jF+Z1nG1r65eKsLk+sQ7VZ40LLH0+JL CeJLkT+mqC4allFCOVeJ+kMPMmKdGhEojSu1IPRPh8GTGmV78Sq7RoGGbKttdgoh9OjoudCUkr6K hCxuliwRFH0xTH3mQMf7/JpCFeQkdSyJjIDf2wBpK8jNBAExmarAptD12O/ZgBcBiwHs4fC8cH14 kI8/mpl/nFx39sYvuDJy8udgIApUPMpqykkCtA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 19088) `protect data_block eRhfo5Q6wXYjv2hogxmcZ2EZTnW0J9zwN7YA+5RSBL+7T5vGrMrczK9sxp33XsI1kdSmVliQOpu1 rJ/mzOmduNQxhTGAJDhAWm5Z/eKSYd7aQKtV0G3aDFwmRs+CcqifdxbYmgnpphjvRILLO8gbBVHe 9Vh8+XDqjv3a3+ybelG7zkGJq5QryzvOOV/Zlzqc2JwhGnkdavO9Sj1KrBbSDb9oE7zgWYKCLWOa Tbg9SkpVPsWLvIwfyNR465MU6xJv5VxiZEgdnFZlE5cg/OwVVyBDQFb3wPvfRvHTy8asXxjy/KiC DmUjtKUNzrzvNvgJIvDb7bV7oEZlnO35SznI55KS8eel5CI1xayaSnWNLjlvUe7AV5sF+uhDxsPA Wokfj83nB/zNdNGJdo1wHbRt16RqwrsCrvfe9wGhyVCG6TpKsxJ8QTYacTboBbpgCZTpCUUlupM/ Pdd2UN1jhE7OtQKg6jjiLFL9AG6dDd+3V8jV7j1fBpJ6+pMNp99ziHlaWy1oeX0S0pciZCnJt4rI xDtkwSnBbvkxp1yox0ai2+rT5ps0LZ51dXgX9TRsVQB8z64d7i3/IKtxjqZXXKCDzKPZg4GnkQv+ 2R1qqUuH8SgOxkbU8zIXJZ1x9bBARFILDNhwlg5DIWeip6vp5X6BvkbOL9Nmc6pj/eHnHjAfVbLC Fi7//kQUrVcfFInwrAYkiK0pjYh91DFCtIEZM8me+xZmxvf52+nc8GNeW3sgcnbFMqAzR9KkGJ/E 7xrJKGa3tpbTj/6UqGEAOKLZnZqd+lcDVMjVkGucvE+/UzambE72Si8XrhU9pbQ36iqlpWP37BxS 33mPygWM4MJlAu1eJBF3fH8oW9EOBhdgQnLC5nDVksdRebDVgMH7UeVHOyivGiKEmIZtbwGLgfbk fnnD4cil4e40BqijLq0pbzac26Om1auFVk5fG7w3bFZPvTaI0fCcR+YPSOlzUjiwl6MC0Y20BfqR 53IAkrqqa8nYGx/UQjf5Jl0OSQ82ybkjagk90XABmVNTADbL+NRlfKRyU9/xt+Au4ahH5apw4UlD +7h8Rm8rWyqmi7U02uuVuJdIBRcmf8NlC4Op9YChL2XFiB4/7tltDFT5wRjZDXZQpYxDalmf8g/9 Afd5xQfrkwpLlDTQzhmWtOiEHk9U84C2H378Tco8TzILjY8bnG1dbkOzveW2m0uKxx7aVpsm/fxA QU2ciG5gKGmCTdmQ6bmOYa5QotZmxP5GDJGbDb6bszwK4r4i6GSpNND2YEXKT7vowciDXZCi7qau pRTqe4BcURJEEg+dsXR6biGO7tP4Xqueyrtl6AZCoBq0gw+2wCYGq424qFVx5pPPBSgLPKNNwASQ JCEOZgCMawveDW+nEYMgZddbD8T9M7hwuB5/8fWM01RZy/iLIdzXJXuQLSuqv7uJXPujKqbCuFMs xCH3iTPklgsvA+R78Cwv+8wBJj/BV5L+WqgmZ99M8PH3z45PUg4X+/osKyW9cDJ3U7tbSAVMpG7y ICoKrSOszbu+b5dJ+L/XFm1DwiGbZiuncV8tiCisWkKsh17G2dn5etyL7BuK3nRyqLvFV2TF5lGm 6xX5WvoE1Xwa+2G9rR469uhJchSy8v1LPdCWI1sBfeDTlSKLI5DD9ZjCS+TIU7L6ypu+GSVTAg6x IMy5RWfL6ye6+iJmXI2ulS1GU748LhilPxgIqDVM4Kyfh34eohr9H/rwnZaPhLUK6Alk5S1Eyytn iSw4r/sUf/wYxFrShm28qqpdniwqJQeTr9wGeGRb7qMJpYDoI+ymwpZUjdghGaoYVDrwSAYancT+ 1mpcrij0wiLkhnkDk2fxH4baaRBymBBA+9mljOJAsSpqII+O2TOL2x9bFEA08nNZyDAIYRsARwk6 SYxM3vuX020pOSOZPa2R14Pt7FAN/5pYM3PVbE0mh648ZiflXTW15aapTEtEceFr/+N0kyd99MRc VsERR4FO4ZUOA0Ysbz1dtXinzW3lEmeImSfnP5NuatAtyVTMPcvPZwxTt9yrL0a1sUPUW34dZx8j GjmpkJLXm5/AfhJLjUV3GwEiuJp7jqphxInlB17BqUUJJGYPW5aHATKkqjDP9gEAPgqQG68Yn7RX 8vfJkp+wr6G0MgMvzqfMpR6XtoJvE6NQgEanPDB8PfmQIvd++LPnrhK736D2wUaFJMY4Y1gY+paJ Gr2GxXL37uJqREb+zTsagoKdxjbj8R8VDLRfKoJzAYAoBXSyXepQ1HOx1gLaKDAYI8XMmNwZgpyK MeQQX3fH+hhZuHiV6EMVio50c/YQ4K0Z7hTMhY4p7h42srzp5X4nbA/41W6eqSTgVMulQpIw3NIR aNGE/P0ljK4KNb6SdlpYPQWhRxA+1Yng2K1mx/dJiskc6TFyrGqJ+FFKDlKrdxEH/qc3KESVQyb6 KwbF/U367VZmTtjNEMDIQwGDWPPSy3/Rk4RCXA7OCWc6GPtqof9s66qwLXRJUMF1KRf1e5rveemv NDb7PBRuUxkkRVRyV0K8ypWfA+/gJPl5BGL8NXsYQfFhJTnMG0pq39X+6bEAVGr7P7e7bpFdFF6N v9voNhuquZSPOyg63xi6EFP2x1irn2jB2tZZChPq5Wh/Xtfg5oIc6lyodaA4ZarxFUCkBWThKfdX DKT/VGHe/vCame1gXZMmjKYyvXkbZn1IrI8nS1xTq0FhlPrC9enF5DeNX4JiCsPyIk95VuY3cFe0 XH034QuWWRKjHU9WiHUls0Wh2Jk6+w6ATHgQfmD4ID2MzSw0OGxQzbFuMdD2Ywx2Udzb/gKVCExn Qpgaa+Ifxi7NEjV0AgoQQ9fW3GCGR1c3z6I++qzjeQYZ/4HgIO37fF+wd1lsMadEOMuHWqYUvXsw gWZFT/Ux2XLK2Teix6PH+5FvaVjCFq4MCjMFjIWByE2k7lgQ930eEpuCU0r3Ox6foj66wnsiZ+Mg wXsZkPCorpq3wFEhJprchv1liQjD1GMNWyz8REnOX5eCP8Lc4/qE/2t6QN1IOnJWzLwutmR77Qte rlM3qakOvesgmOFgkJLcG5EzJaSxHZneCwuvDeQ7mOPdH3UFB55wGV8RMhbUlNYxlqSljZSFjcgF zNCUTdS/TdILOjfqXt6eYOsNI6/Ip5MThoTmz5yHsapF3SBOuRldFHsCBJzW8vC4s7a2UYJx6Moj cqJcQF2WhK19NeShkCyAe2+c9f2RmWk/swM+UyF+BxneD14PDAADY9GLRYrGlUQGyjQPTX+rZF9N Jv8df/Jj8j3cMKm7SK0Uzj1mBbQQo2dLIE4IP2PvClGkLLlogY55ULFGAtV2EJfVkAq9rSjpZxVm sQo1bFOaeZxnmCcG/4pO6TNC1+3Jwzjm2ItpQZDKYTL/Xq08SKz5soRrCfVvDard3Wm4KrvJlRsv jJgf7nUEMKJlEZfv0FtrdiZB0Wi4DbzOVPOJH0pfxOlBEpYDBuMs5q9JVhnxSvzAdO+WbGKOjvKJ qUecCLdwEZNK6Gd9VJKKObxSGpHWREY08+HWSUAjhc9mQ6g3gvXGAJEXXkx6iodtpHeml57Wyq36 bN8Goa4yAAMzNxXMA4D6LJzaIqMhx+qWL0hYoEjo3n9Lfb6YolOH3mKSwuQxqABzVgcC6Hbi+cr1 /narlMacMMyFv8qG1o7yJlWSY0o4lHwZ8DelcroYe0QoqQH+jxIoEdymV3Dqzzi+BDxbndhxB/Jl KeFpX21EHJxXWvTqeCkh+4K2O3KwMoG6NHPBawgW+qm0Q2xQRNUTqtrN9dRlnosc+ZxK2Z3AUGOP UQsnf4lZWEIug0GdPZWmzACa/Qz+AwaAXyVzRa12lMugJ1rAkhxHXmt/vmkLf1gTISxpHxvnXDks YGFLgbPukdmVxMnytCK+EyWHkSWaQ71gyxJHkuWwy0/H7k3Il2HtIZxFoDi6BBmgkQruOwZmscvX eeo0W1lDfar2Fholwm1MwHfG5okgZge02U00v64Wf95lHEJUtz9qRLqbg2LXf059gqlFyRp+u70w 5+sGk18eChov1C4g+IlRMRx4uTtQD9N5bzM7Z+HaPbaABdRX9u/NN9LJBGRNJlqkM3AaHL9FSpFx FKhqn8BGA8Nxz4jFarCmzaC8LQQ0hVidn2Hzr0+BhZ1OkrunNzqtl5oIoh9x2KN2wQGWwSAIR5mr dlVhFddm+JX/1ZQKmtcVx3YhHXJXokKXm5BzEmpAQYRXi3jHy8fGfSNRCzyROWjwMDY/le+cI8Gx kVorQsgNKmtaYOFSgsGtQcYA+CfWTqpsdhgvwvKsgvj+bSpTOuVm7pbgYq2A0Rtl9l3Vc6VeURTb Com0QdDb34M5xuHx+K/LYKFm35iYTtO7Yg1MKgJ+Rs6oC39/yIuy2+IpkrWDUBkO/5wyyxcCrgnV qBvGCH0t2ykQ1x/YV78NbQ+aSgUJDRfo5LYHCGZlSbeu+rCI4zCE01V+lxtkMb3vW6hUrgOJTufI bhmHXrwXj4x3F0rk2nD4o8M84yqlK+QKVahq1WE7FHnNGbhp1qGnB/hV3cwTGvQ+HQSCQj+uT72M VPCqbQhoz/+vUWUeUOFw4cjJz5s7Hr5gi05LL0yWUD2dvCgJ2Gl3obf+0TAgdTTbY+6v0vRUSTZA KkbKdjcVzSfic7j792fqIhks1PjV9LPqOuwQexkZFkuCsDmX7eAr3+XxqGvLIq792UP/UWm0X/9H splRpZi1/LEArBXFirqD9yP3OICIjkzZ20iPPVEoQS83OrCGQbbIr6ycvry6InVjavIBfNNCl1em FhIo3tOjUcp/Wyioogcd0aMkMDGoQN9XuHiBhLAQGoFS8VN3+/7+LidXpYO6Yet0trspwHg6GoXH lWh6+5c1TnjE431neOsoZsbA1N+knTSfzI9MyEd4cBrJIR8K+S14ELS3yAA8i1H2pMDdPXVyxvxi gmrV+rxGj8i6zVk8oD5OIyjuAictICyNn44W/qGpofS2vg14zZVmOMrxpjzhAtGPH8VDQFnMroNZ ZDhMVdE1In5rTrGOqga+e5QwKH/JycD6XMUQjLjKNs3iqbpJ7GbC2JZexrk5sxgwOPPR3c0Izhvq 21lUmv8nDv+oRRGLv0byqifrYqXBdAUxH463OH8EsyyzKeOzQfToI9ZWojWfExvHEw1kkJna+7Zn 6ST/SDk1glRBaF85QbMU188hMPUUZiaRmZjnZzw842s0R3MRxXAjharvsyuSoIyggGumpzExxXF6 2YFiBoxy3PaZxGKh2ZGuQWzTSK6IKtwt6FAVmJRZID3iYoeEILUL8du1yz7Beh0x25vryVBcciIg conkNxxkTpOCMXaaCTYy0ZckybSyeS7gQqa3S0gAWWKFGo2WZdIF9OxHK/tvQHhs+UdXqdyjHYqi nNquz7qnCo0Yc6T85xOV3G1nUdKcb4UUNmbtpNk+s9c2MlxgHi6t2y+Paa7zfmvm1Fy9dUMqssiR /CkNO7sn7Frsk7EJpv+Sl/tLGru4gIuPFXOqye4HBYbyPadO46PDq+u0+Yb4vibfhXhL2d9mNZ4v EPHYiXigQknzVXFAsDbSK94MrcjUXR6MR4jXszYoELhee4tRgEpeMPP4fb2rBUPnonk8VLbs5zjr HJ04SgOMIk6ymCODynA6gsZRH8/9dSFFrV3+iFrHoz8utGJlphgr4+UTM5lwcAcQzjx2wpFA+XRw kLwdgkAx5SLRdYJTlSeFwUoeSMe6/1dmNrhfwXdyTINV2Yw/F/PrbajJT+ZyDaB1nRaMqooLKx3T eGDXZmdKaVCreZUhoL+Wxo4SAVOMjFOZDkrjcxX1w11VeFt7BRQ4+GS7urUvqYF8bKftHZgKPoCa F6SE7CNQpxJw4MLtKCklAh4TIynrE4GZbi3yfpoJ68GmFoQav8yKTDm4LB6PCkR64JEYLNyMR5cO +LB91AZZf3Tuac4491rbWDbYUXMJroSqEPKwpTqoZmKDlWVNVu/t9IBYKNhXPw0mMuD24DdMyqj4 iEzjAF6UJOrmx8IDlYnl5isFDr2rnLhgA+pL0L8U5hQ+DSOOPzxGb3BAtMMoeci0YDh55TqRhe92 uDB24lsXItzgUOvrFoMYFjFGXMzi7Blt1CywXmAylFpRksQpYTqWT1CVuis/fARYxO0k9eFExQA6 GLFzFt2Hc554S9w4lt3e8lLhEMmWctmPEeuEEGhc6r1zYsAFiUUJoIqzkqQizKPYDU7ELoDZQN2I kRZVsEylcjIi8BZJVKcTdR1o0GuWyGSeyhtrrhFUwzME8UVDH2qf+TS2Us/urwCt2m+hoUvB3NHr vY8ebuSPwo/i/06PeeznkilAKN49I3Lt345GsAXkTFvcJpaBwDUQblPo9E3TaeKESbYxacaqteXM hVSm0pUVBCxLIXpaakzJ5jX7+0kIlES3LZjXIPfWx6jXOTZUMLM2n7k67Jzw1hAU56AJG42kGu4v gOsOD/x3nx1oTyNu/pImFIGw0OtKg3crsBgR8BoucvzqawyOqvHDY5emFgmEJD/JIph6IPZEAQgq CPlv7jVHTuAlnTcjuV4GFjjA0n13hB4rqdTPo6p2vT7B1Sbn5CWUZ4+Xi7xOKrgKxi9K0dnrFvMG mYP5wmZPO+rd/+t4G9DjdsYXqu67lCfpiZ15J+nHAPrNv3LtMtbQBaEtt32QE+FfdSJQmGu14AW7 ptDYNj0/Svyn/MzZ9YbScRbKfoFvPVf5rDjpJ+G66upGb/YuEBx6fqDM1fVexquKczwT93vSlIBQ +dbWG8/Wt55Rzd9H/1RCQp2g0Ru5m6k7tFQpv9erwrbW5I/oJaBs3BG8Ts1xInzUiTYa5c6usnm2 20a9hC5WZiXyyur4DtCcYL/eVn2mUAdFnuUmC/Vo4hANGCJg/bcNNcQidwMUICJUdZBWuC1XqhET OTX766tvSI5+CT66rEmfzXN8icC4dGsfbIyrLUV5Ar2K3rHXAw5llqmXQVW/9IrOcAXs1oRgmDRw crOXR+qYJMh4c4smTW8GXYDDBJYI8XU1wvSef12u9bu5XRXxvfzOTPJ5UdrrzZreGXlsjlhRQSmH QzZlKsYCK6IGDcTSA4k3EZFhAg/otkMV/bjI/opLMGU5gTKVUb5tzDwacdLLC5ImqBOQwth3mf/E 4FK8a9nJnjTIqGRSWuJEBe5VCQ7n2RZuduVfgs6jTg5jLCmoOD+8d7xHNCjGCNuQup4UFI5NdNbQ ZU4sQFoc7KxCLeflmLHHbBOInmMkurcs9sLMqXRUIJ9enQNi4Shjo8UX00+VKNmCX45gtrBe0nYL R4Vhde76cUI5DkfJxUaiLwAa+aQCfBXprdtuNB4gtOQAwfUrRxOomnu4Y+xLtJB8eymF+9/im+bk oOCHUy991pVP5vYJF1ajpqy1C/iH8TOMNcABwWdnEmIB8WLGl4cG3Qi8hZWJARbZybwWMvy7rHpf paUOFCLTW4OSX8DS70SQMs1qQ+Tu8Zq8HOOHOlAtVQs29Jz+k+XEVyNAhxkAzgTxGOERujsvtqxu D67u7kwq4E+n/B6vtBgglmp7wQXn/NtMcIKPinhXOFGIeGVvXOv6/ETXaY5LeYxMDwb1+1ejhrZU /DmkHEk54qz+v2+CVk5P4nYTtpAKDEtY6HY2nFOjgVF8qrTNSXMQLXu6zcrlG2BCq3N8e6Fb0Czx hS0kI8sc9GLOKObNlC2RXhcGiHb5MIY1JRl2XYmxziPWQqfoeyUyEdAFJ4MvJTGSJbhi+lHHqyn7 BsKaqc/EUHAbyEUZMRnN+bL6vJWq+3z2uG6sBhoieuKU0yYhKkoy2upPjRQ04V/XVBBCdKTuwK6M A2UdAOvxtZ/ZxqB6rei2keW7BepT9Av4YoPVeAAszPji3HYmcfdCu3aVhcHEQSzrR5R7bOfOlAWz KHZNyIXHbOwVG+yohNmNL9GKxalTPvkroxMnPfI0tAIiBASiXgsO50YvESNxujhihY6dU2LCuAzb 0EqIrhifOILVr0uLaNDTmdoOWhSgKIWys1AVJLaYZ94ribwOfjJcet2amPfwyWK/UAE3tpPOltsz o7AdCSJytcUu/lTCtEUrI+kSVziX9LQZk8vMgUIiIoaKd+LFIFZ4GCPMIlKVppivOP6LAM+99rEZ f9pzUihnaOCSRtXAoXsyWZXZOYZYCLuyYBRjr0+IYbjZurE+stOzE0x+R3dl/zPPs0jMV+++77gi uT9aVAQ0bXW1ODtgl7PQ6EpTc72nW/ON9MUzENJgvRcGd8CJ3+dT2f+UQU5qSB+ld00c7+TJpQXd 1vkyXdDZCqAZA1ZF0pA43PjwjVBGHrsQTTa+AdrCRhhBnZYbs53NMSvLX8aELVk3ZM6Ig/xn/Hz8 Qj2oO6oBW/xhi81B3nA9/PCXUMhFAwesFG+TTOPIB58plwhoo8YRml1Duv4emXDjc8tnqI04LSSc OTA4NOVGZt34EbHqlKEMKzAlnDfgQ7/iqwvTaFNScaXKX1nSVJNaMads/VROGVi0kh9Wy8O6O3O5 syyKmumdCAkm4bYQOewcAbrrFp7EFQALMSdD097TPhA/lLlAAWoGx///R/vcayDCNuFcnoIcADrZ MXMJ+zrJml4kXLPQ1K5QjAGBq3nhq19I74Ks/+iQsDnqzI4x+ABeOTZ+JFfpQ2b4IF0XTskNtAvI MHVCIeXKAeRuCT7hoobC3ypG0SuQnAKJIUQwupF4zM0LYaka3UQdrIrp1XQjrJMcXQC7Pi4Y4Xnl 1RinZGV8uu2y9P8ySWiro0O8aUWg/PuipjfpEZRKAB9dCYgwdSKljIN4YNUfq8jdOLsWxU70TP0P QBHIFqFkch0k+WwgHg+hM2G3GhgFI1swu2zIYPbPi2KzLxQf+VxbsLvCr0tizcG8vUtp/wwKLAVi cDQNmIksjo3Kl8QaOSVuItDPI4dLoDKwGXKt3HvXgLIVT07P6y5OHmD1y1XKeznVeAwavMzSylCn H8IaptDY0x4C+ZaXdSP0RF8Z9TXQF+9pPnSDha7CFhQ2/el64SBoy6XL7cZGzATJdDSDP0MNtb0Y 8iLbwnknlyjQlP3LGWuHmTUpX9+uR93AIUUrI3+7y8d+mtmEl9uX2kglNu1WDaa3WCt81k7amYZS EwduBu2Un87oYRdISw0lbrLZUu76C3f6fCCMRy9McJDnA90F268Lwd8iv70HXFeIAmT6v+aIiPxK mCvpg+a7Dy4KFwyJQuZngjH4r3D76nw3wiIfLkqtYtnX06RSQWe2ND4IDpl9lbH1trNcs0pP0ja9 3UxH5kVevyn2SqnSyV439tkhEwtW2Zr0hI4hY+bQ09qwrRIZXmR3TqbnptqfCq0wXmGo0mSKyzHK F6TMLlxDQKftMc/YprsXFZ9TkQSL6b4aoqx/zSTGTe6I3YFiWFZIQZMajxdUZt1DJxPoFzlA+1xO 5S2FMIk3F5WqjkCH/30D9JMCLo10WZw8ErT15UsLjzgGOkSlKiR02E7hW2xIgLG5ks+lmVmwEUxV 707yjqjaJQjTYXvrsxLZd7krvBwBl3QvTljEAbkfQkfddxllYSKx4hLWqQqRjBy6NpJ7HaXSmA5J kHrUb9dw3gmhdcVZlD0fBJATxBBa597MpVP1OrP42jvdRvI6oP8i+mYZeqi0grHU3Xp+skPlUSzC VBOAtFGkzjWfUTE+h8ddniC1nT0FTnXprv0nmjK2F3EFHhxLk5y2llGQiZIFZ0rJVTItUeQwSMnH 5xiWyfBiIb/39KcJOprNcRi8L46OjX3Z6M3UkpEd50Zhvp2IpDJjG7adBg9aX0yY2GpDjeqGpJUJ 6fljGoRFwl/q33//BL1yr7Q+gdBQi8S2rrXcEEWcL6jSvFxBbbpC2VtWoN+wTksC7jNP9z6tTYwJ 0wiIB3WuqB9z0SalbnXQ5jOmA7m5NODSyw5175ra6g1QnbkYO9V0NEYKGZimm1vSNJ+rril/tTS4 QjWc1vwzmIyKb7O+vERjAwMMYETDb9L5BHL1PnMv4+BAkKhuCgO4/IAXbRtHyxQuUgPAADRrQPyD VWDi06ln0jJexT2n/qSffbllzc/CXEM3TSP/KyUAoaam6kyGgovSRvI/FeYWulF8BLm2OAz/cxIA e6P2wZ3ZTMKBqQPNh8WfM1eZjh8joSlGKzcSLucVFfQwBjydNmX4/JshoMrOR77LknU1HsJY/QtG NrCxE63tzkGhmYm2w0G89XMgXaunbci4YvDJM+LEub/ebuvGSMW6xwGfsi1R2ZmFBK1Cxrf1DAmw hedXJXsNmPkLTTJageHlLYIEWtqSvzNvQpn1WhH6rLMVo+lDs74PWxYmTBI23e+hk7qDJmeuAl2Z I7JaSMppt4XBbDKrVIMvZfyBWhprilcEwmLdy0a0jaWbvAKNwVkk+Ap7mzJqqBW4QpYvWNpIwm5c M/AIdMOqUhoisNl+41yUC0z7GqC/m0nC9RchvR4WpYXVjA6aFfyvtXnwgRn9N1jns16PLvdUv9bk T3P4g8Av6JU12QttDew4OHLqmT9VPbBO1oOrRI+UGDcyp8eYa+6/edRGmH6ceaiJ05CyxB0OYrPI 5efTFkhKAB0pMtkJvJddiKU+NMpdf3WQWboiOHbbC/vK4XkFilioBlHJWrLDsW4qvGVANijXws7V 5E0/prSuCxlZH4wQkHru2oIj3IvSUafdaLYCUEo2PeqdqUnrYDIG1vtP4H9/y+JbxaggE8zYh2Mq ehVI1McojPso6ZpTjPYEXD47xtA5/XSNLCpL+5qYxPK7diK2RzEJJb2PZ9cBPqpjWG9sZKYdH+ls 5nUEnuZwviO0xyvFDz1yLr+1xNFv9dp5iQ6zToUqb45wpXxEjZ7KjwduNw/GUD5empjTZHljV0Mt MKEyY5CnGZboxRKls644Gp0XHLuD9qsotTxFprQXihj59vLDxTJUrxFqqvgPQ9Lj4rHOPDpvMXri VBFfghbP+0xjdEquUrx29HWLMsDIxj1+dcpKyokY7vlxi6t4Kc6wOpPbjYGU8m8GPTZV62r2Wop7 E9VkGH6GHuUXvRyykdAlgEdWE9g/8zmOytX8MOVq+nI2CsTJOUwOBubsHUgjhHJEEchJY1K0SORQ roeaGpIImyQlqsy42PRFmdGfGkFULCifbw0IrJ5O28L7CqP3RJbnn96IhjBbDqhjZtt48PqeXHrx Kq7KqbI5tbHUOWdDwmmapl7zhhWnxlSrNBJu88xmU/a/wi/K3vYdHWIGTOJ0lYqfKXl3kHjMLCL5 hy80+ZRpAm2f0DzyJ3pxSfH90rA7fWNdWGU9SV721wbps8ZbiesVNu/q1yhlDH6WjQV9Xn3eo+Zv IUbWSPpW3sRFMsCC1NgnfNE408jWhMxaOSYNxf5hWxm4ZEniPJzs8XqyJP+snZDPRFdZMHtgF41d SkckZ2ZetGXjZZcuFBb6QGVhCL02+OcaGKNiVxxbOFn0wEITcLFfCqdY1lHWWkYVGsDnSUOJIUWR kNu/3RuLKWzvzHSR0RRu9v10bd2jY3hLC9di0Xoj8oyWKrIo4W3WW1ViAkXep5RC2sq3zEx9hqAv ZeI9AugazG9TyeLQO66p4yZVZQaW5rVRJmtKYnAbbSgcKUvhKu4vNWKsmHrReI0myEH5Gq8PiwC3 BvPJOSv3NLXlVsOZNZ8k+5IAiiI2QB/jRH6FrANUUGzA6etKBXAgH/cAErVsndltVs7OlxkOnS+m 5ueyuSFxOBsVIRcDXXg3rrss/cgIjAHcqx+Yuy4LHgfWfGllyGIINb6UpFI7a5YyVtJCCbkdAmIy ra+JU6eLt78FlF0M2aXlIbUMJCgi6okfr0KrmccDxTmm1iPu8aPXmTX4gYb8uhjGLf2hn2QvSX2H cFdJkZsbQGGBhmnz/uvwHnWiioojJ/7CSuemAvgS3rp6uM3Eu7IVHGFqDEr6v+b63qeDZETaPPS7 ztqQ4j+KxYRo+Q77vJr7AeayTuNcDxeh3iXpXZDuIXNvi5IPoCg+tCdiuZv7G7X0twZ8RV2OeNW5 VC7AkEag3R1UvFWkcW/5f1cv0SOqa63TyvSE56Yz2XECIL4uooE2nBK777FHz+WzHpjbDFjjST89 qqAEVPvya5KptMZiHk3Bv3OKnVohhRFZMKuOoTk4oHJi+5miTJvCpR6ao2spC3LR06jf537xqK/6 1o7ZG9PKs2fx3YhFHHMQ6L3zvPqrIPbfwTd34DX8mNWZxhiwkDq6OK7XHQUqJBR78XJeic2k/0aS pSv5CLWfKskoQMRw15DprE1Izm1n1012vI1iQcxTuDrnSN3L6ZUAz14TQyPl+FbhhRLJRMiK//cD rgn8u9SR6u/3inrH2HN0pe/I6ootKuIuy/iWThyDgTBLWbYWz3o5YMV0arW3tnicPunbNfVEcI6y xoXpz24gdUJ90hBtED8PPuKEhtKsBdZIsoLf7kabQnZ9IuymGwoOjPBCzmoNvbftPRhZE4CpELu8 wN3BBa6RMk//OZoAxbt/Rm7Z6U3z/+GXz69JN5JyyA/muz8qxh0UKPDy6+kQ9yi1ugli/eaVvvtr 49QufhW4q6KcAxDj00y1+zYWboGnJztD0TB9A/57D0VdqD5+ya2RkH+gl1ZAJB3UWTZaGvVPE2OO vOubmSqvpx9Yi+4bEX05tUFAZ6B52lqLlY+I3GaXQACJ0uuLj+R+ZxgMyZ71Zp+zjs51SNLo5Whh JTI96JXBj/kvRWnlnkqwBPVf9eesODvSIlkTikft9jfDaD4tlctuDKMShTOl0+8N5D2y7ol9EBPf iarQZApXBUQ3MYVWxvyS0OgOmFATK3sgLXm141RrdVxOcTK8bjoQAARMmL9pbmUnGS9XX9eP1bpd N8g0/l0GV/njuGuBBOGPW4DAQh3mphVZUC98vMcZO8NaZBFoAy4ZwCmD7wnyo2+o9Zb9tbpjl1xb kOSpZeL7us7YB20k21Al6cIV5xfX4otACyTmmEQw3wxrbg/Mav255BPAC4KJNsriAffHN52NEfvi 0MZVGOXuH/Z6bVEAZA7VBj653UxJSI0SB4jAoFdYg12D32VLoS513WlMHavZG2J7z0vfxRTgxcQ/ gCNHnhWqxaZY22HxLBKilmAqaNXa7sDM2PJmPJLkoohI0LQ+wgjxEqnOl8P4j/if23VnhNpRuUoX Q8hDvpr/bAYT+G6QeRRE35ZUZo7nPExTzHP9z0smFl8fFXABdQiBbBfWZpfDzNFHPvB323ZOT9eY dxl05KKjQg+hfwpSYY+l4CXG0o6+BADDl0VMsNcx1XctG/b7bqgpt8Ln4qF0yme6JG3NAd1nLqU+ ZvNxuOVK2KyQ026SH3HyGcVQp+mD+ZUq/rp/qgMWRqm/PQOoOXAvPVyzFKG4wFO1L2pTa4S/S5/2 Icb2W1ZJvQs1jbLtnkJIoqPfTQPfrWroV90dxtvSqwnpPk5uVjGlstLMNwGiOiLXuKoiZQNSXXXp VKz6tJA3Q8kfDO9mRjdnLqFZ3/NEuRul6EKTlGdVRAN5E2gmyB9QO8/KdNTScv6Fmy5pOQoE+isP B3LcEDCrbkDbOdBolxPwupVsAemvm1JZn6VV7hkbMgSM/6L033aoa4Yo1L88hRnh4msiwpV4rG+O M2thY9428dq6Jrz3TYXpmHSHtA264F1RIBd5+tFft5mEV/Whsgb7eARGutGEbutGMU7n2KYuztN/ tSGcHdz23YsnxjvoIX6Lpyo1dEiwTUGeub1Z2IThta5BresdRXX7PV/uIODmH0GzWPHJ0OlKgWyX 94Guh2AoKyblkj1s1YY2czF35379XCLek2G7Y8Xk5XXe1Xw5FHrb1hrLi8U1tRNbmgASHFJDiWq2 qxN6PvyJWEDhpzO7ukBruhv8Nz4Co7bI53OahBQ2Jxelb8PXHEVOV45NwrDmjMSO8jLlq/kVHeXW lcx5biS9VRIKpPlWFwbknJq+fx1kYkbpN1Uxa95yYJXlGBdRzCtVw3dfyA2Zg3sIoVjCxgPDwDgs bwN767AjBvEt6LsAorh8C03r7xkm7h3Y6z6Z0F+ZU0ypYadU+oZ9S+Tio69uLu7fFGEA/XBwul3i yj9XgMP1mYslfgKaIqE39CbbUfCNeDOM8AYPlVhBUtYShRS0OtETPgI+gDSyNJEg1+kaBJJ4xlRR hbn0x1fny2WcLUqyQ0uD4A7yISsYzJS4EN6Wswacjj3TpzuZkWxZEfBPw4LF+Ng2XH9mYojpzeLV gAKqBvkljJaPjWiRR0yXiid+10SZz84UDw+5lrzqtPhwaB0fwXFYyrOAqKZb+VuNrtnz6tArVvli So3GMO1FFndlDLg8+cMrluDrklyZDkKGu8QGxgem2RXG/Sdm+0s+CtHdP2fT8U5tk1Lik44LQCUU hY5KWkUTJrFTH+bldewoTWmKFgC4Z4hadhZwqFj7ed/HCsERefuPJWALHlrvwky9TpcYctQFP9dc BQD9+tLG+dXL3VxSO8DaAwLtMC/QYKFdAey0H5WFuePjJpL021UJNN11IU1BuyanGYRJJEyHLpMP 7m/35luP4FwQOmUC68eOcNcoQG1m6VVKktqZEuv5OMwhxZLWMd/mr0uCKZYKZUQZuP8bDGH9ZYi9 O3o/pLFK9ITwIMYlDNCjlxRRtRyrJnZN8spZl9jwGWSoZDee9fs/sSmuMasRZMFi0/A0sF/DFBl1 u8EArhZbHsSl1aE3g7bmHzmCcx4th5yHfAYOyve/eBC5zfh/tQe63hX56hstBVDLH1mpJwcfxJz3 YP/eOopFdw4I7HrM/HInSPHFZD/ZeU+oVZqd6iGDB+R0hewEL0oj16Ysx+HQFHD/TXvZL6dQojRM ctaun8KZl+LQv3hFSH34QWDLWFKAT0jVFdpfXEcDU2V1szXtHAVmGBh0CYxMPLmZ6b4spY8e4h3G CdYbpdBvawhy+z1Kx3kQE7d6PtKfkAMUUWqZlzrMBTTWBY37gHliD+4COANlokwdgQcL0bVvwl/e yoMdxoWTKjHuVYKWHNnOsXFGSFDocXHgyZwhm8/iMnUXaiVJQbospgvEJvNHi2nfeqC3cNuYcD5/ k/D4jy90JGK3V9Gln/Q0VKsElrzJ3IDp4D+CGJzgNR5TL0MlxK1qkXzrTbGpaj1khSNPrwP7Wuzy qeMeayZlZw3DfDCggBc4Hm8C00wKvLsX56E5CUnHJerDfm2lxiMACqsvNaod3SGyQMhFiBDb0881 bLVEA+vobk3+39pQvl5pc7kfhe24yD5BjDkyh2ELhMu6N5iSpZnIky0HA/gfiA08Kx925d6CRYt9 LGzwNlXlpCLuJT0zdRMNwG9bxbmy9n3BIzcjDbLqNRJVdcefkp6rrbBvFcIhI/iu9jtLjgs0rNGg iWDx2u568SpY0jcq9kk+rzgZ6ChzOS9ywWAnWKhmiXID86Im8+sUV+ACEvE8sFQWsnQEIoWxHZxY a+Sgkmf3LmL3MwUk7S4ORrGG+m5sxNE6D8Ff5SLA1hPaGI3GnS74ZzDW/ZKVhSh0mTBvhfRD9gh3 FYz7Kee7+fAgvi741I4jiB8yKN++z1IvR016dyhCKUE8W8OwDxlLZjl6nXPB6NlhfAVwB0TeNi+y CW/yYN3Dg3eSMEipN/RER57JBLNzBsZzAI03AvX5GiSAzEbOjqHOryyw2cE7vCZEVsv3nkmGjBNx /FBHQseETNPimtKE35Us7Nk8oQ5TtNYs1FKEewD8v08brpPZijukrXQ8ywd6vjmpSZ5QdjzRbCR/ rePVoDAbI5hYivZEFGrM9TpRYwQepIkYkBeJEKQrIAqBP3566FBlnHXA7jerVQqVL5SEchaaNgdn 1d4fpzGoph4fT85vleNMp/WrFzA/wExu4ShatMCorI3/0Co/gxRJM3hr4iLzFbPaWIP3DO82d0Bv YQRGorhFGxmp8F26c6wEzwYN0WHOocsZcKHyAeEuyrUm9f+VFxQfWsL/1K2J+wur2jd7JSOiotOC M5ol0+D4j81FvWwo7jfSOsbSpE+66DjhBEls0ISl+rhDEwI4/rWZzmoXz3H0I4YsHuI2qVgoTHfs iauxftcVpK37jNZWh46Rjt2L3nPm6gFqXvs6QXooSOXWtjZVlHeUy4aDcB3q7phyXap7PJaq/6/A r8XSH0x7ZvcocBDzMbY1GhLUwqFq4whHhPD5gArJlq/jgmjoJv/T2MN39U0jRvjP1D8nMUqplIui 3qtpYhm1LX8r47X0L/JC0EQc4Ixj6WmpS8n3CA/Vd3ZS7uQFxiJJpbK/+6TzsIxFvrdEbAyLbfPY u1GNkcJYe2SynlSSnIORhUeozEuzRHIJHiUDBGyH4j53QmhFqLX+AQu9uUYJqaMGtdYvePS/DWi0 cG4EoA7+75NqgN2T1ey3g655ROikLHLnYGGV8lH6klMX4htRplyn3iX6sN6p+QtJJaaAjRp1H2wm CkYumpMTuWvoFNohMR8J4uHzK4oX838NyKSw9sJ/DF6TPlC4JBh3r2v/bbD/iw6yRPTqfLQnid0+ SUnvUdynUSC1Zijg4YCYYwmO+p3h5z/hLk9Fc5W461tb3g94eZPrmJz/26ufgDsaPgs4e9Cc0aSV XsUiDfUdHlA8PPNUr0zjcqjI6uPR9AIG2x61F1Ip8jmeBn3/ZNogrBmGU++zIopscspgc8vqw7e5 +5aCTWbNVK6s6if/XMIzN3EctJh0gK9Klbzw+hSilRTgj9VekgiMj+oC6BY+xa+YXcWkxIQLPtaN dS1MPp+kg3FandTEwHezg1WujvWgUAxTs+Jj2OV6FZUyjUCfk9SwfmjJJa4mCQ96OV2AZDWLhaXL S2JAPuA58RxKQCaN9+rX84bkrSCudRR3wZaLNv6lftTQNInDCWhGy6y0CDrPqAa/3+rgwqUSiSYb xeZSvpOOQlNOVBsIKZgdmDhCFTo6EAT+nYTDJoTdEu8cYccUrSleeQMa+dyMdWzQK0m8iXEmc7tY AwXzGk5QsDxyS80v/e/H6Ehos7I8yODWO5qIWwHWKqHJ24isffT3j1iw77pNkgYD08q8BKzPaxaB WhnZStTAxwpmK72SspRTEwxOEab1tyvYcQYTAPMDqtLpP5scZEJFsv48jIV6i2EGlQ7ThvN8Y/kJ +I9WdqmC0i5Wb6cKjru0/wEmX/DJYGgea82TA2xFRKVx4n3o9MSBI7NbLeM+4nskBC8tLOFznAnL E0DfmPQjL3mw7NXey5+JrXARHtMG3g3tw/5qQu7YdNSYNAh0gsPFPg8mdQYL/Aymepc7P2wZrmvb 36U3C7wXZ+tfa7UlKcIAja/eLn5d1N6kxRAWBIH2zeSzeaTF2n/xrASWz7DAC//aD3nIFbCAWso8 IVKlRbNa+IMwpek+cB2O1Mk9/GmwBfmIqbVAENpLnaEMxDWtdWWwAc2IurK7IcwlUtTDMadEgByP JMOPgGTncGu4KoIUylhrixkv0JPkYcFZCo+GEcytLAajniuH5JTEhTd/AX1nadujj1wq/hRogUJN BsZFe7KhzBq1wc8IWIA/bdmJfVSUozxudbTImGtoPYO2uaaQiBseecBgHpghcbmaQ9k5C+lZ8YJZ 8LZ1fksSemR5Y5mqvIebGB7v9DYSKe9Cd3/fcH8FL55hXnSHzUrJe5bajr+s7+bRYqGKGzlh6U0A SAFCxeT3VXeX+ClAOwpXYv1nVytUI7EraEArKhWf2GsMGvc/hBk+CDZendhELEdK9OAVTTkWFgUv 41ZwsoAa5vPfLNjxwR2SGH+6JR6aAHNCLmnZGj7gAyRnujWyFkTDk5+Rnh8u7Ma5pfkgBMFMUjKX qOYgZxDaerK9PjTHaCM4RNjBXeOP3J6Pfo/Fiaw5ihrniImws3WlVWOwzpSnbFdGeUHFDfDUnLLc +iQBkbbI9l0OK3QgLa+6bT1CYvtSuZcJ/5votBoZz1tklocWbe6JM7QYTjFIu2MREwsrXbvBrW6a m6te6JbRB/H4GEi/bNThWupMRgzX/vP007qjgUTFcCFO0Q+4OaaNFg52VVNO5q8Qm2ZkzxPMHX4n 9r6NRv+ABO6tc7MN7W/Vu8vhisrJxrjzhr9wv5I+D30RmUX5IJK00KhFYxcCbBQiufpo+TSLRSwh pVumPZ5ObEK3zaEFDJWyer9GlklgOgiZgXmwbIB3CwOCIKs/nVuGLDWVef3vWFzdJZwaXfMe5rKo 2XUzk6hGfdWarQK0ATu2QWVFN6iIOo7GhgSqP79LHkGt0hpb5Of/HuM6HkIxQy8w7iV6aZNCgCL8 LgzpnvPxvknqRzub4Gq0kz7PaGDoe9UF+3mDM/4JK65rPU7XirmmVGpiBagpc58RkRocdFzfhmlQ TwamMKgn+LAVR9biDKsM2Dm/wvjxWDpGxyoe1mmRt5ZgkNWoSMTU8OCFY5Pe7KGY6wNKKLfZWfMI LCbZhFDSD70IHN0BIBER+3LdIoNcA1w5XOYIjIklGPBtOkZJC40PTLKiipOjygl+qQQmBkeBAdSV lXIojWzCDtq6vXysAcWQOALC8cV1BLNB8tLWEOJnL5eLXvQkrJuGtuOh70/LNGtgWJTVSEtXncDQ 4My13ICkeYoUABv1BAVXq5Vq+zOlZMy28RpIThDEBD0KHJhJv4seVZ7A5g7Z1OijL0XPBJOSePPK V9MB72jLoUqBIFanI1fHzBhTOSeVl4D2P9cyJv5ITm9zVYVYnulOecshY5M/Vf54+6NP3GWltkjh cvRkocN1ogoywOWCg3PcO/t4FV7BLGG6m1MepCcFNBYTpNhHuBYDXvfPPoTDL6BdLb8f/bLTT50F NWjJKFCQlBOXtg+4Fde3ggaGmsmnyMDU1MnfRSBYu54IHZR4jlbdqC6HQeTMZK6NW5w7svf0dXa9 6NRM8aKlVo1U1sq5ZL2MwzeutMDyYMfXr2cWcyOluZN1PF/gUSbgb/W0oeEtCb1VaupgjUJuGzUY opsZdwk0IphVH5eAMPWsfZFwAwHJhSuXX54UJlwxlEFwJE+G3Xzw1NnYmnXORcMxwV7pLgsw+8rQ QQGQGpZyqsG3Rf8UoY2eUIhvizCbeLqybDkab7Snwz3raUr0cbA98aSbxH8y4TNtZz6D/vLAHNoR 6/0sVmezYM1FCxwKJsfWBChNplPy+g4P/6RhUFC3C+Qgi8UfWZu/ZbhGErqwZ474yBVkWSzywiog sUn62sqj/RxL3w7Em/9eldwCjUAX6aRI1lrfEY29DjSQ9MyNd++GY3vH2giIq0lRPwSc6ByUvCgW xYVo+k0i+YbK+h7iUfTvyN9PYi77E9XF8w1LbzFCwD38h45e/ptdAqBBCqFP1jgeoznrP1NVAqHo oFdZLc5u4CtUnU8SGnZOpCi5lvwEu7yalrx+L546aF8+7sGV4u/sD5r565aH2fWzmV0nraNFyplj Zo0GZ1FbgHcCWq34WJ9PfpqQiZIRknoO/Eaz01Ht0jnKOTy+/Evy8CdZsACOZvgjKpQ2KQJFgFGu 7rJf4mKsuJsnF1mAzHk5mBA+dkwWUGFXlcI06O9Wye7qNCVFWhomQlrWT2lNzrqw8ERPyf7dnTGm TtijwqVjP+Df0r+Da37xri/y0W/GG85NY1xYQAC7W1Y2Bxb/OPrxLhxjdLPn3BI/6Ca2b2DLYhQi byL7yK6zWUBpPBS8MtWkkVCfrB3i1q8aG74uW5Zf/O4TSa4CwPV30CgfHoiammNpiVVurUxQXyIn uOtng/hGvMW9w3sbF7e3Vf+Skvlzv83WN9P6ExNdxVPeTtyVp2GMgIQDT/ckZltgbQM/e3Rd1oIh nAEVHxvfPKPIATcss+E25H8C6OB3t+5fy6zsqHkc3/HCmIDwO9ppE3D06/pWxa6MCLCQWsqdWKfM ruSKko9e+Aw/EiPT8fhuxFctjqPQmn8V4mPvA4aKaEue2qMcKHZUr56abHHTrecLZxSj6G6w0XQ4 NJcG4jWhrAtVq6AdVZG5y1uKy08AZBeYjTigPPSXXBIWXCzq93F7ntt/BxPXF5zBjsB/fSJYzH1L lKUK2SGa2YOl5ri6sbyowXbCdyOzE1gP7v83mByr/n9bExftN9p4Rhq3uBO/GSmmhYznAwZZh8ZP uYErCs+lDRCH9IK2kqjCo0xDEgXOpCrd44VjkO62vX4UzKPcw19SJ6NT4ULYxVd1nfSOvm+w+RX+ INftxaum07GqKGE/sIgubauji59JGN9kgsuLGYSXMoCQC2ZZqRn2sfW3OmxAygMAVGDKJkWy5Lrb dqnlORhH4ToSd1ZdpimMkvwCRw4GY7y3EKoX7NPCZHQtqTgCpucMTPqukgsQtQYjL+0IrDLyRnxl ajgWY6ZsUzguNgCwAxyjMhaaCVOUxjz9wziytMS50le4ULjueqWqQDXzWEREZvHwSx1tliOvNBbR UrAjUy88fQzVRqaMt/2KEnDAuimnWaeCmWSzAgn3HrmfVTwPWpog+3GZHwAirroLsiqMbHlrf3cH Djf6kBTS7UJHKIcpv6uihMVsii+jrHUMKq7poWSDg0vNcqE/RRsicVqJ0dABULVfCq+0g6P/r2yO uxarJqRF6mkDovId9RJuk4FXwA82xURaKitp2/fwHKvQ0NNvzQDMmRBQ1hOE5rB4Om9tyFLX1R8l ptnr3CjWN+xTsdGFVwXGcYxB765stZnd6ep18yHLBrsDEF8RjPGznh40X+uedniG3i5awgRwJEND RjXBRn28KkE5cGgoe8GKeuF//0UHvIKYSrcfsBPS/PVB4GtyD8req+X0TBpOUsOES41HJYyc1x0h +0mwJ7r782CYPCKQ+EakaVO9v/TRHD0MNgn8zaHThC1723RuNHqNyoTEi7hqf8hksCp1AV/TWIPn z7YBhS+BFSlxxaREr4bfapLA1+3oXnkAIiChj9TlcDdXuJaGFG2uCINZWRYgzCVB8hjI8MHyLzrP Lvc1nF+5dD5gVAPgT+lLVZc2Z5wuK4kSP5im46a3oaUc+v2mAcM/Mf9hH4HiohuWyxS1EhJrHIst L5/GBCsrJA05NxtgoADlQ5WJwJ4rpfKuQL+jVsOx/K73VpN66FNNkvHLkoXFMRwp5dKyJ8CI0uqE 36vWo4lR0swY3UBV8WssH9fFws+CCGCrfFF8qPBwMMjsdkwCYxq2Jm72BppuCVRHjEfzV49D2zr0 HVIqi3aPhcdnoaDGnYtgOTxfMbEMCiPLFeGupd8GS5xdp7t+2pYLtjx0ReGmeDR19I/mbF/tHjn1 15EMXn3okFntdiDtB3O3/WPHTphs66Q8VwS5QBdGVYZS0ZUANi7R2ampVgX28FGXQlyjVLUkimsQ coBlkB58ge+ccmHhFELWjUk5nWhVRyqTL7eu8VqGK7teqh3Xe6a/SU9VKXmf7BTtIjmD7H10+Pz6 qRmr656k9OYk0181Sl30oEG8o7s3vJtSsHj/JwDxqJZLE1utAOAxlAFmRFKHXsRF67Bk3VagKgRi mY/hnJisTI0aylpCG+DnBxgw1w/H6ZovgVQhWzjgSTpEwJOwtc0LQdNtvPNL8ypgeyukGu9lxWOD NorPyjN2h/p8w256XmkAwnb3orFb3gsqatt0y5WHRekBg9NR4zpdT9LyAz0bNUDm/BqRlhfqHnQs Pi0GRR+4NpLnFnCQ0eUnP8ZjL0TIWQ41qM5OPDpKaiAM7hiSkDz90kvicCJtHqf5jT1+CW3yTopl QpBRguZ0Zofmrtzb3yVSgseg5TSdMnM9xehrLVe8HZJOkev897YXEc7KMzzthanpsk8U1w8ySOU7 9iXGvqKnIV7Gq3DA1odTn5LP0tA0eiQF7XFBnWd8SAhODTuoYSUO6mYbY6pLmBm5cXYLy23aTSmK 1kV3CJHRTdn3pHzcB2bu7L+TAmrWNOKtqaQ/1jjYENfeoAMcNJW+MNdsYi/h2V2nNKbFSvGQXi8X 68i2AqVMymHyXyIqnVKJltJB60VWOKFUc4LBqqScec64/MLXD022OkqIBZRtg70Fd4kOTazmNij+ CyIhTaJtdG/X2WWIoo53kEd2G+W+p+c45YlG/T4h/WFyqi30xPz4WOuvcvHOMRbuUku3S2PlBin/ vy3frRtBqpX6IbjITTT/wgCgwFRv1ExTBznrMNC0QMvWsxDO/W+mLXhcIbSyh4s1Irbf3y9qm8Et LS2oiS3W98VmAxny5ggxBq0DUESy1lm4xHzqvo4Msc+3rBER1uPVNWhyXuMa3lvgT74jWbgXehYN RUvCYkQh1zMySR15tzgic/kQ+e937P5kxAesVCVPiikUZNN2ySJqFXlT2TJYYDN19RXWXz6iyFIZ Ji0GDPhu2B24jSZzAAvn0lpn6pnKBI0XIXX6eLP1YZut9lL9mzeQh1uFuOyv1BAKIgxU76p+uASQ poUT0J9m/ty4A7gIkvhVIa7K55alwUJQUgQCC5AB8bobbvUzT43OUFbdd70Ox15G5GJeSDAP4rCw NQFc54re6EnanKrFd6BOU3pcuvmSCW+/Eoc7FCbCQ4PHDjolSYoP9ellN/tjDoDyi2DodOtwIUS1 sFn3TnE6VgnOidfa60fcnv2snpbq4wgtzxYrL9pPc4JZMJs+ri6+UU/6BJjKvf8bw70EQaaRdoMB 498DEMRaTxM/RwZ7Lw0+DCia7gb83BUG/bwZ0yeVx51/iKwnNCED5EDWv88f/klfFP33x4p6mpsy 2xLYTpYjb6YhgxubQO37iQi5HZrsesk2ik4IyCTo4I9uNQohbO1hXKZgm7AqHhiaX+/QCu+Je/uU M8EoyDcnUGKzq8OeihT9td2oTmo7wrLIYxtf9V9kX16srXNrdxtNoPCiqyI9esLw8eZCqUd9tDrI Qmp7oqNew37RyumW2NSK1K5+EzscCgTYh1lDjJ/HAvar5xi86wHmMqSyF7wT67/f4QfcMsUyLjIb bZp4UClImY39iXgNFDjhG/TJJuE9bJlGjryFTF1Fzk88R8yNSa0LIvMfvqIVQWPzCFb9fcxD49LJ gkXjuUYY4FzIN3ti0wzSZ/T0ORn05BOV/KjffPlM+wUDMYOwx0pFvxttPktD/10/jqiHEI9iMb4k WUrxiKTuckMCgxuZZd+mzbnsEQp0izDtwz+WPJnxV98WruPI6ezcVMiGmvQNp0UQShOfHKzplAEY D1NJdsAlW9EBJ+c+4UGXD/oB0/aAZs+P2Bm1mjuWCv6cJpdSc4tNjUfZhLwSI+RJdUw15nQLQjtB HZlm2NrcIjFRFZQ0jfcxnf+4IS1dpu1srhftrIVSP1xMdYqK+W6S9s/X3PBiI3CZmESr0Aenna75 dlK37y9xmzlLXYWdowGRVQm21lugp/5k8vyk04ibKJTA6QpO0OR7W/+uYLGX1qgeHWfHt3rk/3Z5 NCWoqrm0CfYiX7a/Rdd9Sk0GH41090wLh4hMKF/DzDiSai7lzKI6maro2aBqvzIXT70JBeZsIM2L wEjVv/E+CBa4aqRUoRiHa9meXnAZN1WwEIs8Ahk7eBk06eIsoxxUZsslFc1xsdX+NgVVdL6Li6gX t4gzTNRUTzc9IyvmlPODzgzLi5SBvQIF4QcbZBxKizYsGY8XzGmRwd8nvYvZiJHoZO86IlM7hd2U QRG7SD88aw4RySxvsrEzpnv8OUqh+8rsvUuUdHjCW2euz+x4SIaV3Umhy8HrPBi76f9EzgHY7DC+ 5BhfBbST5pnJ/oUKtn8d/bExF6R2n2PC98sP6ilw/iFCJEhQ6wic0LksClw3yUTUe3uEM0VQFssa x4alGn141iZE6fCQiQDJqzjt8yfN916XfGYi63vlj2nStv/PUzzVTRt5xcW969ZF7X7frBHEsQ2a oooF2kx+YENsxEfSNDcr0iuC79gZXFwlVXMm3NtAPqH7kCo9cwuzfiSaSdhzpyS+vGVZ5ax0XIG7 LRbUd9m/aGpLs503vwxOvlki0/xII0kcLUleEFAs/URFdZRd9bALoyAFSKdWcWBWZcFE7dQONHFV 5JxsSbY3tUS3W7XWcV/ixW0MNcBsQAhHyQiC1E8rxTq24AYUsTikU7X/pEpKRg7hY3WpEwJnz+l5 1H/5kiIsFMZ9l9+WbQA4IxBCv+dbroos1x1GKl3tRM5lPaQPBIZvnoWlOozpK0eF3WC0c++Xm9MR WjkUgf4/8NkxLIbsBynNhniMR0As5KDWfKxeOBpyVhlPXbhk2QDsZ+jNPNVG/5m25Iv1szh16AeJ L7qdRJaH2oYPfB6f2AQW1Rccxxg9qKUMw+5JVXTzvcPZXGempUsdQk7CNBtbYyuYdOo32CnYZweT iyfXgKlpAPvtOIRhA4WeS5xkWkJX1D8NOdPDF6MNoFcgAL8MHxJrR7PfSW8vGpohFWbJF32TopTA CARuClAQKTuDu05mNtewUUpaqWb3xsJAbyuVYvcukmJsV+cD8+eS1NyXPgkELRLEfJkyiWdJMc+X TqIEfLsI9EoiNrfATCGJuTYcdueZ4B1SasykDlp3pnauLUqKPOf6B5klRHPeo0cRYoCs+JviT68s 3PKNuFJFZwvg+AKoq1djZ9OZaciVn0HNoevO4lbAltoyZfJNPWOR5sGWahyiFv4oERhzf5SFcsew IrJoX1Yx9DdDSmaeXSyYWQLnCJEcoTyOXc7gkvHxljNwxXjYN8k9ssN0OWhO1Zs8KRzjUdsQ5TSY V2bGXL72b5o+9ztMOjg92ddS541Gx75uPmjyr1CVBETKhsQCx2i/CsnOPIzyqbm9lx2/SeM8bXcz K8odTY/oSurzyikBR8N2m2f9H0QJ3TyqUrInDjPproQPO29S0MHv2cu8utstGJ0MZkaf3ONzJpjc UmoHpUftaqDZ3dEiXplbD2+EensgBKHzGqW+gZ0nd/i5UJGY59jVgWADJ/EXTTItuql1z2Z36Zis iuairxR+/ZvMpvwczgNboiv88KdnRp/AFFmYAKZKxkJJjY1smJY1VU2zzkXgNHj5OnrlD9g9J0zJ 6p3AFtl/hiWsR8uAv0hDz9h8qB3GRLUJwzhznlIxCeMiJaTe0oWfo5fD3BeXy90bjItFqknoGpdc r4TbymBUVS0SB8szRdGi3CnacWsF6EfU7sCdYXbzIWyj8xL2nMIArK7wtP11goJNlGxlI5GAbDBT v7+QFveT3YgzZvuYQRnD263XhQnvMnUdRRtdxVkZODL+XqOLWyzZUJQTlsHknXlGKKUuw8l6zK0W 34jHaLv/ohL/C0TGTlpZNRcroYvER7PAhpK9iZ6U7KZTZhqy6wxwoeZz+ogdGVAJPvtgL4jEOjVF c6IqPL6OqzG+jFwcdJQ0ArLen9TICnL3uLgVk2IiHPWPPzLPnhA3+8WJbDuT3DUkr8DK2e9cGhDJ hddYYIiU36JBKoDygBP+/yq6QSShwMZqUniiVuXgDJH+s5kZl1KnlLo1qVNTHcZ7k9MEfAIEISmf lIxLCaKZWsKQHNJB+E+nh8iy9CBf6YYkHQ+52Rpaa4GnJFTJnUmi7zTClt5Oz5B6 3uQ= `protect end_protected
gpl-3.0
900d2af518590a38b44e0a213b8751e3
0.944164
1.846437
false
false
false
false
ymei/TMSPlane
Firmware/src/i2c/i2c_master.vhd
1
11,099
-------------------------------------------------------------------------------- --! @file i2c_master --! @brief As master, read/write up to 2/3 bytes on th i2c bus. --! @author Dong Wang, 20161009 --! Yuan Mei, 20170817 --! Read/write is initiated by a pulse on START -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY i2c_master IS GENERIC ( INPUT_CLK_FREQENCY : integer := 100_000_000; -- BUS CLK freqency should be divided by multiples of 4 from input frequency BUS_CLK_FREQUENCY : integer := 100_000 ); PORT ( CLK : IN std_logic; -- system clock 50Mhz RESET : IN std_logic; -- active high reset START : IN std_logic; -- rising edge triggers r/w; synchronous to CLK MODE : IN std_logic_vector(1 DOWNTO 0); -- "00" : 1 bytes read or write, "01" : 2 bytes r/w, "10" : 3 bytes write only; SL_RW : IN std_logic; -- '0' is write, '1' is read SL_ADDR : IN std_logic_vector(6 DOWNTO 0); -- slave addr REG_ADDR : IN std_logic_vector(7 DOWNTO 0); -- slave internal reg addr for read and write WR_DATA0 : IN std_logic_vector(7 DOWNTO 0); -- first data byte to write WR_DATA1 : IN std_logic_vector(7 DOWNTO 0); -- second data byte to write RD_DATA0 : OUT std_logic_vector(7 DOWNTO 0); -- first data byte read RD_DATA1 : OUT std_logic_vector(7 DOWNTO 0); -- second data byte read BUSY : OUT std_logic; -- indicates transaction in progress ACK_ERROR : OUT std_logic; -- i2c has unexpected ack SDA_in : IN std_logic; -- serial data input from i2c bus SDA_out : OUT std_logic; -- serial data output to i2c bus SDA_t : OUT std_logic; -- serial data direction to/from i2c bus, '1' is read-in SCL : OUT std_logic -- serial clock output to i2c bus ); END i2c_master; ARCHITECTURE arch OF i2c_master IS COMPONENT i2c_master_core IS GENERIC ( INPUT_CLK_FREQENCY : integer; BUS_CLK_FREQUENCY : integer ); PORT ( CLK : IN std_logic; RESET : IN std_logic; ENA : IN std_logic; ADDR : IN std_logic_vector(6 DOWNTO 0); RW : IN std_logic; DATA_WR : IN std_logic_vector(7 DOWNTO 0); BUSY : OUT std_logic; DATA_RD : OUT std_logic_vector(7 DOWNTO 0); ACK_ERROR : OUT std_logic; SDA_in : IN std_logic; SDA_out : OUT std_logic; SDA_t : OUT std_logic; SCL : OUT std_logic ); END COMPONENT i2c_master_core; SIGNAL sI2C_enable : std_logic; SIGNAL sI2C_data_wr : std_logic_vector(7 DOWNTO 0); SIGNAL sI2C_data_rd : std_logic_vector(7 DOWNTO 0); SIGNAL sI2C_busy : std_logic; SIGNAL sBusyCnt : std_logic_vector(2 DOWNTO 0); SIGNAL sBusy_d1 : std_logic; SIGNAL sBusy_d2 : std_logic; SIGNAL rd_data0_buf : std_logic_vector(7 DOWNTO 0); SIGNAL rd_data1_buf : std_logic_vector(7 DOWNTO 0); TYPE machine_type IS (StWaitStart, StWr1, StWr2, StWr3, StRd1, StRd2 ); -- needed states SIGNAL state : machine_type; -- state machine BEGIN i2c_master_core_inst : i2c_master_core GENERIC MAP ( INPUT_CLK_FREQENCY => INPUT_CLK_FREQENCY, BUS_CLK_FREQUENCY => BUS_CLK_FREQUENCY ) PORT MAP ( CLK => CLK, RESET => RESET, ENA => sI2C_enable, ADDR => SL_ADDR, RW => SL_RW, DATA_WR => sI2C_data_wr, BUSY => sI2C_busy, DATA_RD => sI2C_data_rd, ACK_ERROR => ACK_ERROR, SDA_in => SDA_in, SDA_out => SDA_out, SDA_t => SDA_t, SCL => SCL ); --busy counter busy_d : PROCESS (CLK) IS BEGIN IF rising_edge(CLK) THEN sBusy_d1 <= sI2C_busy; sBusy_d2 <= sBusy_d1; END IF; END PROCESS busy_d; busy_counter : PROCESS (CLK, RESET) IS BEGIN IF RESET = '1' THEN -- asynchronous reset (active high) sBusyCnt <= "000"; ELSIF rising_edge(CLK) THEN IF state = StWaitStart THEN sBusyCnt <= "000"; ELSIF sBusy_d2 = '0' and sBusy_d1 = '1' THEN sBusyCnt <= std_logic_vector(unsigned(sBusyCnt) + 1); ELSE sBusyCnt <= sBusyCnt; END IF; END IF; END PROCESS busy_counter; state_machine : PROCESS (CLK, RESET) IS BEGIN IF RESET = '1' THEN -- asynchronous reset (active high) sI2C_enable <= '0'; sI2C_data_wr <= (OTHERS => '0'); BUSY <= '0'; rd_data0_buf <= (OTHERS => '0'); rd_data1_buf <= (OTHERS => '0'); state <= StWaitStart; ELSIF rising_edge(CLK) THEN -- rising clock edge CASE state IS -- //// Wait for signal to start I2C transaction WHEN StWaitStart => sI2C_enable <= '0'; sI2C_data_wr <= (OTHERS => '0'); BUSY <= '0'; rd_data0_buf <= rd_data0_buf; rd_data1_buf <= rd_data1_buf; IF START = '1' THEN BUSY <= '1'; IF SL_RW = '0' THEN -- write IF MODE = "00" THEN -- 1 byte write (no payload) state <= StWr1; ELSIF MODE = "01" THEN -- 2 bytes write (1 byte payload) state <= StWr2; ELSIF MODE = "10" THEN -- 3 bytes write (2 byte payload) state <= StWr3; ELSE state <= StWaitStart; END IF; ELSE IF MODE = "00" THEN -- 1 byte read state <= StRd1; ELSIF MODE = "01" THEN -- 2 bytes read state <= StRd2; ELSE state <= StWaitStart; END IF; END IF; ELSE state <= StWaitStart; END IF; -- 1 byte write WHEN StWr1 => BUSY <= '1'; CASE sBusyCnt IS WHEN "000" => sI2C_enable <= '1'; sI2C_data_wr <= REG_ADDR; state <= StWr1; WHEN "001" => sI2C_enable <= '0'; sI2C_data_wr <= REG_ADDR; IF sI2C_busy = '0' THEN state <= StWaitStart; ELSE state <= StWr1; END IF; WHEN OTHERS => sI2C_enable <= '0'; sI2C_data_wr <= (OTHERS => '0'); state <= StWaitStart; END CASE; -- 2 bytes write WHEN StWr2 => BUSY <= '1'; CASE sBusyCnt IS WHEN "000" => sI2C_enable <= '1'; sI2C_data_wr <= REG_ADDR; state <= StWr2; WHEN "001" => sI2C_enable <= '1'; sI2C_data_wr <= WR_DATA0; state <= StWr2; WHEN "010" => sI2C_enable <= '0'; sI2C_data_wr <= WR_DATA0; IF sI2C_busy = '0' THEN state <= StWaitStart; ELSE state <= StWr2; END IF; WHEN OTHERS => sI2C_enable <= '0'; sI2C_data_wr <= (OTHERS => '0'); state <= StWaitStart; END CASE; -- 3 bytes write WHEN StWr3 => BUSY <= '1'; CASE sBusyCnt IS WHEN "000" => sI2C_enable <= '1'; sI2C_data_wr <= REG_ADDR; state <= StWr3; WHEN "001" => sI2C_enable <= '1'; sI2C_data_wr <= WR_DATA0; state <= StWr3; WHEN "010" => sI2C_enable <= '1'; sI2C_data_wr <= WR_DATA1; state <= StWr3; WHEN "011" => sI2C_enable <= '0'; sI2C_data_wr <= WR_DATA1; IF sI2C_busy = '0' THEN state <= StWaitStart; ELSE state <= StWr3; END IF; WHEN OTHERS => sI2C_enable <= '0'; sI2C_data_wr <= (OTHERS => '0'); state <= StWaitStart; END CASE; -- 1 byte read WHEN StRd1 => BUSY <= '1'; rd_data1_buf <= rd_data1_buf; sI2C_data_wr <= (OTHERS => '0'); CASE sBusyCnt IS WHEN "000" => sI2C_enable <= '1'; rd_data0_buf <= rd_data0_buf; state <= StRd1; WHEN "001" => sI2C_enable <= '0'; IF sI2C_busy = '0' THEN state <= StWaitStart; rd_data0_buf <= sI2C_data_rd; ELSE state <= StRd1; rd_data0_buf <= rd_data0_buf; END IF; WHEN OTHERS => sI2C_enable <= '0'; rd_data0_buf <= rd_data0_buf; state <= StWaitStart; END CASE; -- 2 bytes read WHEN StRd2 => BUSY <= '1'; sI2C_data_wr <= (OTHERS => '0'); CASE sBusyCnt IS WHEN "000" => sI2C_enable <= '1'; rd_data0_buf <= rd_data0_buf; rd_data1_buf <= rd_data1_buf; state <= StRd2; WHEN "001" => sI2C_enable <= '1'; IF sI2C_busy = '0' THEN state <= StRd2; rd_data0_buf <= sI2C_data_rd; rd_data1_buf <= rd_data1_buf; ELSE state <= StRd2; rd_data0_buf <= rd_data0_buf; rd_data1_buf <= rd_data1_buf; END IF; WHEN "010" => sI2C_enable <= '0'; IF sI2C_busy = '0' THEN state <= StWaitStart; rd_data0_buf <= rd_data0_buf; rd_data1_buf <= sI2C_data_rd; ELSE state <= StRd2; rd_data0_buf <= rd_data0_buf; rd_data1_buf <= rd_data1_buf; END IF; WHEN OTHERS => sI2C_enable <= '0'; rd_data0_buf <= rd_data0_buf; rd_data1_buf <= rd_data1_buf; state <= StWaitStart; END CASE; -- //// shouldn't happen WHEN OTHERS => sI2C_enable <= '0'; sI2C_data_wr <= (OTHERS => '0'); BUSY <= '0'; rd_data0_buf <= (OTHERS => '0'); rd_data1_buf <= (OTHERS => '0'); state <= StWaitStart; END CASE; END IF; END PROCESS state_machine; RD_DATA0 <= rd_data0_buf; RD_DATA1 <= rd_data1_buf; END arch;
bsd-3-clause
0435d2d4b683c4f0297c919915057a1a
0.443373
3.657002
false
false
false
false
ymei/TMSPlane
Firmware/src/ten_gig_eth/TE07412C1/fifo/xgmac_fifo_pack.vhd
3
5,442
------------------------------------------------------------------------ -- title : package for the 10 gig ethernet mac fifo reference design -- project : 10 gig ethernet mac fifo reference design ------------------------------------------------------------------------ -- file : xgmac_fifo_pack.vhd -- author : xilinx inc. ------------------------------------------------------------------------ -- description : this module is the package used by the 10-gigabit -- ethernet mac fifo interface. -- ------------------------------------------------------------------------ -- (c) Copyright 2001-2014 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ------------------------------------------------------------------------------- library unisim; use unisim.vcomponents.all; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package xgmac_fifo_pack is --------------------------------------------------------------------- -- purpose: define function to convert fifo word size into an address -- width -- type : function --------------------------------------------------------------------- function log2 ( value : integer) return integer; --------------------------------------------------------------------- -- purpose : converts gray code to binary code -- type : function --------------------------------------------------------------------- function gray_to_bin ( gray : std_logic_vector) return std_logic_vector; --------------------------------------------------------------------- -- purpose : converts binary to gray code (by calling gray_to_bin) --------------------------------------------------------------------- function bin_to_gray ( bin : std_logic_vector) return std_logic_vector; end xgmac_fifo_pack; package body xgmac_fifo_pack is --------------------------------------------------------------------- -- purpose: define function to convert fifo word size into an address -- width -- type : function --------------------------------------------------------------------- function log2 (value : integer) return integer is variable ret_val : integer; begin ret_val := 0; if value <= 2**ret_val then ret_val := 0; else while 2**ret_val < value loop ret_val := ret_val + 1; end loop; end if; return ret_val; end log2; function gray_to_bin ( gray : std_logic_vector) return std_logic_vector is variable binary : std_logic_vector(gray'range); begin for i in gray'high downto gray'low loop if i = gray'high then binary(i) := gray(i); else binary(i) := binary(i+1) xor gray(i); end if; end loop; -- i return binary; end gray_to_bin; function bin_to_gray ( bin : std_logic_vector) return std_logic_vector is variable gray : std_logic_vector(bin'range); begin for i in bin'range loop if i = bin'left then gray(i) := bin(i); else gray(i) := bin(i+1) xor bin(i); end if; end loop; -- i return gray; end bin_to_gray; end xgmac_fifo_pack;
bsd-3-clause
7f5aae30ecfb10ecaabeed65110fdd3f
0.556964
4.850267
false
false
false
false
fpgaminer/Open-Source-FPGA-Bitcoin-Miner
projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/blk_mem_gen_v8_0/blk_mem_axi_read_wrapper.vhd
9
56,990
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block f+Y3vSXYUASTjJ43mVBnJMCP9yP325rminli/Zg9mnVfjDbHQDpmJYDkiOpLezryZzE8Fv54VHkj 6vGQI2NNLg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block JqYyklPBGxFw/71Zi/GpIw+FMByKJYbBCtiFOXf3ICVEqUlZmbUlOvwjeB5QXJfKO5iab91Xio8+ 0yDsE/KYZ8H2GajMA8B8VLgnAaRWyYufGpcxhob2QwwNrcjxbitDoaO/qhPxR8O2mmA8wskF7x4Y Mfi0TSvj/svaOqc3Sw0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ixlXWjGaL5T3DMY6LlTewa7/qN1GkAU0jlWPrBC4u3IMSUyZnE4UXOzUS3mXt8nxY8eYVEm0UOgx L9gJG6H9HGXb0rrZH62tCMHryNd/o8fTkLk1D6hBW1QGP+oFDw5s0Vd45gK/4MiXLUmHRXAxbFsT sVCjIwmUEFtYaMC/efSH2YP45/AJXjfVjVfsxfaAO+9DwSr1iOS4xK3Aii44DcaQSA06Bx3Ug9IC tWRpZvCHQWRbOkscemrPYV1WMPIfPVzH8o7ayiCCoVrOj0aWro/hdKh9P8QeR3L1MaRgBZ1PioiT KiMRopJX5djV1W9hVfa97oM4DOvwvVsCGzEmLg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block SSoCi6voYWgEimyi2Ul5y1zEQAqmj2PbeWtCr75N9CmWCA3QnU6cxoUemHpDwO4xJEXol+RZdhIV tXXdUmeDJ8W0gaLFmYDiqNUJekaRRZk2DTVJ9EH4kycGb1EkD2tNMnidi2Emhk1EQIzDMbaTfA7D j3Zj31FE9jmGU8NtZsY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block BI4d+cT131kE7tJlgiwXRX3Tv/ZM85f++/AElkAQ4aQRL+phq1spptnuoglQCMzjTgxrr/Hj/9SJ eScHTBPxWWjdras5p84+hiXI1IJWYbMsR+zfAFPtqd+t7waGZD18tL2bmEGeFKPJXOAuTuwa3o5L wUVkOwMVrYOK5zp+ylEoJBBRDUYiOgLumhoFGlLeID/iUdfgp/X1GavL+ptxJfR8+smuDZ2JlsgU aVajJM1c1Far7UD7r9FXmqHnPXhBWf2U/0Ghwv72NLTxzKJ8AGRG6XCz3FYR7A22N4GuTpk5rycs 2hdmTb1ScasQo/4m+rRCUNap/cbiUQagR4hGQA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 40448) `protect data_block 5cKwlr7Rc97yPJEMUmGD0wHwh/Wr1oQt7bZz8ct8KEnTIgPIxV317HQLObbsNfsGyv10n+4OlhOW slq5n58wxP+IQ9yNHw9hiC4Q23R4AdVY1T2Ywvln8VLeLZqByreNUx0LuipvlUXIa09OS6e3hHJR tiynoNDW1zdL06uCBsCb3mUH4RrxpoyBr06szE5JD5lvYTdB4s3dA7V0e4wDQEp7Y/970e3rkqoE ZNPhlvpBM/6/jJib9duvvLYvGtBxBHIV6d9dkK8ZjL+PvY5EzX1qFGB9dTkU8E5KA+hlXZ/eo6Qo RTif+Jnu91Z1wiauEfs3qQ+VllXRZnhQbbn+McCICZeCs/w0Hpa37B0FvRjpfRgeMQZ9SGafiKVd MNA4k7e8yA4hSm05w/K353TjHB75yYAps7tEY7n9PRPgKyos76w8fXODOnJNrR1xzuAU9ywsPNXW S90pHiKCtOf7sEbYwixkZrlfuQybpTACp+4nsJpDcCYlIx6bVGdtkqods4Rohrui9L7NJzoNgA/q 1/XpuPUhqFvCaM4vPFFV3IyEHruER5XIlg9TFJdTO1t+hF/1wY5xg+CpazpdGCtVOpTQ81vaiTAp I3rZ4mvmetgXFEr/0StliFIGeCTYtvvln15oFaTNi1ovSlXMMGgtlwGbror9eR+OTa7W4qoUFap0 VQgBTc9Ei7L359hKtA0/ubJU4VQ6cxz/aIOVeWHT8GQ/EL6AscCXHrE7fhgjqVZO61AKNRALWrx0 E6SiamWp1xzIjlsoG9JcfP5dBNoFP8UNyP3bnbfKZCox/8kj9nKsdfJsUjUHNcv5+5CAe4zff6Be zE2Ch0a6QfEQV+wJtbvXcGsJ4M1OZI9R8zUmF/ewVEMWSvAh78sWTRTqZ1pqLZx9uldoCmSfu6du H61gkrOlpEboC9xJtoQLQUNiRkYpG3NAiwHrk/Sx8u23/PWGhGEojhjt81dqiW7mIXVbSNIhKnFs 4VTOUx/b8FrTPmnse7NJnmjBiKfkA2mzMYY2fZPBIfZuyjPRCC0tjikdCSbakhma80P5WfStakNc ewORASiXsDWqjFTHPIq3hmy8VB6pRy6w1i+tfY7aqMiLBvx6R59qwCAZHI5B2aXDSnDgffmsySqJ jY4fEKF45BGn/Oh5sC8c4Ecm4TZUWyI+srMzPbwOxsNxjz/eO+u6o6LnwvPTNmR39OQA4KuvlER2 VQgDqlHYpnI1QNADnezLRhX04c1rHkZzvgnOWVlsUQtZG2bfDyzBOJPKIKBcjywq1v1OmzCImKJO 2FlvGzPkpo6kiCIZKHFnNIGBGf6JxN4Wt6NKl3ouGcRx6GhARm9NxU7ZRnNWV2kiVAPbtMAFIG9E 5qPt2WAdDwOKP4cBWfS/aso14GqMeePUnN78C9GxpJN3Vyf3xZZRCaByKeTJ2LtY1xg5CobUaia3 0DB+l9V6giL3BYBt3PTls9WJTKijBuSixFyHHlAZEVY0vxlOdKW/3ThfAD+gH6OJ9OLF9DO+PBgg vpOJUFZfn3EZHJdz+ts+b1EBoMMGLSRCO5y/lhllWRYmV4lwNKBVZQoMh8EnGa99XeB0SUho3hV3 DZaWksLvnyAIuf8QBAezA0ES+tykpe6gZ7HjfaykhuqANZ8/LvtjzLGQl9r+++6Xif5DLw1EKBMI mA6tKqs/cAejQJIDRx/1OzZV/ABlvmmz3KuAgfxddi0Mp67fswst4HwBaZvtdJRSKXdo8XbPvzzE s9bkHj/j28NcPJz733ywlgA6kkVPV7g+4ujVidN1dx0zTgHQCNTNIiBw4XOrpEzRp+/s5whTG91V s3ufddO8LzNF8g5R8a8JSG8ymGKOiFvV4KAw7pEeOkNefVrwufaq4PQM/weEPuV+gxOiDzyIfJnJ bGCa4lOVzjwlhie5etDh4lqJu2msjNVynYH1JYW5X8A8fzb0T45nnQTYqJaK9nyv/C0O60/SsEIS zAzsrQRbxgVMxUqCkAqySq+ZolxYqb5ZUev7u58X594hVVKVx2oHRAXkkAzOiK+CEThTfpmUsawQ EYII/OqT4QI9Xj+GjOzaC5WHnqyLS9XF6Y8drfPvbJ8g1AWsh0R1AkFe2aEDVtUyuAkYNFYjvXb0 FGoLQAGlaoPWoUm/HI14ZIPIyGIMDpsRl9B5KgLW44FnrldCHo33DFrRmi5odD4Cr7m3Q7ngp1DB oxGiZcuuM9WHe9eyrHZc4uNHdCojVT3/VShKGw6p+Jb5MNAXYw0AtsfBnl4njB9/V2TPDeLjIxfM adGM6rsMAKdSHszX7YIDnacBDeNb00VT8/tU5US2sKyK8NPwwyjeQXGQaspVLryDcsZ6rc3srMvi 1z8bYOQVyDrM+RDv+T+MU2e+HmauR6Uk4J5OrOgcmgRp9umvO8KHiN+PYwmOA5Og/KbfIGYFGxW3 TCcWgyFusNX/owbHjs56E7fYMhwWJxJuYaieG2BQ7IMC+jGIFljZV1XFj+a4zMHzXJkeDIAW0VrL 95cvCcZwOsZyWdRhfcHGoiGiJyMsZ4pSCkwLOWpGawo97J+zJRAGtG0TmQvdFNg2XuBOZuhMDiDM P+xHTBHaNtmsHrHve5go38u+rfb4/rPqCmq7zXLptsPs/J7VuvuCgfjv2PJGpEXeDZ6s60xwxi9F T6SfBoBtN1InNEx3pm+1BT8ZOHviH+Bn2aihI+sVpY14YAZvwlYANj1Ga7IqrjlGptF/TTWlfQgb stELR3slhsXbkztJgAIaDhCU95xAXpG9/dTUkDM3QjrJnmfFPnxAeGhlLsq82HSj4hxnUHsviM5E auz9eX8F/prJn1HMI8F51+qvMaBu1N/MhMMoqkbuHflJurtxqsZIz/DhvQNM+X6L+1KVA6B0IyHl Uf4oH14ucOj6vSvDmZ3oFiKCxYYTxfniUVU18HLqpsWBN3jSfCdHIfP+B0RqJROLkPJ7CIgj/q5j 2wJz7iimA5ST94UZvCqcxVG8EfDS9i1L9eKViYgtioUoW5u+0ztoe1VPtyYYE+Ti1qtej3UANqQ/ gCE3jAwhorytgdT4OYI7yOUUuDJV/N9826FDr+CcmF6BZlWjgEnjPf+IUr2SQ+uCPt57u+aA+VM8 H9a8WCICZ80jxlNM+lQqD2GcQkErc1DuCK9TeeSEsooHCL6PBIFEIxpE6PDSlCI6QGZi3Nt3JZPP 2F/XXRWo78teen9BUJvIsSGyLlNxqkf36svqctIUDyk19WeonPQAfRjxqF4duj4V0kzHTculpMqm k22V9VqfilasbDGe3Ed9v8CLkI/+l/8VdH0ZCY714zLDnR3LgpxSUHZkDP9FuTLpXu5sv6aF4cS6 zWWMJjU9p+/0xGL1DbhtTsed5s/B/7zcIJl1FPUX4MOH7IB10Bi8JeE0wpz9SugwX6cBrVICtTJu 9Qwt5Edw22VBY2sqwS/MP4Hwouuv5BNylDTwW5IskPShF6s05MnR2hkPhTivO3lUR2jJIC3WHOC9 kaRDVIajuyNn/JkXQ0C01ip7TWHWOqIdfJ97IrzxEReipoIjd7gw6Jp9ZO11a8bn73tLesytYBu7 uCMZJ0zypkoHuVi7qUnXgvqiS2pq8Lz8TynGwDaHuSanWFgBoJ2RzhesIRRPokkCAcKAsvLuCIix mJgjmF6FW5ZFop5eDAxUoKvOvNbwkQCrM4GbL5WaMmo1n2SjM/2wxdtpIRA1XO2ofIF7e1IMcEyN qbRnR49lLLIWSGDCW7ufOwDlIuTAwTeqrq/9noDlcbDGjmM7nDWnlNKyapo7QnbYE00jI8edxGGb 9im28oZYAflMdhVzlMMNGagq5j0juMuUreCkqrtAxid+cvvoW7+Nw9W2XJDXNtoZtVQMTmzZcjRt Xq0mf4ZBrPYcPU6I3mUuoPqjGLePWqRIvPlrmsYVt4nI9tx2fovmk/ChaqjTmTkA/aw/1y1Ll9lJ HQODZORuBYjYZrpnymZo2jvA7ho5XDnmKaKRkunghG70bA6u3Fx3rO0FPVTgQIqQdmHlnkBkqf/a wVGk1lhXitQKGa10C7NfVzWGMq2yJU17CJXIx9X0769PM3C3DOrtu0+FPth9k+yCNHU8+Ll2sqai jZMxfq9rf+rDDikAEEto7Qrrytv+w87viU27YH4D0l1AOLI6X8P96E6+4ww/Q1ogtgHfZvlsy4ft j1YtmLtoudXEApvYWDgu1tnO4TP6KRICKk4VXgq5Bq2ct8sQ96D1cXhNcnxO3XSiRX0AsK2a9EMu rBZRIE9GzYjdyJF9wskHoOcRnBbkaG7Klg3XMTM1Um1s6/f8bY/xiJgVcFAvWwhfe64WbQnQWhZ4 Mk1Gn3NQQSGzeKwclj9AUckgCU2Hc23n8lLf+cvfj7uyTWlTzDXxaP6QEjhUg+51MTew4N2eB6+c Ltj9T1mlZrqAsdjKiSCt9gKxzsyq6a59Bd3fvfiLaFtUWdKNG8OykCJnOTLoqTeEl5gWejDJe/1b UleS9U7GtuyuuvOpqC3VpK0ErBkHpP+GfIgulnCJRiJa7e88SR6MosW2QLyYJKPNJr5/3DCfRALF AL8zv/tQCRkJPbgV65kZKpRbiz8wk/g9vfmNrSQBsyCNkcGbU10ldX1gRrAqc0l8uAWTK3sHsvZL JhXYqsBp2RwO2pgpJ7WZRVElAlZlf+PIe/spNJ+q7iB5Uaoba5cMAII9d0zU8iRO3E7xQj5H4JXJ bBMPGVRF5AczPU/3xTwYVyLs7N2CYerEaERptBLHk88FAriVlY422pFapCuFFDwBsu4fxEbzFV1O bR0RPhA/b8sJyrGR7V3xxn/il79O/HbfAQyt1pR7RLWxw/Kl2+5HFst1ER2roJqsIKdN8jwgHkfr RiJ5v7of9Ei/xgzRxCo2y9pFU/7wJsBAzaCMwmWCGCid2Nc34Pc/bCuDjrpRpMf1IHW5enAcfCiN PUssPZ8oRw85cJQfArfEfRS252rRZdVysZ16zNJ+WJVhYsm52kUMnAFmk59W0foiv7SdFcnrsH5G FxYkp9npTf2RFWBrsiB4FKnY5iXmQRI7Puv1kT5t0LIjkm43+o1irXNkZQybt/JU+rK4cQeqgipo flU+9OYKMM8opUJcpF51N/N85r96fzoY8ZCycW0O7Kc0OEQy0gIib+0gzGa0TsI8qzWNPVePZCGg HkSrS6BtUKNfLO/Or7xWMR8BziIu77a9cKtSO4+AkAIjuqVNo8YDjYlsVjFcRp3bNbwMBN1KvwQ9 pZz0FYEqD0HkJ1+mdffqt+lAC9oq5z9zyCkWPqk9ogx/nzq57BBjLnglTg8U9LrLOiQHVqyktyru p8Ov4GpbZwx1MWgDYxttxw6rFoZZ8pPSmiEE3XGfLtZCHTgblaKx+flXlM+4llHBsrfLyBIWfJ/q RdXY1HwiiaxS/O2qmW5XK6m/xHs5Oc+Jf0Mq+xVo3CXok2pMN8WKf1PUfdU4I8EC0xFYM1sxqQAY QufgDAuQj/4RIACiohNKgY4tAcD+xkNvqh9QpSXQgWsXUZ8iOfVS4QQkmC350eb+LMqTfVKhGmd8 bmPt8cArLV3G8hddTBhf9VJf69iRYLRQU4O5VCxJqo6UZ6wQi5L99ynrPw/fkcWRLaJ5GsERRqSE 7T1++7v9IDNPPwrHU2iYyxW70NGMoHK/VuL2YpK6krnJOE+BnPVUlZZZI/lz450avwiCH1IUyQf1 lpE736DlB23g2sAZt1VI/ky2c8sGCJoY3bu1emJwpySyHv/5LROPJCP7ZRKV2c9s3vay2t7CWGLA Bbfrf/EiLRCx+HBuogPV+ERJconS2ouSB+rMUqN6ICdpJ2SKTJiJTikF7wvQvYAjthYEr17WGGg6 8yKNPmCJqejeR+435Gn5emXgqVFLcMmB10KW54+jQOhy+2WIEw9Rl4zvWPITG79gvRdFekVb1KsA cgydlvarp3Vy/PhL2vKDivuT/o3ixZGCEEyVcbVH+iKXJwbtD0UlE9+Vkoe4x8swlx3M2t3G1Cso QtAbalA99mz3LlZ6JuzY4I0jJanDwyLBVLu9vLYnNVX54ZT7WHc/f0PAN4ppgyuFkT4KB1hcWYOP 0aDPpCnEYgtAEI/7k4OkwTBLp6G/JjZtfCBsEI71AOOPUu9mvOjI644+FYGQ0kIt4Mq5Y7rFTk2S U+VJKaVjqdit2spsXtx48ozvc60O7IcqCBkkniL3y39VRyblTJ1zIuo8iiT3n7MOMtVF8I+bkPT2 l0j+tLCpOqKHACiQq+fZagGWL1UoeN58M74vsyvSJaYkAYUf4KsCXNTXkEco5Ux2M1411u1YS2qG c46woHYSyJe36tJVqnEOlumYgpQi9G1lFYPQspLMqrSBYCNfHzwVm/bi0ddgLq679xUAN663/uzI oTXYdqf7vOjK1SoCeJu/zp+Zni3/LgYn38jIc+FNu1vyFwxx0I5zvb3f4pQ0l3RDIFjhR0ZTRhWV V+s86xSwet/NM2lmjlQxMvzoCzaGo68sCK+J6s8ITZjxe2DPxVXgXoB0NtP9KaXfuxdDU9qTG6lB Xz+kKLw8pZOkGBDMNX8gPWESd4de5lgpto+JBTTwXi9ZcTYRQ9WDxrLsXU6SpYXgSdozLm5V5Bxw pM0QQ9AydkQQjXRTh5U2FjuNZ3Nbb65IKQeN2rGe2KHG1lFXTCM3qwUAhk0Pb4tyLVuXV8Ko8K4/ Bhizd1ltw1mzTFwfNWxxb/wRDvAWKaB95gjwhJ2tRuDZO495AL9r0zHedTfOU8lqQuXYmOYR6jnF UQevubc4/IYln8eEKFCYpB9fidYosB0q8nm6wfVRbqkHkPdM1kIiW+LdFEVF0T9kJ9hsUKCucdFa Kv5A6HF23Gf7rJ258gviqtN6wSbsmaPZJMLi+FGyktq1QwwcVs3G/xOKDCpjGxLF2qFEWf6/Q48g sOkQWV/ctNg+koGMmNTXwvI4OlgmZEEGBmeW8rtfBT3beG4nBUaRueSHTDwJF7BRa+Ni9yGhYpN6 vpdGKgRJXNqwE7CsLv/N5cEJegiO1o1WCPfjkp546ydIyuRdI5fiq7/w1wMCAdWL1+0VEM1iInKq E4K+FgzUjVPmO27iUXMiNvvPt6UE5SSNZCk4SmjgqKx412rMUT8vlH4w28skZviB/SOc8gXrLQMq THsfLa0+cA8HTmmHZq93XK6DrPb5KyQ1ejcqIes6M4CgrYbPLJupkdurqY4RRRHY+VSniqpR4x/v pxglKX0lv9XrbQoPK3xeULvCaCaYFcBlrz4uJ2F38iZcTtVRvnW/cmsAu06qhdjOnuTs2p1wt1Aa MRxevDPZEAnlDDOgZZro6krMXOW1GAQp0530pTXmqBPhf3JayPxkhml0rLXqLvFlWbCjTxB1t8FW oREE8uxhYRLneExaLAhuKMce3h2nesNsFGE49F9b/MYqdMEDsvfs4Wu+dC6C6ZYbB4wFsQP5QWnz x/VkLVjd6umncTS0cY7Kucpepn62c/G25PVslqga+8qFXShrF2w84qZfqSlxoB356pN6MeFskPp/ AZ5EJ/ppJRBVQtyYjZgj0zxNpDXc/sMmuPsHB84ViD47SNNlIefeUhY1CvecIXWIZmybeuXBp9Ko 2OGQb6vxu1EYuZKcOBkg6lD+rBTJHcpbyCEipED/98ePYr1NJkq66oP8/eeezwzWACfGmTUUGcLV yoY5snwLsILjqZpzzZPBYTtwwXAyQG3MBIyaTbDKbc5CTfCWVFznf2KV+Zbggmdbo3ft2+iRQ1Ix 5pK7UT6gBxpK8P4Tbrd9tINMB+tZZYLRwsR4eQfTa6UQbDXjYD/aKikEVasKuHswZ9vKe/nsmS4u 7Pk5/1814SyO2CTfMuWcvhE6owDFO8xNViYmq75h1Vxd6Xc3THeW8GKcPub135RW6KIgUnm4hV2K rX2zLy8gUdSpO3Gv2qrUhH/+DTGYf8LUhE9EXQK5Dn+6jtRoG8fjrq18DYDXDEDeZpTUvF1iJeuD rFDc3QdDl+jdNehMyuLHVVsUzNGN7MiyAwVEBoQDVfsoztGPQUBjLq3E16MCDrqQ7oVb0ddTjyFG Jrf0ODKQ73C1C5iLo3GtskITK6yMVmQzaoYzKrgxs0mbx8m/3RlNBg40H/pW1Yw4vb6Zp/lDKwlI 52N69KFJx/iyO3SB/Lmw2d5W9uJ7t7yqRIOIOi70/frMW3TuGVfrbyAPZcJaghlfVIeB/y0jzaSu Ys+hk0dTw1nu/DR8eu/Wgv1e+4Ix54SEyoWymzMO3Pk3bBetLN92rDbtAIAty0DS3iduuHN8hf84 bs4LeP9NONw1c+pT+9dIsUmDChmixLuerKzdqSlUWLAUlrQgK+RtGt/fOa90zMbStnwcOFCcllFD Kg4+riRFi4dfhgLa5Zgtd+XVY2i6PiiQw9Bg6nuxX8GeCVLVtijwCua5AR33rvqFgg36degCUi54 22NO0pPtdZX7tVrZhbQ7GlsIfGZlLaq5D+Koj1a2DwiNXmQdvX8dWuiPIIwea6FNP1DbEqss1BB8 zwh6ivk4IqyStR9ooa58pEDQzBF9q8f5bcPLPdSWqR/T/zkEVhSbhdUaRefOywsfVwCAx0ygvO6F SX2sHDugn6pXOwnqPtTwzS351bIVe3vuM7BddveYJW9Y+O6bw23jUALdzhBJOotTer8xa2tWMh2R azduLozdonvWEfBN+FfiStWYVLQpwVClHSh2C+f2Gum4aaGPkbKZ8oljowZwUJo9mzskp9iMgc93 ExdVtazakXdXmpAjkKxbOBUyyKqW564wSuakwHGgsg8fMxl2zjic6Cg/0toCSiO0p+Qrfu7Lj/J0 9suJ4OOQAARYeZgSdyvMBmG0+qYdNn4fbRHbZBujRgiQBjsaUXDfiPX21uzaDQkOSy+7MNqKIO+j Ix1pJbOejqWmsx4eI2ou77XGnwIlk9bQT6IM1rnxuCOqZ5Z9fURQDLBy2EV9rzscpXOrS1FsGL7w +2BmD2UopMvDwMSa6e7nnCAPEyFnrgBNF6qQLxIdrltfWdvVQ/Z8//Lg1K5LwVucxg+PRufhv3et sNTmXl3DXa4AJU/MjzFoyFg6GHyJEVAkKQ6BO+lRzSZmZBTNkeGdhmZ0LckcEIl635N9iywoSLJN tdROQsU0iJ0O7t2QxSu2edJ0jYDrUaoYuuNbBrHb+f2LfuVX8YxpbWNAF6dVKOHSyLZPAcpgCrfD 4+jIG+lk1uxeaZxuIu5IX6ZGXYd0w7Uugi173U+IhgglG7m8kZ9noBwdU3TTLoVR0P8hD/cRyaN3 m5UQelTH6SMYMigAdYbVzMHNzTIXhQ2obgV9TVsC99bWT7pqastoKPeFf7GFkAdCAWBgGg8hw/j6 k99MZNYiS3WkvV1gtFUAKjSCUnF4ATo7Tk3DgCNJ9z3CxlbcjXeNIuM0RbgfRQ4W8tSvIrz8LXJf Xg0s2jk8tzo1i4z2+/YY36cNgBoImBx411rSBQ1SrSqoEenlugd0XAqF9eSqC/e5YtCSJ/QHhJ6C +1UyaFWz+E1tmFaKEkbf/eHNJW3y3rLK5FJGoVcKqAx78z7ueycyffYkPW+LJ8JkthzZNTVgijrl Qlr7sfgC3sqmHMfPB47pMSz0iyVOFYWkwpvtYZ4EKsffOxWlU40ppRou4ef62qp42L+oEgWkk42G g1jwIs9QzK5Sw0EpHb6ohVA2eLdxWrnNjgJZWoHHNIPVQFhqrvproL4A/O/lpRfM6aDZhOIV2yGu Eqjck8cbvjpoqoxWDf/9k/6kFThTnt0qyUrQwmWTVeBGzqmnUPxsfu0GhCyGxIVxTJ0ODft1MFZo rZ6zIGRr5gpRFzZiHD+yM3qxP4+H3yWqioeu0egwNbYCygPyW3uF4NnJJ6SwtSQ6oUpcJwLe1fpP j/g/TYQCL192qXsm6jXcQEbSl/g1kCnyICgRAZWijpqygFmFsh/CGtEWB5NDS7DSHxG9zt4gDrzw 0lDT9rxy/3y2rkNh0R5ilC1EbO5+nHXK+EY8ahM/pvXLZ2xech31kcsLLRDogLQyAXdOnCcW05V4 1na/q3dAuwDkNjP53kj4Klo/vj7GgQ5t4L1whU+7jKY9mm/Xu0Ad9juwIpUEOaoFWbj4j2+CiOSt c8MhcFJn76x5w11I1qmZ80vH3YklDLDCeGjB1/1kmwb8gL7/g0cMTI1E1taJhqziSI8TAzYQohll U4efzulP+KeQK0Zp1XYh3aglnbHhtAHxMlXKQWWSwL7CBQLFZ3sDDONoCPv+NLvKCLhvCnaml85e 8ThWU8Pa8TnPYbCOx/MB4uAUazvQsyq2zUdQFmzkADT9XyCskW/+sy2oASVJ2CN4v+NtIbV5rS4I s7Mw84NnyMOvywZrtpHMqULp3KxUTCpLyyqM67R7maq1z6Bm9eacCDraPpRyzHahnt5ZPwcSZXxT iSxJs5Zp0TbatCKfaSCu5sei30oKMNE1b1MVUU3JFLuzJpmUXfCut8y/Jfze6+WZZQ2Jp1B91FVd saPGmEBd72tb2EkErNxMzTvd2fUSxD8NwuGPbW59wyAntG3L8ip+Xx7WtSelzLSZXGxeERbVUbU9 mgoy8zJBVkEt8vKVJsYr0LgtlBZ3QffcwsVdIjdmEoJYM4ZsXmAvlMV5D1O+iPXS8pylgiyHOZaY G69Y2fVA6oIkujunDliJR3JaKv3jm4xudNLmWUXMJJPX1HtBdiptnWPBPj+7cSQB2iptYar69lcC VQV6QrKdQCvWEVtCB4DdbouAY7MJkcOWOJ1BZej7WP1IuyojmaT69edPeRtA0PodY58qaNXujgjh ByyDfe414OZ0cly1B8Nz413jXY3f0oKQqOCIZJZdinswxYSKmwV8FqlwLCXtH9FmDK8t0LIP0RsH 1qauk52KUPMEc5idLcjQqtP8poT6J6Y/tmDyqhUqUStlfLI+X5+bD82NtdtAhgBgLbFEixaWKN2f GPyUlsRM74wk0IRg9NU+Vg83kitZayXBuQUburpVTt9GBww+UCnrK48onTReDeetKrI/Q8SFG0hS dVpK+PJCOu0oqYY1IEQFkSjiyHZOd49PThRRS0qNBBpcqRHadjA4h/2CkZohMvKBPgd5Gs+SOmmS JoKbq0VMB8OCyBTWXURxKRGiDp/Ckn6mqeXHQs9oea1QothOUm+QrY1VVCNphbBnS5aRuPBjV1ZY SImQXnlaxue5BoZX62cgxiSmQUMSkCZJ3T2qW/xo84b9D86psjXOqGut+PPxuJLrzvJ8sOcpu6HO dHIjfAt69LSWfnutavvGb5pD8so71xs7AERtxqI7PSEsnlNf/0IdglQevyajs5PPeHAICswwskfJ 3sLhDnkOR3BfIJ+vNX7enZSFdC0P575rvLftRRQIVZFgBCfj3QwcNO4kE7x8Ekma6pf/hfu9d8i3 f3gFsLgsEnsk4xuwYIpjJHxIWSz2XsZxKK+hwUgHnzpfNsTGI+UcuJZvor9jILV5+nKkXEILJUG4 B4hC5hXDTQEpW2sTIcdKsLkJdm1I7PzqbH9GskD922IK1IM+K4HTqLlFvT1k04pTrv+Jqukzwfnb cSR1Kti263ePDDM4BjI+z1o4TbxXSvJ0tgckpqd2pz0CtyskWH879p34ncNlXI70KAULvAtjjT4H 0N9OyrVWuGLK1kEbE1CHNCXTbLZvvUdwi25ptmpdh7xJZ5FlakYmF118O64piq0x+tIvoFQ2oduG /fGza17HiZmA21jllZsf7UhXm9U9MVxDpzN0BbBqKqAVTAK7q48gMEKmjfLSo7kJCAbx4mOTJFMg NvoYdFXc88OpUgW77eY7WIMQKhHikGlT5Z1UBQg4TPvGwZw+/8Nq1oEka1MPrsxvb07I40RZETR2 OXp2TXoHe6zKwx9j5DzPBNFz1ptzlSAcYrvL2DfyUryS3wyZbNHr0FJylrIfPetzlFPsKwbThH5f hI3/qfmYBhxACijCjNpNohZ6SA5Y2mu5WBPwZ51T/h5q2NbOW+ZbZJ6AH1RtIHkkzASl/q9oY8un KZa4FVMg4+4JAZtO47NXKOo3sgcykUpU7iGH7czoR2KUJBUjy0jrFeI5ZPFfnEDyGrdB4PNH42s9 34EbeZ6PLCThuC0PD4XxF1TNMJSZfEiB0310Fc0ZGOEcS/CypS2Y+53ul1z29abL8kpFJwdn9FI2 LMzaE+EIWg/fYpfTOkKAKzWO9Cbu5OGvMvtZ0hRuXZDjFYH4ZBwfjtWUVF+1KJEK6Oj9aFJMGaoY /H4IADL+n4MUZNN0V8l1ATLolYeMIzoGcfgD5tyEVzgMZ0/OVnLWIXO+7ZCb3mPTHYxUXiAkkv3V kJOrtbfFc+9vswuCwo/zYhgW4mChpdeHbAFlQcDsc3A7BQw5JlttQ/oPfUs0FnLU5P8shpxWp/Es Jm4UBrl1JTseT1pxc1gn5HfksDTGdK5wIPr+iAHAFv2bfbuK/a0+cCu/Z4upwxiztMJz35QeSMMV kLw7cMaAL5pAh0wLOAuOO7QVx8hvOPQdOvmEU3U+/SDTG1NlevQFYzkPbXfJCBxe4+5hzrP2vexS PdlMkfahBsTwDhiFDlcGpNa7PcyKh0GlXwpStsBSQWQ2MK5bZMGcQH3yPzWIFui2BGma8dqZXz/F plYxfGLLRp8rF+ZhUM/4paO+uQaQl2/8JvUlEa+gRLzMmA1LPk4xZ70rCT2ZHZAySMj598SG82fD fEMa4JEWO1/ULXU1eEiGwKolft81rJcXu1qE0qzhdoJeNGf7QPGbYiukZ2uI0ER82ZwIGgPsMjbd JnAwGuPLyKvyDJwFCTY9eUKnyktcVO/j9DyaHhL+QGQwYn6b0cVG0wPFNMJtTLp3Req3wpKxRlib xcv1UinPijXw9M+rCuGltEzttzOv4pTxKXVP6q7MM0yKmY2kHVK/YB2HntrUbyltNFHV2j0GArUm Pa562wo7sCjZMREZp1k6aVCgur+PsDcAWaKxOtqJdjW0K0dALrW/WDvnIoMwFDLXk2hjPJNfqf3o 2ZsUSXa9lHzVY8hU0KDHThXYI1zviO9osC0REHg6EAtV8Dm67HczWtvc18oLZm5klRrv1Tl7cGzx b3NAQmkG/CKz9+HHh62LBqlKzQjDUO8nnieG7e1ho6RvDsIwMuHXszUkQcNvHM25iSIsdXQKjCIr zp0IkztFPmXZ+YVhXsS3xhAyVkfHQKzU0ZqumWadoamcvZa7QFGW6TSp9QXfAjkaKJ63J2QNR71p XW2vnz/2jusA+2BQKS9N/rMLuMd9Q5ZY0SjP6uVhSDBsTLAhUVUwZdeVJh5q32NEOZz6jSJb7JZM 6O/Yld1vC9+7Ngb4UkW1U7UFc2Y+WE5jJAcbudZ2jm3SY25KjUIiWoTaG6x8DkDiS/gfMMOKYZLG +e1m+WhhNB2sksWgCA5rs5GyR8mIAQ0DkAo2TNOVGPIQVPSzuKE8HrnExB3xDCwXU2+MFO0fGmZE WIw6w4k45MFDtuesVdG+VAeNV0voEU4q941NFNl5ScVz1IJm0aWZWbJ4IszA3wPH1kTY+k4WiAFq htEu6cQDNvHFw9bFmj0tIpcAW9EocuTdiuVM41SueBhvJNLQnGuUQX5KIeR6mClbcnMmc8kbgJIc hKbm1MZ8f1d+FbnHk2zFP/UDRv0uPwnXe8lwuSmCu2q6XJjDTvjKe//JXU/ggUSKw0lwSrAEcd4e S2Oq6ELLrM2XKxEOCBTOxAtVQPvNiE3GoHsED8NBz6jmQvP9kTbbC0LYCejZpR0RDQxu9uOIDoJ8 7q93Uk/s6fIjiwI4S1ZssoDMy+2AxeQAzNJsAmBPUhaYiz7q3PIgcfsj8h3Y4aHjacHaP001w391 iG8XTItVUlKqK1+17/NmRRWlFwpM8lHxXGPww7oWa0Os/Ipfm4SLqF7Yxcs+mxCtCqqRXaK4K8uU zB3JgpAhVE5ArhoSfFhYWCAJi6//I+JKGAwGSlrX3QxvKqH46FpfnMemFAuqvDES+I+vHcJrguEk xJsGrVWYalAlRX4jlmCaCp5isIXHmiENm45y9o34r6Sw7aOw90K7FPiCu0q0eQrlsLa44k+ru/NV /TQM/NCrZ+HksYeF4HSYhVp9DNJU8+GdpGinA8qaPeoEkTY95G7v7nr+SJcg5JXfBBOnQlOA0zLL mjwW6/HNLzBfSrUJk870P5Z+CnTGJW1x2UK2mXYkw7PJq9FuQmF/Wdge8bW8zqYo0W5g+pYNzArT ud9bs0nOkvTdPW+JruNxZ0mAzAy+/X3Ksmujvq/sFhzqc/L/wiUcX8Nli9lXqLn3Fme+QHHBNbnX aBHc7TIal5Z9czKS92jwLJGs4fyTiPo9IfAe8YB6Ka32b23qxp8i2KkH1yJhdPrUCJjAGlBBPINp wRtX4kgGFodC+uVd48Vq0R0A2Y6F7x8BO0yo4lRJSAPOUBgfVTIes2rM/6y2T7ieB4IWYCqB7n/b mi+Wq8Dy31brczIWSQRjEt1vLSxaxYqqbHKD1GWCfs0XARndpGHlWyAz/RYbMVUberJcd6BqFRqO 9yM7lwHiPV+0/Wdtzei+OW3Enf25/c2qxY6zXXbsL4wkE0XwuoaozidQ3suE9ZBadR+YgqZIP5vi 4l8yFZ8/gDaJ47lUbEGiUcbLpoJ/V7Wz9m+2kAhG97XGkkh+R6q5BQDb8Un403omYtst+pA5wUMx MFLnC1W7KTGyLYhJHDrs37xpbvOqWUkzArSyGY19YV/EKfDCEDjOyuJZJRUnKjPL24P1k51h/8I6 WbguNXBuFyXtS2wjtAvFVfbf1dXyJBHATaTaEb2SjiyNjseJ+eNBGqoOrBzOu0WWV9DpZ+WxOJYy 96vSxReqRNC1inZ+OUa8iF8Xl9rOGojX1AONSvEew4aoi0wT61FO9w7to2s4h98c9R/G5iIL5ZII VkY9+xu0JfL/XmS+Lk4ndWS8wwVk+r2I73xUDFoMfo+v8JvX0pKX8pm7Cfzmk9nIbXeCufL8+JIL pGQ8R0nj5RA6a5Q0QB0xnePqDKIb1YT0hpGwjwWcQDR5uCTcSgoPfj0pERyjTVk7LNKiT2nJoaz3 QKQe0+I9rpodI4YeABP4e3B+suEHd9BJ4hgXUgjYs/YVH0VqBCDtDnJ/c0ftA3tFfZ6esM2o51Wx fhJgE92Nh1cv97zfdFFLBlUHWbkbi3eAt+5A/r4y6XwANo+t27Tv9Oc0fCN3fiOspeiCgZooOiV0 7b85R18FCvT6mmqOx2vEL6xFp4+5UScGGh+4D9f+AANu/HhxT+6GsjX5smQA4G91PzHpbawWxXZg XPOGLhQR+CWQz93li+tqmWvofAQ/AXsYwyBxqQklhKXsc5SjwSjpTfBIMun+ls+wc1UTEokq841T 1P75wFyclreLwkBdkcby8pTaFqaKXFQNmj7nPZX7+YkByX8gyw9zQOrrRLkHo1KRdN0VjWQVpT1Z EbdaH7NzkkiuRVaPIsRe96AK3uT6gKYysk+baVl4NwCaGUtjsoAkp7G44sP60f8q8UfLb0NwZB4c hyZQiNKPEJRs6Els5eWvFgXduan7dDvY8WALEAgA0GJBiClBLwf8OqOagsazu435820qNBOt2Sql oTsPi6zxf8LYJ8p4dUv2Rdi7XVjWhg55l0m0RBbL31ORIeW5LRDoPdlH7vLUl1+nFPphZ5uxAAwu IYxqM7+DDSaoabKLkfjUXvW3otzWykTFGRl8i6keZYlJu75ACs6Ncnxmu5vuptPVDatxYgkjQp4N 7//lao63L0y5WzY7+JtGYhYUur5fx376i79k+HowD+PRV2YIKuEFgevOM0uS9sF13QwdjXJSHD+j ZmcjU7th9DGCcp8UYvdXUVf/CQN5s/g1Mmv6YlgvfNh5ZX8Rixcvp3PEkbqsgQvdutPaXJvi/Vq2 A87ykNm6DQjLlU4B6V1iqr0cRcYB/W8Fm2cA933KdIq5RiJ7t2sAA4K61pdDUtgIF9XH/WBfLjA/ 5MXyyeDh8vrVu6eJma290LsrML7ZZDW7AH4mt6F5+Ht7S3cdlTooQrAmLCKezYeysdICyh2LKYha mbZCrUMOBLqKYqIK+9c0lDWetUScbmuGXFMWFzFJ28LIW87aw0SMBynu3zkbMPowkWlSyaarJscd 79x5zh5bokhLmj/ROU0Ozu7CJh0rAf78eBnvReO1TKELQr+zagTyZZQnbD73WMKM9kNBWszXceUQ iF82IaacyvhNmu9BAfzTC1Owmj+vq9470iIbOxqBNSFHqf0QjRQpn1XReYLDoUqxbc+P7e+ybr5C SXgLZOg7FRES0eNiV0cuWVf3OaZ+Dd3/vSCvtefVxl/7uU3X0F08hzvAAO+GWbQw0myrNXh7rY6b Q8fpBaqAaw+XXDq+y+Dgk3vD3wrNUKgI/my1/oV2rjeUXy7W4YOOKrO/UDbJIGTCkfHJLjSsZQAs 1yTOzQip/Xp0ItRhKOxgPw20+PJ78QCDYS+VypeKW2jc7AXEBzi3q7fVsbAHksToJLyxbFZam+ml lq+NG45VPZ6R2BQY9viIGnky33CMdwCK3qNeLV6Hjgw/XhRfhBX8u46+W933cLn08Vu/bE82aI+U hcHuML8qwcmIp/WXmEoQYj6wOcbsfABe9t+7vkCQ/XTaXDOJnO8OFjWajltfftGHannkcEcEFrqA gaLCqKfdvUAgWjrwurtGvDiUPrZLliVZkJAGbLKZ1gUEdnGRn1VlqS3b7BGcCpVTE2BhrOjJ+u67 TxePfTMrN0deJhHGSeiikHDhJvhNX/ursI3VdD5sFDNJ4J1LrLFSJpjD3a2F89REqOQPUDuUmYYK FZQsHHTyv2/PPlwqV7NrymIuiAkIwJbHnEsxcdcqCQJwKTToR33xHGroL4SMAi3d35UryvXtIztK DO0pihv9C2xvEsSkdv0aur3YYmbIVyatc9+SSuk428R/vAT6/JqsPY0gz0Rg5nRBxxIlGa7sdo+/ tTOn+wmKnYSzOqxVdCoWSAEE43f5oxJpS/WaIax9qegQ8MynM4iMW2595jTEBgm4Q34sg7UC1i1t 5ciyOkuqi6L19gN/V2YzY+5jY9D086rmX+x9fDWogQA1pMdeAbZJL0S5dwR+vV1iBfyluzBhZ9eW rwgMdHRaYRkWR51ZQ//kQ4+ZFlmmHYdR1po/XwwUynlrcEyXfDmliOif897vt5Lx76l1hUyTaCaE jrGV1htzEw2VQPNVXH9JCxfZVLchV03e3MJNKB2NfWwUyh47bwVh5Fi4mD1nagdXApOQ0wMF2qhc AOz57DHXvoxiT2oUgH8yfuBhCbLuyptZsQlhnxKFE5cUCbf07JYo5sZPhU64FlMd+nr44KiUPmfb JQJ9fudh9+K0ueKlBFtlU3AAbdF6W5WCplSXXJrrM9pWTtrVKK9ZZSMtCZq30OGkV2X2pMsjFYLR p0AU8gRX+YEDde7g9KHGZfdQlCcJR2Yj8xBQnhvZdE3M5d+KjuQ8GHfWdaXnv0pL1SvBzPAYXtGa /EfkW0nvSauqOzjUrp4T/2B0i7O8P6NeIabypaJbI7v85wTsMZmso9FQb08o5lkDXaZHWbTs8gCl jaLVlBnMqoa0SCjDSguGPEafNlMcA825C05D1tog+9uFLplDQKEx0+BCYZi7VhA1EZgd5N2ZmybH JOy9IU1BUv3CC/R1z2a24P8KRl8lRX8W+yDGbvBHNEILH+rKBCu6iBbxsCHcKy3DDhg053ua72w1 AEoCLp2/Y30VjzslDNmHSHStdo+AAf5afBiA+ZazXgWkrmQDdblbjJmDY1rIW/521HI4XygMuGMS tTb08qpEMWtnLEZJmq+VzdEkXQhIK7rhPpd6OdWcaZeEZv9vXJoBHsbS1Uun0oANMHpevf5WXnDI /NFSPwJIeZKT3T/aCpapNcxWk9ywEdVR58coqAbiCbL81Cj0IyDmGPpFCOqV1pUN1ulxVicNvcIz GemADsv1I/2krkTu+dYw3YPvddIhCOhMDsMJZ7sySwIQDxWgIc2ySxYzGyaFxwc1+NphgZZtTauS Wfn0ndAzmsVHEW7IHBc0u8TdkSUimX7CgqJVlpWECagCfAVDBUf8xk8rd636sPR22M29j2o/FgxF jysyuFZBLNKNwOq86fVyWRyaQgqhQowxOsiwdmcQdTDZ4AuW9zC53JJhns2Jq8GVrtzyMrgaiWj3 qjEZW/FfGBI2+bekLF9H1hogJtmMokRVmizFw/V8ywFxITPAly+0ABkY7nfZaYjEEXsurwn+WdW5 cleSRwGfNc3U7XG8wSq1UGppUMrS4Zz+ScZ+piZhUM8FGHSoo+wFs3Yl3+EJZx2le+xC06I+m4iZ WrWKOImCdqP0HSgSdS/J+Vq1X2di+k6bpmmKGAngvHRRAW9w2UsL8vqlXbXtToa45Fg51FVWGEq7 GLJnZFlF/+qyz0YNkUZOwBl3V3Vd2yAdgFs9sbsVvWj52o0nZB9Yt5j2kqVDCdKGUOLi4ffTi8fs G7n9HQGAr8kkdo8tVauHYk1f7ggmGCog2XGooJvep9A25F44xvONiIV9PqkgyGgml6t3o/NKjttr NK3BZwq2hxpdVagi6CzQ2Z8xwMK9y4hASqA2G+NV4j/8lPe7GO82G/7PqKKwqYRcFfF6zOrZQUSf 1SsZ0D6+oKM3+7iZJUg0rZ5XIcHxzlyf607nlfpfO6DxvmbYMOE9EP3hNkHWTlx0nLu1g9QFAQl9 p1l+e4gdsubGjdJytE9KXpgDyxbw5yGVBSSmJk8Fys/GJRllalz1236FjvFYuCfe8iPIUeNpj8iM vymMW4KMHOJuDizSJi9EE01VWuFJQrrmrJHuvwy5U91HadpEfTRGzaGjfnJU/a6EQnE792mhVz/r 0FP72MYbaIp0z3M0kP6TIr1mtbeyWjxVzddA6LOuywJiT2O4Pb0j32ogmLWFFgu0Db7gjVze5IAB oev+PLt/R8BQYdCQa/mIC/dYwO9XGpfHkRGVELSX7kkiXC6co003MOyhM6wSA3QUqXgwVoa8+Pzf oS6lidXLjJNFWiWByHSSPLmzJoEfalx6qQvpm+eIUWfmDSG4N2Jz5ouSsSCayVrMWVMxk7HXswQp GDFGvcfKPR9UGYr51pDZp1/c/7nQcVfT/B3Mqc0wxytiuKQ4lwbzRw360fbGmOWk1fb+pxE2EDjm poSv/CJC2m+fvKs1IuOAnA7gPoViWUtRahFH+BYAD0ULKyPWcxUp4ESeiJlzRYN6G6qeutdW5jZF 4Jj4WDt0CqDqnPAFaWQgK+F6AwLZQkVEmAO6RkzxoDy4VzQRxcsfflCnCdgNZeo1X0wQiFW7Pqs7 E+/yZ1I4PnCFmgwMXny0LXSka27SmiO1K3VegImv3aNcPcfMd4divBNKUjyAhi57JkT8j1547ulk 5H2yP60VpkhuCQ0CmCnFlGO+Kzj05BCru44uS9XxY/krrwtTwKr9zHqz3MJyX9A2gIvcSiaA9SI+ q+PWksKJ+PhVi7BZYTDfAAo6t/eRmW98QPjK3YDBLrY3sJ8NAq6Sn+lIyHsg4uTXV2gFUBXoYljw Pe/iFMe5jy0C0ouwm0OYo7bRPFVCKx5zrJlzVz9c4Urad8+RLWV8ZGH8bbvJLGcdc/9KaQRhOtu0 zeENrLIcpCt0dU6vNUfdFb+BLdBobuZL0o7IxE2dtpfMuGXLMRI17lX182stD07mYQQ6Etto5hEX rG6rNOvcSQxJjhMwakfEVSP5QPZUasndpF5gXAkLJbA7W/yhlSBQPgpAgdqewoc1Se0IviBcofaJ rMceVFk30P0fBBkRr/Eh1oLLuvQdLsE7tN4KLQs2JSVz8LA1yxfELad4T8sJ1djN/sALJv33DmKB FnNNA0KhrnDO0YScNYIpAvHBI8yyZWCU/Dh9/fsrbdecVCwET6GW2VLsOkxeQfCQQKtjVG8hEXu+ 4bj4Bgwla/8kRf+yKV92dascHhYH16NowkEOfMF1iE24ksN7Uwhdu3OyH8SI7/ThAw/NOKkwtWB7 VLofOKU29JllpfqQvKuNyv3yZoE1F/mjGUl7fDphiqQCRkGdV7B9DJWMcZNVzY/ww8kzqcJKwAk4 hEUKFi5OQ0vRQDHEQoudOwjA9ACXwUTyj5LkJincZeT5pu9pw3ncD4elNszm66yHcY3rlT0XNwTQ WYlSahDnol4jO9tU88c3Z+/STAbwY7UV8AHTBYUb8b+884VrdlkW4GPtKCQ9XNg+9jLFAR43Ias0 tIaHTaiO7aizLoryjdjyODkvXcf9L+mzIpRF1CgJmiJDAuLUBIrl0EwnAaiYLFTX43WAuTRoDr++ A8aq9IafP/m8M0gqNUHeJE0EepHJDy6hHS5V1L39ykJKWmc1St5jqxYugeZo8uC0BjhB9OrRsZms G9uBRigYF10iUfRARlibL5La/6P7eLPSrr0oI9K1Zu8WCnQXvX+cKgr9AUU9338RL0l96dS+ghXS 0aoe1rWJAsjPYXiR4f/PjHBSUscKbrlALjez2TrHzc4DoRqvEjYVUoFnCzym/WcmnwYsoOFg/TaV wu6jQ9cRKUiBfkcCC8daeJkWmRYFzPbuHds2g4t5ts9TCrs4l/VGpTW03MjLtuT/IbHMoxRhvqsj 6gnfO5Vul/i3W7mK6zyQ8cSW4CVVV/jZ950i6i5Pi5Mvk/XZi9/a/FrUbcegopgWWA+oLOWuG8oF VDYovLa0BbH8j1xEtbKG1w7bv2/+KwB3hFtgEuLxHvhXyJ6/yiopAV/e8RARLbw3Ohlh2yC9yDer owJRQK0+OkI2ob/yYrgbBwLA5yJA9xpTQgDs3QmH2q/2Jbol7epYCHhAab/xPmwovIZAeZl9GgrC Rh/z8nzmeYuQjb+uFbV2+J37js4Cer7hauCNNDr6xXMBnIsS2Je34f97YBVy0byN+g1WTKM7SJrQ oXjwVpEliRrY41siMpZZMhedSAYLE6abQOWylmAlP0FyL+ylm9fpKSeVhYkJUyXV3XIpdRNXFr+j zOWn0yIBcls/Ru++mloNQmr464H0CI7Q2w0yZr6TeHeSScb6Bf8+cNxLSEdA9jMrbmNydYMMqFRz 9ubszc2zu8vxTuBU6wqgIRZuLnENkoHA+26Xrzt57m/gqCaH7XGOmOAkhDIpj5WljWlK449ualG1 IwQ3Xw8/AhkB7dMWB/DXq9Bm/gmbLtLxyfR6sj4ZgW8/VYRIx8JRLehJZofWRJR6X8SPhfKEQsVS Nm42ym/jijLzJssVTEy0L4npFZiDnAztczWrWLpwVAMf5BjgiyF3C9xZ/rWycw8ufaUOQM8eX5Aw 1dvZD+0TdVrGfDu9eNd23cL3tVQIyg+Ma13kRT60kOJfq88IAQrdk8LtxTxpQTEoDSdHaTH+6SOy f1xpfT327dt0ftXL6NGewiWTMcMmtS1so3RwAH4ljMjGpdfE3d+pH9m4rwktwcKX6XM6y2R/ypRv 9YYdBdE15QjAvJNQLgI/uoDeWyYSWpA8oBs0LLxVo2U+e0b0i5LJ8Ge5oX1D2M9bladfpXxq8apy u0KSk5mGU5k1YnaJxq9+oni9+OiItrOw/zy8hX2gRDbut6ixG4JJ9y8u6+kxN+6/8Cec5W4agcAf kw4I9raySPB3UJTa/K1rUrtSKr8kfcpDmtyG8gb4Yc0v7Cl1NXn9CQXsE55gPlw5FDkbwzfc1PaQ vkE0Q2VHvQLSYCKVBwxpP+9A4Q76nckL83C0WYRvfzAflP5UwsNGO6vuoljfuObCzwz+Er3NMDa0 7OuXqYi6Kk1c4reR6WfiJKHdgJv1fploKyhEJ4jTJQ9edjD1clcAMQ5bZH3MiTHbE2cU50BCfHlz nqEOKJJ3CKl3r4caNEsL0ZEFLUOnOyOyzRCEzUZPxEPiDTZKQuwAjHUptIG8kUpnJdkUoBQcJYnU jZFVPFmo8rSN0R/dMKzCR8ouSbsXf0yRQthakQty5NXyBLbsDG3v0dl0I7o5hb2tAVmvTp1Amv3T RjmoLVGF8/f4uO6eiPPIDohIuA0y2aJ6Rwuuctvk2nPGgRUXEvs+PvEew9mcQanI9wpZhzM8fi4G t0vZeTOpnDQtBl0tbh9IDGT+SG/Q/mS7VbUuHHSmzk9OMIRN8Nf9g7af9oteT8PctYOi1iVAZ+Nk 3y+gS5gvLxByov2I0M/25MQHjIzF2/oNX1a6V95JftHSM/HsvRq/rzJouBk3RlGQatKw8UhU/sRI qoHO3MC3OJsoRTiIueqqCQBRqC5Xy/v0PVTfhCaXBrvvxVF/0gB9/GwgE6J93m7p4pZ0wrG9wcUc IVPYN/l6dV1nSCQXuD8h04168HNEWVYNcFSt9RagwoJxfzAdQDKg2D01u/C5Dxy6548YKaDksUMi o6hFe2qISe94Kgs6p0316aQKdve9dmpQ6b6uSZjWcFP/rIFtkKUx6DvIAhT4+y3cxYog2hCu+Ypq 3lGmOfULQ5WPubWgahLVXprW/fcAh4BOzbovYVaHDuWxvcdbZJoyu9osPWZ/y1P+9QxeVs7c68qk pFzP7PpvAVbP/QVAQwwNGzCZXSO/t8V9lu9YPOnLtF88iCr8bRkqOQ7rydXi3vbaqHjJlTNN70RE 2hbSjsNyB0RUQ/NDAsEb3Ga7lc1eR7cbNGlBJRSb+rlv4KR4+w7Wj3jJGthBX1/hm27PhXToRRvU cIxdw0Y+zOETwEoNk9QbDW23G9XRVbagED4XZO0brZeF0Un2ATSMB6nisFDMTbxfmzzW1bbcYwJm xAVEFv5q0yPc9YvlbyurS2+LfWKItwkW67Gg1i4dc6cXOLn8PJtF0Ihbzv220oOiJUhHCNMBqp+A hXYNmIX2imB52/lwx7VzpDKjkz96j5FcA2s7SlwMtoeETx7KwyzfaiMOyVNwds7jAAxwfUUEG97p y2iZ0H2iPzYeZlDWfEgOQXtbaqEjL9HH86TffRyNXRvHRFsiG/7EhiJlvz1/UITVkECUk+XdnKi5 euKPNUPaCG/hA+9JfmtHFfCBHfkGLdVlf3zhuzKy81kCNgAqyl2V0iYmBAIjfJeinXlYx7y4/1+6 fW/bZdT+5vkInabCoIJF/fUhrmmTBTK4LZKcYIpyjKg5QyirshVYCF7ESOiQx8fwLeCNf7luuin0 CZksU1vRP8unsHdk14px3EQxPdvMmAhkM57ZBi848tjCJx2RatNhx073SNN9GN2tETDyeeDoSz+c j7BnVdUxG7JLBcWqLCfRpNV3L/kuXbfRp0Rwi6eL+yjqj18+dEhu/1YbK2CxMMHYBUb3PM8jJ/BO Et/QgL6EMFg+su1Lh1rVD6bEtRJ2tn2+MGDP0xvj63xB23qXk/cEMtuoD28P9M0Z6CvVgTmP/gEY 4tKtdBrRZf+dkwctv1/vj187sYcIZvJUMTpfl78bE5yQ6u+SNJ5lavhAqDEB3ZVGw0Cg4VaCWJ1R +IA5jG+6Uxth60yfyJBRdi2u5NlyPb3qAbn9asSNbuN5LJjXcPApneDKCeiR6oRHAPA2nmG1Y3We GVbo/SddeSrO8wK74uIeMktgqmy658QtmLGFTF21jl9APkrnhEamsAmc3QuS90NCnXgitfU4kTaz VIeJJQFVuhueA3po9IwRZbIBsLpu8lOvMrg4PHAK6u45SFyYailG3s1OIsk2C+45YecEeDWn++QS Eg8Dc0MuMjEAEMiWIueYXXC98y31L5HWbJQ2wq0UjdpALzEI6yfD6u3fAquFfhX8gNLcPUXg4MFF /Z9nrARxOmZ49jWdpukSLVgrmFps1j38VkFRLAL18UboFc9EuIFC6AEuNH/2kDrmCjh8Re4jkO1n 6A1sQ5+gWhB2U0ojm4jxrB83cbPZ99hKjU5/oNgE34okq54XhUa1VcTZaXkM3dWhWugOX2JKje7R TJcQlT4aN/uX2BnwYopAi4qWbqVDSFiVB2y8nPUxO3MC8e48arlqHGB4gUNcgSO8ewAEKq72Hpyn j/tZXWOE7wb/X0WaPjEvIf4bba9tyNc26QM5G+s1yya7b7bHF3SyMrah8eTR9vhD16Kp+J+NRyv6 D9CrcJauWXUq2E9biVtxP/yWLH8SUNovjMC/4eHDUyDf93BreWlzfwG2AdcA1IVqTDoHPQA90Rda wxUZZqsVwP99i1evS3JSgN8tpjrgrLm31N70tEyBDZljc72kxMFGSesc0EOe120LySXAKuwxW6Ra VwxrONXcr56S52ITr8myGS1L6LJzfB9OKDldOHn/bTXMiGIdkYpVKdgdo+Y9u9qFjXYZcTNIemEz 0j6q+Q8gPu0G4JMH9NN5pSH5Vp56BRxGABtMfttc3XyhY+QxaFWitYV/18Iy8piLJOj2bkLBuWn9 hcVnhWkcjvO0zLb6EJHH7/2ahUxbZEEPnnbrenlsZZ5GQNI1PVMxVsNbA2DnYR7rE9c3xnHlpQ9D Uxx+xlAfSHnGpBe8eiM5J1sLmKkaIjHW9EOvJeHqJjKqQYt66e0ItqaY+W5IyOJzSJ7NZxru3tjp 7S9d8GwgDgyhLYyfLn2qXUqezfAgV5aQ+UudXEikw+cTG24XEV45gGjO8s4Zl+KmxaatDdbrwssY qo52RjEZdyxZ+JQAFhlo5hRzfUbpnsvVqZQb9ZYrxbwdENeWPKoJsy+I9fTvQUKcSuBRgfXfwOpa Zxy3CwKBvbShSzAoid7dJkjfgJXdPDZsmBMmUwaViiZZsW4eDRe70c/3jJdXOgq9kqbN5bg0q2rg VpdZsfBd/XOZiNlvDKnb2CCJoEq7Z+uF5jU5IN8m+wCZE4vr9ANhfeiRkOAdT5gi6dv/meMQv32Q lQNN336p8lMCQqzhIz5f/TvD7Z58UmXGluPtlb966233e1A+cB9lcEY0PMpMS/AT+xc8YP+2l7Ov eFEgs+cop8td4FiIake8hNMXdX+OP536NI5OPbFltGuIX5x40QZHeLLhW5eJGNDjKC0vIzZUI86n 4/Uzsp79R+i8FRJ2EgkajSOAtQQZsv3qlZfk73apa06/4Re5eQrFiwVvb0v/5gOS0ldfGBhu8ggX 0dSMPv8WnsRR6aQPobxFuuXtMb31KhMWz4PB2uPN8i4fh7SEdZZg6zKrr/xovnMo3aJ5GRqLR/cL 7AHe7T7vP2nSVvozopjXyLjS6GnciP8iOhVJzFky1BhGOakH9Hxk02mHVdcTAhhgQTxIGeeXdZsW GCE/rA1YVd1BNhzQcmiXHu6mzcPbJmyeR/YwKEneozdcGjxF1ITUdKF+nxouYM9T77Zp1uTkba0h w6TIuB1YPo1DpZvmlwGWe30Q9HAf10jJkiBFUUmwtphTOqvFUJuNM7t5tFEI2ke/gJiarQ7X+U3z mQ3vc24vBTXXZacLwOuWDLV170WaNt8nDMGMMgKGuVkQL64uReoCVnaFi5+XW6J01kfSdLqI3+2Z EI0teuTlzKMi1DuonwrbfOO2jsKHzhr2M4C42u1ZpsSByvQBxYb2e2VMlGVBQvuXgnMc8xl5B2Sa DSmiY5MnKbXoph4upZ3RqmRTQ+y5WyrHZ0iyD4yd1hLRBngwxUCQEWQHfeUeOp8a3Mk77nRkwdyi lDDjJyqZZ5yWxV2vqZvuWc0lDPPuYmNfbjkjr9BQmk2f97vWol/erWEGGUMcR29kY15m3ygmkQ/M jH49O90Su7RDhJYe35DcCja5Gelp3e80/eJdnVwFzTX/NvjEf/x1o2AAaku2fGtXenmcamU8H/Hh CO4oH/5DOzJb/hPtRLk1tRsZeE+AfGSrR0s9LOqIF5aGbEwJBC2+yp0508yKieXVpOpLgAsc014H ErxPN6x3Z9vlNutGtiBPqCZRsv6vcukJlXSbxIBztA6NUl1IPrsE1kZfVdXYzWjjNe47MpzKmKQy qn5Axa+IMRS4oDBSECMkTxJzIn/CiUJqdBwJEROTyFTvioiSNFkm20CaTKz6jQgAvYZrJzz+4Vfb hTeuUdciw0wJYPTXxqYEaR5YHKs0IEuNEpJ/5LMpmhfkYkjx4glglgfGEwo3AdY302Kv795eUMJt FQEB4BwusggGLWOZPztNOYmljmodbIVF3S6oPaQUSqmnL7YrZlRXlDhGFG9muelBjQ95k4VJzIZT J7xw6+OQVUPJBzYCbeHLCODNj/SvNb/IYvv9Yen/XDzbqk+H4BAmX4F+kP+HQEqms5BFjrZMTw+n SyBEBbd4DX17795yYkkWef+TUnS4u5AX5I/tnUw6ClOeS9tIWQ5gMTZhTELI0VQv1+fcKnu/n1Ik rEc92jr8x2822w69QptvIsyGBSuCtXoMle21a9YXlfpyDH+7vp7VpeOn62QN26Yin55JigT4R2xk LT6LzuoebCJUBH45LpuCDep2hD59iXZL7Ucudwazg8ilAsVG4hjjplG9WjtFJyRkwWfd+ujO3jbW Rwy/cVi6aX8myqh/Xz6OU+6jB7RZN+IhZEZlyUXgQVEr9mE/zhRmuShxQppjjFyiVIy8f+Bu2WdQ ewLgmWYEoA6KWIa0aSRvz/14W29uq9828Rk9X3FzThs1djvyRBsIgnYSltO6rw9ieFCf2kbg8a9o C5EjFp3AJO6fXeEjIJU/jovk5GMsaa3ZJfrRDuZrwDyLpaga63yE3EmQIUJzVMZUzeQSKFPsgFxc FfM5mTOXGUcCqnv1nImNIzA/UsPCDTMiWgsftRGA49uXiihuOkh0Xk1+lVA9CpEmizn90JRBdX9E VJytl/YlSbF5XavIOSnLVVhNr1lqcmZYUvBnomeuQ87UbjqUG67ARPdQvr4yCB6zPxjyjSbchbXx YrpXC3JQJisv5zpdGBcXqYHkPcf60EG5iHPT5DsoICfGdskGnHOE9cX/00AxY1mIf1ax98Xxl0GG drtD9CzjhSk8kNyhxjFGD5+6MF//8q19HZiAI2vHjqEPEHqDx53NI3g7sEZxFmgAeK5PdTLi+b1r AXV58gkO6+g0k2UjCZrBmzevvNCdWzCnU43DvYLcDs3eRAnwJBh7DwIF9LDpEK8XPU27+b+E+ZLj NKjfcWKutMyuMBJMUXOopeiZQ7d8pUnNHOug4KPi9IRsgGbEc9gAOGKW3rLX8Lmq9M7RucWqXfyU 5na0KSuJkLjkjAtF07mmzjcZ6pDJBTDNiB6VPNvhj5Nk9+LiIaeSl/Nl+m0ZujnoyzhmlWd3X6JG ESH1a8W+vW7e3KC2MxEbztfsp9ILl1utC25iGVyf9DwDMp55nz/pUsadW6AQCWFZ84uGTUiasdZc Jlv7e8SbdMwRJRlXrDXqkWjVlVBdHPsjnev2tinQLH3tawr8R2AjmTrTpc36rZxz2Pd9/ciJi4Ok DC+pHWBH2CYzuPnT0g3kFsDcj7KxuxzwvNVJpCaPgOqRC08556Xs/207v3Nwua5K1+F/qFSFn4sV kC5XUAQKPXRhpuBF/U97N5AK1c5MgKNdgBKwDZX1CJ6qRZSPVGIOrzRkua5qvPvwdQXbKb6sItex Khj5rUCTq0R5wqa8KT+Issc+c2S2Dqdn82zVxWhjxd1h/x5LADIpE+ZIcdOopZFmBvAlBgtITFmV 5XIoJfPRiK5Fb2oPDHTJblmu4r1QLaJy0OAMsor9OHSPQZ0UiPXas2tFZq1D9eQ4yzrl5locZnt7 VAIRuoqNU7lP9xi20jHpOUhYDUNup+kpSD+ltEFaCHcbqvregCCBWs/5FEMgosonNwGChwMnUXdp HF+8RQZ1ugmrt8Zp+SE2KMq0B4uMTf9O7EKsHzZiORSNPmuw/wFy7dLn4KEACoTq22mJz48GQDUo 9vAz4H4QtN8iUFMku//gl7pzb2QCC52fbKbySy20wfaEka+h4FgLWlbHHs0+cGwsjM0WvmvyK7XR HeTv583MYdAEgCJ4x6s2pDPSnx76eNskWFK1TE092DfrxR3oBGy8i9+A4bg1HPU4rTl/BP4bk9g+ wpRloLikiLSLQONuyR+yBasjagYnGtjQPK9Lq2dicTU7tguKSv1p3mXt5ZZKLm1A3kNt6rc3DoYC M4WBpYdj2RzytYgzLSiTAFNYfbWgInnGJSR8y5v70CHp9EKC8LvN5uL0NSaWU6Se34hLZKAAsI0j 3esDSUV0ekZhYlNq+mK0mgAEfU6yI2oECvEqECJybXXb4J+ANFy+t2OzdXLep+uStoqwkc0zm8Sy 2aFJxYjfLSu8aREVdqKibj3BZBCthUsrgev5HcIoWeULLGqkWMe0PUDrXqy9YjCDYnAfkdZJCAEw G1iluDbMvf/NW3//5iBVxjpc1GgwMt+7sMI+7+P6zU+QfiYyKpoiykzONH3WeX7l3kVdeRiq5208 yrLH0OxkSJDEp0RXFP/mGUfH3pfIBY6nWHgGTNoDT4bBKdBW2ZSs0znP3OGtzl4ChZuGG+hgIFd1 JrBf6fTFCBq3TV77XXGAxHEm+M1e5sz1OFgEFrOuUI0nbwa7T2VA6wvwuHX+t/5boB1eq6gCJ1wg YqF/DwC8YFq4ZtZ2QcVf6gMBi3Mi4kE/0AuSArS43kzKxW20m3cVkJB96+GJKfuVsWsOgSc27hPU APj4T8qmc6SOApSJGgiKl6CzLC+XpR2N6XIYOEySKUdUms7ZdBSsxkQ4kB6gRlb5fwgiJWNjBasV hjK4vJltm893jipeewqWet1KbiFJQnyjS0TNelZzMTXbk6JZq8S7E4dkfVYK3UkWC+muKEpAXOQf ZuTp1ZRF46VbfAK3OhdN0Ok9yQu5tXtGHpqyfD+WABJiTZ6EurRunZ7tqGJa6IDSPub5Alv/aqPV lZyHnwcWnxTPL4jK6KKnSBVvxZISQ5QWvYdLz6FGhIS1MCZLCgulU/utfxE02BdTSbDMs6N3WIHz PNcHSxIlvX3uSnVLNPTEjml34LIoQf3CvFL0oVa/nPcimrljuO7PVWTDqUb3bXLOsU464QjZI/HC EQ6dhlbRzYnOBTfWQaKZHcZYrt2opSTTO+KURey7kc9uZfW/GSx0P3VLKZpZzLTRARQc8pi7GFIX jnDvjH4R2M0B8e0n3vDR/WnKy+10a7Gg/96bkhp6K88kE3Jo+okTUkRdDH9hfqhzfyAWwWcAOPjm zeQkh1j8vkoVw0PeWZMf9Z/i0T85AR3Tr64UW9wxohY7kWZ5awSiIp4qweL0MCoydzkOPze5829F gYZzOLeKs9xYNZKrpzjva5stl0c8Mj+bR7eb7UG6dmjN4GxI6yTs/UsHOWqXQsqINBsY2qXWYzt6 wTljr99k5qjuCp0Bw5ToksZf1/+8FG9Fu6UeAxd0RReS/0HIFXrkwPqbQuKcPPRmO43LBeFKdw2x +VdK8vZfsWII+vV9wOKI1b/uXqfsuR+KUEHluDyeriqlVAReNiW/97XF0/+/5fqN6M/2swB9yt3W iFewgmD3k5cmv0u3aHbJ30daw2MQu5Qn0x25y882VlldLrOx9/5cMVsvdjUrpS/7ndgva9EwyEDZ /cNlfa6WRLWa515WvA2ZqDmOY7BFLV27DLsqxAYjSq+BZiQRuz+T4wRrCRWwho5Z3zxB38uLc/IY E3eVnYxDF1VHRN83RNDUyqT3UURNkI+fTASvKWYY8zaf8+MI0HDQCzs+zZ8Q68pD5mCoQpx4VQCJ FhXJ/yIRZThazVMTtr/+vmdvf9SibhSHuY0/C0IF1iSemYV/bA/mP74GTrVGZ+V1PVqR1UOZlFIw MSLihADNM5AMLh20xir7vtSJMgCVSaNNTyObufbYsL18D4MhbCn0OZnrxjgXyCyaiFxFVKqf+VCg y0Bknidg2NV8jItBt8K9y2e+cUe9bFtUTg41crrsVW6orq0rUsZb1rx37TIMoN9f6J00tOgsUJOh JP6cjSLwlSPGXHEq6K1BWmJP6iaBdnCYINIPyI4jU/YvVVXJKrISy3eMqL0G8vZoy9++52LLh7n8 ZDi57Hw5ETwq3rqi6zoaPf6jcmZ2LOqkg1IZBi59OofaYi34zoQAA3HtQcc1rLkuEN1odJnvL08N R+rPJmTrkNX1WifmFxlzFSxN5/J3ULCG37u+RVtJmOfzhfn4LsULTO2c3Xp4YMywXmg25vuEQ6gK JBrCmqAuXatmuxgs8SVHZwVG+XhjezrnMTY/SDfcP3RrSbEynUyrr6bPa67aGePwBIXyepd7dli1 Kr9PUhDrdmp0o/4FQ7Nvcit11NnJZkjwiK1hbDXsaZ3+cpg6VMSsbcQl1BGd8lkExKrdzJ9aYxE/ COxvcd+zfe3qPwOcEYK4ZRBtIBSsq3MHZkskp5hw29PiR7FMvx6m3mTX2TFb+/m0wsmEfZyfNrRX QN0zgJMWUjdceyLz7UUPn4nRBgUn75Dqe2G8EMamsrSkxqsdtZ35d+0u5oP9OMnLjbnXeprFVREF /Jp1och+4U8eojNR/x567IrpTa2W4fOKBtnWKFCLED+GXw/u1vJxrFRUgQ87Q0Txvo9Td56OWqdW LTcG9vPBqJVpQg7Dwg1mT1AFcGEG6hqLB/EhxacL547ApkqviCqInrPo4QFztY4EU66IAK+ZpVAS QEsrddIMdsUoo9HM5FHNL92tOlPPyVfNwzIC0wtzToV3C7aHzF1Kq+rkwKd6yD99RJv8Nhcgy6ew Pb13Vewsg5agv9Iw6CDrm1xHqQzGfSGR0gdKyZ235L+5U2NqiSIbokZqOiangQo8sEfq7k5Q/eAm CcNd30UvhKrktDzOVBhGsuCashn/06rw0VY/6b125FJZU/V8rAW9wJBzlwNEOvlMCEbjTaz7I0V4 H/yfw9OQ7/APbiUVy09lZuonAwZTo3DMCI7E9Au6A0czvwI9ShWjxzjsX5MSjhzY1CkJUjjIuMKF SVJ52WU//xs0vfbYV9T+mcTa2DJ7aMvWqtImEATEfRTlqBbT6/JWB7ElVueXsExE9u8o/sw62wK6 tEzHUUPRUeN46PIPNa5RiZuK9r3/zkbIKfC7+nur3wBdyGtxdqs46QXkkBir09GIuiBtWwkvyEFl DEZM1bHmx1c/t96oXk8pYq2aXi+IA7eDmYsVm+GEIbHTB8rtXEszVy1cCPj+vK2hC4BSl2SIas6I eQAHZpe8/mnSAI3CtLwc7+O1Sfunau9WJVfmHUFhnxvApiRLv7zhxLQP0KdElmbACWdAHv9Vi0lF L3DwZRe/jEcAZ1ld2rAcuY2RCTMukoqUCMqQXp7pcBLmw9JTfJg5SvMgVMtVqeMC10VbBUhE+All Vvkk5pXlrE4bKgp2xEZbG3EE0EQm0rBeP+5VZ4tHUXQ5BFqPs1jfyT7htFgr0UMaFyvS2SKXWeZY RoBOmNzeO4oWO9zHyMpyeIPG3hfvU1AjyBlQKoAmgnrzp1AxfHFt3zm8OqrUqgnVvbyrudsJy+lg 9L7Eq2tvO3IheYxFK5/RjZokd69X+TaGLzsho0fKmpzLxBP/dwbrjL9QwWvWHptabDMZKvZPJEGU SzoVa8t2uZ0lbAAaWtjM1bApzvcZt7JGXh3yisqAJK7UN7Bd7/TWuGeZtjo5eolmHJW0Dguz1Cbp rq/Yw7Zh8EauAW9qRFiBEscq3URX4kCjDQ9aUCnv1ZknGLCpKKbvOAcfR/R+EakOSQ+H0Ik1+LNy 2ZbuIO67k90l80DEqALYZEGnHGeEyAmB2bPgSvfrVH3GAwyIXHOhya4xy7VhksuoJs/zsvGFowUu ck4El+UuvZ3gPTOQmB96I5xUBp2EpDHP8XZ/u3S8G7VM9ftyTtw1Bpki8pjAYAnMYecMCERUUYFh wOLQsrzDNc6IkE4i13HXaJcyq+AFWeXE7/y/M2r6e5SLMHNHZeh3hkR59ReXKFGWjGPOxo+ZjqEj K2EUKVmfWS7ZNtXqd/7CEk7If2HjQiENURV6bTG44qXrBQQbolswTGBTYOl5aTbJCX7P7FKskzEM INV+Ds/MLs0Fk+e6FbXF4tKSrXJKKeWAnsLvPX6TE4uUgS1oLmWnhcEIpcZ+NzKf/kHhToSIK2L2 l3g4/eouf6a32t4kkwpQtNbLoxotMjPxEAdD/OcDXmpLp6Xa9AKxGd8mFclqqboMhrysrCae7FOy +6EH8OugleN9iqdqVRdLRzshWoPoBJvUbBSpm+AGHiclfbIshS6piHgv/WlPCoFwbbLrHvmsApfr W55gPNpUbFvQxP3vQUS+Gh4oj0307mERDxZy7+aNfWprr7mLZgOhvhN27PpOD08UXZX/TF60+vSB jqAZ2BePW7kSaQ1ffBzc+fefw3IS2ziO/HYHQAQ9hm98Bo62r0zGNGyQ8+v8jKYXwP4gB6pSs4ZF Sir6F5XzMOkaYUv1s8/2CE14Z1cOlrpRlt6amalzJlxwoPNVnBTwl7EngK2IdDOtjqxJAVx3an5n oxQu+DtMGY+3J6l6rh/U8/eUyTOkoW3U/+ux07+3NpAMFXnKe2guLRYSxCbpeJTD0NLlm7rOv/9I 65vJN2HrqN3h81F3oZLHHTXFfzED8HX5qiSPYmDPL1EU6vjvv+dy0YYs6peXa/d1k0S72JvfmKjR mabiqD2XvDZTwoWwMSxY+brMmLT5N0d0qn96ZnGcwkwkef6HzM1jtTO7UExxZVIcSfEZARxR3yO/ 54M+uBu94helQZOio8JC1bp6ipIGtXYA+zA0jFId2iglGtLQbe5RxiaYzlJ/eB8/phZYnFFiTBgL lpzeTIFsw9jC1H9yVS7VpYEnG4Qdlu4VFGW8cOtYTPa9hmTIJYP6FN7Fv0pJog1+NJXuDmag95Bx cNv9t95oltMDU+3R9I5mlpIkDJRngl2NaIfWvS/36c8y7H4WmHKB7/GupYPNdO9+8t7ye+K4DudV hJ8JBzuCQWbcjWD/asX0Cp9tJx2D81a01Qhpn0V6GbYRjFP8cT8EKYPlNpDbQQ6FjWeVmnrmGkiZ YHsBeM7nPCLeAE2/DTYRZRMMrlFfoo2MZt2pgAJ6eBBtM98ydlIH7lRaCFv3RbHkHGZS6cj5pfWj EI+YlHdUaxRPVPBVTjlgct60qmzMYfIbb35JEpmOSvD4BQ8KJ7vWIc0HX20NmFNTZgVAfLXKFevW 3OLW3+mnffYx/4B1DdL8AamFKQSbHch4FAEM/hkgWbanJ8XS6e1SReoWT14YGUhRCTbL3d09tU/j Mgy47GZiaVSRGJHiB00HRnI7aRfb2VC7JQCfjOn2eunFCS5eUI1JJ3dxg/Wkf5AevhHSsT/8rrCt c5FqLKz99Ur4nUyrz4UawoxcNa0UDyq0lcFdsVIBCn7hqw8bxLFhxg1etk6HqfibIhVg3cX6MB0B G/O8VfiFDu+k4CfP97UFh1GRtjawPoXUqy9Kl3dl0CvcXHhzIv5o22a2Sz0BQzS/VrjC84cSGjhl BkGQf/s7wE1tCE6KeJz21reNnhU48MhcFX4WLEB6WLHr8SW35Ibs1pOK8RJ/q/t8TYWtHDTZ4XRD pH9TmRq1SNecq97JLlST2S2BxKCt2dA3Q9cHdG5IFWJGXSi5GrPv/AfFMzY1zjtGVscAD8dDwlz0 1qaJ+qyEQ93jwHlo8Qi6xVWb7eWGZDPLz8p+eHyvwK6sfE4s924tOG5rylpPkFWfLbYBbiUL+HHf kR8R5ekRUuAm6SW3GSLSAD9+cZKsYoR0XPy9jfeABBPlnPmJb1g4YhYjcF2w0ry4G7Co+5eRUKDD h6zeYNUtlSs8FlPNjNz7muvstk2P+lfZMfpLfRtjVtA4S0w8ap/D2qWCvweJVrfRsGmsvpDBUq2C NnSdMRJllBQY3CB6hpd85rOXnbotZ9yP0MK7pp3/YT2E8ayzXFo4JnBeuUyqrxALFjV/k3U6rMod tPAVcHJNFxnJwQWpcuo+OKI1XngehJOo9cYp/ZXs+U/zK4lVvi5Rv0nh7YA7mqPLT1700Hjbnw5+ +AySBmHZ8XfZTeAhzHNCTqkxG6SZwm+JVSC7/aHBAayD1gb9wJwxZjJTzf57O6zU7VxvGLSNVQKI 5ayf8wu8A7etuycPSNthVs3w5s5JAdz7o40taHSt2EpmXrp9Lc5o8+DreiXkFukMwt2MFZoUO42q 4o+f0kfK89xl32KmyjrtIdhKSE6sTDjmAhik6CNldYqNuKg5iQHn2gcEGj00GSP6duKz+tKp97/R sGK3ynHRB9VxErvcHvq7UEhrkP5f+Wa0wrCXYoK+dKSwuFJV3hobOJ8jinyROz6RPzNyhnTIsaXl SqZogIw8H2rV+vxS0nsGwQKXxHH1LXOEzMBuAFzB+OOcwhRMHxYml313Jr4ivTcOrj1ugWxdZh5D ONKjkgkGL//qRANJh48b+Iq8b20JI0xAGU749gIdekrRwcN/qIZQi+LXp2emhmrQ5tQIF8RPzLhE /6ErBZd2zg7R7kONLq/m2Z3y7mY0td8PjVI28Cc77YWxoSnp2xWWlKCUObM3EHsRmiE7j77OIn9d T6LRpSTJNSM3rm5RaiKNEAS2MxxHzLKu8I/Tx7jhznxBIJKob1tgeeuUAEzc7NpwZUN+Jmc6RexA gWx37w0dPyG2rR8J0UWLsSuh3iKmMb7sfUYII6kiLScrlQQahkrADkSuWpBMuUyPfA0f05iS1RE+ W2Zp7a/X3/yydWt3ITT5stDb9Vr1JzwDU3tNI88YkTgBr3TK8/Tgk+6PdM5nSs+FI00Jjy7DSElJ JjQ0Y0QjL7s7bn/h/s/2fVTwpIghLfNpNH0WVh6l6/DsWfjjnZCHmqDWYdDUMcQ4qUzP2A7CLjHz Z9fvh+HzpigKUNYVag9xQ/87Hnd+SdFwOsk4Lr1xqUpzsgNOkF+G6aUalb3+58xKtaGsPxyA7b8y zKJUUnPZbRGk4OyGj1Nq2bagRn/DaUV+IeRaeoFyrcLVK9i+HbW4R98TzNC3iTLT8H2DBS4efro3 6QA2vlDCVr0LqsjN/9dla7HjyhmQy/I1h4soYeUqxfU2JiKa6WUOPlp7Rsbjmky/RrBQ8qXea67q QRxFd+YSZmfW5hdYwSoPil+L+Gg9KVcLPivLFkh5m+zvXu2Fy6xzq0Pwi3xtYYcZpHY6Kdq+pfc2 ovZG7SG8AGwLRytvJtiNB3cdQjAZlFCCzicR7AX6Sg1Xgu33H2a9wlSw8AcC5RHWy4SZkvgfg0eM uNX/jtTeYt9DtfdDQ0us/UmNdeqwMmSxQXV7hh1z2LILiepM0l/+qNWouiUmzOkfkEmrIuIzyecp VyrgQP0BPNfZSQp+7hN9iX6L3qGKo8h1qfXwFQwwpMHlTf0S5wSgHUmGKk75ZxmcZbiT+fYikiA6 msoDkH/Wm/sgn4dfanRIP5/psoZ844yPRRyAbv7M/uVqAjKw81YbnhmoJq2KWjXqqA+gnHDVRITv vTnukjuV9B8KBjhFwzucfyRQAc+o9Pz0UIHIr4P1+S/iP9OiFS/3gQdtQqvWFTOP2hr1W3B4Q1MT xA2zCVinbTTT8L4vdEwn0/K0c7hgHneyd/ChNrRX3IrTam+sgb/UOcS/6a4fjxOxpSelLOZ2cqvH WhZXg9TqRt/Ft2yeuEIlIMksNwSgqN/cB7HHuJogClA98pf97GX+zFRo1qAMdGzyT3O2YvEZHRWx Q0ngKxTtiMA5JCoYeeeEMWANzRKlZm6zfIkM9u9lTZLXTWG4iYWwJX8RtyH7wQhoSU6/Lk3lHTYL cjS6ALG+E8TzcksBhiZMgwmUYO6kPjj5VeMOeWIqMcJsmZC2olco36Y5+M8FDQs7n6CPlWAcxHGe taTBjI9gUmSKfnmsHYRp7rXrnbkvGMe5in9zY80buZoYwlMvZXmHuu2AkpMwHMJ5Tu/Avd5qgCmu 5R7TOuWX1C9BkMdlcp1ejTXbJHhv6q5yUrLfcUfIsCl85otPOmpMgFVG+TohpokKHp/KO8tQxKUH N97SO3BmSl5PsxAXpg88MaZ6BzmwdFmOxmGY+rYdlyQ484I1kCsy1YB3YqCsvOap48IVr/tnNUsr Z5IUQij2PHehtI5dBkXD2WzpBOH6QynM1mMcGKwL53ccP4QyQ5e26rJ9CVtyjasBtXPwEXhi88ny eeO/P/qSO5JwXpzhD+CAYkkIlvAEGXeLEes+a0vOvJFrXh4/C6HjsPDDdPtJXeYR7DNcQYUdJGlT rSSOmR9sLVXTqQJy7Cm5qz5KvYJL6FqJ60v6+DR/y1sgnuM9wJ8uTF4ywKaOqHFhTmpWgAgBO/4B hmwvSfU7h3ipeFeEhDxkmpTWjkmpnoErwrm8s7OXpMR9GltxoCY9jH3cROJ1PL8wr/Bc237S6DbK kgPgClINLq/o3rDMryxqudGSUqEVXmdbNxEtYvW7i9GCFo5XbfeGa9pZOMecCIKZAD4ISYEzEDl6 GOagSDzO+32Yc2bO+9z/204AKkS5zhHyklL7eqbg8ecW9M4MhGNDfi7SGaguwKP2u1A6V/+u3Mry 7WfVedd+yOxhkVPEKNZ02lZoSV2kqGFPSs8lFkwPX2QB2G6SccpOJukTUHTWaxHkPpg36Qh58/A3 K9qNlTcjg7mFUk5gHlGsGk+VmXczZBWfBBYZ5pgHieMRKWv5TJhHo6beSmXwk3EnrBv6hAkBNTEK sRdH8hja79wUWJ7kaLOFqjtS8ei4wpYCTJ4XZnd7xOqA2WKds8MMrB0+udWtYSWfVZr+nnd2RLOC fFcwVs8Ej8q7Jn+J1Vu1rxGPRGadDAaGbr6SDFUMeHRBesOq8VExaeKYOa4eOjQYZMbl0iJk9hEo 3sV3g+9X2lwlJyOQjP2eK32wGBE9BlNnD6npRxp0V9yW6ITHkfJ1NpMz7duDsjG95i4hvtnLwQZ3 ciwCw9h93BGqzjoPBAtUNqa3lNmwPN3wR12EnRGOedPrvcLGTLDThx7O2ATFLng3LaVNHFjrBz7u jwz+8aI28B/8vj+8F0CTkmvVsC0amQsX3Irk/tIl/Sj43GuY0BinRw+PT3rQeuY+CH8EuqFMXWTS L0AgVYgfad5WjbnZ57RgduFb3RmMslKIfHMGZD97kXRfKrQeSz8s/vRoRG2EyE8yJcdeE3CbJHyd 7ytuGih1qhQSY72yzytJmcV7erIIL6hRBfXhZe7RKhLEoFaXcmDiLX7faaTt1x5/9UHKczCRy6Ga CQksA02q3r2WBqWlUSMw/PTQ1YKcSxJTbLwOtz+EaO5qQeff0+0LWUdgGVKUc6/SudCYE2SGd/KV LSkkb9TC5KwBeQe2l6NX7jIObKAkV6pQFHustvoKTj3VcYuaFh+3uHq+u7kVJlSP8JhfOUi5VMaI 35CPgYa59q8R3fD/cvwGGh7YsBaPgouD82J+riuSGclVVl4vGNqKZgK8hCx+kRB++QsDqgU1TgDQ MS+jm+7zUIoxjCI6jcrugCr5832lKuC/kzgWNE8c0epr3roIE/aBAj+ziKcjNR1hNVMOSMcaGLS0 ovwnDc2zJaEwaEgWbL1kt4aJywgl6tYZSxzWqymMqOR875iAIDKHKTNfOxR2WVZwwOaSCR/gEwVG 6jdcJlSkoUrwcavI3R2DtFkbYW3d5qmUQfeujNcOofLViyewHBDeuxLF7eTg3Bz4ZsI9OmuuIkae cR9KaqfOSJwv1DQq74TaWVaA4gdMiShTxePGdKDX+YOEohi5lN8ycfqymT/fwDl7Dllf0ZMWH0Ab cRQMKXAqT5q3uV3IzMi7yye/fwQ7to4uJ5+yOO/etu+UobA/AMdxotmBzBj8RyDx19iz3dR6KpgI zzW3mITrS+4p3ny/eqiKUv9+C93+nSV+IJkYb9lsXQrlJ33LnR+fBrzR/xpxnEn/Cxe24WitlX96 idJGXcxEf/GryjF/I2xfzbqYZ3z6v96O3f7j4BlZIGCWxbY7yEM3nmQHzXtWgehkzXLIht8WxfF9 OjM70/okyQ/ZUR+1E4YU5hjj0aR4f3cL+g0a+MejMPULUGG656mUb8KZ7XeqTKYjphNHhc0s3b6T wMl29zpcdlGQFXq1TmKjfehqprT+svMeRk2U9iA4YDKVx77uOINECcpvabmAzxhEqHRjcIqIdIht +mgmz80Hi3Td1eAKvnTiPdOssPiQXrYFJcSvTODU3yMnszgOMT1wHnPDIcb7BpBRjdfUdbBjfwco kKrykuq+UUpNvqgrU2BW44wJVCLXfkso9rafVb6sg9c8WzwGCoQbSy3CSuX7FI9cWrFewRQvY0Sd x+maHJHk7tTpOEHo/vDtcrz69AbbpVIHwVuJTyJk2m9b1r1+3mPgm5kf31V27i10hDO2cv+WxOxI 3jrr40CmG8JVPCE3ZaW8+J18+c0hk54PiEpgzkCmlt4tx/SdE4bd1LaVGijgb3v8VD/hRDvBZ/UC nUuwwlqyUOEFyaANGfJiBgnkIk7L93uYHnOtcPktQureXSAM47gS95GbjCc+7IRTy9n3jqXF/1QB 3qYOaoEgN6NYc0N0tYXJfQYTfxBbV+xbiNNXfdxk7bnY88pNM5d9WkhNoARInAPoXWpnzLU2tufk WNB7ul8D/aQpzIakwjhdzY3EsVDh1qtNcMUiOUwI4h4+zgkHzJ13/VUgAdHty7XjFQ0qNk2h+mN/ UbB6BtR8f86BIlL421m0j3ZfFHm626r1VrJCSyOzjWZ+EzaUktM1FZs2nWNwVhiW4WTlztdLVrCb GS2AFK9PLO/xFye6ZuEUMlr7WGv15VIzu0rc+QRa0J7rxKp7kUTwKSbr/Ein8y6Qf7YIHs5rrwWQ Ph++xSi2fxbwdJy3+DipvVKcw1pVjDrQYIYcJpNpzq9rlLGiFNqvQneU8W4JczHfi1g6yFxdSMig Cuul0L5VK2HdwUHqssHjwpS6tPw+aDAbT4JqWejFezkL3TPeHg2Z1E93EKkrsT5u85wvR/vkSWB6 p+/rqZljzl14y2RKVJLZQ2wDzAcZdkv5y1iOl/o69/FxKePEYIkZ6fKEDq8b7kz4b6Pi4LwILmqZ Awf4H04kf5fpd00a/ahiCh2KbPoInZ+lXILAealKS3A3B8VK1JfIRc7et2ycC3/PRPpbsqZj0/Lk IxZhX6Nb0QvbZwLlciD+8x84ZaiAGPHF/qM/mUQ8o37CnsTInB6YwWQEPhf4J6f3YkIsmwLs7iPg PrizTe2Emjt7OuDafU/i6IEHu0BXEFZTWWeBG2Jd7UdFV7FEsLpR2a339zgbgymYnI05O1tHS8v/ MbyIOb4EdSCQcOY0Ob1KSEsxCuCRuvaXAC5i8bRQ8HMPdqu1K0mcaveLZbflXjs7/0bTG+Yawwse eP1pksL1iGpwQMm2nCupjR4ogcdCyWWa2QPMWiASsRF6Y+WxUdck7Ts5H3dujolxcN6T4iyeMA+I YfBFYEAeJZM3gKZyRqpBPslvBY2geeDdz+igldO3/HBzGQu6jSYhp+FFF+ChCba51pLan2zfQ8qb fAKysbUaEnigU/rINAP37DbbjZuN445CLH3J+Duap9F/heCAvsdGirNARMeHSizoDcX4XHZAmUp3 RHX327mBN5011vaxrzcI5rcEJj6+wuvH6WHgaFMoXH9p5K66ATppc7DL+zmkhH4I5MlIi9DHlSVf XxKUi5KD1rFYxrfpqPAQISLBhBVuKP7gvertcolClktIlwql+cFwrM7kewLAAZiL7dUJdmprO4DY jAVrfJ6keZasnbySU+0gP0z0BIac3EAqAQioNnGXwONXRf+QYjtvyXb5AHswqiXlW3KllQDH1mMv ORQ1+KtdtlgWhbHVVYGoq9edc3czMgGJ64iZkFIyLTUIxOu/fGY8CyDr7FWehbA+92OJ0XZqFqw8 Zkr8ycAtniPOgDoL+zSkI6AUkHypre3nFdw0HGu+XFxxyxkylVHOIKy7Stt5iNYknO7YR0or4mFr oi7M0zl3Adt/pkOF41JqgvZVW2Ya3BvTCpthrJLpLJ/D8Mkepcx8qPjeTt5AV/pzXOt0NMiLqXfr UoC0zMw+6Vs61upefPOf5q3OZ9azKq3FncMe5t7EtR15k7SPkhAi3i99lFmqoR3LF23OJZ6+OSTR OM82SyV2WkLM9qSMMQViAv5tH8odYMX5Xn4Y/hPXvhyz6FV54BD3IP4YFf1+lmj6G45I5IQxzy1p 3sNtDa9QN3KE1cYm6sYsjBkopKsFXrDxowaNZC3qGSDh6Waxg24QfX0IQH/bCdkj1uXP8n9FGuiu GYo9sCnLoyB/4AZgE9e4IfvrIjwnF5GWQ90uA1AojzONIDl8ko94cS1tRexgKtecNOd4lgTrvrpy nWyPcthHfwwL0hbHokrqGWxBOb2gEV6gA7hoKG1HO3lEVpr7QwqhyLAzCIWofEFj0C2jRSCLRuCU EB74lhPBiyO87bJ8/cGSwZHefb7MRVI0qctXYBLtR5DjnWqZQz57ft36cnwVLvVRhi7Z1LKkv71a HGeuGWoa4IGy1aTq7NyYwOFWhTrkUPDBBtXd/4hfuLk6rLXEVnexk/puIBkaBZ37Zp746tE/RS6J shjh3ovXv5/yZm9g8rxY9XqObGb9XY4gmpQvZFlb0AUAE2T94A6QcBPy+sT2ve3eTSwdJSPccdAp /Au/PJnv/kjQXBItuNe3PBZjNjWKZ8yFkon9DkeGpSJs+Wp9PH/WRFAvJDW+y/8O/j45NXzWC9Av 7Fln2fv0JwXFRTZ6zaduKyd1FBo8FK+aF6yoE2Ku0rsCW8IjnnlTDNRS8CDAmUwrCjjGEQqvmgah XAkd5/VWyRPfRkoNZLy4cPq2UdABPDRtOuDG4s2Qu0zhaa5C6xGAy8XjE00VxHonedCu3hbaD414 QOyhVdcwzoB4g/biHUpaKtz2eQzDtSuv4Y5Rkj0q+h6NqV56ABrc0eyJkS4NEk9oHgB1kGBQ1UAJ gwgeZ+6c3nVeq/hQ1ihe4sDFYOUA88DrWjjyiLUePuCBefaxMl3j1GL06C49WAsdWIn5HuNTfrIB b5l436vTkTORCCZ0bFEvMwMyuBy+n+Okx8JbbRANBjgNIA6IOcaSQh/H81OPThZ4//vfHzGKlh1T P2QbeUdEjlQrha+5lqtgl3CVFfEGA5M+sDe4FQhHagtFng40nx5oh5VAiqcCN3/H7qSod21dg/3p gdTq9Y+MqC5doIxNZV4BDGS4VRoCaoqs9b+J0314w11GB8CC6RVAE0dFxYoMExBkpfPfWAUQKjy2 CEo8khm2UoXWsvtqXME8t+nH20H2aDEPFXdLYTTXPmfnDVDYsOc1m2CBM+ZzxMEZx08Be3wRTpYj MfocE512MJXlD7gOXOPQ3fezb8WZHrDnoj8ZdcXblnHpq8hikBMn+u5hinXzcH78gOX5nTOmt1zj Ukr56EivXHDu4tHmw4KTd1TI16uN45Psu9Gc/h8X8mGv9tNVxQFiaURvoZK8MdXvtkEz3ELD1Pyp tbXIjHdjXS2x/5EgU2lBU61GynWXfiJzoc8erY/smYOHKrZtYIDod7t+Llt6q7x3Ta2PgIEJkDMv jyxqQtcqbajFNBCmRDxK+lYWGz/Gy79ylooQBIIip3p1osRHvKPX+C5agO5ClttKaOIB+GM4ElA5 KMZwwolXxC9kCR3pvkPZaKyawB9njyCLBjA9lpmfjtPszJpMf6VEWkm8oL1QdBd3EwTT5VKvOoG0 CZ8OGJ0+wjIYhyVu7r8vijl0iANv3nzL2yPXhfN8xNFPT3AAsJBPYkrgUbzA9CLvk0sm8u2Uciji rsMklF+B65Qz4NWOfQqmfXlkyjyIWkAQSlKpo4fXiBm8yJ0usQMwXpuDRtEdJRyP5O9FrdR6yspi 4DXTUoVoM/4YLoxCBiZsLWk9rIHQU81xuV/85EI/8+MmbwrIjKbxOXor9HRDPslIygkPmxj4y5xl 89kYAJpdvas7vKlIYg+MGAYazfi258xp0tQbOcqWDm99B1i/2pzSaJX+LO7ZfvkNLbbUqBOnp3tH qKIaElQgdbR2gF53FYompoWm/UsdqtJUcKLMlonHsThPYP5V+hAlbAyQy7rxzgR0GoVnMyIEIjPD ltfZHqeARuIYLROtvN7gEQXgM6lCLy5wvP4ggVJqbHYaKUxdSUphcP8p/J1xFSEZd8PB7122TrZh LF9KHc5rxw+hhgMEZW3vx+9LSAbV5Ee1BuZfQ5iiQEr03GOIkqO7zdFgDVhFs/DWbygLeBo80UYG UL6+VLpFcvy8hn1mB8Itz86r00fkZeXQYop5ZQDzAhDCw/wCbEkXDbUYoJrGWRArAQsw6ZIJvuDP MQlPzXCvCazKOdc6g2nBe3y4T13JwkhQjzU2ocRSB8gVy8ywFXfYNkSc4IEKqplie6afji9VadWt 0FY7QW6kkdNFGhqYGlksbz/9e2AWngbJ5lV7nIdoa/ojRfX5nYBobRYV0XLUx9xIaGOVco9SY4Ev DoYovtg4ICIaP4TGbxu4yeJOutATcWVzdZAQbXN2k9HuTry4ckAI9mumQTpN6w1N1xwJclrjNKOy zw+T4Q1Px4QthZGlW4t0/JrDjmhxEd9twkM/Djam4qy7P5YtTYkMhxhZA9teLIlcyh053QFOJZ1c nJiAxHbWUYpRMdmP3wgi41NxDd4+xKxiByiRLXzMRALmdqwHVylBTTVQ/Nw+yNYr+/5X5ZBwJPv7 cZ164Ne6h74Rf0+T7kAdDoJTj7rQ3k4J/m2ovTZhoIkPlG9feCmWzDsC53XSpQ6k7i1XlualQbBT 3TipWDVXHBfzBx2yMi2S1UfQGnkkdf8bM56qCeG+eYSi6fTbiPFsdYYYQ/sIym/0HCh4xr7cjXCl x+XHIGh/Cm11Pkh5Rj7Er9cPzA38F1FHPVEjUnV7R65xUi2lP6/b3HhJ0MlvvYWoL//nAhJuIA/q auZm8bLp7PZJ+TLIYeI8pCwtDWn+dAl6HUJFzMz+NFxicI2HXhyO3uvdpzZxxYH7qAUvjdvZg9Qg QdYYciAybadYKjxop/tv7yFrEG1hNjsR8/lacE59arXRHf3jLF/8/hiJx3RHTC5Tp2IrPX395UQF 52DBjOhk64EA2wZPj6/VrQOgXknVmec1LSxHC4opWoGN0duuOd3pIhOkc0O7qxF4VV6xq4jXEHBn dpY623UCCziWsDl1/sQFgNr3QPgTB31aGAUcjcUujxYi3cebOrGMVTNtu6GauLae54LY60S5AH9n gZiMEaMX2U59GZqGAhLMpKyWSUjVVleJKV66FdeURnx5RPBm9KChVv1MqVZBKUv/P0jHqDBy8vfE BRH4VJURkN5pLliHOAORhheZG0CiTQmFtyhCIjZk0L022wZxvvLc4hvm9LBNJdyjlNgOowg5coZ+ zu8Qstq5xXlOMpYSjhmbUnz47x6JCYkig9pj00HLXMYvJOUyVogZy52XPXf9filK/LV6X4W9By2Z GMaTNpNF2y7T8EqzrGlaYfPBnUIVNRnZPiIYzf3bxOkLrMk8B1KJjXYt34dORNcg8ixdab1aESCY zE2LHNuE5CcrWZummnfLW/mIXgtt7r2FqEd2wtY0d9v9TnD7Lwi1Sc4cG+Tr5avCp3riFUkSKu0m 2o2r9+bLnmLGbqhTYvEy7rXHcZV1LtHzUplfOAtZx4qLYT4M5bPnEYA7WQfctoWtoBRT/9l7JC2V iCc6E53f6DVMTuEYddo/MloM4LusHQfixwz4Une09m8i5kWJsC8hJfYVtB/7JbrP3Hw9XP1O7h5S h4AMkP65abwmYoe8zYxeXzszE98E87Tx0LNm1yTizMj95lxZssvzSu/EV1Cpk6PqIWDbHXM5zqfc Vbdy+GkLIuunOeSwUyrx8XtcSQscN5/J2NbiOAEDEWBEJDWKWsCwc9NmDED0f4tpQbL4qVBte63b buC8bWsrVo7nCSqX58T4ks+nCzlH2ILsdsUKDopqY8wLVmly7/FLqHsj5mtTaZKKpL9zRFKfeOkU nFulkoPBI5lH70OweTRVGsmAnUf5i9U1iPU4tnc0zUkCZhce7FD22uOGJWfVKm9hmHr5VhFRWGNh YRPDcUKb9f/NtPEF+3KmqPa2cM4p6loiSQiYb28N2tnT8UTDScgqD3xg0ziVxZw8KoEvsBf6h4LF /FHte7aB+sVeq3gactgELeLmXDoF1SuJdyaPn/U0DuPDZYzYsECSK/zcSUy5aJqzpSQpdwnkOSz7 Fj1geNjS37fis/WbqRLV625prd4eDsbe2U1w8p4MGWclLLRwlXq/qPxI8zZTtYJ2J9DbTND73kUL nm6cWMM1POgdrX2lZ7rY3cL8MdpZW9oSeMTaJi3nqugxGMC8SqkFScQyvu1KHK2VP3aLWVBDyZoa BBsX78kmbJiPGsipuyUU/8CEnSkUrBpZhnk0VY/Hs19QlOJhFYZirOSj2TQRY6aqarXAFaVCAjZK w3jo5waPOzflIx0aez0q3jHsXSt8QKiiOLtMvg34he1wnJ7l3ltGuKths4PZGyEFYBJp4ZoJLK2O M1r7ugoxBTjpRWMLdid5MJ6CGMOi8SFvnhDWKqnqULEh5W2H+km8aWxiEuOPL9puYZ6Dm6a+gaWA X9mNN8KyEZpgqVqk4WsQnEtW478ustyxLBbNdlx2h6LBiWbtfz95ohXwv9UGjm4vuL3Q+5fWoVV9 Gkcyf012PRALDxxkRkvoxFE3DAr8pJQzZCh8PK8wD99pBIlpntSvL+jX+bYR5MgDDVFrVMrjbuDz pGL7nIYAIICze88XBpBOd6qypl4OEiecIR6Co55hC7iI8bnC1+bYH+ENqOZoBnK+wbx4tKnLBhtk xnKxdVaBRGZumyGbtCoZRaZW+Ch8qQRB0P3eirzc+GKhAE2UUEZSlyCX7DQSmfzsco0yQdVhOEZ+ jEGVbQNtKSCOdAEg7AceRDmEZnh/W5PdMSZvGSeQlf9qhCIPio9pURnaqwDTDcrSoqNF9frPly3M 2cQhggTJHQtAMwDErLWISVekAg9obOSOERO41goRvIfGwoolPLOqjw9EgVsHMDUM36TIGpYLj1Kk MQjE9hmAV/ij263FY8ZhK2YlCQsDLkzMmiV5UOQIcgz7MqhKhAdgjuqg1wwspmSzOiDBW3j/R3WD ORuIBe61uYhCvDRegHRS071UeZbTHXcINzOi9hMO2hpuraHZIiKTVMEcpKKQTV2IvCSH4QYOw73b BNuJ7ew+C850iF6rRq0pcwxGo3+fBtaTbdJvyqQIFgVkdvh5rOBgYSkema66tVqfeyeGEAZg/q8W vDhJrzdXvxqHh8F2aeuznl9JvNFh6/eJRJWXy/TLchHsQ4RwRJC9528l5JnSyZB21jfS1VP3qtoK LjJSTo4Y9RIUB1G5/4XA8KINtpE6cPpkufWegxEOjpdQ7myBlFR0uOsx9Y3vCF6pCCr924jRYX41 W2RvvMnK8Fy7uJBvG/nPT5cyTL2LlTLsbX0ixJusRTlW6m9XRZVNfC7bngBE1iLXU4eyk/zucBug y27Oy118Ek5ivLaIpq/jNXEvsqs1xGEeAqVh0xU7iylv327TvS6E7wS0R8d/gkqia4K1ePfyTZaK N03tqBN5Q0mqRd7KTZbh+Wv108yAqcN3AFIxkz2/m+Irne3Y2XPvpUAaCk4EUDvN26mjxZ+453Ms ZGO5rYr0a1D/MKzWsJopeaKc89hNDqVsGulfXBjI9t3mFJ1+qBfZygK9nCeP1gPRB75stlqNd5qU uJkNMT4X0kZWy8tqce5zr1LBetHOs65VYuh9gsmWQ5KdZP1zq/Mwv/CKI2iX7S5USjs+A763yHWp HflcE/d83XFcmWBtNOIh3g29yqoRwS+JzirMR/+RDGc3FmVAScA6WmYczmTgvdnkfe6unjXNq6c6 C9tWJskPvkWgyrL4SMBZvmnuEX4l3ZJKnBip9f6gLOvDE3//ZoFYi2deSDHDzLYczn+nsw5YlKZ2 dttlXC9QA0itO2V7fTJ1sN9s6hCoH3dpSSkKcM/aeQir/gpUO/B82WSY/czH1PGIcqkCU1NJ6yey +uLhTpN4AKU7P6DwMpcBdxU5lW4Zu06VUlJaMvBL+HSUonmJBm964C0UQTIFOvUIQDF/n9W5JtN7 WpDjLap+uw3Rny+OObInbz4os5beH7VnwwkwFeg0q886rGnoR7jnirq5Ii3jZRX8iZOKbupoTmGF 0falXFhrnUWwW/m/jHnt+XPZVlsTS9kYik9Z2s7wmX6Fjse0ZAmkxoNaSZFfI17CkLjk5mOhvcvk 4z0xrgmytizTaFNIeWI+pr1cfBoHxPVExtY2EQVFjko+VPgdjmat2SJpKc63y5HlULlOX32Pdzqz tiOxjViin9Ep3AJ0pJiZuSj2hO8vGKhj9SiubEgx5NAvigQ3cc4acbWIJ5863Cfltrlhxfux2X8u n1LIXSrh7/FJA/iIiHyVrRWkAFBxWdUXfvoDDWW9dpwPidO9DnBCkyZa2WSOIWRXapTjN7HdGE48 kjB81KGVgDWrtAxc5LPjNWu2XAR7l3Q3MK8CbtQJgRoxo/ySNlt6pxztqMZO5zhDVo7q/66fZFdh J+bV7i4mshSLjkXzvHdPFjYu5eX8OoeFx1VJCAf4yodR2cdSclNHsJ0M2pJhomQipkGIOKXyBDcB zJIhaWhax7lCbLXfabSSOeMS2YhbglQ8/8TrZKcnDNoSyH1EhkBEFLYLvRWOLEbV/uqaD3ag8WzW mwFlf4r/+uaXn+7NaKlOFYtCAZoVgICLST+Ln9zU4DiFAXScV9EX932+tJE4vnwOpXKv51zKO+Hm M8CpqDL8SoVLL6S+5vHQut3Soud0RNdWT+/dO3fwcGsS6nhhxSRRMPTPp8QgfbIh1GGxmjb982ox IKJCgzWDZKs4k5Deg90m1uQQTL8YU4I6AqYA3yro9DAwYwuOKYSFNdK7tH+AYDjHL3RH2JE+MPlk 2Am9+S6GIpCsLuVoVZqWfCRMweES/c3dNTjZ7+L+nBnFwEDgQFdr6nhBN3obG3iHrXsZ2bDZZfsd CTtG9WI2HoydiobzVrONIxbHOQFvCpeGKmEdiKRRhCBgjHe27VbGVCCVh6t7+4rc+jrW7nn8/ITw Bb7C5qRZ0toMFIL7BzdNGHdUgWKxezV+hQtPL8aNT+BeSpjV0TLhVT/EVW/VTPkFmDYVxsZknPjM 74IDzWP1afzE3iVsL5/+lfFOL62KkVxsqJO67r7OKD+8bL6RSb6LgzH7cGDSUwi4mnK+lKMvAe+F dmyqn7+qqnul7/ejE7+i85Wcrq1caxQpV8Jq7632rqlRrBcSVcRvWikgr0QBsTDPZkC3WeVIn5fi HTleTFMtD+bidU8Uq5WvVNOA4VDIUji4pK47GXVvx63oOY7mJUwa1paBD0rfnxlcANTfrJnwkAJo 14sBaQfEHF/C1Lm91Tj89J51uY3ykiyEJOiDFY9VDJQe4PftJN+VfY35meAIFpu8CHsH9KCP1c4M hcSvLMYS2YfqkbeNZl+cI0pSWBpKg22kZFGHFthnLfmm5LbiBn90shLapL62mcYROuVOJZ36qWFJ kCj1o8c3QCpnAYl/uz68ObKbsjN+BKxhIoOpd6mmvt2dCeLW3tYW0TdIfie0nvVpfyw3cdj2w38X bB8YAzok9KCPjywaBpH9Ta61PP090rmGmv0M/pK8Wb4D68YyTZr/NoUP9olSS62hZ17mSmnLroyq w+uP/tjB2zbpdYmWR8lsVdBKby20AANEKY0L2Z4ZEjEpecuRWxAiryjTeA1HaQMialfeRf1qSrPJ 8+BwCGgs20UoCF6HmLT7wGWMXHzxx0me0DXuSl6QFKf0Dix/x4PNNWMSc6Bvic5huezwOXgFiQer 6fREtyfMMKFto1gtGi1Ph5dR5tQmpeejWwj+eW9eeFKc5fix7pVrgrHvBqcrNdxbkvC/aNXZbeFe cTajn6Th95FdMAFtBVH7h3/3nihxQARhZ12Nb/EQ6+RDeiTkc2cJyG6hTyrUKCHM7o7WeXFxGZE0 IqVWFCNeF9WAVkWyDk1lHpBJnBiBKrUU1MSdxANqHp3GikwYgLre0Njb8/f/9u2RFAT5B2CIeNS1 IcblBpEE0fc/iZPx3YrSbyZ6CRoM2s9KIhjHZLEHtByC/5rArw7Skza815ibUsjEymjiMWyrCt/x +g8voKvnoi/gI/zKQUQ/cPYf7/1sAvuG9H2vc56/P/wkHuzFoYc3VxSa1m/zpSI1+qxnxGMuTIYW f3HuDdGoWgbuRVFIJAteAXjAKa3ByQeaJeSt+KGEKwqDq9OKWv4UV9pD18d6cGKZXhuEs8gX5Go6 IHP4XBE3SEaX/9nOuNu5CgiuvLNy2amghh1M02pE4Wllvr/sNlA6IXu2/elfIvAzUE+PtJBFm78k I07ev03GMNzJ513L1xGyOoNbefp1BXSYj35T9d1u4bvN6lmlTl2wJtQ7NJbx3tzUp58kV3oD7eME UTFFV1KXgQVjDmW1zUIbwIntZN2rGUEOdp5W8jVpWwZ5Tk47E/Sm1eaVRr2x3TQ1Jq6ryeVR9QcH 6jToK+Qks8+nICsrNibVtIwjcT3PQAd3mc+XqYgVDqARyNEBAkKbtFhiOcOG3y7JJVUP3Jd6PnhQ pS5rp/S+4BMVSM5BRhiKuThy06N6oWDyqc+0P6SSOQhjZrZsg2Ik/aQ8q1C3YCnVcXlC1bWivquf /NIDzYDoLq6sfG62RXPiG9gw16n4j1i0AiSARBu8yeqNzGRl2L4h6Z6F5m8qU4/IJpeHAtGFpVv1 +LCSTqAIgdtF6QYRW6Ycjp33Mco4bsufqJQCXUscPTcwVQooNzkAaSq1Kx2IPVghcdKh23dI+dyj 9aeyw9kHDKqikSSQX4qb55rV1h8hq59RZBP4fqQmqwW23/4PKdcPE4NYE8AGa/o6uOmIzX4grpdc eckzpm0WhQrye1Dyv+wXyjUz/uMyWfRm3GuX+xN0qmCyJlRtDcEAssk3opEMFpZ1spmFq06rfM1e nZ8WlY1LXbCuyqHQKy3IKQ5ANeUjESph+4eB/5JssiGJFvcduQVP0TnafO3dx8idZLwVJg5UnlND EvP1cboj2qI2rgwxpoWx4CrP4NlRvb9l8TXZGAPyhVoKOTU8FU1YR6BJTnFOd06UIejGG+10FWrI 5mtdnk97UNzn+f9HcVy9CW9LI9xMEPevRQx1FpeU2UiudDsobqhy2IM4hH2+AjzsA2LTa9Fhgato m5L2yahneNN3sZutBY3LBAu76KYUOgXH21kNxcgyojBdPBewk7YC2Yvdja1ocSbbUBftOOFenKd7 g6h8qb47F2vwwTuqtHDwkqvlS59a9UnRD9HmUPVQoPfgar0RryYLPSOkS82LUCUUBBTmQSqreRu7 GULHpjArDCJt2Zdr+9ZBv3c2BgPNptUV7sCi2CNs+9Wvvt9SOtY/whyOcEA2BmyAx4F2uha/NVI5 ZbfBWMJ2QOoSNNRmxz21ymzKM4wv0A2oRApJwqOWk1MFkzXyJ6BGegcbz5A1VSBNn6eWmKvdiO+f 9BBN1EhDfIzdUy24jMYTpONoI/5Jzv55xSnqIXg3Viu5efiZBpTazwR3rp00beZecvJq+hBNjSwl zgieW3B306xVeUVdGUMZOCJ9XMaVXKqQ8kUgCEgoDolMgszho86r+EApNwiObn+p4H9CrLGRTdNE ist30OaVHsr/2xqY6JSJlS/6J4mu2f5/b/FIZ7/cUtcKVDBJCFEPxCFQMydI+0F2YipsEVTVf4Yb f4KBwydcuvBH0OBs7QgsbJN+f+CjUSM/27oO+kYmXQToxMzXPdeU+WTXCk3SzlDY1wGRiA2TaqlZ z7jCuGW2+Xl53WAcGtHDb1en+zBai7ED2Ez18OFdP5oHuv6TD3xb6LDyepOxfH+5thGpYAkbBmby GBSJzrCx+T1Qpaq58EUpn1l5wCYSZ1PyzcAsPFf3VVmwyBXwVxM8pmZvZs8eutWZm2HAvuquUntf 7nWhzPlII0cCQjI+FaFKJOjnVfnQW4TUoCBFe3KIXaLlt7pp/JWoITZwxWCjzTdrPl4+ytW4QE3C IOsUnROLyLbdC+iSHmNoDbLi0kb+TQRdi5U0MNE1QaohWOaVjLOn6HaJ3nBl4Vg1J3/llS/rwYEM sMhFQYZVF+QxsGmcJxE9IZw8BphjTrXy1k8sADN2Ie7BlzgSqslwBvpEft7lsPZjjx1mFMA66eXD q8sd/qRnw5JBiwEMP4/dVKLzo+ukdoVzIj2HGrk8FKisCiqDmS3jKCpYhjf+AxMLk1AXEUd0W8PY x8mjKKVnTlBZpQEgDra9510okSqe7URujBkvzMS0yQOU6sEyYgwwFSDLDLx9+XwyGfq5kPEYM1Aq 8tib76RHuFOPPv6K2d4WjnKOQKYWmSw5g4basZ8RTEfJ9/+N51JQCv6mJ+3BS7r5/bF6j31JdIRi D054WRal6O7PzJ4Ka61B5WzGpv7IaVwO24JDLnqHdjYoC7bFjb+ooT1myngTye6wK1JxPAuRPbWI KEtWmGZvWvrEvkQ2Obd7HUE4KGav65fCUbqIk3S+u3dpbwKqnJca1TVq5p3VX6QYkflRoOBLkHe/ E9tFgoTBbzh2HigmJ6ZO/sxLNstNYFcxwsdR3klB/zrjuVBI0Zi4TTg6jQ6+X5P7gpY2QtzOMbVL grFajvrkXohy5BGqbP6OPAOBkWc+VbpFEVWM8BL7qk7irlm4mg7fHFs4wg9bfT+9i/+awJRuqDcq 6x3IUnqLh/2mXB+Yh7ak953RlW+dY0vN1VOgHRGDNUeXU6fj4GQEfM+DJN3M1TKLpZ4ldumqrwBF 0mzjvtiMgGXiGeKgarGDNyVg3MdnpJKvRa8dBQL1AggS678zzyvcWpyWSN1BGdFB2PTpCOQCT1ke IMvBjDS8KEdfxO9aAhmwaH7KzmUpTb7VWS4UU2AQ1vEIBqM2QnsCnBXCNo4MSVJYmQhJ3sJcI/z4 5TtlYyaJFXAymh/7s7FPm0XurODg1gIjAGqSGyu7KUHwh/6n9sDQgXn8IEPqWZpQIcM5rMa8cZEW Wu7Jiiu+bCRtRW+60uJEPRnJna1oQVQoLOtY4DuNo8bakGH7frF/4eogFnynRRzX70mEmtkrhNku LBJrO4WJVhoU38LS3v8y93/7YV8cUP9dKU1QuYiPAbEfWJHfRo0g9I9X5gjBS0+vnbRNeaswjwik oPR2b/qeIUPn1Dv6Q11Vx3rKACUFwgwGt3Qx6RPLINuJYRH8ETiWvqgbeOcMXGpV1GGxqIthZwpb 5bpCuFfQwGHDKa63H1de+CrXVl8DU0dr4doLKgJbm428hDzKH7hixtW18wRDlQvMV4R+Uh58v/NT rq1M34SZjxLH/J5W8VAdGNBSn579z98Jzz7O2FqZMhfWAfgWFo3NuwKwq9aifexEiGdPUoGTvcig /Hscyj4KUDsDwTLXh+8sHZZkVLlS4w1c9C4XLU7O0gl1j1Dlt+PfWrsWJpO93YMizPl3fjVLHQVE bdcOoPYQOvIryAAhYBN3RAJ95rDGN5HjVcy3eL79eFpZbHj1rhtwQtEhP6/cmg2mNGzat9/wgwZJ 9exqkwIYr+zRId2zan06mwx5LGg+Tcq3salFZhoYVruuIT27kaBZYtawpmuNekB/tCDeeotLJFcB m3f9R1vaNH26EECd/csyY5QI2MgkknqavwyDYd2MRJ4B/doWn/QNlccttTCsRJXbUIxp9UbFOiSs WKUJLc8a420N8VG7c/+eW9j3RSNrf6FIsf+l8By/QTB/m6X2K1hCb8sZeFdnjbreI9QPFsjpmtpS 2AH/xoZR1+FIE5q+AUr2X5ngpCKnZ6g0QtzEnqHt+o5IyMr5nNzLjmgYH6OXG7SfOBCKsY4FWjMb Z1eMPLbVQWfl+YFuu7KDyfNeURJ+a2ZbBNDPlAU9f4Ks66vqeW/3PJ/WWmdFsnaR4kb9FnyhqLpU ccfxivnWKGR6I+DrYltcPtQcRpq3RL0fTOtcB0n1Q/vpB0XCFYXe1JO/FYNRTHnZqVwviqnvfXhu IIWu7qB+WCn7TFq16znkORkVW1iz1PfMfKwAGYZ1udMeyvrXjHips/y1EX67uMFSxns4NCGAPe9V eLuClduJH0Mk7q6txYr3XMdtG8BSmARp8YtaxHnRut1K/GhBhYaOfisF/ISzuBe6oNhizokVIdDC hLxPya78dBvdI3x0K0rAsN7g5dmXEFOi2h9w280NWyDOcAx+OHmOxd6NuPg00FFD9rQQjC+tsLPK 8jrRSDsTiXOKvKtWTDypsGTRUMvz1QRTWFVkan0s331y2cs7DSs42nId5MQeIkCiV7eVL2YE0exl ySk23D4t7W8JDs328PSeuUq6+Yr1l51EQ4vFArUtUtPQxp6uI3qW4GHBPZM+1I/RmWdEnca5XLCN 0BBXcZ8Mjz73MjShw8siN+VILtIKeu6jrRd4YzTju0vQMt1MeFpFDbAKM3Tyd1ibwCxo/SebSLa8 Fe5UePnTLk+NLNi/dNyXsice1rZ/tdP3DZh+bRNjqPyMioRgM1BUESC4vHgEUr7dDdj/2eBYWt6V ucP+ym655ySujeKthIs0Xrz3Ee+WcUfy60qjktw5XDQXrs/ccz2wteEqS6pFReC8ae9H9TtTmdEL LxVQXMJcsBL7CVd/ZKP+IMBjh9piImL12Zqu4OaKIakm/nEau0H6Te3s/043JkySfQKuK8aeIZFK nbP6k9LgsjknvrLIrGbmYkwxoQPT002dnLohFQdRsTZAw3n8lzcGNftxGTpw+QMtNImwdz1R5Qfx 5JYjO581DOA6VUJm81WdukFQ3mLPMpGwb6YYnbjL+etYCSHV0Fsagl4HaEIOD6b1HRM+jt1/VLOG Be/rai1ooGP6848qJdSqIEC15WzqK6qG0fDebUte9edY0fgfPr95FTDbhd29ZwyxZGZ9B/k3RGey UXII/JOcIAK1+zzf/7JsHTJf545MQQepuYtU/01sD4QplR1eLlsmXlOckQyTGJJI8ZdkBc7abvrQ 5ctDwkcDplkCPdZmQ8+m4X7Bznz0fVBKiNp4UTS+BOzWmPMLgRrzeH6xayl+1Y6EKjj13JVq0K8E r0I1tPEFcuSnWKiTIsVdQzBoYm5auR3I+ILkYb/IUapZwZMHl4autPLSzhwnn3Uz2bDTejGRkGPK A/izoBFsUM78OKt0kaHzMln+UFL5LJ4PlubUiAORt8zGbVE/pKM8EpWW6NLIAaDRxRbJ/E3DQchi AxmhUOyuIy8gYkiMOsYkmO/k4QkF7LvRyIjAMZfBzN/PvvtKZeNSqzdAIYksGTUVcz//2ayGiFvD GcJSMH9tr8RoG/oINdJh6rRMRLG8I6dZGWdmnSrjPfzXwzpXC0mPEWSaWc/i0ZqrybCFgMlZb3lS 0kT+w11tIM0E2/5cxBs24PTD1cyCh7GbIgf1X4+C5ZCfWPq7/hcTSneX7BCrLaOQqIxhwcTXfQ+6 UXjva88St29ovl4X96L042MgoqHHX04e5TKv77aJBDIEwqpIuD/fWELABf2dEbrswsIUHf8qSgC6 YztZf4DHQZwFI3fYp2zutc/V0fevsUAW0PzcgczW9n8gksbU9tM8SqbK4BBc17sPolLwo5PcTlNu BVUzS21wdsk+lnZm8WqDe7+++s15+YX2fNR6Od8AUQc8X+umV53eX3Ue3IyYPApsieeM9esoXf4m vr/Dwl8pRsmzLqjk8w8D3TAlZAQA0dGCbgyIIVAfv1dmLyaUhEb6rt3NDsOJI58WSJNCypEjrxgO xu/n6SloPZpd4KKZYg/hAjY81dHcWaOpAxMLAg0ymXoWyEiVzxvS8wSSXcM4LTgjtT43FvHsmkyi vKuPAmb3WU+7go+Cqhw6d//0Vy0dsnQFJvqGPhFtuCyy8BIFhtWBl++ScU6d6CcFls8sm5gQopGR yALPx59O6cqVc/3pTfppwbt7//xQ3DQmpF/X5clFEZ4qzeHilufZk/kbAIHvAYedOks9lXM0MU5A pr1aX9c8VrDIFA2d35oUDnla2Tyvbr5kjw5FXaqOGnEmsvt0wRT2pM/GGopnOfKdEjgjQ+3nefd9 EV0UmQDpQmeC2Q/pWNOmwF3n7pSqqi2+Nsx97IDet2DhXEE= `protect end_protected
gpl-3.0
1c7adf35572c64f730e5cf1bace88aef
0.94936
1.817573
false
false
false
false
inmcm/Simon_Speck_Ciphers
VHDL/SIMON_CIPHER_TB.vhd
1
14,458
-- SIMON_CIPHER_TB.vhd -- Copyright 2016 Michael Calvin McCoy -- [email protected] -- see LICENSE.md -------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 18:00:46 10/04/2015 -- Design Name: -- Module Name: D:/Work/Code/Simon_Speck_Ciphers/VHDL/SIMON_CIPHER_TB.vhd -- Project Name: Simon -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: SIMON_CIPHER -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; use work.SIMON_CONSTANTS.all; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY SIMON_CIPHER_TB IS END SIMON_CIPHER_TB; ARCHITECTURE behavior OF SIMON_CIPHER_TB IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT SIMON_CIPHER GENERIC(KEY_SIZE : integer range 0 to 256; BLOCK_SIZE : integer range 0 to 128; ROUND_LIMIT: integer range 0 to 72); PORT( SYS_CLK : IN std_logic; RST : IN std_logic; BUSY : OUT std_logic; CONTROL : IN std_logic_vector(1 downto 0); KEY : IN std_logic_vector(KEY_SIZE - 1 downto 0); BLOCK_INPUT : IN std_logic_vector(BLOCK_SIZE - 1 downto 0); BLOCK_OUTPUT : OUT std_logic_vector(BLOCK_SIZE - 1 downto 0) ); END COMPONENT; --Global Inputs signal SYS_CLK : std_logic := '0'; signal RST : std_logic := '0'; signal CONTROL : std_logic_vector(1 downto 0) := (others => '0'); --UUT 1 signal KEY_1 : std_logic_vector(63 downto 0) := (others => '0'); signal BLOCK_INPUT_1 : std_logic_vector(31 downto 0) := (others => '0'); signal BUSY_1 : std_logic; signal BLOCK_OUTPUT_1 : std_logic_vector(31 downto 0); --UUT 2 signal KEY_2 : std_logic_vector(71 downto 0) := (others => '0'); signal BLOCK_INPUT_2 : std_logic_vector(47 downto 0) := (others => '0'); signal BUSY_2 : std_logic; signal BLOCK_OUTPUT_2 : std_logic_vector(47 downto 0); --UUT 3 signal KEY_3 : std_logic_vector(95 downto 0) := (others => '0'); signal BLOCK_INPUT_3 : std_logic_vector(47 downto 0) := (others => '0'); signal BUSY_3 : std_logic; signal BLOCK_OUTPUT_3 : std_logic_vector(47 downto 0); --UUT 4 signal KEY_4 : std_logic_vector(95 downto 0) := (others => '0'); signal BLOCK_INPUT_4 : std_logic_vector(63 downto 0) := (others => '0'); signal BUSY_4 : std_logic; signal BLOCK_OUTPUT_4 : std_logic_vector(63 downto 0); --UUT 5 signal KEY_5 : std_logic_vector(127 downto 0) := (others => '0'); signal BLOCK_INPUT_5 : std_logic_vector(63 downto 0) := (others => '0'); signal BUSY_5 : std_logic; signal BLOCK_OUTPUT_5 : std_logic_vector(63 downto 0); --UUT 6 signal KEY_6 : std_logic_vector(95 downto 0) := (others => '0'); signal BLOCK_INPUT_6 : std_logic_vector(95 downto 0) := (others => '0'); signal BUSY_6 : std_logic; signal BLOCK_OUTPUT_6 : std_logic_vector(95 downto 0); --UUT 7 signal KEY_7 : std_logic_vector(143 downto 0) := (others => '0'); signal BLOCK_INPUT_7 : std_logic_vector(95 downto 0) := (others => '0'); signal BUSY_7 : std_logic; signal BLOCK_OUTPUT_7 : std_logic_vector(95 downto 0); --UUT 8 signal KEY_8 : std_logic_vector(127 downto 0) := (others => '0'); signal BLOCK_INPUT_8 : std_logic_vector(127 downto 0) := (others => '0'); signal BUSY_8 : std_logic; signal BLOCK_OUTPUT_8 : std_logic_vector(127 downto 0); --UUT 9 signal KEY_9 : std_logic_vector(191 downto 0) := (others => '0'); signal BLOCK_INPUT_9 : std_logic_vector(127 downto 0) := (others => '0'); signal BUSY_9 : std_logic; signal BLOCK_OUTPUT_9 : std_logic_vector(127 downto 0); --UUT 10 signal KEY_10 : std_logic_vector(255 downto 0) := (others => '0'); signal BLOCK_INPUT_10 : std_logic_vector(127 downto 0) := (others => '0'); signal BUSY_10 : std_logic; signal BLOCK_OUTPUT_10 : std_logic_vector(127 downto 0); -- Clock period definitions constant SYS_CLK_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut_1: SIMON_CIPHER GENERIC MAP (KEY_SIZE => 64, BLOCK_SIZE => 32, ROUND_LIMIT => Round_Count_Lookup(64, 32)) PORT MAP ( SYS_CLK => SYS_CLK, RST => RST, BUSY => BUSY_1, CONTROL => CONTROL, KEY => KEY_1, BLOCK_INPUT => BLOCK_INPUT_1, BLOCK_OUTPUT => BLOCK_OUTPUT_1 ); uut_2: SIMON_CIPHER GENERIC MAP (KEY_SIZE => 72, BLOCK_SIZE => 48, ROUND_LIMIT => Round_Count_Lookup(72, 48)) PORT MAP ( SYS_CLK => SYS_CLK, RST => RST, BUSY => BUSY_2, CONTROL => CONTROL, KEY => KEY_2, BLOCK_INPUT => BLOCK_INPUT_2, BLOCK_OUTPUT => BLOCK_OUTPUT_2 ); uut_3: SIMON_CIPHER GENERIC MAP (KEY_SIZE => 96, BLOCK_SIZE => 48, ROUND_LIMIT => Round_Count_Lookup(96, 48)) PORT MAP ( SYS_CLK => SYS_CLK, RST => RST, BUSY => BUSY_3, CONTROL => CONTROL, KEY => KEY_3, BLOCK_INPUT => BLOCK_INPUT_3, BLOCK_OUTPUT => BLOCK_OUTPUT_3 ); uut_4: SIMON_CIPHER GENERIC MAP (KEY_SIZE => 96, BLOCK_SIZE => 64, ROUND_LIMIT => Round_Count_Lookup(96, 64)) PORT MAP ( SYS_CLK => SYS_CLK, RST => RST, BUSY => BUSY_4, CONTROL => CONTROL, KEY => KEY_4, BLOCK_INPUT => BLOCK_INPUT_4, BLOCK_OUTPUT => BLOCK_OUTPUT_4 ); uut_5: SIMON_CIPHER GENERIC MAP (KEY_SIZE => 128, BLOCK_SIZE => 64, ROUND_LIMIT => Round_Count_Lookup(128, 64)) PORT MAP ( SYS_CLK => SYS_CLK, RST => RST, BUSY => BUSY_5, CONTROL => CONTROL, KEY => KEY_5, BLOCK_INPUT => BLOCK_INPUT_5, BLOCK_OUTPUT => BLOCK_OUTPUT_5 ); uut_6: SIMON_CIPHER GENERIC MAP (KEY_SIZE => 96, BLOCK_SIZE => 96, ROUND_LIMIT => Round_Count_Lookup(96, 96)) PORT MAP ( SYS_CLK => SYS_CLK, RST => RST, BUSY => BUSY_6, CONTROL => CONTROL, KEY => KEY_6, BLOCK_INPUT => BLOCK_INPUT_6, BLOCK_OUTPUT => BLOCK_OUTPUT_6 ); uut_7: SIMON_CIPHER GENERIC MAP (KEY_SIZE => 144, BLOCK_SIZE => 96, ROUND_LIMIT => Round_Count_Lookup(144, 96)) PORT MAP ( SYS_CLK => SYS_CLK, RST => RST, BUSY => BUSY_7, CONTROL => CONTROL, KEY => KEY_7, BLOCK_INPUT => BLOCK_INPUT_7, BLOCK_OUTPUT => BLOCK_OUTPUT_7 ); uut_8: SIMON_CIPHER GENERIC MAP (KEY_SIZE => 128, BLOCK_SIZE => 128, ROUND_LIMIT => Round_Count_Lookup(128, 128)) PORT MAP ( SYS_CLK => SYS_CLK, RST => RST, BUSY => BUSY_8, CONTROL => CONTROL, KEY => KEY_8, BLOCK_INPUT => BLOCK_INPUT_8, BLOCK_OUTPUT => BLOCK_OUTPUT_8 ); uut_9: SIMON_CIPHER GENERIC MAP (KEY_SIZE => 192, BLOCK_SIZE => 128, ROUND_LIMIT => Round_Count_Lookup(192, 128)) PORT MAP ( SYS_CLK => SYS_CLK, RST => RST, BUSY => BUSY_9, CONTROL => CONTROL, KEY => KEY_9, BLOCK_INPUT => BLOCK_INPUT_9, BLOCK_OUTPUT => BLOCK_OUTPUT_9 ); uut_10: SIMON_CIPHER GENERIC MAP (KEY_SIZE => 256, BLOCK_SIZE => 128, ROUND_LIMIT => Round_Count_Lookup(256, 128)) PORT MAP ( SYS_CLK => SYS_CLK, RST => RST, BUSY => BUSY_10, CONTROL => CONTROL, KEY => KEY_10, BLOCK_INPUT => BLOCK_INPUT_10, BLOCK_OUTPUT => BLOCK_OUTPUT_10 ); -- Clock process definitions SYS_CLK_process :process begin for i in 0 to 500 loop SYS_CLK <= '0'; wait for SYS_CLK_period/2; SYS_CLK <= '1'; wait for SYS_CLK_period/2; end loop ; wait; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. wait for 100 ns; wait for SYS_CLK_period*10; KEY_1 <= X"1918111009080100"; KEY_2 <= X"1211100a0908020100"; KEY_3 <= X"1a19181211100a0908020100"; KEY_4 <= X"131211100b0a090803020100"; KEY_5 <= X"1b1a1918131211100b0a090803020100"; KEY_6 <= X"0d0c0b0a0908050403020100"; KEY_7 <= X"1514131211100d0c0b0a0908050403020100"; KEY_8 <= X"0f0e0d0c0b0a09080706050403020100"; KEY_9 <= X"17161514131211100f0e0d0c0b0a09080706050403020100"; KEY_10 <= X"1f1e1d1c1b1a191817161514131211100f0e0d0c0b0a09080706050403020100"; CONTROL <= "01"; wait for SYS_CLK_period*3; CONTROL <= "00"; wait for SYS_CLK_period*100; BLOCK_INPUT_1 <= X"65656877"; BLOCK_INPUT_2 <= X"6120676e696c"; BLOCK_INPUT_3 <= X"72696320646e"; BLOCK_INPUT_4 <= X"6f7220676e696c63"; BLOCK_INPUT_5 <= X"656b696c20646e75"; BLOCK_INPUT_6 <= X"2072616c6c69702065687420"; BLOCK_INPUT_7 <= X"74616874207473756420666f"; BLOCK_INPUT_8 <= X"63736564207372656c6c657661727420"; BLOCK_INPUT_9 <= X"206572656874206e6568772065626972"; BLOCK_INPUT_10 <= X"74206e69206d6f6f6d69732061207369"; CONTROL <= "11"; wait for SYS_CLK_period*3; CONTROL <= "00"; wait for SYS_CLK_period*100; assert BLOCK_OUTPUT_1 /= X"c69be9bb" report "UUT1 Encryption Success" severity note; assert BLOCK_OUTPUT_1 = X"c69be9bb" report "UUT1 Encryption Failed" severity failure; assert BLOCK_OUTPUT_2 /= X"dae5ac292cac" report "UUT2 Encryption Success" severity note; assert BLOCK_OUTPUT_2 = X"dae5ac292cac" report "UUT2 Encryption Failed" severity failure; assert BLOCK_OUTPUT_3 /= X"6e06a5acf156" report "UUT3 Encryption Success" severity note; assert BLOCK_OUTPUT_3 = X"6e06a5acf156" report "UUT3 Encryption Failed" severity failure; assert BLOCK_OUTPUT_4 /= X"5ca2e27f111a8fc8" report "UUT4 Encryption Success" severity note; assert BLOCK_OUTPUT_4 = X"5ca2e27f111a8fc8" report "UUT4 Encryption Failed" severity failure; assert BLOCK_OUTPUT_5 /= X"44c8fc20b9dfa07a" report "UUT5 Encryption Success" severity note; assert BLOCK_OUTPUT_5 = X"44c8fc20b9dfa07a" report "UUT5 Encryption Failed" severity failure; assert BLOCK_OUTPUT_6 /= X"602807a462b469063d8ff082" report "UUT6 Encryption Success" severity note; assert BLOCK_OUTPUT_6 = X"602807a462b469063d8ff082" report "UUT6 Encryption Failed" severity failure; assert BLOCK_OUTPUT_7 /= X"ecad1c6c451e3f59c5db1ae9" report "UUT7 Encryption Success" severity note; assert BLOCK_OUTPUT_7 = X"ecad1c6c451e3f59c5db1ae9" report "UUT7 Encryption Failed" severity failure; assert BLOCK_OUTPUT_8 /= X"49681b1e1e54fe3f65aa832af84e0bbc" report "UUT8 Encryption Success" severity note; assert BLOCK_OUTPUT_8 = X"49681b1e1e54fe3f65aa832af84e0bbc" report "UUT8 Encryption Failed" severity failure; assert BLOCK_OUTPUT_9 /= X"c4ac61effcdc0d4f6c9c8d6e2597b85b" report "UUT9 Encryption Success" severity note; assert BLOCK_OUTPUT_9 = X"c4ac61effcdc0d4f6c9c8d6e2597b85b" report "UUT9 Encryption Failed" severity failure; assert BLOCK_OUTPUT_10 /= X"8d2b5579afc8a3a03bf72a87efe7b868" report "UUT10 Encryption Success" severity note; assert BLOCK_OUTPUT_10 = X"8d2b5579afc8a3a03bf72a87efe7b868" report "UUT10 Encryption Failed" severity failure; BLOCK_INPUT_1 <= X"c69be9bb"; BLOCK_INPUT_2 <= X"dae5ac292cac"; BLOCK_INPUT_3 <= X"6e06a5acf156"; BLOCK_INPUT_4 <= X"5ca2e27f111a8fc8"; BLOCK_INPUT_5 <= X"44c8fc20b9dfa07a"; BLOCK_INPUT_6 <= X"602807a462b469063d8ff082"; BLOCK_INPUT_7 <= X"ecad1c6c451e3f59c5db1ae9"; BLOCK_INPUT_8 <= X"49681b1e1e54fe3f65aa832af84e0bbc"; BLOCK_INPUT_9 <= X"c4ac61effcdc0d4f6c9c8d6e2597b85b"; BLOCK_INPUT_10 <= X"8d2b5579afc8a3a03bf72a87efe7b868"; CONTROL <= "10"; wait for SYS_CLK_period*3; CONTROL <= "00"; wait for SYS_CLK_period*100; assert BLOCK_OUTPUT_1 /= X"65656877" report "UUT1 Decryption Success" severity note; assert BLOCK_OUTPUT_1 = X"65656877" report "UUT1 Decryption Failed" severity failure; assert BLOCK_OUTPUT_2 /= X"6120676e696c" report "UUT2 Decryption Success" severity note; assert BLOCK_OUTPUT_2 = X"6120676e696c" report "UUT2 Decryption Failed" severity failure; assert BLOCK_OUTPUT_3 /= X"72696320646e" report "UUT3 Decryption Success" severity note; assert BLOCK_OUTPUT_3 = X"72696320646e" report "UUT3 Decryption Failed" severity failure; assert BLOCK_OUTPUT_4 /= X"6f7220676e696c63" report "UUT4 Decryption Success" severity note; assert BLOCK_OUTPUT_4 = X"6f7220676e696c63" report "UUT4 Decryption Failed" severity failure; assert BLOCK_OUTPUT_5 /= X"656b696c20646e75" report "UUT5 Decryption Success" severity note; assert BLOCK_OUTPUT_5 = X"656b696c20646e75" report "UUT5 Decryption Failed" severity failure; assert BLOCK_OUTPUT_6 /= X"2072616c6c69702065687420" report "UUT6 Decryption Success" severity note; assert BLOCK_OUTPUT_6 = X"2072616c6c69702065687420" report "UUT6 Decryption Failed" severity failure; assert BLOCK_OUTPUT_7 /= X"74616874207473756420666f" report "UUT7 Decryption Success" severity note; assert BLOCK_OUTPUT_7 = X"74616874207473756420666f" report "UUT7 Decryption Failed" severity failure; assert BLOCK_OUTPUT_8 /= X"63736564207372656c6c657661727420" report "UUT8 Decryption Success" severity note; assert BLOCK_OUTPUT_8 = X"63736564207372656c6c657661727420" report "UUT8 Decryption Failed" severity failure; assert BLOCK_OUTPUT_9 /= X"206572656874206e6568772065626972" report "UUT9 Decryption Success" severity note; assert BLOCK_OUTPUT_9 = X"206572656874206e6568772065626972" report "UUT9 Decryption Failed" severity failure; assert BLOCK_OUTPUT_10 /= X"74206e69206d6f6f6d69732061207369" report "UUT10 Decryption Success" severity note; assert BLOCK_OUTPUT_10 = X"74206e69206d6f6f6d69732061207369" report "UUT10 Decryption Failed" severity failure; wait; end process; END behavior;
mit
b27eb7d7b6e8f11346fedd918c57e989
0.645663
3.180378
false
false
false
false
timvideos/HDMI2USB-jahanzeb-firmware
ipcore_dir/rawUVCfifo/simulation/rawUVCfifo_pkg.vhd
3
11,590
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: rawUVCfifo_pkg.vhd -- -- Description: -- This is the demo testbench package file for FIFO Generator core. -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE ieee.std_logic_arith.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; PACKAGE rawUVCfifo_pkg IS FUNCTION divroundup ( data_value : INTEGER; divisor : INTEGER) RETURN INTEGER; ------------------------ FUNCTION if_then_else ( condition : BOOLEAN; true_case : INTEGER; false_case : INTEGER) RETURN INTEGER; ------------------------ FUNCTION if_then_else ( condition : BOOLEAN; true_case : STD_LOGIC; false_case : STD_LOGIC) RETURN STD_LOGIC; ------------------------ FUNCTION if_then_else ( condition : BOOLEAN; true_case : TIME; false_case : TIME) RETURN TIME; ------------------------ FUNCTION log2roundup ( data_value : INTEGER) RETURN INTEGER; ------------------------ FUNCTION hexstr_to_std_logic_vec( arg1 : string; size : integer ) RETURN std_logic_vector; ------------------------ COMPONENT rawUVCfifo_rng IS GENERIC (WIDTH : integer := 8; SEED : integer := 3); PORT ( CLK : IN STD_LOGIC; RESET : IN STD_LOGIC; ENABLE : IN STD_LOGIC; RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0) ); END COMPONENT; ------------------------ COMPONENT rawUVCfifo_dgen IS GENERIC ( C_DIN_WIDTH : INTEGER := 32; C_DOUT_WIDTH : INTEGER := 32; C_CH_TYPE : INTEGER := 0; TB_SEED : INTEGER := 2 ); PORT ( RESET : IN STD_LOGIC; WR_CLK : IN STD_LOGIC; PRC_WR_EN : IN STD_LOGIC; FULL : IN STD_LOGIC; WR_EN : OUT STD_LOGIC; WR_DATA : OUT STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0) ); END COMPONENT; ------------------------ COMPONENT rawUVCfifo_dverif IS GENERIC( C_DIN_WIDTH : INTEGER := 0; C_DOUT_WIDTH : INTEGER := 0; C_USE_EMBEDDED_REG : INTEGER := 0; C_CH_TYPE : INTEGER := 0; TB_SEED : INTEGER := 2 ); PORT( RESET : IN STD_LOGIC; RD_CLK : IN STD_LOGIC; PRC_RD_EN : IN STD_LOGIC; EMPTY : IN STD_LOGIC; DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); RD_EN : OUT STD_LOGIC; DOUT_CHK : OUT STD_LOGIC ); END COMPONENT; ------------------------ COMPONENT rawUVCfifo_pctrl IS GENERIC( AXI_CHANNEL : STRING := "NONE"; C_APPLICATION_TYPE : INTEGER := 0; C_DIN_WIDTH : INTEGER := 0; C_DOUT_WIDTH : INTEGER := 0; C_WR_PNTR_WIDTH : INTEGER := 0; C_RD_PNTR_WIDTH : INTEGER := 0; C_CH_TYPE : INTEGER := 0; FREEZEON_ERROR : INTEGER := 0; TB_STOP_CNT : INTEGER := 2; TB_SEED : INTEGER := 2 ); PORT( RESET_WR : IN STD_LOGIC; RESET_RD : IN STD_LOGIC; WR_CLK : IN STD_LOGIC; RD_CLK : IN STD_LOGIC; FULL : IN STD_LOGIC; EMPTY : IN STD_LOGIC; ALMOST_FULL : IN STD_LOGIC; ALMOST_EMPTY : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); DOUT_CHK : IN STD_LOGIC; PRC_WR_EN : OUT STD_LOGIC; PRC_RD_EN : OUT STD_LOGIC; RESET_EN : OUT STD_LOGIC; SIM_DONE : OUT STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END COMPONENT; ------------------------ COMPONENT rawUVCfifo_synth IS GENERIC( FREEZEON_ERROR : INTEGER := 0; TB_STOP_CNT : INTEGER := 0; TB_SEED : INTEGER := 1 ); PORT( WR_CLK : IN STD_LOGIC; RD_CLK : IN STD_LOGIC; RESET : IN STD_LOGIC; SIM_DONE : OUT STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END COMPONENT; ------------------------ COMPONENT rawUVCfifo_exdes IS PORT ( WR_CLK : IN std_logic; RD_CLK : IN std_logic; VALID : OUT std_logic; ALMOST_FULL : OUT std_logic; ALMOST_EMPTY : OUT std_logic; RST : IN std_logic; PROG_FULL : OUT std_logic; WR_EN : IN std_logic; RD_EN : IN std_logic; DIN : IN std_logic_vector(24-1 DOWNTO 0); DOUT : OUT std_logic_vector(24-1 DOWNTO 0); FULL : OUT std_logic; EMPTY : OUT std_logic); END COMPONENT; ------------------------ END rawUVCfifo_pkg; PACKAGE BODY rawUVCfifo_pkg IS FUNCTION divroundup ( data_value : INTEGER; divisor : INTEGER) RETURN INTEGER IS VARIABLE div : INTEGER; BEGIN div := data_value/divisor; IF ( (data_value MOD divisor) /= 0) THEN div := div+1; END IF; RETURN div; END divroundup; --------------------------------- FUNCTION if_then_else ( condition : BOOLEAN; true_case : INTEGER; false_case : INTEGER) RETURN INTEGER IS VARIABLE retval : INTEGER := 0; BEGIN IF condition=false THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; --------------------------------- FUNCTION if_then_else ( condition : BOOLEAN; true_case : STD_LOGIC; false_case : STD_LOGIC) RETURN STD_LOGIC IS VARIABLE retval : STD_LOGIC := '0'; BEGIN IF condition=false THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; --------------------------------- FUNCTION if_then_else ( condition : BOOLEAN; true_case : TIME; false_case : TIME) RETURN TIME IS VARIABLE retval : TIME := 0 ps; BEGIN IF condition=false THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; ------------------------------- FUNCTION log2roundup ( data_value : INTEGER) RETURN INTEGER IS VARIABLE width : INTEGER := 0; VARIABLE cnt : INTEGER := 1; BEGIN IF (data_value <= 1) THEN width := 1; ELSE WHILE (cnt < data_value) LOOP width := width + 1; cnt := cnt *2; END LOOP; END IF; RETURN width; END log2roundup; ------------------------------------------------------------------------------ -- hexstr_to_std_logic_vec -- This function converts a hex string to a std_logic_vector ------------------------------------------------------------------------------ FUNCTION hexstr_to_std_logic_vec( arg1 : string; size : integer ) RETURN std_logic_vector IS VARIABLE result : std_logic_vector(size-1 DOWNTO 0) := (OTHERS => '0'); VARIABLE bin : std_logic_vector(3 DOWNTO 0); VARIABLE index : integer := 0; BEGIN FOR i IN arg1'reverse_range LOOP CASE arg1(i) IS WHEN '0' => bin := (OTHERS => '0'); WHEN '1' => bin := (0 => '1', OTHERS => '0'); WHEN '2' => bin := (1 => '1', OTHERS => '0'); WHEN '3' => bin := (0 => '1', 1 => '1', OTHERS => '0'); WHEN '4' => bin := (2 => '1', OTHERS => '0'); WHEN '5' => bin := (0 => '1', 2 => '1', OTHERS => '0'); WHEN '6' => bin := (1 => '1', 2 => '1', OTHERS => '0'); WHEN '7' => bin := (3 => '0', OTHERS => '1'); WHEN '8' => bin := (3 => '1', OTHERS => '0'); WHEN '9' => bin := (0 => '1', 3 => '1', OTHERS => '0'); WHEN 'A' => bin := (0 => '0', 2 => '0', OTHERS => '1'); WHEN 'a' => bin := (0 => '0', 2 => '0', OTHERS => '1'); WHEN 'B' => bin := (2 => '0', OTHERS => '1'); WHEN 'b' => bin := (2 => '0', OTHERS => '1'); WHEN 'C' => bin := (0 => '0', 1 => '0', OTHERS => '1'); WHEN 'c' => bin := (0 => '0', 1 => '0', OTHERS => '1'); WHEN 'D' => bin := (1 => '0', OTHERS => '1'); WHEN 'd' => bin := (1 => '0', OTHERS => '1'); WHEN 'E' => bin := (0 => '0', OTHERS => '1'); WHEN 'e' => bin := (0 => '0', OTHERS => '1'); WHEN 'F' => bin := (OTHERS => '1'); WHEN 'f' => bin := (OTHERS => '1'); WHEN OTHERS => FOR j IN 0 TO 3 LOOP bin(j) := 'X'; END LOOP; END CASE; FOR j IN 0 TO 3 LOOP IF (index*4)+j < size THEN result((index*4)+j) := bin(j); END IF; END LOOP; index := index + 1; END LOOP; RETURN result; END hexstr_to_std_logic_vec; END rawUVCfifo_pkg;
bsd-2-clause
6b0c9a9116f2fd27bb27c2d0872385a9
0.504228
3.991047
false
false
false
false
Given-Jiang/Gray_Processing
tb_Gray_Processing/hdl/alt_dspbuilder_barrelshifter_GNV5DVAGHT.vhd
8
1,654
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_barrelshifter_GNV5DVAGHT is generic ( DISTANCE_WIDTH : natural := 4; NDIRECTION : natural := 1; SIGNED : integer := 0; use_dedicated_circuitry : string := "false"; PIPELINE : natural := 0; WIDTH : natural := 18); port( a : in std_logic_vector((WIDTH)-1 downto 0); aclr : in std_logic; clock : in std_logic; direction : in std_logic; distance : in std_logic_vector((DISTANCE_WIDTH)-1 downto 0); ena : in std_logic; r : out std_logic_vector((WIDTH)-1 downto 0); user_aclr : in std_logic); end entity; --- The DSPBuilder BarrelShifter architecture rtl of alt_dspbuilder_barrelshifter_GNV5DVAGHT is signal clear : std_logic; signal a_ext : std_logic_vector(18 downto 0); signal d_ext : std_logic_vector(5 - 1 downto 0); signal r_ext : std_logic_vector(18 downto 0); Begin clear <= aclr or user_aclr; a_ext(18 - 1 downto 0) <= a; a_ext(18) <= '0'; d_ext(4 - 1 downto 0) <= distance; d_ext(5 - 1 downto 4) <= (others => '0'); BarrelShifteri : alt_dspbuilder_BarrelShiftAltr Generic map ( widthin => WIDTH + 1 , pipeline => PIPELINE, use_dedicated_circuitry => 0 , widthd => 5 , ndirection => NDIRECTION ) port map ( clock => clock, aclr => clear, sclr => '0', ena => ena, direction => '0', xin => a_ext , distance => d_ext , yout => r_ext ); r <= r_ext(18 - 1 downto 0); end architecture;
mit
a940855a4774f5d53ad53d54306e03e1
0.630593
2.779832
false
false
false
false
shailcoolboy/Warp-Trinity
edk_user_repository/WARP/pcores/linkport_v1_00_a/hdl/vhdl/phase_align.vhd
4
3,410
-- -- Project: Aurora Module Generator version 2.4 -- -- Date: $Date: 2005/11/29 11:38:22 $ -- Tag: $Name: i+IP+98818 $ -- File: $RCSfile: phase_align_vhd.ejava,v $ -- Rev: $Revision: 1.1.2.2 $ -- -- Company: Xilinx -- Contributors: R. K. Awalt, B. L. Woodard, N. Gulstone -- -- Disclaimer: XILINX IS PROVIDING THIS DESIGN, CODE, OR -- INFORMATION "AS IS" SOLELY FOR USE IN DEVELOPING -- PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS -- ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, -- APPLICATION OR STANDARD, XILINX IS MAKING NO -- REPRESENTATION THAT THIS IMPLEMENTATION IS FREE -- FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE -- RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY -- REQUIRE FOR YOUR IMPLEMENTATION. XILINX -- EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH -- RESPECT TO THE ADEQUACY OF THE IMPLEMENTATION, -- INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE -- FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES -- OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE. -- -- (c) Copyright 2004 Xilinx, Inc. -- All rights reserved. -- -- -- PHASE_ALIGN -- -- Author: Nigel Gulstone -- Xilinx - Embedded Networking System Engineering Group -- -- VHDL Translation: Brian Woodard -- Xilinx - Garden Valley Design Team -- -- Description: Phase alignment circuit for the comma alignment signal. Ensures -- that the enable comma align signal is syncronous with the MGT -- recovered clock. -- library IEEE; use IEEE.STD_LOGIC_1164.all; entity PHASE_ALIGN is port ( -- Aurora Lane Interface ENA_COMMA_ALIGN : in std_logic; -- MGT Interface RX_REC_CLK : in std_logic; ENA_CALIGN_REC : out std_logic ); end PHASE_ALIGN; architecture RTL of PHASE_ALIGN is -- Attribute Declaration attribute KEEP_HIERARCHY : string; attribute KEEP_HIERARCHY of RTL: architecture is "true"; -- Parameter Declarations -- constant DLY : time := 1 ns; -- External Register Declarations -- signal ENA_CALIGN_REC_Buffer : std_logic; -- Internal Register Declarations -- signal phase_align_flops_r : std_logic_vector(0 to 1); begin ENA_CALIGN_REC <= ENA_CALIGN_REC_Buffer; -- Main Body of Code -- -- To phase align the signal, we sample it using a flop clocked with the recovered -- clock. We then sample the output of the first flop and pass it to the output. -- This ensures that the signal is not metastable, and prevents transitions from -- occuring except at the clock edge. The comma alignment circuit cannot tolerate -- transitions except at the recovered clock edge. process (RX_REC_CLK) begin if (RX_REC_CLK 'event and RX_REC_CLK = '1') then phase_align_flops_r(0) <= ENA_COMMA_ALIGN after DLY; phase_align_flops_r(1) <= phase_align_flops_r(0) after DLY; end if; end process; ENA_CALIGN_REC_Buffer <= phase_align_flops_r(1); end RTL;
bsd-2-clause
601e4f8f1f63126c90fe25a8e0de60a8
0.612317
4.088729
false
false
false
false
fpgaminer/Open-Source-FPGA-Bitcoin-Miner
projects/Kintex7_160T_experimental/Kintex7_160T_experimental.srcs/sources_1/ip/golden_ticket_fifo/synth/golden_ticket_fifo.vhd
3
37,388
-- (c) Copyright 1995-2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:fifo_generator:10.0 -- IP Revision: 128000 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY fifo_generator_v10_0; USE fifo_generator_v10_0.fifo_generator_v10_0; ENTITY golden_ticket_fifo IS PORT ( wr_clk : IN STD_LOGIC; rd_clk : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(31 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC ); END golden_ticket_fifo; ARCHITECTURE golden_ticket_fifo_arch OF golden_ticket_fifo IS COMPONENT fifo_generator_v10_0 IS GENERIC ( C_COMMON_CLOCK : INTEGER; C_COUNT_TYPE : INTEGER; C_DATA_COUNT_WIDTH : INTEGER; C_DEFAULT_VALUE : STRING; C_DIN_WIDTH : INTEGER; C_DOUT_RST_VAL : STRING; C_DOUT_WIDTH : INTEGER; C_ENABLE_RLOCS : INTEGER; C_FAMILY : STRING; C_FULL_FLAGS_RST_VAL : INTEGER; C_HAS_ALMOST_EMPTY : INTEGER; C_HAS_ALMOST_FULL : INTEGER; C_HAS_BACKUP : INTEGER; C_HAS_DATA_COUNT : INTEGER; C_HAS_INT_CLK : INTEGER; C_HAS_MEMINIT_FILE : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_RD_DATA_COUNT : INTEGER; C_HAS_RD_RST : INTEGER; C_HAS_RST : INTEGER; C_HAS_SRST : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_VALID : INTEGER; C_HAS_WR_ACK : INTEGER; C_HAS_WR_DATA_COUNT : INTEGER; C_HAS_WR_RST : INTEGER; C_IMPLEMENTATION_TYPE : INTEGER; C_INIT_WR_PNTR_VAL : INTEGER; C_MEMORY_TYPE : INTEGER; C_MIF_FILE_NAME : STRING; C_OPTIMIZATION_MODE : INTEGER; C_OVERFLOW_LOW : INTEGER; C_PRELOAD_LATENCY : INTEGER; C_PRELOAD_REGS : INTEGER; C_PRIM_FIFO_TYPE : STRING; C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER; C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER; C_PROG_EMPTY_TYPE : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER; C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER; C_PROG_FULL_TYPE : INTEGER; C_RD_DATA_COUNT_WIDTH : INTEGER; C_RD_DEPTH : INTEGER; C_RD_FREQ : INTEGER; C_RD_PNTR_WIDTH : INTEGER; C_UNDERFLOW_LOW : INTEGER; C_USE_DOUT_RST : INTEGER; C_USE_ECC : INTEGER; C_USE_EMBEDDED_REG : INTEGER; C_USE_FIFO16_FLAGS : INTEGER; C_USE_FWFT_DATA_COUNT : INTEGER; C_VALID_LOW : INTEGER; C_WR_ACK_LOW : INTEGER; C_WR_DATA_COUNT_WIDTH : INTEGER; C_WR_DEPTH : INTEGER; C_WR_FREQ : INTEGER; C_WR_PNTR_WIDTH : INTEGER; C_WR_RESPONSE_LATENCY : INTEGER; C_MSGON_VAL : INTEGER; C_ENABLE_RST_SYNC : INTEGER; C_ERROR_INJECTION_TYPE : INTEGER; C_SYNCHRONIZER_STAGE : INTEGER; C_INTERFACE_TYPE : INTEGER; C_AXI_TYPE : INTEGER; C_HAS_AXI_WR_CHANNEL : INTEGER; C_HAS_AXI_RD_CHANNEL : INTEGER; C_HAS_SLAVE_CE : INTEGER; C_HAS_MASTER_CE : INTEGER; C_ADD_NGC_CONSTRAINT : INTEGER; C_USE_COMMON_OVERFLOW : INTEGER; C_USE_COMMON_UNDERFLOW : INTEGER; C_USE_DEFAULT_SETTINGS : INTEGER; C_AXI_ID_WIDTH : INTEGER; C_AXI_ADDR_WIDTH : INTEGER; C_AXI_DATA_WIDTH : INTEGER; C_HAS_AXI_AWUSER : INTEGER; C_HAS_AXI_WUSER : INTEGER; C_HAS_AXI_BUSER : INTEGER; C_HAS_AXI_ARUSER : INTEGER; C_HAS_AXI_RUSER : INTEGER; C_AXI_ARUSER_WIDTH : INTEGER; C_AXI_AWUSER_WIDTH : INTEGER; C_AXI_WUSER_WIDTH : INTEGER; C_AXI_BUSER_WIDTH : INTEGER; C_AXI_RUSER_WIDTH : INTEGER; C_HAS_AXIS_TDATA : INTEGER; C_HAS_AXIS_TID : INTEGER; C_HAS_AXIS_TDEST : INTEGER; C_HAS_AXIS_TUSER : INTEGER; C_HAS_AXIS_TREADY : INTEGER; C_HAS_AXIS_TLAST : INTEGER; C_HAS_AXIS_TSTRB : INTEGER; C_HAS_AXIS_TKEEP : INTEGER; C_AXIS_TDATA_WIDTH : INTEGER; C_AXIS_TID_WIDTH : INTEGER; C_AXIS_TDEST_WIDTH : INTEGER; C_AXIS_TUSER_WIDTH : INTEGER; C_AXIS_TSTRB_WIDTH : INTEGER; C_AXIS_TKEEP_WIDTH : INTEGER; C_WACH_TYPE : INTEGER; C_WDCH_TYPE : INTEGER; C_WRCH_TYPE : INTEGER; C_RACH_TYPE : INTEGER; C_RDCH_TYPE : INTEGER; C_AXIS_TYPE : INTEGER; C_IMPLEMENTATION_TYPE_WACH : INTEGER; C_IMPLEMENTATION_TYPE_WDCH : INTEGER; C_IMPLEMENTATION_TYPE_WRCH : INTEGER; C_IMPLEMENTATION_TYPE_RACH : INTEGER; C_IMPLEMENTATION_TYPE_RDCH : INTEGER; C_IMPLEMENTATION_TYPE_AXIS : INTEGER; C_APPLICATION_TYPE_WACH : INTEGER; C_APPLICATION_TYPE_WDCH : INTEGER; C_APPLICATION_TYPE_WRCH : INTEGER; C_APPLICATION_TYPE_RACH : INTEGER; C_APPLICATION_TYPE_RDCH : INTEGER; C_APPLICATION_TYPE_AXIS : INTEGER; C_USE_ECC_WACH : INTEGER; C_USE_ECC_WDCH : INTEGER; C_USE_ECC_WRCH : INTEGER; C_USE_ECC_RACH : INTEGER; C_USE_ECC_RDCH : INTEGER; C_USE_ECC_AXIS : INTEGER; C_ERROR_INJECTION_TYPE_WACH : INTEGER; C_ERROR_INJECTION_TYPE_WDCH : INTEGER; C_ERROR_INJECTION_TYPE_WRCH : INTEGER; C_ERROR_INJECTION_TYPE_RACH : INTEGER; C_ERROR_INJECTION_TYPE_RDCH : INTEGER; C_ERROR_INJECTION_TYPE_AXIS : INTEGER; C_DIN_WIDTH_WACH : INTEGER; C_DIN_WIDTH_WDCH : INTEGER; C_DIN_WIDTH_WRCH : INTEGER; C_DIN_WIDTH_RACH : INTEGER; C_DIN_WIDTH_RDCH : INTEGER; C_DIN_WIDTH_AXIS : INTEGER; C_WR_DEPTH_WACH : INTEGER; C_WR_DEPTH_WDCH : INTEGER; C_WR_DEPTH_WRCH : INTEGER; C_WR_DEPTH_RACH : INTEGER; C_WR_DEPTH_RDCH : INTEGER; C_WR_DEPTH_AXIS : INTEGER; C_WR_PNTR_WIDTH_WACH : INTEGER; C_WR_PNTR_WIDTH_WDCH : INTEGER; C_WR_PNTR_WIDTH_WRCH : INTEGER; C_WR_PNTR_WIDTH_RACH : INTEGER; C_WR_PNTR_WIDTH_RDCH : INTEGER; C_WR_PNTR_WIDTH_AXIS : INTEGER; C_HAS_DATA_COUNTS_WACH : INTEGER; C_HAS_DATA_COUNTS_WDCH : INTEGER; C_HAS_DATA_COUNTS_WRCH : INTEGER; C_HAS_DATA_COUNTS_RACH : INTEGER; C_HAS_DATA_COUNTS_RDCH : INTEGER; C_HAS_DATA_COUNTS_AXIS : INTEGER; C_HAS_PROG_FLAGS_WACH : INTEGER; C_HAS_PROG_FLAGS_WDCH : INTEGER; C_HAS_PROG_FLAGS_WRCH : INTEGER; C_HAS_PROG_FLAGS_RACH : INTEGER; C_HAS_PROG_FLAGS_RDCH : INTEGER; C_HAS_PROG_FLAGS_AXIS : INTEGER; C_PROG_FULL_TYPE_WACH : INTEGER; C_PROG_FULL_TYPE_WDCH : INTEGER; C_PROG_FULL_TYPE_WRCH : INTEGER; C_PROG_FULL_TYPE_RACH : INTEGER; C_PROG_FULL_TYPE_RDCH : INTEGER; C_PROG_FULL_TYPE_AXIS : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER; C_PROG_EMPTY_TYPE_WACH : INTEGER; C_PROG_EMPTY_TYPE_WDCH : INTEGER; C_PROG_EMPTY_TYPE_WRCH : INTEGER; C_PROG_EMPTY_TYPE_RACH : INTEGER; C_PROG_EMPTY_TYPE_RDCH : INTEGER; C_PROG_EMPTY_TYPE_AXIS : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER; C_REG_SLICE_MODE_WACH : INTEGER; C_REG_SLICE_MODE_WDCH : INTEGER; C_REG_SLICE_MODE_WRCH : INTEGER; C_REG_SLICE_MODE_RACH : INTEGER; C_REG_SLICE_MODE_RDCH : INTEGER; C_REG_SLICE_MODE_AXIS : INTEGER ); PORT ( backup : IN STD_LOGIC; backup_marker : IN STD_LOGIC; clk : IN STD_LOGIC; rst : IN STD_LOGIC; srst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; wr_rst : IN STD_LOGIC; rd_clk : IN STD_LOGIC; rd_rst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(31 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(9 DOWNTO 0); prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(9 DOWNTO 0); prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); prog_full_thresh_assert : IN STD_LOGIC_VECTOR(9 DOWNTO 0); prog_full_thresh_negate : IN STD_LOGIC_VECTOR(9 DOWNTO 0); int_clk : IN STD_LOGIC; injectdbiterr : IN STD_LOGIC; injectsbiterr : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); full : OUT STD_LOGIC; almost_full : OUT STD_LOGIC; wr_ack : OUT STD_LOGIC; overflow : OUT STD_LOGIC; empty : OUT STD_LOGIC; almost_empty : OUT STD_LOGIC; valid : OUT STD_LOGIC; underflow : OUT STD_LOGIC; data_count : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); rd_data_count : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); wr_data_count : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); prog_full : OUT STD_LOGIC; prog_empty : OUT STD_LOGIC; sbiterr : OUT STD_LOGIC; dbiterr : OUT STD_LOGIC; m_aclk : IN STD_LOGIC; s_aclk : IN STD_LOGIC; s_aresetn : IN STD_LOGIC; m_aclk_en : IN STD_LOGIC; s_aclk_en : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awlock : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wid : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; m_axi_awid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_awlock : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awvalid : OUT STD_LOGIC; m_axi_awready : IN STD_LOGIC; m_axi_wid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_wlast : OUT STD_LOGIC; m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wvalid : OUT STD_LOGIC; m_axi_wready : IN STD_LOGIC; m_axi_bid : IN STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bvalid : IN STD_LOGIC; m_axi_bready : OUT STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arlock : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; m_axi_arid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_arlock : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arvalid : OUT STD_LOGIC; m_axi_arready : IN STD_LOGIC; m_axi_rid : IN STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_rlast : IN STD_LOGIC; m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rvalid : IN STD_LOGIC; m_axi_rready : OUT STD_LOGIC; s_axis_tvalid : IN STD_LOGIC; s_axis_tready : OUT STD_LOGIC; s_axis_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axis_tstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_tkeep : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_tlast : IN STD_LOGIC; s_axis_tid : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_tdest : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_tvalid : OUT STD_LOGIC; m_axis_tready : IN STD_LOGIC; m_axis_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axis_tstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_tkeep : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_tlast : OUT STD_LOGIC; m_axis_tid : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_tdest : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_injectsbiterr : IN STD_LOGIC; axi_aw_injectdbiterr : IN STD_LOGIC; axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_sbiterr : OUT STD_LOGIC; axi_aw_dbiterr : OUT STD_LOGIC; axi_aw_overflow : OUT STD_LOGIC; axi_aw_underflow : OUT STD_LOGIC; axi_aw_prog_full : OUT STD_LOGIC; axi_aw_prog_empty : OUT STD_LOGIC; axi_w_injectsbiterr : IN STD_LOGIC; axi_w_injectdbiterr : IN STD_LOGIC; axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_sbiterr : OUT STD_LOGIC; axi_w_dbiterr : OUT STD_LOGIC; axi_w_overflow : OUT STD_LOGIC; axi_w_underflow : OUT STD_LOGIC; axi_b_injectsbiterr : IN STD_LOGIC; axi_w_prog_full : OUT STD_LOGIC; axi_w_prog_empty : OUT STD_LOGIC; axi_b_injectdbiterr : IN STD_LOGIC; axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_sbiterr : OUT STD_LOGIC; axi_b_dbiterr : OUT STD_LOGIC; axi_b_overflow : OUT STD_LOGIC; axi_b_underflow : OUT STD_LOGIC; axi_ar_injectsbiterr : IN STD_LOGIC; axi_b_prog_full : OUT STD_LOGIC; axi_b_prog_empty : OUT STD_LOGIC; axi_ar_injectdbiterr : IN STD_LOGIC; axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_sbiterr : OUT STD_LOGIC; axi_ar_dbiterr : OUT STD_LOGIC; axi_ar_overflow : OUT STD_LOGIC; axi_ar_underflow : OUT STD_LOGIC; axi_ar_prog_full : OUT STD_LOGIC; axi_ar_prog_empty : OUT STD_LOGIC; axi_r_injectsbiterr : IN STD_LOGIC; axi_r_injectdbiterr : IN STD_LOGIC; axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_sbiterr : OUT STD_LOGIC; axi_r_dbiterr : OUT STD_LOGIC; axi_r_overflow : OUT STD_LOGIC; axi_r_underflow : OUT STD_LOGIC; axis_injectsbiterr : IN STD_LOGIC; axi_r_prog_full : OUT STD_LOGIC; axi_r_prog_empty : OUT STD_LOGIC; axis_injectdbiterr : IN STD_LOGIC; axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_sbiterr : OUT STD_LOGIC; axis_dbiterr : OUT STD_LOGIC; axis_overflow : OUT STD_LOGIC; axis_underflow : OUT STD_LOGIC; axis_prog_full : OUT STD_LOGIC; axis_prog_empty : OUT STD_LOGIC ); END COMPONENT fifo_generator_v10_0; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF golden_ticket_fifo_arch : ARCHITECTURE IS "fifo_generator_v10_0,Vivado 2013.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF golden_ticket_fifo_arch : ARCHITECTURE IS "golden_ticket_fifo,fifo_generator_v10_0,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF golden_ticket_fifo_arch : ARCHITECTURE IS "golden_ticket_fifo,fifo_generator_v10_0,{x_ipProduct=Vivado 2013.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=10.0,x_ipCoreRevision=128000,x_ipLanguage=VERILOG,C_COMMON_CLOCK=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=10,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=32,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=32,C_ENABLE_RLOCS=0,C_FAMILY=kintex7,C_FULL_FLAGS_RST_VAL=0,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=0,C_HAS_SRST=0,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=2,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=1,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=1,C_PRELOAD_REGS=0,C_PRIM_FIFO_TYPE=1kx36,C_PROG_EMPTY_THRESH_ASSERT_VAL=2,C_PROG_EMPTY_THRESH_NEGATE_VAL=3,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=1021,C_PROG_FULL_THRESH_NEGATE_VAL=1020,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=10,C_RD_DEPTH=1024,C_RD_FREQ=1,C_RD_PNTR_WIDTH=10,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=0,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=10,C_WR_DEPTH=1024,C_WR_FREQ=1,C_WR_PNTR_WIDTH=10,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_TYPE=0,C_AXI_TYPE=0,C_HAS_AXI_WR_CHANNEL=0,C_HAS_AXI_RD_CHANNEL=0,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=4,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_HAS_AXIS_TDATA=0,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=0,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=64,C_AXIS_TID_WIDTH=8,C_AXIS_TDEST_WIDTH=4,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=8,C_AXIS_TKEEP_WIDTH=8,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}"; BEGIN U0 : fifo_generator_v10_0 GENERIC MAP ( C_COMMON_CLOCK => 0, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => 10, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => 32, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => 32, C_ENABLE_RLOCS => 0, C_FAMILY => "kintex7", C_FULL_FLAGS_RST_VAL => 0, C_HAS_ALMOST_EMPTY => 0, C_HAS_ALMOST_FULL => 0, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => 0, C_HAS_RD_DATA_COUNT => 0, C_HAS_RD_RST => 0, C_HAS_RST => 0, C_HAS_SRST => 0, C_HAS_UNDERFLOW => 0, C_HAS_VALID => 0, C_HAS_WR_ACK => 0, C_HAS_WR_DATA_COUNT => 0, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => 2, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => 1, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => 0, C_PRELOAD_LATENCY => 1, C_PRELOAD_REGS => 0, C_PRIM_FIFO_TYPE => "1kx36", C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => 1021, C_PROG_FULL_THRESH_NEGATE_VAL => 1020, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => 10, C_RD_DEPTH => 1024, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => 10, C_UNDERFLOW_LOW => 0, C_USE_DOUT_RST => 0, C_USE_ECC => 0, C_USE_EMBEDDED_REG => 0, C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => 0, C_WR_DATA_COUNT_WIDTH => 10, C_WR_DEPTH => 1024, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => 10, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => 2, C_INTERFACE_TYPE => 0, C_AXI_TYPE => 0, C_HAS_AXI_WR_CHANNEL => 0, C_HAS_AXI_RD_CHANNEL => 0, C_HAS_SLAVE_CE => 0, C_HAS_MASTER_CE => 0, C_ADD_NGC_CONSTRAINT => 0, C_USE_COMMON_OVERFLOW => 0, C_USE_COMMON_UNDERFLOW => 0, C_USE_DEFAULT_SETTINGS => 0, C_AXI_ID_WIDTH => 4, C_AXI_ADDR_WIDTH => 32, C_AXI_DATA_WIDTH => 64, C_HAS_AXI_AWUSER => 0, C_HAS_AXI_WUSER => 0, C_HAS_AXI_BUSER => 0, C_HAS_AXI_ARUSER => 0, C_HAS_AXI_RUSER => 0, C_AXI_ARUSER_WIDTH => 1, C_AXI_AWUSER_WIDTH => 1, C_AXI_WUSER_WIDTH => 1, C_AXI_BUSER_WIDTH => 1, C_AXI_RUSER_WIDTH => 1, C_HAS_AXIS_TDATA => 0, C_HAS_AXIS_TID => 0, C_HAS_AXIS_TDEST => 0, C_HAS_AXIS_TUSER => 0, C_HAS_AXIS_TREADY => 1, C_HAS_AXIS_TLAST => 0, C_HAS_AXIS_TSTRB => 0, C_HAS_AXIS_TKEEP => 0, C_AXIS_TDATA_WIDTH => 64, C_AXIS_TID_WIDTH => 8, C_AXIS_TDEST_WIDTH => 4, C_AXIS_TUSER_WIDTH => 4, C_AXIS_TSTRB_WIDTH => 8, C_AXIS_TKEEP_WIDTH => 8, C_WACH_TYPE => 0, C_WDCH_TYPE => 0, C_WRCH_TYPE => 0, C_RACH_TYPE => 0, C_RDCH_TYPE => 0, C_AXIS_TYPE => 0, C_IMPLEMENTATION_TYPE_WACH => 1, C_IMPLEMENTATION_TYPE_WDCH => 1, C_IMPLEMENTATION_TYPE_WRCH => 1, C_IMPLEMENTATION_TYPE_RACH => 1, C_IMPLEMENTATION_TYPE_RDCH => 1, C_IMPLEMENTATION_TYPE_AXIS => 1, C_APPLICATION_TYPE_WACH => 0, C_APPLICATION_TYPE_WDCH => 0, C_APPLICATION_TYPE_WRCH => 0, C_APPLICATION_TYPE_RACH => 0, C_APPLICATION_TYPE_RDCH => 0, C_APPLICATION_TYPE_AXIS => 0, C_USE_ECC_WACH => 0, C_USE_ECC_WDCH => 0, C_USE_ECC_WRCH => 0, C_USE_ECC_RACH => 0, C_USE_ECC_RDCH => 0, C_USE_ECC_AXIS => 0, C_ERROR_INJECTION_TYPE_WACH => 0, C_ERROR_INJECTION_TYPE_WDCH => 0, C_ERROR_INJECTION_TYPE_WRCH => 0, C_ERROR_INJECTION_TYPE_RACH => 0, C_ERROR_INJECTION_TYPE_RDCH => 0, C_ERROR_INJECTION_TYPE_AXIS => 0, C_DIN_WIDTH_WACH => 32, C_DIN_WIDTH_WDCH => 64, C_DIN_WIDTH_WRCH => 2, C_DIN_WIDTH_RACH => 32, C_DIN_WIDTH_RDCH => 64, C_DIN_WIDTH_AXIS => 1, C_WR_DEPTH_WACH => 16, C_WR_DEPTH_WDCH => 1024, C_WR_DEPTH_WRCH => 16, C_WR_DEPTH_RACH => 16, C_WR_DEPTH_RDCH => 1024, C_WR_DEPTH_AXIS => 1024, C_WR_PNTR_WIDTH_WACH => 4, C_WR_PNTR_WIDTH_WDCH => 10, C_WR_PNTR_WIDTH_WRCH => 4, C_WR_PNTR_WIDTH_RACH => 4, C_WR_PNTR_WIDTH_RDCH => 10, C_WR_PNTR_WIDTH_AXIS => 10, C_HAS_DATA_COUNTS_WACH => 0, C_HAS_DATA_COUNTS_WDCH => 0, C_HAS_DATA_COUNTS_WRCH => 0, C_HAS_DATA_COUNTS_RACH => 0, C_HAS_DATA_COUNTS_RDCH => 0, C_HAS_DATA_COUNTS_AXIS => 0, C_HAS_PROG_FLAGS_WACH => 0, C_HAS_PROG_FLAGS_WDCH => 0, C_HAS_PROG_FLAGS_WRCH => 0, C_HAS_PROG_FLAGS_RACH => 0, C_HAS_PROG_FLAGS_RDCH => 0, C_HAS_PROG_FLAGS_AXIS => 0, C_PROG_FULL_TYPE_WACH => 0, C_PROG_FULL_TYPE_WDCH => 0, C_PROG_FULL_TYPE_WRCH => 0, C_PROG_FULL_TYPE_RACH => 0, C_PROG_FULL_TYPE_RDCH => 0, C_PROG_FULL_TYPE_AXIS => 0, C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, C_PROG_EMPTY_TYPE_WACH => 0, C_PROG_EMPTY_TYPE_WDCH => 0, C_PROG_EMPTY_TYPE_WRCH => 0, C_PROG_EMPTY_TYPE_RACH => 0, C_PROG_EMPTY_TYPE_RDCH => 0, C_PROG_EMPTY_TYPE_AXIS => 0, C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, C_REG_SLICE_MODE_WACH => 0, C_REG_SLICE_MODE_WDCH => 0, C_REG_SLICE_MODE_WRCH => 0, C_REG_SLICE_MODE_RACH => 0, C_REG_SLICE_MODE_RDCH => 0, C_REG_SLICE_MODE_AXIS => 0 ) PORT MAP ( backup => '0', backup_marker => '0', clk => '0', rst => '0', srst => '0', wr_clk => wr_clk, wr_rst => '0', rd_clk => rd_clk, rd_rst => '0', din => din, wr_en => wr_en, rd_en => rd_en, prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), int_clk => '0', injectdbiterr => '0', injectsbiterr => '0', dout => dout, full => full, empty => empty, m_aclk => '0', s_aclk => '0', s_aresetn => '0', m_aclk_en => '0', s_aclk_en => '0', s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awvalid => '0', s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_wlast => '0', s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wvalid => '0', s_axi_bready => '0', m_axi_awready => '0', m_axi_wready => '0', m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bvalid => '0', s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arvalid => '0', s_axi_rready => '0', m_axi_arready => '0', m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_rlast => '0', m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rvalid => '0', s_axis_tvalid => '0', s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_tlast => '0', s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), m_axis_tready => '0', axi_aw_injectsbiterr => '0', axi_aw_injectdbiterr => '0', axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_w_injectsbiterr => '0', axi_w_injectdbiterr => '0', axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_b_injectsbiterr => '0', axi_b_injectdbiterr => '0', axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_injectsbiterr => '0', axi_ar_injectdbiterr => '0', axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_r_injectsbiterr => '0', axi_r_injectdbiterr => '0', axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_injectsbiterr => '0', axis_injectdbiterr => '0', axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)) ); END golden_ticket_fifo_arch;
gpl-3.0
534583035621d9ae40aeaef2df5c3810
0.612763
2.976989
false
false
false
false
fpgaminer/Open-Source-FPGA-Bitcoin-Miner
projects/VHDL_StratixIV_OrphanedGland/top/ip/pll.vhd
4
14,818
-- megafunction wizard: %ALTPLL% -- GENERATION: STANDARD -- VERSION: WM1.0 -- MODULE: altpll -- ============================================================ -- File Name: pll.vhd -- Megafunction Name(s): -- altpll -- -- Simulation Library Files(s): -- altera_mf -- ============================================================ -- ************************************************************ -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -- -- 11.0 Build 157 04/27/2011 SJ Full Version -- ************************************************************ --Copyright (C) 1991-2011 Altera Corporation --Your use of Altera Corporation's design tools, logic functions --and other software and tools, and its AMPP partner logic --functions, and any output files from any of the foregoing --(including device programming or simulation files), and any --associated documentation or information are expressly subject --to the terms and conditions of the Altera Program License --Subscription Agreement, Altera MegaCore Function License --Agreement, or other applicable license agreement, including, --without limitation, that your use is for the sole purpose of --programming logic devices manufactured by Altera and sold by --Altera or its authorized distributors. Please refer to the --applicable agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY altera_mf; USE altera_mf.all; ENTITY pll IS PORT ( inclk0 : IN STD_LOGIC := '0'; c0 : OUT STD_LOGIC ); END pll; ARCHITECTURE SYN OF pll IS SIGNAL sub_wire0 : STD_LOGIC_VECTOR (9 DOWNTO 0); SIGNAL sub_wire1 : STD_LOGIC ; SIGNAL sub_wire2 : STD_LOGIC ; SIGNAL sub_wire3 : STD_LOGIC_VECTOR (1 DOWNTO 0); SIGNAL sub_wire4_bv : BIT_VECTOR (0 DOWNTO 0); SIGNAL sub_wire4 : STD_LOGIC_VECTOR (0 DOWNTO 0); COMPONENT altpll GENERIC ( bandwidth_type : STRING; clk0_divide_by : NATURAL; clk0_duty_cycle : NATURAL; clk0_multiply_by : NATURAL; clk0_phase_shift : STRING; compensate_clock : STRING; inclk0_input_frequency : NATURAL; intended_device_family : STRING; lpm_hint : STRING; lpm_type : STRING; operation_mode : STRING; pll_type : STRING; port_activeclock : STRING; port_areset : STRING; port_clkbad0 : STRING; port_clkbad1 : STRING; port_clkloss : STRING; port_clkswitch : STRING; port_configupdate : STRING; port_fbin : STRING; port_fbout : STRING; port_inclk0 : STRING; port_inclk1 : STRING; port_locked : STRING; port_pfdena : STRING; port_phasecounterselect : STRING; port_phasedone : STRING; port_phasestep : STRING; port_phaseupdown : STRING; port_pllena : STRING; port_scanaclr : STRING; port_scanclk : STRING; port_scanclkena : STRING; port_scandata : STRING; port_scandataout : STRING; port_scandone : STRING; port_scanread : STRING; port_scanwrite : STRING; port_clk0 : STRING; port_clk1 : STRING; port_clk2 : STRING; port_clk3 : STRING; port_clk4 : STRING; port_clk5 : STRING; port_clk6 : STRING; port_clk7 : STRING; port_clk8 : STRING; port_clk9 : STRING; port_clkena0 : STRING; port_clkena1 : STRING; port_clkena2 : STRING; port_clkena3 : STRING; port_clkena4 : STRING; port_clkena5 : STRING; using_fbmimicbidir_port : STRING; width_clock : NATURAL ); PORT ( clk : OUT STD_LOGIC_VECTOR (9 DOWNTO 0); inclk : IN STD_LOGIC_VECTOR (1 DOWNTO 0) ); END COMPONENT; BEGIN sub_wire4_bv(0 DOWNTO 0) <= "0"; sub_wire4 <= To_stdlogicvector(sub_wire4_bv); sub_wire1 <= sub_wire0(0); c0 <= sub_wire1; sub_wire2 <= inclk0; sub_wire3 <= sub_wire4(0 DOWNTO 0) & sub_wire2; altpll_component : altpll GENERIC MAP ( bandwidth_type => "AUTO", clk0_divide_by => 2, clk0_duty_cycle => 50, clk0_multiply_by => 11, clk0_phase_shift => "0", compensate_clock => "CLK0", inclk0_input_frequency => 25000, intended_device_family => "Stratix IV", lpm_hint => "CBX_MODULE_PREFIX=pll", lpm_type => "altpll", operation_mode => "NORMAL", pll_type => "AUTO", port_activeclock => "PORT_UNUSED", port_areset => "PORT_UNUSED", port_clkbad0 => "PORT_UNUSED", port_clkbad1 => "PORT_UNUSED", port_clkloss => "PORT_UNUSED", port_clkswitch => "PORT_UNUSED", port_configupdate => "PORT_UNUSED", port_fbin => "PORT_UNUSED", port_fbout => "PORT_UNUSED", port_inclk0 => "PORT_USED", port_inclk1 => "PORT_UNUSED", port_locked => "PORT_UNUSED", port_pfdena => "PORT_UNUSED", port_phasecounterselect => "PORT_UNUSED", port_phasedone => "PORT_UNUSED", port_phasestep => "PORT_UNUSED", port_phaseupdown => "PORT_UNUSED", port_pllena => "PORT_UNUSED", port_scanaclr => "PORT_UNUSED", port_scanclk => "PORT_UNUSED", port_scanclkena => "PORT_UNUSED", port_scandata => "PORT_UNUSED", port_scandataout => "PORT_UNUSED", port_scandone => "PORT_UNUSED", port_scanread => "PORT_UNUSED", port_scanwrite => "PORT_UNUSED", port_clk0 => "PORT_USED", port_clk1 => "PORT_UNUSED", port_clk2 => "PORT_UNUSED", port_clk3 => "PORT_UNUSED", port_clk4 => "PORT_UNUSED", port_clk5 => "PORT_UNUSED", port_clk6 => "PORT_UNUSED", port_clk7 => "PORT_UNUSED", port_clk8 => "PORT_UNUSED", port_clk9 => "PORT_UNUSED", port_clkena0 => "PORT_UNUSED", port_clkena1 => "PORT_UNUSED", port_clkena2 => "PORT_UNUSED", port_clkena3 => "PORT_UNUSED", port_clkena4 => "PORT_UNUSED", port_clkena5 => "PORT_UNUSED", using_fbmimicbidir_port => "OFF", width_clock => 10 ) PORT MAP ( inclk => sub_wire3, clk => sub_wire0 ); END SYN; -- ============================================================ -- CNX file retrieval info -- ============================================================ -- Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0" -- Retrieval info: PRIVATE: BANDWIDTH STRING "1.000" -- Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "1" -- Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz" -- Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low" -- Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1" -- Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0" -- Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0" -- Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0" -- Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0" -- Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0" -- Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0" -- Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0" -- Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0" -- Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "c0" -- Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "2" -- Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1" -- Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000" -- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "220.000000" -- Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0" -- Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0" -- Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1" -- Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "0" -- Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0" -- Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575" -- Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1" -- Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "40.000" -- Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz" -- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000" -- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1" -- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1" -- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz" -- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Stratix IV" -- Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1" -- Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "0" -- Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1" -- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available" -- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0" -- Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg" -- Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any" -- Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "1" -- Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1" -- Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "220.00000000" -- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "1" -- Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz" -- Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "1" -- Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0" -- Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000" -- Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0" -- Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg" -- Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0" -- Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "0" -- Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1" -- Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0" -- Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0" -- Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0" -- Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0" -- Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0" -- Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0" -- Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0" -- Retrieval info: PRIVATE: RECONFIG_FILE STRING "pll.mif" -- Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0" -- Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "1" -- Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "0" -- Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0" -- Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0" -- Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000" -- Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz" -- Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500" -- Retrieval info: PRIVATE: SPREAD_USE STRING "0" -- Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0" -- Retrieval info: PRIVATE: STICKY_CLK0 STRING "1" -- Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1" -- Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1" -- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" -- Retrieval info: PRIVATE: USE_CLK0 STRING "1" -- Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0" -- Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0" -- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -- Retrieval info: CONSTANT: BANDWIDTH_TYPE STRING "AUTO" -- Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "2" -- Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50" -- Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "11" -- Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0" -- Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0" -- Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "25000" -- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Stratix IV" -- Retrieval info: CONSTANT: LPM_TYPE STRING "altpll" -- Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL" -- Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO" -- Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_FBOUT STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED" -- Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED" -- Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clk6 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clk7 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clk8 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clk9 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: USING_FBMIMICBIDIR_PORT STRING "OFF" -- Retrieval info: CONSTANT: WIDTH_CLOCK NUMERIC "10" -- Retrieval info: USED_PORT: @clk 0 0 10 0 OUTPUT_CLK_EXT VCC "@clk[9..0]" -- Retrieval info: USED_PORT: @inclk 0 0 2 0 INPUT_CLK_EXT VCC "@inclk[1..0]" -- Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0" -- Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0" -- Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0 -- Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0 -- Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0 -- Retrieval info: GEN_FILE: TYPE_NORMAL pll.vhd TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL pll.ppf TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL pll.inc FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL pll.cmp TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL pll.bsf FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL pll_inst.vhd FALSE -- Retrieval info: LIB_FILE: altera_mf -- Retrieval info: CBX_MODULE_PREFIX: ON
gpl-3.0
f74dd7c25ee127b5d5b922ae20ea2e1e
0.699015
3.365433
false
false
false
false
Siliciumer/DOS-Mario-FPGA
DOS_Mario.srcs/sources_1/ip/dist_mem_gen_2/synth/dist_mem_gen_2.vhd
1
6,877
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:dist_mem_gen:8.0 -- IP Revision: 10 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY dist_mem_gen_v8_0_10; USE dist_mem_gen_v8_0_10.dist_mem_gen_v8_0_10; ENTITY dist_mem_gen_2 IS PORT ( a : IN STD_LOGIC_VECTOR(11 DOWNTO 0); spo : OUT STD_LOGIC_VECTOR(5 DOWNTO 0) ); END dist_mem_gen_2; ARCHITECTURE dist_mem_gen_2_arch OF dist_mem_gen_2 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF dist_mem_gen_2_arch: ARCHITECTURE IS "yes"; COMPONENT dist_mem_gen_v8_0_10 IS GENERIC ( C_FAMILY : STRING; C_ADDR_WIDTH : INTEGER; C_DEFAULT_DATA : STRING; C_DEPTH : INTEGER; C_HAS_CLK : INTEGER; C_HAS_D : INTEGER; C_HAS_DPO : INTEGER; C_HAS_DPRA : INTEGER; C_HAS_I_CE : INTEGER; C_HAS_QDPO : INTEGER; C_HAS_QDPO_CE : INTEGER; C_HAS_QDPO_CLK : INTEGER; C_HAS_QDPO_RST : INTEGER; C_HAS_QDPO_SRST : INTEGER; C_HAS_QSPO : INTEGER; C_HAS_QSPO_CE : INTEGER; C_HAS_QSPO_RST : INTEGER; C_HAS_QSPO_SRST : INTEGER; C_HAS_SPO : INTEGER; C_HAS_WE : INTEGER; C_MEM_INIT_FILE : STRING; C_ELABORATION_DIR : STRING; C_MEM_TYPE : INTEGER; C_PIPELINE_STAGES : INTEGER; C_QCE_JOINED : INTEGER; C_QUALIFY_WE : INTEGER; C_READ_MIF : INTEGER; C_REG_A_D_INPUTS : INTEGER; C_REG_DPRA_INPUT : INTEGER; C_SYNC_ENABLE : INTEGER; C_WIDTH : INTEGER; C_PARSER_TYPE : INTEGER ); PORT ( a : IN STD_LOGIC_VECTOR(11 DOWNTO 0); d : IN STD_LOGIC_VECTOR(5 DOWNTO 0); dpra : IN STD_LOGIC_VECTOR(11 DOWNTO 0); clk : IN STD_LOGIC; we : IN STD_LOGIC; i_ce : IN STD_LOGIC; qspo_ce : IN STD_LOGIC; qdpo_ce : IN STD_LOGIC; qdpo_clk : IN STD_LOGIC; qspo_rst : IN STD_LOGIC; qdpo_rst : IN STD_LOGIC; qspo_srst : IN STD_LOGIC; qdpo_srst : IN STD_LOGIC; spo : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); dpo : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); qspo : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); qdpo : OUT STD_LOGIC_VECTOR(5 DOWNTO 0) ); END COMPONENT dist_mem_gen_v8_0_10; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF dist_mem_gen_2_arch: ARCHITECTURE IS "dist_mem_gen_v8_0_10,Vivado 2016.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF dist_mem_gen_2_arch : ARCHITECTURE IS "dist_mem_gen_2,dist_mem_gen_v8_0_10,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF dist_mem_gen_2_arch: ARCHITECTURE IS "dist_mem_gen_2,dist_mem_gen_v8_0_10,{x_ipProduct=Vivado 2016.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=dist_mem_gen,x_ipVersion=8.0,x_ipCoreRevision=10,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=artix7,C_ADDR_WIDTH=12,C_DEFAULT_DATA=0,C_DEPTH=2160,C_HAS_CLK=0,C_HAS_D=0,C_HAS_DPO=0,C_HAS_DPRA=0,C_HAS_I_CE=0,C_HAS_QDPO=0,C_HAS_QDPO_CE=0,C_HAS_QDPO_CLK=0,C_HAS_QDPO_RST=0,C_HAS_QDPO_SRST=0,C_HAS_QSPO=0,C_HAS_QSPO_CE=0,C_HAS_QSPO_RST=0,C_HAS_QSPO_SRST=0,C_HAS_SPO=1,C_HAS_WE=0,C_MEM_INI" & "T_FILE=dist_mem_gen_2.mif,C_ELABORATION_DIR=./,C_MEM_TYPE=0,C_PIPELINE_STAGES=0,C_QCE_JOINED=0,C_QUALIFY_WE=0,C_READ_MIF=1,C_REG_A_D_INPUTS=0,C_REG_DPRA_INPUT=0,C_SYNC_ENABLE=1,C_WIDTH=6,C_PARSER_TYPE=1}"; BEGIN U0 : dist_mem_gen_v8_0_10 GENERIC MAP ( C_FAMILY => "artix7", C_ADDR_WIDTH => 12, C_DEFAULT_DATA => "0", C_DEPTH => 2160, C_HAS_CLK => 0, C_HAS_D => 0, C_HAS_DPO => 0, C_HAS_DPRA => 0, C_HAS_I_CE => 0, C_HAS_QDPO => 0, C_HAS_QDPO_CE => 0, C_HAS_QDPO_CLK => 0, C_HAS_QDPO_RST => 0, C_HAS_QDPO_SRST => 0, C_HAS_QSPO => 0, C_HAS_QSPO_CE => 0, C_HAS_QSPO_RST => 0, C_HAS_QSPO_SRST => 0, C_HAS_SPO => 1, C_HAS_WE => 0, C_MEM_INIT_FILE => "dist_mem_gen_2.mif", C_ELABORATION_DIR => "./", C_MEM_TYPE => 0, C_PIPELINE_STAGES => 0, C_QCE_JOINED => 0, C_QUALIFY_WE => 0, C_READ_MIF => 1, C_REG_A_D_INPUTS => 0, C_REG_DPRA_INPUT => 0, C_SYNC_ENABLE => 1, C_WIDTH => 6, C_PARSER_TYPE => 1 ) PORT MAP ( a => a, d => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), dpra => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 12)), clk => '0', we => '0', i_ce => '1', qspo_ce => '1', qdpo_ce => '1', qdpo_clk => '0', qspo_rst => '0', qdpo_rst => '0', qspo_srst => '0', qdpo_srst => '0', spo => spo ); END dist_mem_gen_2_arch;
mit
1dcb5937208ea2e9e653aaf3366f0457
0.642868
3.115995
false
false
false
false
timvideos/HDMI2USB-jahanzeb-firmware
ipcore_dir/cmdfifo/simulation/cmdfifo_synth.vhd
3
11,373
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: cmdfifo_synth.vhd -- -- Description: -- This is the demo testbench for fifo_generator core. -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.STD_LOGIC_1164.ALL; USE ieee.STD_LOGIC_unsigned.ALL; USE IEEE.STD_LOGIC_arith.ALL; USE ieee.numeric_std.ALL; USE ieee.STD_LOGIC_misc.ALL; LIBRARY std; USE std.textio.ALL; LIBRARY work; USE work.cmdfifo_pkg.ALL; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- ENTITY cmdfifo_synth IS GENERIC( FREEZEON_ERROR : INTEGER := 0; TB_STOP_CNT : INTEGER := 0; TB_SEED : INTEGER := 1 ); PORT( WR_CLK : IN STD_LOGIC; RD_CLK : IN STD_LOGIC; RESET : IN STD_LOGIC; SIM_DONE : OUT STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END ENTITY; ARCHITECTURE simulation_arch OF cmdfifo_synth IS -- FIFO interface signal declarations SIGNAL wr_clk_i : STD_LOGIC; SIGNAL rd_clk_i : STD_LOGIC; SIGNAL valid : STD_LOGIC; SIGNAL almost_full : STD_LOGIC; SIGNAL almost_empty : STD_LOGIC; SIGNAL rst : STD_LOGIC; SIGNAL wr_en : STD_LOGIC; SIGNAL rd_en : STD_LOGIC; SIGNAL din : STD_LOGIC_VECTOR(8-1 DOWNTO 0); SIGNAL dout : STD_LOGIC_VECTOR(16-1 DOWNTO 0); SIGNAL full : STD_LOGIC; SIGNAL empty : STD_LOGIC; -- TB Signals SIGNAL wr_data : STD_LOGIC_VECTOR(8-1 DOWNTO 0); SIGNAL dout_i : STD_LOGIC_VECTOR(16-1 DOWNTO 0); SIGNAL wr_en_i : STD_LOGIC := '0'; SIGNAL rd_en_i : STD_LOGIC := '0'; SIGNAL full_i : STD_LOGIC := '0'; SIGNAL empty_i : STD_LOGIC := '0'; SIGNAL almost_full_i : STD_LOGIC := '0'; SIGNAL almost_empty_i : STD_LOGIC := '0'; SIGNAL prc_we_i : STD_LOGIC := '0'; SIGNAL prc_re_i : STD_LOGIC := '0'; SIGNAL dout_chk_i : STD_LOGIC := '0'; SIGNAL rst_int_rd : STD_LOGIC := '0'; SIGNAL rst_int_wr : STD_LOGIC := '0'; SIGNAL rst_s_wr1 : STD_LOGIC := '0'; SIGNAL rst_s_wr2 : STD_LOGIC := '0'; SIGNAL rst_gen_rd : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL rst_s_wr3 : STD_LOGIC := '0'; SIGNAL rst_s_rd : STD_LOGIC := '0'; SIGNAL reset_en : STD_LOGIC := '0'; SIGNAL rst_async_wr1 : STD_LOGIC := '0'; SIGNAL rst_async_wr2 : STD_LOGIC := '0'; SIGNAL rst_async_wr3 : STD_LOGIC := '0'; SIGNAL rst_async_rd1 : STD_LOGIC := '0'; SIGNAL rst_async_rd2 : STD_LOGIC := '0'; SIGNAL rst_async_rd3 : STD_LOGIC := '0'; BEGIN ---- Reset generation logic ----- rst_int_wr <= rst_async_wr3 OR rst_s_wr3; rst_int_rd <= rst_async_rd3 OR rst_s_rd; --Testbench reset synchronization PROCESS(rd_clk_i,RESET) BEGIN IF(RESET = '1') THEN rst_async_rd1 <= '1'; rst_async_rd2 <= '1'; rst_async_rd3 <= '1'; ELSIF(rd_clk_i'event AND rd_clk_i='1') THEN rst_async_rd1 <= RESET; rst_async_rd2 <= rst_async_rd1; rst_async_rd3 <= rst_async_rd2; END IF; END PROCESS; PROCESS(wr_clk_i,RESET) BEGIN IF(RESET = '1') THEN rst_async_wr1 <= '1'; rst_async_wr2 <= '1'; rst_async_wr3 <= '1'; ELSIF(wr_clk_i'event AND wr_clk_i='1') THEN rst_async_wr1 <= RESET; rst_async_wr2 <= rst_async_wr1; rst_async_wr3 <= rst_async_wr2; END IF; END PROCESS; --Soft reset for core and testbench PROCESS(rd_clk_i) BEGIN IF(rd_clk_i'event AND rd_clk_i='1') THEN rst_gen_rd <= rst_gen_rd + "1"; IF(reset_en = '1' AND AND_REDUCE(rst_gen_rd) = '1') THEN rst_s_rd <= '1'; assert false report "Reset applied..Memory Collision checks are not valid" severity note; ELSE IF(AND_REDUCE(rst_gen_rd) = '1' AND rst_s_rd = '1') THEN rst_s_rd <= '0'; END IF; END IF; END IF; END PROCESS; PROCESS(wr_clk_i) BEGIN IF(wr_clk_i'event AND wr_clk_i='1') THEN rst_s_wr1 <= rst_s_rd; rst_s_wr2 <= rst_s_wr1; rst_s_wr3 <= rst_s_wr2; IF(rst_s_wr3 = '1' AND rst_s_wr2 = '0') THEN assert false report "Reset removed..Memory Collision checks are valid" severity note; END IF; END IF; END PROCESS; ------------------ ---- Clock buffers for testbench ---- wr_clk_i <= WR_CLK; rd_clk_i <= RD_CLK; ------------------ rst <= RESET OR rst_s_rd AFTER 12 ns; din <= wr_data; dout_i <= dout; wr_en <= wr_en_i; rd_en <= rd_en_i; full_i <= full; empty_i <= empty; almost_empty_i <= almost_empty; almost_full_i <= almost_full; fg_dg_nv: cmdfifo_dgen GENERIC MAP ( C_DIN_WIDTH => 8, C_DOUT_WIDTH => 16, TB_SEED => TB_SEED, C_CH_TYPE => 0 ) PORT MAP ( -- Write Port RESET => rst_int_wr, WR_CLK => wr_clk_i, PRC_WR_EN => prc_we_i, FULL => full_i, WR_EN => wr_en_i, WR_DATA => wr_data ); fg_dv_nv: cmdfifo_dverif GENERIC MAP ( C_DOUT_WIDTH => 16, C_DIN_WIDTH => 8, C_USE_EMBEDDED_REG => 0, TB_SEED => TB_SEED, C_CH_TYPE => 0 ) PORT MAP( RESET => rst_int_rd, RD_CLK => rd_clk_i, PRC_RD_EN => prc_re_i, RD_EN => rd_en_i, EMPTY => empty_i, DATA_OUT => dout_i, DOUT_CHK => dout_chk_i ); fg_pc_nv: cmdfifo_pctrl GENERIC MAP ( AXI_CHANNEL => "Native", C_APPLICATION_TYPE => 0, C_DOUT_WIDTH => 16, C_DIN_WIDTH => 8, C_WR_PNTR_WIDTH => 9, C_RD_PNTR_WIDTH => 8, C_CH_TYPE => 0, FREEZEON_ERROR => FREEZEON_ERROR, TB_SEED => TB_SEED, TB_STOP_CNT => TB_STOP_CNT ) PORT MAP( RESET_WR => rst_int_wr, RESET_RD => rst_int_rd, RESET_EN => reset_en, WR_CLK => wr_clk_i, RD_CLK => rd_clk_i, PRC_WR_EN => prc_we_i, PRC_RD_EN => prc_re_i, FULL => full_i, ALMOST_FULL => almost_full_i, ALMOST_EMPTY => almost_empty_i, DOUT_CHK => dout_chk_i, EMPTY => empty_i, DATA_IN => wr_data, DATA_OUT => dout, SIM_DONE => SIM_DONE, STATUS => STATUS ); cmdfifo_inst : cmdfifo_exdes PORT MAP ( WR_CLK => wr_clk_i, RD_CLK => rd_clk_i, VALID => valid, ALMOST_FULL => almost_full, ALMOST_EMPTY => almost_empty, RST => rst, WR_EN => wr_en, RD_EN => rd_en, DIN => din, DOUT => dout, FULL => full, EMPTY => empty); END ARCHITECTURE;
bsd-2-clause
a4acad4bab237f29ba9aedd421763447
0.455465
4.01589
false
false
false
false
inmcm/Simon_Speck_Ciphers
VHDL/AXI_IP/Speck_Block_Cipher_1.0/hdl/Speck_Block_Cipher_v1_0.vhd
1
13,186
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.SPECK_CONSTANTS.all; entity Speck_Block_Cipher_v1_0 is generic ( -- Users to add parameters here KEY_SIZE : integer range 0 to 256 := 256; BLOCK_SIZE : integer range 0 to 128 := 128; -- User parameters ends -- Do not modify the parameters beyond this line -- Parameters of Axi Slave Bus Interface S00_AXI C_S00_AXI_DATA_WIDTH : integer := 32; C_S00_AXI_ADDR_WIDTH : integer := 7 ); port ( -- Users to add ports here -- User ports ends -- Do not modify the ports beyond this line -- Ports of Axi Slave Bus Interface S00_AXI s00_axi_aclk : in std_logic; s00_axi_aresetn : in std_logic; s00_axi_awaddr : in std_logic_vector(C_S00_AXI_ADDR_WIDTH-1 downto 0); s00_axi_awprot : in std_logic_vector(2 downto 0); s00_axi_awvalid : in std_logic; s00_axi_awready : out std_logic; s00_axi_wdata : in std_logic_vector(C_S00_AXI_DATA_WIDTH-1 downto 0); s00_axi_wstrb : in std_logic_vector((C_S00_AXI_DATA_WIDTH/8)-1 downto 0); s00_axi_wvalid : in std_logic; s00_axi_wready : out std_logic; s00_axi_bresp : out std_logic_vector(1 downto 0); s00_axi_bvalid : out std_logic; s00_axi_bready : in std_logic; s00_axi_araddr : in std_logic_vector(C_S00_AXI_ADDR_WIDTH-1 downto 0); s00_axi_arprot : in std_logic_vector(2 downto 0); s00_axi_arvalid : in std_logic; s00_axi_arready : out std_logic; s00_axi_rdata : out std_logic_vector(C_S00_AXI_DATA_WIDTH-1 downto 0); s00_axi_rresp : out std_logic_vector(1 downto 0); s00_axi_rvalid : out std_logic; s00_axi_rready : in std_logic ); end Speck_Block_Cipher_v1_0; architecture arch_imp of Speck_Block_Cipher_v1_0 is -- component declaration component Speck_Block_Cipher_v1_0_S00_AXI is generic ( C_S_AXI_DATA_WIDTH : integer := 32; C_S_AXI_ADDR_WIDTH : integer := 6 ); port ( -- Cipher Block Input SLV_REG00_OUT : out std_logic_vector(31 downto 0); SLV_REG01_OUT : out std_logic_vector(31 downto 0); SLV_REG02_OUT : out std_logic_vector(31 downto 0); SLV_REG03_OUT : out std_logic_vector(31 downto 0); -- Cipher Key Input SLV_REG04_OUT : out std_logic_vector(31 downto 0); SLV_REG05_OUT : out std_logic_vector(31 downto 0); SLV_REG06_OUT : out std_logic_vector(31 downto 0); SLV_REG07_OUT : out std_logic_vector(31 downto 0); SLV_REG08_OUT : out std_logic_vector(31 downto 0); SLV_REG09_OUT : out std_logic_vector(31 downto 0); SLV_REG10_OUT : out std_logic_vector(31 downto 0); SLV_REG11_OUT : out std_logic_vector(31 downto 0); -- Cipher Control/Rest Register SLV_REG12_OUT : out std_logic_vector(31 downto 0); -- Cipher Block Output SLV_REG13_IN : in std_logic_vector(31 downto 0); SLV_REG14_IN : in std_logic_vector(31 downto 0); SLV_REG15_IN : in std_logic_vector(31 downto 0); SLV_REG16_IN : in std_logic_vector(31 downto 0); -- Cipher Status Output SLV_REG17_IN : in std_logic_vector(31 downto 0); S_AXI_ACLK : in std_logic; S_AXI_ARESETN : in std_logic; S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_AWPROT : in std_logic_vector(2 downto 0); S_AXI_AWVALID : in std_logic; S_AXI_AWREADY : out std_logic; S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0); S_AXI_WVALID : in std_logic; S_AXI_WREADY : out std_logic; S_AXI_BRESP : out std_logic_vector(1 downto 0); S_AXI_BVALID : out std_logic; S_AXI_BREADY : in std_logic; S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_ARPROT : in std_logic_vector(2 downto 0); S_AXI_ARVALID : in std_logic; S_AXI_ARREADY : out std_logic; S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_RRESP : out std_logic_vector(1 downto 0); S_AXI_RVALID : out std_logic; S_AXI_RREADY : in std_logic ); end component Speck_Block_Cipher_v1_0_S00_AXI; COMPONENT SPECK_CIPHER GENERIC(KEY_SIZE : integer range 0 to 256; BLOCK_SIZE : integer range 0 to 128; ROUND_LIMIT: integer range 0 to 34); PORT( SYS_CLK : IN std_logic; RST : IN std_logic; BUSY : OUT std_logic; CONTROL : IN std_logic_vector(1 downto 0); KEY : IN std_logic_vector(KEY_SIZE - 1 downto 0); BLOCK_INPUT : IN std_logic_vector(BLOCK_SIZE - 1 downto 0); BLOCK_OUTPUT : OUT std_logic_vector(BLOCK_SIZE - 1 downto 0) ); END COMPONENT; -- Signals signal input_key_buffer : std_logic_vector(KEY_SIZE -1 downto 0); signal input_block_buffer : std_logic_vector(BLOCK_SIZE -1 downto 0); signal output_block_buffer : std_logic_vector(BLOCK_SIZE -1 downto 0); signal input_block_register_0 : std_logic_vector(C_S00_AXI_DATA_WIDTH - 1 downto 0); signal input_block_register_1 : std_logic_vector(C_S00_AXI_DATA_WIDTH - 1 downto 0); signal input_block_register_2 : std_logic_vector(C_S00_AXI_DATA_WIDTH - 1 downto 0); signal input_block_register_3 : std_logic_vector(C_S00_AXI_DATA_WIDTH - 1 downto 0); signal output_block_register_0 : std_logic_vector(C_S00_AXI_DATA_WIDTH - 1 downto 0); signal output_block_register_1 : std_logic_vector(C_S00_AXI_DATA_WIDTH - 1 downto 0); signal output_block_register_2 : std_logic_vector(C_S00_AXI_DATA_WIDTH - 1 downto 0); signal output_block_register_3 : std_logic_vector(C_S00_AXI_DATA_WIDTH - 1 downto 0); signal input_key_register_0 : std_logic_vector(C_S00_AXI_DATA_WIDTH - 1 downto 0); signal input_key_register_1 : std_logic_vector(C_S00_AXI_DATA_WIDTH - 1 downto 0); signal input_key_register_2 : std_logic_vector(C_S00_AXI_DATA_WIDTH - 1 downto 0); signal input_key_register_3 : std_logic_vector(C_S00_AXI_DATA_WIDTH - 1 downto 0); signal input_key_register_4 : std_logic_vector(C_S00_AXI_DATA_WIDTH - 1 downto 0); signal input_key_register_5 : std_logic_vector(C_S00_AXI_DATA_WIDTH - 1 downto 0); signal input_key_register_6 : std_logic_vector(C_S00_AXI_DATA_WIDTH - 1 downto 0); signal input_key_register_7 : std_logic_vector(C_S00_AXI_DATA_WIDTH - 1 downto 0); signal block_control_rst : std_logic_vector(C_S00_AXI_DATA_WIDTH - 1 downto 0); signal block_status : std_logic_vector(C_S00_AXI_DATA_WIDTH - 1 downto 0); signal busy_buffer : std_logic; signal control_buffer : std_logic_vector(1 downto 0); signal reset_buffer : std_logic; begin -- Instantiation of Axi Bus Interface S00_AXI Speck_Block_Cipher_v1_0_S00_AXI_inst : Speck_Block_Cipher_v1_0_S00_AXI generic map ( C_S_AXI_DATA_WIDTH => C_S00_AXI_DATA_WIDTH, C_S_AXI_ADDR_WIDTH => C_S00_AXI_ADDR_WIDTH ) port map ( -- Cipher Block Input SLV_REG00_OUT => input_block_register_0, SLV_REG01_OUT => input_block_register_1, SLV_REG02_OUT => input_block_register_2, SLV_REG03_OUT => input_block_register_3, -- Cipher Key Input SLV_REG04_OUT => input_key_register_0, SLV_REG05_OUT => input_key_register_1, SLV_REG06_OUT => input_key_register_2, SLV_REG07_OUT => input_key_register_3, SLV_REG08_OUT => input_key_register_4, SLV_REG09_OUT => input_key_register_5, SLV_REG10_OUT => input_key_register_6, SLV_REG11_OUT => input_key_register_7, -- Cipher Control/Rest Register SLV_REG12_OUT => block_control_rst, -- Cipher Block Output SLV_REG13_IN => output_block_register_0, SLV_REG14_IN => output_block_register_1, SLV_REG15_IN => output_block_register_2, SLV_REG16_IN => output_block_register_3, -- Cipher Status Output SLV_REG17_IN => block_status, -- AXI Bus S_AXI_ACLK => s00_axi_aclk, S_AXI_ARESETN => s00_axi_aresetn, S_AXI_AWADDR => s00_axi_awaddr, S_AXI_AWPROT => s00_axi_awprot, S_AXI_AWVALID => s00_axi_awvalid, S_AXI_AWREADY => s00_axi_awready, S_AXI_WDATA => s00_axi_wdata, S_AXI_WSTRB => s00_axi_wstrb, S_AXI_WVALID => s00_axi_wvalid, S_AXI_WREADY => s00_axi_wready, S_AXI_BRESP => s00_axi_bresp, S_AXI_BVALID => s00_axi_bvalid, S_AXI_BREADY => s00_axi_bready, S_AXI_ARADDR => s00_axi_araddr, S_AXI_ARPROT => s00_axi_arprot, S_AXI_ARVALID => s00_axi_arvalid, S_AXI_ARREADY => s00_axi_arready, S_AXI_RDATA => s00_axi_rdata, S_AXI_RRESP => s00_axi_rresp, S_AXI_RVALID => s00_axi_rvalid, S_AXI_RREADY => s00_axi_rready ); -- Add user logic here Block_Cipher_Instance: SPECK_CIPHER GENERIC MAP (KEY_SIZE => KEY_SIZE, BLOCK_SIZE => BLOCK_SIZE, ROUND_LIMIT => Round_Count_Lookup(KEY_SIZE, BLOCK_SIZE)) PORT MAP ( SYS_CLK => s00_axi_aclk, RST => reset_buffer, BUSY => busy_buffer, CONTROL => control_buffer, KEY => input_key_buffer, BLOCK_INPUT => input_block_buffer, BLOCK_OUTPUT => output_block_buffer ); -- User logic ends block_status <= X"0000000" & "000" & busy_buffer; control_buffer <= block_control_rst(1 downto 0); reset_buffer <= block_control_rst(C_S00_AXI_DATA_WIDTH - 1); BLOCK_32 : if (BLOCK_SIZE = 32) generate begin input_block_buffer <= input_block_register_0; output_block_register_0 <= output_block_buffer; output_block_register_1 <= (OTHERS => '0'); output_block_register_2 <= (OTHERS => '0'); output_block_register_3 <= (OTHERS => '0'); end generate; BLOCK_48 : if (BLOCK_SIZE = 48) generate begin input_block_buffer <= input_block_register_1(15 downto 0) & input_block_register_0; output_block_register_0 <= output_block_buffer(31 downto 0); output_block_register_1 <= output_block_buffer(47 downto 32); output_block_register_2 <= (OTHERS => '0'); output_block_register_3 <= (OTHERS => '0'); end generate; BLOCK_64 : if (BLOCK_SIZE = 64) generate begin input_block_buffer <= input_block_register_1 & input_block_register_0; output_block_register_0 <= output_block_buffer(31 downto 0); output_block_register_1 <= output_block_buffer(63 downto 32); output_block_register_2 <= (OTHERS => '0'); output_block_register_3 <= (OTHERS => '0'); end generate; BLOCK_96 : if (BLOCK_SIZE = 96) generate begin input_block_buffer <= input_block_register_2 & input_block_register_1 & input_block_register_0; output_block_register_0 <= output_block_buffer(31 downto 0); output_block_register_1 <= output_block_buffer(63 downto 32); output_block_register_2 <= output_block_buffer(95 downto 64); output_block_register_3 <= (OTHERS => '0'); end generate; BLOCK_128 : if (BLOCK_SIZE = 128) generate begin input_block_buffer <= input_block_register_3 & input_block_register_2 & input_block_register_1 & input_block_register_0; output_block_register_0 <= output_block_buffer(31 downto 0); output_block_register_1 <= output_block_buffer(63 downto 32); output_block_register_2 <= output_block_buffer(95 downto 64); output_block_register_3 <= output_block_buffer(127 downto 96); end generate; KEY_64 : if (KEY_SIZE = 64) generate begin input_key_buffer <= input_key_register_1 & input_key_register_0; end generate; KEY_72 : if (KEY_SIZE = 72) generate begin input_key_buffer <= input_key_register_2(7 downto 0) & input_key_register_1 & input_key_register_0; end generate; KEY_96 : if (KEY_SIZE = 96) generate begin input_key_buffer <= input_key_register_2 & input_key_register_1 & input_key_register_0; end generate; KEY_128 : if (KEY_SIZE = 128) generate begin input_key_buffer <= input_key_register_3 & input_key_register_2 & input_key_register_1 & input_key_register_0; end generate; KEY_144 : if (KEY_SIZE = 144) generate begin input_key_buffer <= input_key_register_4(15 downto 0) & input_key_register_3 & input_key_register_2 & input_key_register_1 & input_key_register_0; end generate; KEY_192 : if (KEY_SIZE = 192) generate begin input_key_buffer <= input_key_register_5 & input_key_register_4 & input_key_register_3 & input_key_register_2 & input_key_register_1 & input_key_register_0; end generate; KEY_256 : if (KEY_SIZE = 256) generate begin input_key_buffer <= input_key_register_7 & input_key_register_6 & input_key_register_5 & input_key_register_4 & input_key_register_3 & input_key_register_2 & input_key_register_1 & input_key_register_0; end generate; end arch_imp;
mit
acfd9999244dcc3cfe9eb2748f005b1d
0.626119
3.036849
false
false
false
false
timvideos/HDMI2USB-jahanzeb-firmware
ipcore_dir/ddr2ram/example_design/rtl/memc3_infrastructure.vhd
4
12,268
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor : Xilinx -- \ \ \/ Version : 3.92 -- \ \ Application : MIG -- / / Filename : memc3_infrastructure.vhd -- /___/ /\ Date Last Modified : $Date: 2011/06/02 07:16:56 $ -- \ \ / \ Date Created : Jul 03 2009 -- \___\/\___\ -- --Device : Spartan-6 --Design Name : DDR/DDR2/DDR3/LPDDR --Purpose : Clock generation/distribution and reset synchronization --Reference : --Revision History : --***************************************************************************** library ieee; use ieee.std_logic_1164.all; library unisim; use unisim.vcomponents.all; entity memc3_infrastructure is generic ( C_INCLK_PERIOD : integer := 2500; C_RST_ACT_LOW : integer := 1; C_INPUT_CLK_TYPE : string := "DIFFERENTIAL"; C_CLKOUT0_DIVIDE : integer := 1; C_CLKOUT1_DIVIDE : integer := 1; C_CLKOUT2_DIVIDE : integer := 16; C_CLKOUT3_DIVIDE : integer := 8; C_CLKFBOUT_MULT : integer := 2; C_DIVCLK_DIVIDE : integer := 1 ); port ( sys_clk_p : in std_logic; sys_clk_n : in std_logic; sys_clk : in std_logic; sys_rst_i : in std_logic; clk0 : out std_logic; rst0 : out std_logic; async_rst : out std_logic; sysclk_2x : out std_logic; sysclk_2x_180 : out std_logic; mcb_drp_clk : out std_logic; pll_ce_0 : out std_logic; pll_ce_90 : out std_logic; pll_lock : out std_logic ); end entity; architecture syn of memc3_infrastructure is -- # of clock cycles to delay deassertion of reset. Needs to be a fairly -- high number not so much for metastability protection, but to give time -- for reset (i.e. stable clock cycles) to propagate through all state -- machines and to all control signals (i.e. not all control signals have -- resets, instead they rely on base state logic being reset, and the effect -- of that reset propagating through the logic). Need this because we may not -- be getting stable clock cycles while reset asserted (i.e. since reset -- depends on PLL/DCM lock status) constant RST_SYNC_NUM : integer := 25; constant CLK_PERIOD_NS : real := (real(C_INCLK_PERIOD)) / 1000.0; constant CLK_PERIOD_INT : integer := C_INCLK_PERIOD/1000; signal clk_2x_0 : std_logic; signal clk_2x_180 : std_logic; signal clk0_bufg : std_logic; signal clk0_bufg_in : std_logic; signal mcb_drp_clk_bufg_in : std_logic; signal clkfbout_clkfbin : std_logic; signal rst_tmp : std_logic; signal sys_clk_ibufg : std_logic; signal sys_rst : std_logic; signal rst0_sync_r : std_logic_vector(RST_SYNC_NUM-1 downto 0); signal powerup_pll_locked : std_logic; signal syn_clk0_powerup_pll_locked : std_logic; signal locked : std_logic; signal bufpll_mcb_locked : std_logic; signal mcb_drp_clk_sig : std_logic; attribute max_fanout : string; attribute syn_maxfan : integer; attribute KEEP : string; attribute max_fanout of rst0_sync_r : signal is "10"; attribute syn_maxfan of rst0_sync_r : signal is 10; attribute KEEP of sys_clk_ibufg : signal is "TRUE"; begin sys_rst <= not(sys_rst_i) when (C_RST_ACT_LOW /= 0) else sys_rst_i; clk0 <= clk0_bufg; pll_lock <= bufpll_mcb_locked; mcb_drp_clk <= mcb_drp_clk_sig; diff_input_clk : if(C_INPUT_CLK_TYPE = "DIFFERENTIAL") generate --*********************************************************************** -- Differential input clock input buffers --*********************************************************************** u_ibufg_sys_clk : IBUFGDS generic map ( DIFF_TERM => TRUE ) port map ( I => sys_clk_p, IB => sys_clk_n, O => sys_clk_ibufg ); end generate; se_input_clk : if(C_INPUT_CLK_TYPE = "SINGLE_ENDED") generate --*********************************************************************** -- SINGLE_ENDED input clock input buffers --*********************************************************************** u_ibufg_sys_clk : IBUFG port map ( I => sys_clk, O => sys_clk_ibufg ); end generate; --*************************************************************************** -- Global clock generation and distribution --*************************************************************************** u_pll_adv : PLL_ADV generic map ( BANDWIDTH => "OPTIMIZED", CLKIN1_PERIOD => CLK_PERIOD_NS, CLKIN2_PERIOD => CLK_PERIOD_NS, CLKOUT0_DIVIDE => C_CLKOUT0_DIVIDE, CLKOUT1_DIVIDE => C_CLKOUT1_DIVIDE, CLKOUT2_DIVIDE => C_CLKOUT2_DIVIDE, CLKOUT3_DIVIDE => C_CLKOUT3_DIVIDE, CLKOUT4_DIVIDE => 1, CLKOUT5_DIVIDE => 1, CLKOUT0_PHASE => 0.000, CLKOUT1_PHASE => 180.000, CLKOUT2_PHASE => 0.000, CLKOUT3_PHASE => 0.000, CLKOUT4_PHASE => 0.000, CLKOUT5_PHASE => 0.000, CLKOUT0_DUTY_CYCLE => 0.500, CLKOUT1_DUTY_CYCLE => 0.500, CLKOUT2_DUTY_CYCLE => 0.500, CLKOUT3_DUTY_CYCLE => 0.500, CLKOUT4_DUTY_CYCLE => 0.500, CLKOUT5_DUTY_CYCLE => 0.500, SIM_DEVICE => "SPARTAN6", COMPENSATION => "INTERNAL", DIVCLK_DIVIDE => C_DIVCLK_DIVIDE, CLKFBOUT_MULT => C_CLKFBOUT_MULT, CLKFBOUT_PHASE => 0.0, REF_JITTER => 0.005000 ) port map ( CLKFBIN => clkfbout_clkfbin, CLKINSEL => '1', CLKIN1 => sys_clk_ibufg, CLKIN2 => '0', DADDR => (others => '0'), DCLK => '0', DEN => '0', DI => (others => '0'), DWE => '0', REL => '0', RST => sys_rst, CLKFBDCM => open, CLKFBOUT => clkfbout_clkfbin, CLKOUTDCM0 => open, CLKOUTDCM1 => open, CLKOUTDCM2 => open, CLKOUTDCM3 => open, CLKOUTDCM4 => open, CLKOUTDCM5 => open, CLKOUT0 => clk_2x_0, CLKOUT1 => clk_2x_180, CLKOUT2 => clk0_bufg_in, CLKOUT3 => mcb_drp_clk_bufg_in, CLKOUT4 => open, CLKOUT5 => open, DO => open, DRDY => open, LOCKED => locked ); U_BUFG_CLK0 : BUFG port map ( O => clk0_bufg, I => clk0_bufg_in ); --U_BUFG_CLK1 : BUFG -- port map ( -- O => mcb_drp_clk_sig, -- I => mcb_drp_clk_bufg_in -- ); U_BUFG_CLK1 : BUFGCE port map ( O => mcb_drp_clk_sig, I => mcb_drp_clk_bufg_in, CE => locked ); process (mcb_drp_clk_sig, sys_rst) begin if(sys_rst = '1') then powerup_pll_locked <= '0'; elsif (mcb_drp_clk_sig'event and mcb_drp_clk_sig = '1') then if (bufpll_mcb_locked = '1') then powerup_pll_locked <= '1'; end if; end if; end process; process (clk0_bufg, sys_rst) begin if(sys_rst = '1') then syn_clk0_powerup_pll_locked <= '0'; elsif (clk0_bufg'event and clk0_bufg = '1') then if (bufpll_mcb_locked = '1') then syn_clk0_powerup_pll_locked <= '1'; end if; end if; end process; --*************************************************************************** -- Reset synchronization -- NOTES: -- 1. shut down the whole operation if the PLL hasn't yet locked (and -- by inference, this means that external sys_rst has been asserted - -- PLL deasserts LOCKED as soon as sys_rst asserted) -- 2. asynchronously assert reset. This was we can assert reset even if -- there is no clock (needed for things like 3-stating output buffers). -- reset deassertion is synchronous. -- 3. asynchronous reset only look at pll_lock from PLL during power up. After -- power up and pll_lock is asserted, the powerup_pll_locked will be asserted -- forever until sys_rst is asserted again. PLL will lose lock when FPGA -- enters suspend mode. We don't want reset to MCB get -- asserted in the application that needs suspend feature. --*************************************************************************** async_rst <= sys_rst or not(powerup_pll_locked); -- async_rst <= rst_tmp; rst_tmp <= sys_rst or not(syn_clk0_powerup_pll_locked); -- rst_tmp <= sys_rst or not(powerup_pll_locked); process (clk0_bufg, rst_tmp) begin if (rst_tmp = '1') then rst0_sync_r <= (others => '1'); elsif (rising_edge(clk0_bufg)) then rst0_sync_r <= rst0_sync_r(RST_SYNC_NUM-2 downto 0) & '0'; -- logical left shift by one (pads with 0) end if; end process; rst0 <= rst0_sync_r(RST_SYNC_NUM-1); BUFPLL_MCB_INST : BUFPLL_MCB port map ( IOCLK0 => sysclk_2x, IOCLK1 => sysclk_2x_180, LOCKED => locked, GCLK => mcb_drp_clk_sig, SERDESSTROBE0 => pll_ce_0, SERDESSTROBE1 => pll_ce_90, PLLIN0 => clk_2x_0, PLLIN1 => clk_2x_180, LOCK => bufpll_mcb_locked ); end architecture syn;
bsd-2-clause
39f62b145fb4b06a38cd8b94138310fe
0.531219
3.967658
false
false
false
false
fpgaminer/Open-Source-FPGA-Bitcoin-Miner
projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/common/wr_pf_ss.vhd
9
30,210
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block U1Z3KHik5RRVkShw9kVXBGwR/sFKyXvZKAS1Z1LGAw4E6yNd15KljvjZUEns4//We+2a5GjZ4mqz f3pFL7CFpQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block j4E+zQDzokQqXWsjQwjXucvu1JGF120ZkvLm2AanpDA9GOyyDIPokuqG6xrjLgZX1E0jrtCbLiXd MmXKbdFgaEvLPa1R0SMLPwnOeskg3tFyVj+BxWx/1iPA0lrTxsQQ5bb1ylpj94kIkcjUTmRB0yra layoI68L+IPMC/LjgW8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block l3ggWSP99avtUtXFjiQiuOvpna5ThBIw09QMo1YTIQGeXRcDYq2HqPvqlq28af7Q80Gfh7fxOsHi mBBkwGN3FO+gips9EUmymLoGyJJji7ho4/GA8UJgSMZN8PIrrEtJVFjtzpJ+9W3+yxwfvNO2d1ph t/q15fp/syqrVL0IIWMKF4XIssGDyHX7mxN+dhA5Vf3hAsZCWQpsBYSw8Qz/1mXsqmsHb1VQscsm KwtiyRSyq1vrpETxF1AYMi3fXOozlHfnwZq4nYIPiNj/c2qaO6WxB8hkqRjIyzditeIzlFDogXDS 08Q/JvZ91hlQi/ybOdshWecehsNzx9AgvGqmpg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block swNybt9TUhqrqCcZe55Qz80P5t/hJpKPr66nzSuBQcnaNmBzjQbWvbw6S8jICEhJKfsosH41UCec h4cS9gKOaAsZNt8A9kFCZYl77z1JQWbql2BRmQgCsf7G47w3yLKZ669W/caADJvbkGJVxMd2oBAd 71g7C5x/rb7r2LE/ZG8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block tQt+UBRRaFPqAwRVpHGuILl6NpzG9UrOhLGn6EydVbaviVmpdEdMZz6eujKt+lA7FtodY9pvdSNP XJ2123X9q2LtnkK7PT9rzoCQUARaL+t48nd07qt2SAFNvqriYaw/NcWjNlifHlzOXdULv4Ln8Pog NGa8hPfy9Mfy95AHM7XjkWN1E4U1BbO0Zp/ftWXpywkEGB3+fQcIZCLfWYfwAjpzWTdKARZDA3Bu /uwkonFnmJGjo08yOJ7HIBR/97EK4TPEPtOzOcnUmHJOwakGecjqhncwQCEL5RpBkD8qcR1/CG1D 1MNCBUumdi2eV4EuFbYRdWBw2Z3KLR77VlNe3A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20624) `protect data_block jf0NR89NsvCxIU2fx6rMsLPYVVQShvyuLTVgQwXpnJPIe/uMbg30NMQ1nq07BHuE5ziIo+6I0gdo hzEVB2wmtfiyN3tAQrccC/vBTT46gY81ZFPqD5rNzcMrU7xwdxh70m5rILpxG/IrnwGGplKMzEFz 7qrVI65ywG4AR16ujZQuj2DoX1GG0JTpmP9CY+XACYhltceZmpoXy0Pj0C9cxru3nh9olmMRDIYe 3/C2G9zk88jnPrZ7mSg7O4B9yvHg65+xwSJgx/XL0BsCsl8JH+968qxVCbwapOeaZozXQNKbuF0p +duswA2sf3C0aj/v4BFoolY8SqCFqySwRzAez8+w+V0bI/nxnk8/evXl0vuMHVJMaK6Eyh8cwF71 2G5oMT8tySMT9D0KdBY9DiyOK5iP0dsuyPhh9bHow4kgUIQL+ax/7je3GSiBZer/FQvIrWWAp4x+ EXfmPa44syy0MDk5ceJFGmoKekZDqAR36fpE+Oe5V5H5JVbAxNa1HEsCp5dMlaudg5XoiBV5tGCs E4T9pmjkSwhHrJcRK1FXLhq5o3Y+z6sGrj9z50Q2PsCUE7rqT2u4kvv+T1JMS1XpnHQQtoHVQdun 1F0LEUgtomyYt60U/fX9L38U1FzyU/bNYMKLZBVrhfsTh/6ZN2fFgd0Dj17mJdIz/lINRM2U4OpW 8yJ4MUcjDilp461TFZbb4hnuHTyJs/e0JLstWhMKN0oV+WF38CUExwJ/9zYSG3p5vFip6eZ4J0cl 6DpfMqyKEBBByAxI1SG/dEnEwLKVF0Unxz7wkuHv/BX4VKKC7+9eZXpKGCeWI0pVjGblMt3FZp3s zRSEl8G7y64x3IqaFKEeQdYqanjaFXLHO3I3JDs4Ul/0ncnPZIxF8D5R/0c8w1rqAhFKBL5t8mr3 W876gqMOOlYkoe6QXL8p9w7ngFleMBSB5+JdqFYDPKdDGiF0a9H2OCjnqdPl2Ly8dV4G9/Q5aixk GOI9TjuIYN++ExwJg96sMnGDtV9koothPbesgCrnAieUlXjptnVh4S3xwIOroFaOKV3hWweBZSbf HuXXP/CHH+ksMr8USgEDaNee0wumkt0jodis/2tyV44KX3akNVzK/0JmPyFt1fTXL16q+wOc9lpf 4JvMLja+tISilWN81+1WeC4d04zRZje8Evlg27ziAcCk6hx5tcjBmLm/f/e8Jmder7Maevq5NRaM JtrZodnBlguJrml6sZ8bqY7fV8/+6g9IO6z5zFbtRLAVgUSU4BtHcRX5n/j0PdJi5GfOjfD7uRUZ HOQSUf3ZWbCjwVdbe859ZsBbxyWsAj0lqPH1WzpLKOw0trhcVtSUiux5VEInUx1Vva3VJ+Np6HUx OwMcAMNiP+aM6I3wp/UtKABVf3/xJC+01+4f6aRGCC5TAtmwTsWxN+tLqard0sbGK4qjfrDg8Exj oS2uxNdLvj1pIZk5RDQKZOD+nCV3o+eTQCNUpE2b6c1SM67WRmat6P1T3KxLEY9Uoy1pMu9jO+92 eGDvoDYlbPpc5Cl+D4yY0JcjQcF1gARYRMbVBlxGlDRmwwXP4+3K4JgS5gcrxTg3GtGjqVkjt+fr ZEaToWH+9tGKR63Pj/tHjO0wPVX4fNnSXkE/XImqjujozFAXvHLRNhpsH1vt2wmMhDeEqxxdLWAY jZR5+hMtPYvGhB3f2px1q/JShcxWoD8Yehu45nIIfy0MCPsGnvPEfKJgOjygIWCdVzHxM2LsWcr6 DIdaTS6tCoxB3xoZP0/JAxiqsvUijoAq3Te75h++XLeRyxZmHI3xCRSGGPR7rdpkx9r2IdAwgg6M iRoniRvi+vKkey0+rVoRRyQU1S1z28lkLGcYx2WamRihBXqG7q4xxBQdvmE3RYprEjdFqS/z16Bs zy21t6xQJhoJj0jZJiUXhBM4MFniQXTneG8P5kQdRX0X6b4P+BEQsXY0xkonZvVWjsSeC4LNIWe9 qLmIKdKLu9HGTd+rLp766CYwFEgr44hJbptm+e+uiO87O2kzCEpaLQnLs6fzEMVKdQTHW+03Es/h FWYa6xzry283ct1+ocHAZu3DzwQk8ytnVgWz9Ck4tf4g/UOpDoSDRuzO39hCxUoSKwVz9jgN+axh 3v3GmrFw+RdmPCmAIXCrtIODor/4P80xVMHp1w/mCafFhP9DPamqXbX3oQ6zKQtfBuGjsuO5F6uX aztALFQnlJqN2PVBmHadG7/v/TXCq3PpmCR+yT7zYPNGxsGSaeAP+ktQrK2yZm8MBNLPG6ugJS6F vrvdlj939hhE2ncY7sit2UlHq8qjCs4++ZiSsCuVETcBmY8tqk9a2FhSu8Ly/UYBcwSgSykACbX0 RlCYnYdrubLSS6L51R1Q86YFFLLYgQDp5raGFQJYb/sBt/9WgNo/tPTHc9J9RUrVopPkAp8OK9kQ 9sf9jDYcr/xFt+x+SkGTcPS5d1/HGQskaRdh9EwV6CSfMghi+BUqiYIqhvpZecr6b2oECzVef+yN rfBxOagLW3rIholFy7NZtIpnK132skxvKzaeiW7YOJIANtDhvJNuvBZC7CXo/a793T3fHZyoLYBX BTzqQRtgV2hijn4gv8M2T2IdAAthZJKwQEQ31POgm+gd1Ne1MLuDm+ACBx51TVPgg7esgt46M+cd d9RPtn2o9m9/gjmQJMBNWHK9r0JI56YkJUrY035eqDjvUupUmv3Kh8VgstENMl+aWfZxL0eIgscP q67S1+bLIdw+8dmTGRkp0HnJ7ZX8gswU3yyHgZnrVRQddOCtfRKAJsznxEuLp/xRp6zg04pGTIaJ BnaAuH+DNXrBBLI29h5oy40TA99+quJBjzAuwt0Zr6IoEtKs2oJ8NwVCv3o7pxsUTR8s9QKTn+RN UesIriij92+rOcYK0F8YgHbwjNS7lD2vJkJMelbsBWsfggIFkCQ14dRK3M1/DzmorQYKuHXj8Mia tbbiBQ6grfZBAu5RD4CbjUeM2NaILtJ/tDuWsi70o6HCRUmq7wcr7quZcqrTUIwdH2hQbLDrfYE2 XvhPFi2jZvUtaNSo9aJQe+le4GqAYfnO8I3GkQo9Y3gN6U0lSkn++5wWSkAi5TufuMPh1Onpq9AD 0jKX3khieisW0gdNSQVa2XCWoxu6MBgjNK15O524vILARLIqLSX/2mlfobnuBcOHKfxeA3rFvsNK G0NSFHyMxMh9S+/bRHMAe7s5mTf+69mefUPltJaVk0RZVUL86NgARhYEpuRsmBs9SMJnzWUFMEVk tOjL5fkgXzwM+DSmFJn7QMfkQy6VdIzV5uIMnhZS2SQeCc6pwc+rSZfbXCB0qcJlUeF7PXSBZBuu drhfgWy11Cd0ZGptigR/evitS7ShvG2MwzV3K7FS7n58hfw5CH1cjMZ05Bpkjjh5lQ61iB6FEgDL gra6t9LEkfCjuBTImgmhKLUDnKMWyezaqdzNBw5qD9wvx+DHR3+NKWwihC6t6XAvNG2n+UnZBdQ/ bDxJvyVWwsXHZvcHU4U3+oYW/RKAN5GsZlI0YcVtTbCCF3/zKFaFafEWM8sZGYcLQaB5xr54opkl fyDE/5ybOBe+9neBQ9NiNpX20FRxTrXy1JJTaOW2EU7BswaXLzakpJ267SCPc81IAEethV5fS/Pg lgiRg+tNlthvJxqCF+L6I5EP22K9zIVb07oDKIedRrZf8php5hK1uJuR16H6zg1PVxDL8CnO1myi HvY6JZ0ODQKbGwH77x0j8DdfCzVlai4VDzffQVrDhkZ6/KWTB44HJ4qx9MNNj4jdmi/NbCfmNGmj cpSFaVwvTSeUDtE2A70BOfSxp1bc/N628/jsAHAaY8l3DtWqMU2E9Zp1CfkHEyOu2hFb4jjYFVAo DnLx1/40lf+kFHsjqkY3EcchcTGyLhSazKFyt4dwnTs+tTwgLXfSBJpA33BbJjv9rYEinfMHBujo TAX4RTR3S/eQRLRDYk2KZcz5hzZuxHdY2maQ0q6fJKgZN1QA+WgcrdZFRQ49ZkzQfMdfFjHLl+bq Tz6fK/6+RwR8/3+OBaNtXvSfaFM0CbV+/zBvtyA1c00AKW5chiZ/FCUyUnM/jYvsTp3oQAZ8LUtP vBR8IMNjN2O29FRnCOo9e+vzf4yvIpSLW7wcit4vEtoEEPSIVS7Zjyq+mRvmjPl/FZkf8NZTjwvv ObBOF8gbzZxYz6b4HBpERC2Sx4UlHQBf1Rcz7ZGOdvqurH5oXkQiRqJB6gtCrgRvQ8bWSxRzIrU+ s8tpIlUaj+BZNaBGrjShqpGLozqoV+25vhHVTz6s5EDfzQXjirNv5G6JNGDhcF7an5rubV26/WcP SqcP4LCUqRCGUO7OFqYUi6NnihcFkTP6PjYwMglWSyyomrv27paPU2sBU6LADL9Kk6kjaGH++OLm IjCaGjtHgGuqcXkSPMkfBQXlYGeauQEL5JKFELLtIWu+Bvz2Qm5I5Rv38HHZbzhScsTD4C6p23AM YzxKjWNoaf7zwqMyO6nIpnOJ6737WLtc8IfJTr3uyYkvf/aU+AKWI489FPrxFMrF1ON5Sil6WcME NSq1vElQWaOlDzDp+agcH08MJ+JatID2LMO5HT4GTGVAg6NmNf9tnJsqXSj28Qjh1OhoKs3Aponq ImPVZ3U3eJ8s0GmjJm0Syi8O7B+nyjYl9uVxuIAomDQbGEXhp2dca3RcgLa9yBHPNBJwNgoGTwsI 0or46II3OH5FoOFFLDc4mhczuIG/uKXB71w6KwhyYq5LbHKWbbEUHaF1C3n0YKnGnfBVDLy1haka 2k+JzE4UFwiATSaD4nfBq3I+eR4TiZHZ9kL8WKFH6GWn4rUbZ8UdF3i/pcTftgAwRHwaK1nvPF9E 3yoAOzkE4DP3cKMqV5FFdU5YvVxNe5/XWTNGhh7Taosrarq1cpqx7VtAODWuRDISl8yDnzxpv/8z 9myxTA68ka+9kuYuvN9bhOJ868g8tQkunKgwSqre1mxrm38p2rvHKYv9SrnW/SJntZULrbdAg3Gx Ll0JrXJhXOB0wOBAt/YqyZOjlhm57/G/7F3arlheFCwZftNKmIA4nAHTY4G5KGrvOvOLRScsuVMZ A8dh4IJYhEh3PxXR7KR4tyY92Dwm7rbIANT9loNUFFs3nkpqVfMqVqvfpmJTDTUhn6/gTyo6IBp6 6UbdDrp2ALWm5wTAlwlRwIKivQ+3goqMd4804aL6D1EF424cA11OVnUEOF1aI7XlREDs5qGdmJ+k wMPE6vqvGoWsdQBau1CAVuMJGpNLGhM04xP0O4IRo8ofMChki0FZ7Fv+bxknqKvx1dQ6HLL9Gzho D6kooEppuMnrjjw7cfQ7TRr1t9NygXBp2f4WZrUr+1Ez1/YlfNtGTBm6uUPHi20V3NUEUEhpXyjt oEQ/133rajRuOBnZRK87F9SHpukeK6XAmTUi2pk9RnFINIWuIvhVm5BfVWKOuiDIKeiB9wxSzAnu gBjbfQLeIKbMP59q6DV8IuxkHTT99ztNAOFMaVgkqxZtEhn1y7k23UUxsaGbw9I3bewuBzGkZWoS GqjXGyvJZ/BghKQWbz5ziHN99V4yDjGtYLKl2cIWlsUtzPifcnmm7d48LzCPrIECRyEkdXD7Iln2 TtN+VhaeKmyNivWnJTHTuCyAMrhE87G/eZRsFWDbU+C23SmJZV6xUq3fxsOV6ZuxN7/ZS29e6Jle HbUvV6C8h9EkQXtRbXpXX8PbG4UNF+uiBEtEkgiwkrodV1WkQDeUMsAvKTJ8GiwE+WDbpREiejWX 1cA0kK0uwv3FgGAXj96Nof4tn9SdiSNeHaYfCJfFg7D3jAV226aofZaDfA4KZH5KCelta5wHCn6f gnOEDo0HDz2bilaGtMkAIG0sxzJd0DzE9DCTa9pDTOMN6niTtTjc/egDqRpyMdlbKXmbblN3EdJu S8hgkhWR6UxCmTCsjL77vhzPx0hnzUFJdk6iqs7p2L7wm+7HP1QCIOIi2iuX8qKMdjv4uFbec85Y ILm4qPyUy210MiGuTDbKr1uvlQ2jrJeZUvAdL2tkuFL9Z9e03KYxdV+n1id3FkJ1XNTH6GAF1H2C 26n8r2ipH5o6UM8Qj9MKbeAj9SEiR2Fqw7R/UoGugTJf5W+uhAdNBriN1K+B6FRKmx/qemTsizpt IrRJ5WTOdAMyDJWCZUGuLJjkXQM1Wppj+S4O1WQVdcAaEWXuovnqJnyXC3NnFBwd1noOWSm9oNvH sQb4jkmqIlQurOAGs0F/QXU1qr0x3AhW7Bx+XmppmrzGx54mAKl1IdxRo4yY9w/wt10csRH+bkqv tzF0OT8fdxZMp5o2gw9ZrkQ1vQGPxORa7wxo/2wUyi+XnymZPmHZ9AlQaGmQ+J0fO6auNyxWdolT UbZovm80I4H20iztbbkohxXjr8jznyPE1VnNqCH9eStjSWhSjUzT06lvZDCxeDuyB64Gqo9ndtqw k4SndO54kmNKsVUemRfad+hGpq4KIGR8dW6VobhOsOY6rvhd0qXlBrZ49HVSM7WHTaHxKYyzJk90 WejcpuVj5bblQLBwHHbQoPHSEjxyQNNYVWz23S48ZLIZLOfx1YMEg6hP2TRyETgkwyLlGa+npgwp eSmYPIQK9hry2YKNjgRm13MaVv4+osOZrMvOuOGXL4qsDsvxRoPFek4Ebf2uDyhqD8ozSiuH/CBv VFiuQHCyzD/00fEIzpWkih6vul9iu2Pj0vHJwEJuKyHWt9OUj6ZeRHBhU188sfwZg6/wih+g0uZG /MSmIoW+KKIYneDW4iglTVr8Q2sTayz23+mmZjQMaxEAbQkmdxASgovaIaSAR9AkOvBChfB3tvM4 Q2Qwt2PgvlMITnxZ8AF0ghAVv9jyDoMYbqC/FTopSM0M4ehczO2NX2Z1+bip9tEq/9V103BO/yJF pE6PxnsbsFiSrQy4EsgAcvNYv/2lKeJmUCHZGOeyXJaFR55/GaIZlOyE/XfiqLX/v4F4/dnzgB79 3iukvYav6VGvn1hIl3HXfIEARX+LtOQzIwZ4YEJmzgfNMNSmf2SsOyq6+kN2MoHiNJKqkhBgdI9d LNaOqiNKWgdFtwC7CVdEi2YYDB9ppAI/nhWYL4bj4hm7xQ4x9dc2mKGIKJW4KjNCyJv3KDaK4OAF lhZ2ZCqOutbROrcWfqSCZZHj438xLMnqkzNv0ZAbEtnCeDlLCfUhzmFeyuWaWVsrMhsrrD3cxyv+ 59nozvoYOWF25NqeXcz1jeNXLoaW6y7u1uwrNo1vhTwR3Q3RotnxEbk9GcMEBzw18T6xJ4zR3IQK LOqSYykoqE9bciBYUkKrqUli7Q8wydLsUaHgthKMKlnWmVDnMF9Z5DLJC7G2fW2cnE2jm7zNXAPv WjqmdY3ebd5tcHzBh+vaiogbHNwFogCfUiSP5UcF7Nd/r6CXWj55rELmzNhUET9fTGL8FrEJusGD oBYZYJDT2/YSgZ2H88NuqO0l7m8oYwoDPs4EeLfPT/RweRMrGRnNdW5lke+iNDRH4/L410X5hDp+ v5fEuRFZ6ke2ayYmezxaZsrtfoH+xJh4B1YNVqsBTosjvKD9qQI8G7fHUpUSAVc2aU+yiVxFFwvQ oeDzJzdkfnZFVoNtalAtIgQA3WL2g1G3PGJPmAcTJcHvL+YZ35snfxpl50e1NBxOzKy1dEJOH6Vt EsQY49MelNQ2cEA3UjdEm55EFGNOe5mLbia9VeepZOpfE7OyFg7abPqddVt2E1XK9r6nznwlUrSk Qr3Sf20HA7sRjN7shoP3WtCmnTMyWnw4I3ZiRJl6jXlIBUXMHzPRCoReuTiHnSFiIoR7LFVXyw1J mfuPGzpvuCjyJg2RcfTH2f6jIEzW52Q4uhB55aC5jxRv5rE7JHK42GROMoOH9drkiGkcqzEKcCkB yWIMVUmoi5w9JkqobYWbETOqbKUi5zzsHEZBDxHqadNzyA/6+AGHXDOQYLTKpkEeVr3Q7mEPpt7l 3ifquz7Lyq7bgrq452hCb5MWsKSK7d65rfSd7urgd6WrLhEPvc3wxHQsKiEKw0exDM7as/Gzi2cH mpgICpwn0gSoJzgre/9oUOD1WEvs+Z5mx14tSxp5ll05Yapd3c8q31WzhztKl65MaeABxmk1tL0G 4Y3s4tIAEcId1JCB9KcFuYdqoBpjbv7fmk/9CQxNT/UbJ9VCMTynklyyGKkIewP77M5pT7RUYwxy 9Y8YXc4Ni566JU8MfBiZYEN/dBe9iDJd8YGspBa0uwSLlfAZGj2ojrFL5IzYB4iZDXFB4ejxAkGo hstnOmbKPci6P0ikZdBECIf2FvBdzrcOKOP6kxxaPsS/mRi2wojET5mMW2d9xTJOGVZvESZVnqPs lnP+03D9Kl1Y+BT8Viui1jvncq35/dVsLrKzYxCRP992skoHrSfGxE0m0funMP9RjwMVTM0cZcPZ aX0b/OgCzT0V7jv8bZ1whqHDFCLj9mo3elPMUY+PFfyqj3KaHUduoWjVn2ACMgsz6zP7usDW9vgF oFfF4dZvRcUW20tNtrwzSxEKALPjw1Mbq01Zlyr8qCEPuEcRDDyi1GXDzmJ2ozsn8+BOq8kF0/1+ brVxvPJlFB1tflaxPSDzQ+c6CUWVnCc9G0J1da2g+pES9pA1Bx84iEX+UMgdakrwh0d2g5WJ+2lo mCS5wTW0Qo7QJpDhUbXpUl7UAAaLOR7KLGIrSqf1JXSBPuBz9lzNityRVsIahpCbvzEjjV/yRX4H BM51bXVddd17oa37l51fRnU+0a+9yhS1AnXLuZMG9BwlyPYbKSnADv/7Tex/wpU2/7+fQekbDAyu xn4NPJQxED/nqcBHfOYxnL0LfhYs0ktMq7IkyYNc41W35v/oEymg5ukhvpXokuQa5obFwP+eIZDG ZxQ+AuY2zH0zzZ9IbcfORFsCtCHu7LBvS9ctqMseO+ylYcennRZkM4vn5K7xnH3TkLZtDtvEshfO 0B2yNks1rsyFXbLcO2vYU4M/Vjqfl+sFisSJG1WHAU8FN9vbjqTze5NZymsgwStYLtICWH/TgumS eIINnNbN5jHwE4N3KhXKEC2wsVSlwB9eVad8cGU69Q0uZdZJ+m6lKwniTbpdIg5KSV8McAXOo4i0 1Ma0RO1DZQW+nCdtmwTJDc1LLBeAZa7bLO5bEOuEvFUkztO/wzJTQzvbjVAMpuDDZftFvBq2YmOB PJwteeUY3C3LbdGTKSaO0sQpbEOLu4LVa+/zfItTgBCm3sbgf/xi0UdbbeEOxS+l8oYTFtBnEJFB HGwYRbaxH10i/zwDb3nA4XzSQbjlFmWiUU/oHK7r8OwaHozXf1m4vZGaq3Ma76Y6cWOm2OWuMXXY bEopzCBDYQyxHtmuo7wP4FqbovcYyioAqemOHs6KJDgycKo5nGnYYC//bf8ygal15Pfb+vpIx9q+ 6xdwpxwdFBG0lkZsqNYTmLKd4Pop9r2d08SYbWCvJ+1KAg/kvwhIzjpRMrdUl9MxnHBa6VAOHJFj P1/52ZCSCIUzczn9UL3sIKyeSC9Q+07yYNZ5DvK5Lg9zSYFUs/L8l1AgDCjiDs9Wqs+bbE9hHl0f B5RfT2CWgLWdVcx7fDU/P9QINWX6HkwJpkq1+ofgGU3qDaVs72Q7Da0hBL9pmaiL0CQfFKfBP+1M uS1cpGdxauxXh7wcV4mGTdLsjXAEGjy9E3eqmVo6LVbz84jflBpHhKAZGEItrWUoL+x63A1trx4L HjoRKUfMzo2sbvQ+AgZQ8Zs0SOmGIusMIe+hTgPIw5ATBL7o1UDK2HPcU7ekvr4uDY4GBt33AOII tbwa74dc8exSfsSrGflIfeU+iDF/hhoc5rA8/Afoum4DpEj1boiucz0YFovyr6PIAbrYByi7yc64 Qf3nc6bDk0ptUA905OjxgeCf3jQ6rng33PA1JiHVXamrdaQD+2j/6dXUJK8z5LkNbim7I6Zi8a5d S0jDasZOvf9lx+hOQL5NuPdBuLsjNIUO+cOb+L4imUvhp2WFsbHpSkgn5VefO9cEZsFQ+Sc66zI4 /gX9ndLc7pyb72FW1MByuhNKRZvtJFGRc2OL+8HG3DjdwpIyFf1fz8A3uLhwb4xcAA843oAFwWtz PkbICmx+Lfzfe9RIDJAjxpMLX5vLE08V4y2cqghDayCrVElmAlYxq+KYItY/y0w8hrUkwxWnayba ovSktTGwqNF9HySP/UMMLY9KpBlGUHLnFEKPie53m209E9OmjP8xNWkprGePZdVQvrr3X7c0H6UG cENwWpMNkffXZWbC0nBhTjn5/CIh3eN4QJhkKce6B9caSeg/q22Es89kaOiWFs3/9SszeXWEG29t dH2BdFc5FNyhVC8SEnVIIFotGpsPsadFZN9O8y+nIgW7bZZQsdHiBrh7ZsMieXOH/aKyTbZCrAj1 UZqAv3W3GU+PDB4TpxsT4/a1Jh0XbnC9ziXJeQXyc4iaUqC0z/FJgazHxo60emJ6NJLC1wS7E2bs kc09NNqZzFUZ+9n+I3lmREgT5P8HLzRdZ9BbvMZPXGDohzDhzJ35Yow/gLJvptSnFrcMq8AEPS04 cQHZbWigLBOvlk+enYRse66RNFxHm/Dlm9EkUyQkRO5CsvKMgnmYjN5dAH8sXXFjaXd7+aYFrejy xbKDeAJaJj2KQ33+6N2U+w23dhnvRutuSw+KIglywtFLdv6oJ8A6tpMl9arNfM+vw/qwlMN2KGoJ vqMPrcvx15R+ibE/Xp82YsCe6yTYuL8t5rPFfXmvkLALpLaolmw7RjfbrfTJr5Wy2JeU/zQHtFXS cA5Tfgqdd+La/8bmtGHiS6ERk+1NAFNwY2fW5c6bI8EyhnIuay6/2R4Tyav+yPu52fLZfVEgdMsT FPprS4XP/nGULqR3tUxmmAe0CqlgTdKba2rzn6MrXITALzwsbAyprn1Xh5MnDg0eMcOGpxS0Yfnm UvSIBUGw6neW6P9DAuBgcs5OO6YgUSMF9161lTwggv7HmwwSAPGKbBlReHD+EBxNSFy8xSFPnDsh Vcj33+jTHPDQuIZf4EzExtG/pddYoVNAOtxF/YgE8oyDX2rRuWR9Vkxkvyk5R1SiF1q8ggkfUKCp hvHF5Ls2F+oi/w1VB+FiuqvvOOnyN/UqYIppBQvis3XvKHSQ5aw58daA4cSnEeP0okSvO4tsCmWX sEGQuXvVsvcYYAwPJXlKLU8gwRm8xvXl8F8cCMguc6ZzPq0o1erDmu4lx6x3P2bnWO2nMIMMhDli xFqA0/tD6NiWeuyL+1K7kN+GKjVpvnOK4tO3YQZJizEciSGVjV2Rm+lDHVGDvhUSF48spowIGgr6 zI6yv24qryRnDgnvf2vUX/yWKyNMqppq1exZv3hImpnTmh5roxGWARpy5sxzf4Xxsmo+N+JjR8ZE Lffnhqu7h2VX/gRRlKpowXhSeihieNjLn5XsHWRwo/jH6IPQrdK/rapSeKSUdVz1VbKKL0BCwBHw SX2omTj3gMqm1oh5sXoe49Zt3XsZVk8o/I1yVfBvwYy6xFyCWW0LJtuznFKRphKlfzoaNlfzT12A nyY1Wu6qQmATukLdUVsAAp5P3Sy0QL4ylCnOOXS/OI/duttp9PpHyGZtct6aAXhRIf2pmLMwLf9U 8yJmscfojoqT5Y49lMn15CA7eIDexkuEq74FrxQpaftqUnAIoEeAsCuxiO+E3ec7O+5wBiWiD5J7 Q/uxntOU5nFSZ1uFZI7s999ExrcgVMITrndQGgDkg5tAp5jkySzehR5tRqTvKBX88gujyUABpDxb lx2m1oe4CJSEE51UCdiwspRbA5hguxRhqIh25GZdK2D+nUlnh5Xu46D2RBGJ1wQBQjSa628905fN 8E1ZjbQD48lvSBGC75FZ7JQvkTK/daJiIi4Zy13LoKsm/mQj63pWuZfkuE79OWRUkjH4IRILU6Ev Xd+0+QYSEqfYE551Ijx9Dyb/EA4kyLQJ3U8dvnSuhEqe/c/i0iTEheq8Z7PpPgtbHcDTs2KapexV /+rhoRqLqP6l9fAidpNvc5L32vEIWV6OAbFiKCwDOmpmMa7k13rBBxt9NuyxurCABWGat1GBA0+b nqGs3JjFDOl6PL36WeWmip/m4qyDNqKngZRRKnwPTvZvgDcPzm9oZuKhTXWbzghirMjMjEgmROCa 9WWpKjUlkLoesr9V5+CxArXwa7aTzt5hxtgbE4h1JZ7kBqu25TeC8vIqVMCpCk0kju+9FMBwQJ65 AkWLUdvV/T64wktpY4pmBC3p9/L430GyQheqtkIpPZ9It71wPasEL3SRtrEf4Bo5eC1bAF0bNKyc xU+i/MLwAUQlC3Uzt2t+Nk/0MOaHtcfkTP2BkzNwqLM5hQW3MqX4I+M7kYaavBlsA5lh5tgDcikB zBzvqjIDjfhE16ENTE9AJbEQwmge/21XfcngTHINd2OUL14FA026dA1cTbWfFQBzWnllmAZjfbBg Ahv9fRfi0jmqqneBy5Y0n86GIoicoSy9Qvpg64vaLlrThtMAClhYy10hHnvZY5pH9cjdCQC3IoqT +0N/XPN+7f5pta/Rf8ed8TpXFbPgIMgutoUMtZGQ13+fbFPBGrEPdmE9Uwkw/Qgnrp/lJi9zjvE2 rJUUBXkNa5w63r4mF2rve1LxhY+ED3ypksM4Z6/m5cswg+g7+kGkNq1GYIlOOAV4h8zIJe+LUDDV 8/9Hzuj7EgyBMXXxnAMqr2Uqwhj2D2SCvRujQ/wJIV+5PfJ5MNkwbDcLzDYBFFig1ZN79JcUD4f7 2ILG86wKYHxYoWxLY5sLwYUqyko0ORRxiEwWo5U50Cl4qE81NJg5OeKzlG7gIJbdT9mEBuZc6zNe 8rQhyMjAgbbC1pOX5MS7K0VY6f52CC7EuQW/rU8InJAN/49uOxUmpTJBkCz3OydytutjZCWDGVoR 8AXiLyERXqTTiztZhnXcPw1C36U2KPIh0OJoj8Es52EqHO3pl0gw+OeYMbyXP+rv4f2gdeimgE0Y CqNqSlOL+wfncTvOUzplGIx4wBAJXyn/wYbYKsYfVeLRZS6Z2/yTsJcSs589kKjwJKV7uM5UcRoO wieaxLACLmTxjVbgAGp4TYZEkik++1S4uOjGz586o+KYhU07948wLK/x1VghUJtv72B7ETmbbq04 Dzl2O0YftekiboUSMFLmZNHrqs8ptVrouMVrBcUwTv8W5ghfwkU831jSu7pdhecasuRA6kXCoitx ipOHXGX4EWn6yip5+SBQS7PmTyN00MCNdCkNY+BczBp3y15x6ukbs5kC8llxeeOMi05QmngPAH7s wrG8/U4wYOS/LZQBPyDwLkco1ce/zCAQ2n/2AOUOHr58H1cOIe8CYtI37/31UpoF+F3pQ/2osOIo 3e8tdfoZfaGnAjoarR3SWPUVUNyTP8PZrmfYJhBg4fPYS1c2zw93kSi97SQiujHU7Uv+Z94hr8iF KLGJcVCQE2bzvHDLqh7zUb8Ht8PTplI4am76pOHIwk5HvJNzhpafs4mm8md5qHDWmOryLP/tx3eB ZopBsPoBAVUXs7TpuMN8RYvSiOrfWIFSmIpY7D3Du6NHZuaL34UcuUeV6ViwUUQQpxCGMFJCRZFo a5gjnb45ToBq3rOXwlsoGdCFsoUUicUf2km7FgXrMFEtCNCC/ba24+Z+gJ8TpYAT1aTLIJCli/pa kz4WDJWNnbZNU/6UPQkz7RWK1JNxGoAxNx/T9WeXDW8AtY5IjgpjEtPhkD+TrISRq1Okr/c++Gvy Xxnrw0gaLtJBuryFTmd9YK+ph9WcbnPYaTo/g0SBpOj2ZmeRhijGc1OfV3asjH0mOPdq2gMjTik6 990R6sYb000mChRHCuUhY4OpbfmVjwBridU14Tkt7Bc1ILuB0ND+miabyN5b2L/lZlP+aPDUXZzN o12NptmlCWd6xXeoYfEq2ucyuIDOPYNv0WL9Crn8d38WmH2BPatzgeaJV9oRo8eGq2k+R2xbNEkD 044VMd1a+QqXQxieoaJk0dWCzA9llpE8UEC+ahwziNdJAKkCrT7EQupij2J7RF/SbfP1msO4mMNU ctHlTSG/c8nnr/aIZIft9d+ql2pUX23Tw4CMQDki52ayYFabcieY1+ZvC1F/aKN25k0XbfAa27uP AMls4chOvxGpOAddWoI4XOcPUQiLtOTmQ6PkSrrsvudNobgAcImM3FEwfEjbTh3AelOsmNrBqW6K 6TVHuGphHwlouA6x3SkszYbSf9l0YJgoSzQaE8UeBejsEvNjkHglbXnJ6Br+pgZIxHz2DQEivXkM krbbaPaMzSLAWMYPZnDUvE9laMrrQOA7Kx9o7nCfy4BRM/0Jxx+qI5U+iOBjTc4xa/zj4w5rniRQ CJUpBKnnYiynNrbQGbzOMhfUrgCXKDZa7f5s8y4LJyv3JX8HyGe6j4AeU2PZVIUFNuF5mEoqmc4V vAj7GP7BmGh9mnMlFx8f61kELWZKGkRrKAQkVZpeub5ZJTQ1f6tF6spxceONMWNTxswh/1/6Wb5/ V3nWVfJCter1oP6i+vcIj50r1G7VEwPiVDVBHt5lxQohQ51ptTWfppVdhyal9T//3OyXiqRZPwCy Sgy1YLIRZsak/BUY5+wHO3QM+vq/lXnx/PJTTa7+SIIjU5YPfGvjPyCoUKaVAbF/2Dyxu5sLQfpo iR1lJv+QwUb4sjWYhUkfd0t8b74LgBawp23Q6nhJdwsr6x2jX2dn9qeYm0TCq5cjg7a1yggotGVz C3J6iiLEeXGWIGZWGbwvvi4B1FWyqf5yp+No6nh3q9jI7Gi1YOQUMndAj7h/2oEjwAwuOWV9ZDSe 12Rn2klHaD7zPa64uxNtX72hlf7OjH25k8sFGXwFeRW1JRpVJm6/EUaj4c3iNR03EY11gxXKSpL/ 7pSfGqDJ3SjypZnq7MTfJOw0MSEvZ1zTMxEGy5F8pb3WuLPyzKO813uA9/TF3ys62WF/qPEtQq2f hcFJu/fyWcXlOOJxRk1XGXbHRtHdwmEC3LwG+Dei3QLTwcAcxqF27zMGyRA5WXBVUeq9M2snDhXT m+R/W/anlH0erYntXXDMaKo7Nql8coOWGjiK4hQh8OMejxdryR2yI0LcM43XOQTeB/PbsR+q5Q3c aMruvCJHOfITNmPU6dat1UuNpuFS/PefccmrkMxlr3+y2IeK4ij4IDELyM0Mgl9nTH70I7HKnKsI Mn+BOmwEiQcrSkmuG8AftbzCHDKcogRbjMQgiPZtn4Y5mQ+WzfhiH23G3VM/2LvPQFQC7DMqc61P XcsxdkyivRioNKj8LqjFxcdCkCI1pNnn07/1nmIfDsO64KrnL8Oj2qSGcTWg9AmySOeNJ4erIEWH 2rLZXjKBqypdSg4N13eRUzHg5qRPEgz/eYV+xZxG2KOZvEsjIFs5FiJWRnmuqtzvyX03ZseN4yQk JMcTrMHSweOM7rNpXoctVO5J5JukVaCeqGgXjO/YOXXbbAwj3kpCAgLt7gZROEJZ84qRUALFCXdI zxF7Ykx8Ik/y+YG7WzvjTkpetNz0mT6tz/gKAC1HXUQ+2K9zhGHCBc/zLZTMwSdEM9bz16FPLOv4 2HjYBuucPBwYoU3QQ8smfJ0O+HrVFg2jFje1w0EhncEU1Ut+VijwS9pcyU8dFBzd0/R+gDMQTMFx VHZFgNjRYgJmJFr0T3a09waCDwJQFDbRCO/04Sj4PUa9leieCHS3cm+D3iIV8TQQpx4x+yHy4Hih rsQx3+I1jpG9os/eafUnZv+qAky5ptoqkFMwJNR760pz5gISFVTOE9lWIvWPoO9Z91QXEHbHxFHF 5g7WnF4YhadJu2c8YqV+hY6qLezn4f/Zw3RWO7KNNHtE3EH0iir49SZzpFqLCp9DvCpr1fziv8Ar DRS6hMS929anDlWYkOu7U1BiiEi0OqaN5WFpJd8WS6fUAh72y34/l9IHnLKNTTxRf3MylNI+9ivS +Sb+S76x4K0+5BzUMPfXtndJdCnvvWDLtbK6KtVf3N2MimoDQpzOnoV0jCgwww6llYAQsVlNPnUo tbKyyDJhSGBTyDd2oqMM4i0e6LV8C9g/bjihtpKaUyNWAMxNqAoWx2lYE9jntnq/wBTp6hC3ABUb zCl4X2v+2ik8iZTQ920eoLyv7jqEefROZeq7+Hbt5GMun38JPLaMh05DVo38uwjbcr0OqCd+LVJU d+YTZX3fnUXcRzQape2YrrBPtgWBB/jok2WLPLuewwraIM+svtzlpLKK5NA3HBTO4W906rUjyNGJ g7QexrGBlHWAsCObAo2Lrrgm2dARdBBZ+f2E7TyA65vYgcdsW8Fa1TLbwVU2BB7oWxXqaiBkMG/W qCJCrapkGvVZsSOzBceNGkj5SVrMNLgGpVT88ZnKlJrX3L7KekRKZHoOOqqyc4kYs+fs8NOdV3Bp adG1HMFc+e2D9+3S+SnGK37BQueDISuanRUAefQNWjRlzl6J/yg0acVcWGVDUbhqj3iAkraH9n0l 9Y4kvdGmOT7875epbnXzMivWzZh0PI+muZ4cMeE5H80/geDM73fh564aYzd2ummk8SPEaB4mq7RH ZgUd8891GN9WdX/foFy5JCJC1Eta8GiW7uNns524DWI245rn865dcM2lVkqCfzgVw5PEBsidxiqK CUuQ5rJT4g5BL31skwkFSWDxNePQD0+ePNmH8VZu8G+wc7RYzhMVB4otMwS6njn25ZSyro2dfKaZ f6KlMPPUGCQ82gajv8+MtUR4Tz17RWeks/KB1MbInRUHMoVSXRI3MdZkIxrPRZf5Axc2X7sQNk3t kz4hgXyJW1uRNUhb756H1jEupDaZ87Ofn19wNskXExI3JzLaNhZoL3ExCHiVkdf3tUqhGm4HxtaO 9H+YuxqSvqd7NDgKUOH7L//k1YbppTz6VNgN4KoG4YvCwRw1FkADCKuPKmY0Vzc3iWfVL2Gg6exp 7Wsi9y4hAi9OowlSMobfnfWj8tV1jYTaymOUkIaXvkagKdJqireVYOA9C5HPt8tIuzTaxVs4KT20 yzSqBz2vJd7FtgURwVPtG6G8rVdPUKyQpuhA7dTNwXOcI7VMUMotiknkn+pRBqT+s3sCqvPOcpYo EuE83lphKZ3feWapxi3XZPGbwRIBJeIA63hVi07gzJnFBlyWLQz07wvSUQq0Nw6IOvUzwoveQtFD +Kf6b2Q616bApbbocXARlf+1tuiSGzvTtgEeLsp7zghqSpwozx7jm7Z9tZXBb7KNXj4Vqyfpv0iP wIXbnh5ua+5cITz+OVm6/61iN7V/gCEr4GBTYZtZUXOJtyZZVZ0HebVqsssPGNeQBorN28VSO2ss dVQ+dYNrdXBbCg+hx0TOET2TL+80zMVmH7G4Vb7bDp2NZYvWU91psUejBmcdor6QN6DLO5kUwLDm kX0hSeqVTDtDXz4ARN0GGb0trsn6rpFZuL3nYMkwnSmOasHsKtNeaLQyx6hvN7WL7q6yreL+sOjC dslfDS8oynjGx5fX3nmVbbnnjOeOGNaOtKoxIdRss/g8NufJQwVo4L1IETeJ7hnBmDtmMf36VdwC Ym64NWV0I8FLw1ZWsry3M1QFasx/Rf3S8gAuubVMedNmEesubG1aoqa85XSiI62j6EeE4WPorojS CteDYVMQ5ZlEoAR0ha5JcFq01NhAYYWHXcNDHbtFT+7haQzKake7eSChfspqm2VlqUOcNRGIYmoj 7i3l+zdmwJUFOLLPWePJI9F0QuPLa3NhAwkFoqSFJxySgjzAzo/wWgVTOGD8e7jmIhT6/VTBv7AE PpgLAYaGnohN649orueoQ8YC5Ex5XYo1vWJYTgtkhh4PTUSxzIleyp4yFXX+GVVVAvg9+JxVN0Bz wll+ezX0lt9cR7LATgKrPDJBdLl56s+5D0fJM1q4wWQpAQ10Y8Dzrl8GPon/b0VJui0FtW/Oeksf VVFWSFIPVt3rNwHfTQMGKZjzXJLSccRzNhcXGVMi4A2rKbZXVy1G1eRByVJUmhOcjUi2+i5uMBEX QZthknNrB7YD/B/fyOIXQ3b+axV5X8JH6jRpvmCJRZmpxCHUtC1l/UCtq+cd6dMkNMuntVAiVkbq UKqcLLdboYni7qJZfE0dtK/pkypTDjzDlutRenm3FoS5zpQPDppExz23Rmzjenla2qc8u9lpmfs8 AVEs9+jGJdDT26OJqrqjvr+R5q02gHt9Ic50UTqGlveY2qBFzh0KNbaL2oTgEL2+9Eq9tN3bB85Z ZcbctQxO1uDvg9+le7fDdXHlqfemKk83paT/e/tQqwDMn3sf+GGVP0PHZMOyWLYv++KOmvubcav9 v3EUnFWqgkV1Q58Nn9gaSHY1SbsDSJdT7oJpaWy45lDPEprPzxHJH9oIGsfLe7A5KVA8KKah91pk azSTtcGrGE9gmH/b1um/ycHVaJ+cMSd8v9wx8n5I6heffe864A6ZHYDUczP2XPVqYgu9sDxuZ6+N rLaKHRFvyP5kZrUhgkimV18CSLRq+5KrjzGRb+ajMKOw/WZHQqq4jMTwty8V+mTTzUri3ogL/Lj1 KNw+vaXtEFtWs54X1Og5DoMXUAjO1Za0pFlOuYLWd7THDmZ2ceE7LOFZrhY6egKr91869/mzRoLR vLh+GVfaHKbNvvSa0O30ZdYBersDfuaYcLl9FmDJ1QaNZ6OOuRJSPGohA3/iv/dISUkP5vvjaLu8 Gn34LUUmtrxry9Xaww09HtkKTiMS2OHTExd3R6Jqmro+NEiPhmWb96+1qcEO8M18wUQdBKr22OE0 xYyURsOzgjwR2blWvC34/F/bhTX4kjmbbaelqqUrHqZr27dZnOK+aFk20q8gnb/loaC6TGI9zqJH GVcM4MZhKe/u6iXU+Hnt3Sm2trzVhwxhJrPuq2Se1+ahITVYrGruBlEae+tm/qXDYswfHprkpIkf jsCLlJEBcomOnFZdMLLiHgn7zvwchsOluIk8N3sIhZCzpYFrU1uNpm5pBfYacWp3yX0bt8qYx99x 5c75kgldQm08BXeohp/cPDwpZFIvZ1Cprkxcqb0JvZv78OTsYQrf5FEPtjDji2wHShzVJ0vDbxA2 3LC68ajL1suftiD7NoD2h+sEYZu5yagTuUqz1ZfOYcwkQ+GQ0sVEAQKFXw/IHMsAXwq82aafUu5v ev2lqYg3HITkGvhM3BmM027JblKu+gk63sKc6oZuQZ4h4HAEmRXv/4zD7NNIBragXZLIur42PVSo JZWX4ZnGY+f/zx80daj69LUDOm+Ayzy0OCIr8XZBCnTrZ+woM/kB9woPm/rRPi8avRP8ugoQSD9t GwdAt61FiGCNL29TwFbzbkTS41xQx+Cy/aOOTlHas2XrzZYcCuinhvJ1aCiWBlpCgDPYzzMeBTY0 QoCPM93+pFDIF5Rk7ELuLwvN9AqtHG8ihM7mtgSVAX0uytluyznDW/VXJ4yv17Ny19y5hmHtkfrJ AmMq7C6novvlbSNnKsDEOAb/kHVN8QEBRK+dy0ajKPTI+fKSaI4SNXE/ye1oAp8boey5zThT7fQg Ha6KukkIZUCiUZqgIATqNyhSghxwrOP2Rp/Lz91mfXLshJtxLueW0yEF5lNEWPU4RL6/PrDl5AY2 Z4pjj6Ni/vYCwMpqpRLswe9kUQXh+fB6jh0cd6cYdi1kL6syzcd1TG6AOFytZAyVmTWfPrORdHdb 8myiSEcJVxoJVKYxkbZzVnUs1NL9gdxQF/DEtTUzDOIBG1SIaZr+GL7ClTDWtsx/qWkLyE+OYmGN 6YSES2pxmznB28AwLKcQ8f/gRdnRwLsu6sO9VtdQtCi2JpjlnchF8VU7gzCKfPEcxHVWFAoeFgU9 drNVz77pXUI0l+uDTuTTWSgCvks2VawPYDQfJQk/lmIOMiFfluu/XMpdit0yirdvfsv2adnHCI4c eAhvTCSddc/RbdoB5FS3O7J3K0pPOF8iqU67DeJO3uL61F/+N3y0dDVDIs1/0wPZjywEpkFb1ZWT GZUoqLp+kb6dBJk8xFspCPRErvK1EZZq7fWnE9cwUYvtOdvsyn8UxLelVVIDziohdPXdy4g6pkmv vxl3YO6prXTl5nUpiiHd0aNU8qBQIJyN8gGpi3iVKaJNkhLUJhJPKtoDmFXxYt0o+yss1/YIYqzR 8UwUj52faojl7CX1Qws/v/H1OR4mmlAVyBtAbW3W7VcR8wmXhw7cF/sgLAt+b1w3rM8nuq9G/us1 x9GoXqOnLKznHL73/8Fw+GnhoH5ccfDIUniRxSzRqOfZY56nwZHNnKKRf33c5CnqJq+3Mm/WrPdU dTYkCPyh0uO7ORQBz7A+zDA1KzePQu5hER1aNJ62q7G3Vwt6WKdz7fsrY1R8sxFxORGWxp/bYqET rw306+6+WFzwXm5v9IRmTiUip915A4Whf0n7lQpeZBAb+k3h1n4Mt/yjdMzagxOvO0wlzjlVmNnQ 0s0pYs9/0EraVhzCUaeQOY0nobtptqVYFS4Cd+ZB8vENri2/qKExQfP+qLQnUQGA5/5WpjZymN4y wt+NyBpjcC1Sd0SNm5GiLR8vblvfK6K4tsRL5LRkdQfJvJrUKDmxj613JqlMIM17Hhfvmv62OLZ4 mQAnDgMamUeYkt/i0RwzfCli+ckSTZqLLBbDMauXYEonFnMs9M9pSsoaEoaojS56gyB9+iPNaCNl EUMEMDfemUDrkwOVn62E4cw0iAk8xrB0odDHsdi2+8tMKanlubCWBzQbbJLVror5tfPp6FsaamfT vU/P1Xu/n4/IrxbU+u7D6eNvZt5/dU3nwDC98NTLrNEFhXO++4WT0+O/T2dDO6t1vUM4hvEvyStI H0T2tH4QLiSIkvkcL2bYJYH2pA+W6NkmAG1pif5xvLBT7Hj9wAHsajUjgJo3seqlaxCY7SmQAyz9 +TOKhzP/rbv/PcH7Foi0MB8i8rWHqZ592S7jOp0d4OeDdn5GHowjjGd6A+zVq0l4AN/3io8X8Zgi gqae+C7jjZVG5nLdadsT5xC82nQrV5by50NTqCO/Gvd2OeuepAZDMszVzB+BJhiRwaG0pdtJKeAw Wjsutayzu0zz6nE8F+iWES7NddxEdNqhNld+PrjwEuZzy7zsk4B2X4SgcMh41QtiSZJiDXw6RBLP IY3ktZ9JtGem1SjV2GiKj9edu7W0ISkb308RN/4+uetDVfxFB9iNi5AkZLLyWmRA7i2mTrLxYWGl 6XNN9mV536KPlNgzOf9rXIBA4C7KUzDnriWDMV9LL2ZK3FEsV1pr0U2j/j43g7TxY4yVtaQ5bvVO b3s/ZqJd40z8qe3Cj3UNBQy/Mjo16REBDkDI0Oj7QEv4xg0Jfa+n2QmxxE7N04LcdiG21yVAJ4ZD JT/wUnMw6E9pWUesue6+2GslDuyOiZmrjqRujuaAUSd+QEnT4dprvVf9j3TyaT6EU7Jt3BvTIUD5 f1rJBzmTJhyKf6B7f3WsM1wYfHIGqK/GZVq3KBQSfnjHT+hHYjcA49EWghaH0ktiuLBNx0+dirI1 m5O/+Vq7hUZrCISFcDAJrMm5/lg2WnN3SX3aYj04TZ3hudVfkDVJZbF7uC06QKe6Smm6+RHdVnfL jkfzCNn7alZS9E48FH7jBGLdZeKd5zUnzrMXhwcNKf2iaNbs8pllgZLafqeQN4JqwbjnARtWaaYD 08SAYGhmttSvHxW3Xs/im21ObZUeXq/zYeetaszFpiHBGbOfHZlJcj8XOg4aMsIaOEEy3sKNtfgM 0yKyZEUGUH5pobTnsP4SuSXwUF9aRzXMxIjoyL6Zfw3izF3qYrrufb/DJrQGeMwh7hFGEwXbdsy/ a049zRpE/EyfynBW/TJw4pt2gOGpTDhaeyTHP+tcf4ifFr4PZfvNzSezNPol9g7QSBt+64oey5os 3Z4Fly/DgVF2bGZ5bTfiA7bUTxTFN5orWxgWGkszxCxBlVhG/0klTGXI+E0w2i0zRk+YjVYQl3XM qu5pBad5O+GAxDpjHBfmcFFGsYL9bOeCSZm2zY55DddUXg1No+v6CaFW92dMZlTqq7Fj9Ar927Pt hxe736ayR9Kc6S6uS9+RNPmeo01WhUWMESzoZE+gPfRLMbqaqlRNggwiZ0tDMgB2F57y4n4M+RwH HAlg12N56yN0nvslpDYjk+dDCJGZTL1MbnHyptRlGIcDEt/Pz48l0xl8+MXueEz0T7cghFG+X8fr wZhsFpLoCIucQpxIRiRMsVkDZ7+egRTtAykGVwmcPgHnOM2RoZ7AzZ/EzuCAQeSIWpvZOzZ0ckh5 KqhZMPJElKpVMezFIKC4Y3fJPTcyw75B+fHg8nILQiEheZiRX2/dNYiNfCXRq6VNeT2VFx6sgZfu L4e/NNlu+9F979p+XNIhXgVOSzt07LoxCrYyEHSPI1rHYpXfoXP9P1Dc0seId+RQVXXdEZax/eSf qbR0So9vGvKghL8hNywc828i101EFh+jhBc3xIeSwwp+vH9Mcfz7M9vmaA74p1YRlT8DWMwRWOGz PipJOkI0nbRvlndKrmSIrpI4tTCnEQHIBqw6TAhmXLxQ4mP0UOAsEWS1t2ctq2qebaH6FESiA2aa k19hCR1Sw57YJJQbUgbGzWYXx925CcF9Xl0imu6iXoxWGxM+93HYdtj8hDTbr3vhUeVODKNL/4FQ dV69enDI3HVjxf4XpMcUCBGEQAGJcJGv4Qy5oW6bgCNnb6S/PfzI/H+b4okHysGNsvKj9zfoQXvv eeAcs2avmabXmkod3KCd0l/7CsJeTwK3RV5xTUbA2CRstL9db0m6t0uyQHhc0c1y3NDUWm3cEszq rxbkzD0GCG+A32WDDwq9fu1nvG6iOPTUJd6Edc+9jqsYsI4jUtLejsZLpIN9tiAeEF08El6ePaCz VA/X157mMNaMmsc9ba98/wbxhyIlNUeBtIqE9lz6tsD8WsCSHh79OkcKcUnZmoC15CAdfDcpFaFT zDp/QzMAHooNiDGL+rRrpohN7m22XyptFgWj01Lr2rwv5FLF3zDJRcq8nsadMIlWEZH3Ml6LwqmY riwe4fXoC/R0Elfl+EX6XX6YTapfNgYMWdi1mlfzTlinhETQfIzt0J6HSvTuTtMPa7xT97uDh1bY gQh0dlXdbCGDDJuhGAdi1c8rzyLycyO+582/CqV6IXz3As4IXpObk3leFrmieC6g53OwhEdX36bJ hfB5vaXrJciBy/JIbzxNOBSQDOGtKo5O+xrVVtMp/SsKioSt2FzlCJnkfU7H0gUCrKE/Enm39YTf Xh7eFJgWCxTgNT+u0ZaH2VWJk9mqqReZoRKF7kxY9YHhzfb5o3iP8+pkShxiR8EYhKuh++rFMVAD yMXkv0P2h/89U32sNBlSCZFhbLLATaaMRt0f7mpbNQZXAi5ICsEL4YvpjBt+edpxqXe/QbGtHBet jUubS7pm876rNdQmDZ6S390T+ukg5/15fAeYNngIo6DTMbiyYXU9xn7lJUfcfLmrJOqRsVtKVZFU acz3XnJvXqiQdMEjnGjqoxvXrYOHUHSJD6slcU20YI1Y3Csdq+y9fUx0yw7o4xSVKUMDVRul5+6q 7wxnJeV6xdSO+8wU1tYiO2dgwrJY3/cdol8i6HxCbr1AarjOhF4xjjrpLrDHl74G2krFKcIyzB8j DuiVxBW7L6EkOXFPZXCgMPocGppiLRNTl39WYNhjJL04oRkcOpGg+udchjLRmEnQCre3aUqdjtp9 z+IlAue5E0ebxnIwznIktx02/twbZvmplE/O7fC3XZysHPHssBYHQZkDGM7SXJmw/99KKLGjr65Y /cIz3U6gdUX+vvTtzdVsEgonZkCYssAV4qTEtxbC1s/mLtzX6eHsia5GyqFT8t/o3xarWwwAkSxB N519mN6oMaVNVJ1/Ytdvs955ZKRbzKK3WKrKZMtHjlnwhcoVTRoQHPT96S26lfkZ+fd7y7r8ycho SdxWi50OhxkniiuNPyqyZCcQoe4Cdgj0CBiVAscbwBTkY33zt54wrbu0iWPnJVbQ4izNMX2x5MRI 6qTOd5Pm5JXH9kgvAj8uv1tTqx4Hu8nrlDYptVYMunhzGJL4Dvq8t+W2QBHA2n5Jr5L/se5Za3Ok YMOn6doBbHGLPyF5t9KZnwRfCCMGbr6Dn9BlJuMo7gadKmoXEWW0H2PNArxP1TcuRFpt+Q5f0NO9 b0gw8B6aV4J0R9dRpsNbvBh1w3VKIhwmPSe9ij12T5uba2QA8vl+s4SCqInZwejZeCpyWDYE2Rcs foafggRwKn0mWmu+jLNjKFJy2wRyCpI7yFhn2vXaDSU5MKQvmIZIUlbg20IqOQvVm2h4SNU7WygT 6YK3uSAFJT7+NJuRE5qU5I7oqGynMQNrGGFno+DtcotnNT+RVg6GF0/zI1b1ga8sFGJFbcq8tXXY hb7z5G/WlUU8u1i0ZBpP5EgIL9ODjllp4PSwOyRHH6Ra07/HxXEnGcdIg3XTVVdKQKhK4fzY5O2H y0fvzxS43WKBmjVAu3OwjJ5FIEl2HBb0Ka0EVEPQ8AlJDst80dzMxmwsSfZxVXod3yoQFc1XSevY K7crfrCNTFi2b4v0VA3z3WCKfcwFJuntS9mCsvYasQa05wdV3JLKQ4N9StZ+7BgzvFav1ACo2A2i l9H/YMULXl0NFFH+iphCsmGSJEi3Fnv8v1x9uSSjKTYIGjhnJhq5dLQMPdajfboVOJoW/VP05T8z uGnf8OHsKzWtrD/hEupErbSgCZlUezsWoETwUCVAPXB9nKkO960YXWBQJvcftQc/ULMQzQfAbclh qIQJeegsBd8LCwmG5h6JBOYv88+/uu/OS+lyXTa7yUVV8CnJPKetgte1gDSZNbE0gEu2pY8J3ZzG Ug5gp/2+p3KY+CpxskSsHniY80nMfuMTMTT7ZzRc7ElXtHfGrKAQFR9xsDskMi6kDxlidI8U+x1s bDMU1gaTzbObrrSeWu6a6HClOOvjZQXO4NFRsAZZjN5Jw801L8DbqN5c09iKzsa2agttY7vhayqj lAC9arK+reGJtvvTZYWpmxze70Xu00eV6HDLmrezmypFXd2CchHVvITRzgh3iUUIxigRjFUr/mnu VNPE0kJcfbNV3kT/mUX6vwZk+UgbhSPZh0D8O8nhL7ToyzwX4Q9MqIsncppuMzi5NLQB30PZuC5v z/XeHCy2CIGTDtmiKBriwyhpkBucMK4QH9V43XcPTa9eNVIMdkthCTex9vrt9kjV9bwrY8NimsED FnE3LoRyaG0mkqW+eBen9Tjxgkmb/c7d3xVT3Px4SxJgTXX1A2S3OLf4EA9r1deZf5pG52yLWsxX fQveAlqGy15toLuKGjHkLLOUqYGstCP1fQHBekHDmfR3nZFPQjaEX94suoaNO3QTRX6575VxWKte noenkS0qvCHCfhivkqkDctQd8zYl2E0LlIrgxdF+40Fc+Lm4BjUeZh2VaCiW4es0khqV3A+SqArm ulMU2R/NNJw9UAqJtG4R45JM2Sgnst9sJwyYCF2Q4n9KaT0gXyMru1sobv+GhS0rDXfww8+JGmKd fgadbH/g85Ed7vaGY7XjYLTCck83WMA5Q+4uEDRIDzs5dIQCw2cIpPuis48mfs/eBbLs2nPisHpD MqiiApF87Xo8f8kRuwpsc/cCQI3CYA2/wdEFGyy6Id9PuyGdSFLeV+ou+Xaz3MzyhR0Q7QenipUt e5CmlmnI7tYKJ4dVtzUERL2h+jD9GEZCmHR/7AH80WjEhmiCVs8mM7Fsb0u0UmotiCI537SN/3Wb gAJWEj9XJxlD9neZPIrG8jEl9MHyl8RTnO1m5aEmKz5ab9xDrbrqyrI7ZRlW19bWaP/5OjpPjvY4 ARZFGrHLXu1jgSs0SnixGq7By/gPzDFMNac5BG1N+YqEonVaRl0AvOAfHSMp7DMc8+wxBQJeHHrU yPUGILEB2/8pwRjL5rA114cwNh4Qw+JdV8xeIP29Kw067BIxR0WJxdySESmDnkh+vN2NfD/oHZwr vZt7VXsehuPbXMoAmuPCBUC2RrHHRKmMMgSwntmJXAb5ZOIah+0YypQ7V2VXxwW2oTNEy8fi2Vex IqQZqfDIt6BJzzbp2wskx7VGxXhgmA/eLWk3UEUml8XV1YX+sNworY6FDmi/PvyYt5aboQr0jS4v kNzhzuQl+62jnszqqE/e81YuygDvSCXA1cbSY4dcxlY0Z+7T9tTyYcWtJeoFy3XV8CsvIMa/UuHF Hi/sKqs6eNYNjcpRtGG8jO19vXW0qPw9+nGx/P8hdmmOsQ1IfSWp89iAlK1USw8iHqtskbbc7liC 5emEQHCl8kWLuC2TQELRjPlsB6s/x85VU68DlfZ+8sc7fPWKuMnHUPr59Fuu4UE4O6dR2463XNAv xewoxi7qeMeBZn6insUhKmUPTN/N4KAlqwMSjSZhEcfmk0hunrCFAdnhpD9FRtdBu7LXKlsC8OWX AI1gekkKVsMFEv72//nIE1p07Xa6N+qJZQe5dUoPP48dYnkGo05R2ZN/dUIUPcUOFyx0h36QXpSG XZnaBl4WRET79+PivrlGte703O2yG6b1S0ltqZ+WhmSjVApW568aQUYmRhUDmVX5XdOlUEOR3Q9j Y1u18LqxAzqk4lQclnxJF6TOdK4Z9+F7KG6iKTBg1Or21SVjlqqQdZHdi9RUUstslcBz2wez7Et8 BZmUVX/noASLn7a0avBMBeJb8rXr7Nwtfbfbwkvk8jZ5Vcy0hxKVSxPS1qsNgcQBNW7zS5xsaEV8 VltJ1fxzReYX/povp2s4Hxet7gHTSKsjEExC31rrBUoWpKsjXgG3clUxmOXmvLEwTGrBCnUXbK+1 5Ujrv4lfTnjzbwit+kHpRSs7FEse2A/yZSxhRS6xL37hmi+U4W9VDh0O0ZHMqVrKo/M36/w60VLW Za5Bh0ZyQnL2sMeEKpsUVWBuZ+ZPTBhsZ3A7a9yq9owB5Wis+kRbiCis4f+4ipzQBr5/wFd/K4de R4NRErhrI9vSPn2rNOHSVCtzmNkFcRpuptX1/oSd9jy7cguH3VIIaRSnMFeeLzKRDM4hjvVKuHHh Rm93zyolq09wXOQso5CQjYj5mlcmL0Ri2LfNSslVxfaxOh50n+2HT6gN9ditU93dlHptfQ1r9Pi0 Q9XPhiOND+FKnVve/sIUivzGlavodATHulWw64yvy3Ifw2jl7f/EepUwkIwP8KL0H0s++JZUwmto EjqyDKIlxRLZaKE5+DnRwRNzHJ3qpBp/scn7u79bT3rjEa5gfNRrcHsNczOQvxL3FNbEHX+yrXsC G0tsayVAEhxftiJrH6lvU+aa7yMExxzoX17VS0Uu/kF7vOtACLluqxGsLIKR003gas4efL/gWCvN 6+GQ2aemIbMrP8OKE8Hd19bRbVxUOAVhUzm2QN7/aYnHDPCogpQIK0ZLeX6EHwXCU77Bq+tx+TvO E1z8pSonV1yjXC0Q0yt7hXGxs8ylbjuQeFynmdXdpNbojBrFaShuz4tTUARrQTM= `protect end_protected
gpl-3.0
d5ea54974bfbe18296e66cbf566e5384
0.94472
1.832019
false
false
false
false
shailcoolboy/Warp-Trinity
PlatformSupport/Deprecated/pcores/radio_controller_v1_08_a/hdl/vhdl/radio_controller.vhd
2
39,468
-- Copyright (c) 2006 Rice University -- All Rights Reserved -- This code is covered by the Rice-WARP license -- See http://warp.rice.edu/license/ for details ------------------------------------------------------------------------------ -- radio_controller.vhd - entity/architecture pair ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library proc_common_v2_00_a; use proc_common_v2_00_a.proc_common_pkg.all; use proc_common_v2_00_a.ipif_pkg.all; library opb_ipif_v3_01_c; use opb_ipif_v3_01_c.all; library radio_controller_v1_08_a; use radio_controller_v1_08_a.all; ------------------------------------------------------------------------------ -- Entity section ------------------------------------------------------------------------------ -- Definition of Generics: -- C_BASEADDR -- User logic base address -- C_HIGHADDR -- User logic high address -- C_OPB_AWIDTH -- OPB address bus width -- C_OPB_DWIDTH -- OPB data bus width -- C_FAMILY -- Target FPGA architecture -- -- Definition of Ports: -- OPB_Clk -- OPB Clock -- OPB_Rst -- OPB Reset -- Sl_DBus -- Slave data bus -- Sl_errAck -- Slave error acknowledge -- Sl_retry -- Slave retry -- Sl_toutSup -- Slave timeout suppress -- Sl_xferAck -- Slave transfer acknowledge -- OPB_ABus -- OPB address bus -- OPB_BE -- OPB byte enable -- OPB_DBus -- OPB data bus -- OPB_RNW -- OPB read/not write -- OPB_select -- OPB select -- OPB_seqAddr -- OPB sequential address ------------------------------------------------------------------------------ entity radio_controller is generic ( -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol parameters, do not add to or delete C_BASEADDR : std_logic_vector := X"00000000"; C_HIGHADDR : std_logic_vector := X"0000FFFF"; C_OPB_AWIDTH : integer := 32; C_OPB_DWIDTH : integer := 32; C_FAMILY : string := "virtex2p" -- DO NOT EDIT ABOVE THIS LINE --------------------- ); port ( -- ADD USER PORTS BELOW THIS LINE ------------------ spi_clk : out std_logic; data_out : out std_logic; radio1_cs : out std_logic; radio2_cs : out std_logic; radio3_cs : out std_logic; radio4_cs : out std_logic; dac1_cs : out std_logic; dac2_cs : out std_logic; dac3_cs : out std_logic; dac4_cs : out std_logic; radio1_SHDN : out std_logic; radio1_TxEn : out std_logic; radio1_RxEn : out std_logic; radio1_RxHP : out std_logic; radio1_LD : in std_logic; radio1_24PA : out std_logic; radio1_5PA : out std_logic; radio1_ANTSW : out std_logic_vector(0 to 1); radio1_LED : out std_logic_vector(0 to 2); radio1_ADC_RX_DCS : out std_logic; radio1_ADC_RX_DFS : out std_logic; radio1_ADC_RX_OTRA : in std_logic; radio1_ADC_RX_OTRB : in std_logic; radio1_ADC_RX_PWDNA : out std_logic; radio1_ADC_RX_PWDNB : out std_logic; radio1_DIPSW : in std_logic_vector(0 to 3); radio1_RSSI_ADC_CLAMP : out std_logic; radio1_RSSI_ADC_HIZ : out std_logic; radio1_RSSI_ADC_OTR : in std_logic; radio1_RSSI_ADC_SLEEP : out std_logic; radio1_RSSI_ADC_D : in std_logic_vector(0 to 9); radio1_TX_DAC_PLL_LOCK : in std_logic; radio1_TX_DAC_RESET : out std_logic; radio1_SHDN_external : in std_logic; radio1_TxEn_external : in std_logic; radio1_RxEn_external : in std_logic; radio1_RxHP_external : in std_logic; radio1_TxGain : out std_logic_vector(0 to 5); radio1_TxStart : out std_logic; radio2_SHDN : out std_logic; radio2_TxEn : out std_logic; radio2_RxEn : out std_logic; radio2_RxHP : out std_logic; radio2_LD : in std_logic; radio2_24PA : out std_logic; radio2_5PA : out std_logic; radio2_ANTSW : out std_logic_vector(0 to 1); radio2_LED : out std_logic_vector(0 to 2); radio2_ADC_RX_DCS : out std_logic; radio2_ADC_RX_DFS : out std_logic; radio2_ADC_RX_OTRA : in std_logic; radio2_ADC_RX_OTRB : in std_logic; radio2_ADC_RX_PWDNA : out std_logic; radio2_ADC_RX_PWDNB : out std_logic; radio2_DIPSW : in std_logic_vector(0 to 3); radio2_RSSI_ADC_CLAMP : out std_logic; radio2_RSSI_ADC_HIZ : out std_logic; radio2_RSSI_ADC_OTR : in std_logic; radio2_RSSI_ADC_SLEEP : out std_logic; radio2_RSSI_ADC_D : in std_logic_vector(0 to 9); radio2_TX_DAC_PLL_LOCK : in std_logic; radio2_TX_DAC_RESET : out std_logic; radio2_SHDN_external : in std_logic; radio2_TxEn_external : in std_logic; radio2_RxEn_external : in std_logic; radio2_RxHP_external : in std_logic; radio2_TxGain : out std_logic_vector(0 to 5); radio2_TxStart : out std_logic; radio3_SHDN : out std_logic; radio3_TxEn : out std_logic; radio3_RxEn : out std_logic; radio3_RxHP : out std_logic; radio3_LD : in std_logic; radio3_24PA : out std_logic; radio3_5PA : out std_logic; radio3_ANTSW : out std_logic_vector(0 to 1); radio3_LED : out std_logic_vector(0 to 2); radio3_ADC_RX_DCS : out std_logic; radio3_ADC_RX_DFS : out std_logic; radio3_ADC_RX_OTRA : in std_logic; radio3_ADC_RX_OTRB : in std_logic; radio3_ADC_RX_PWDNA : out std_logic; radio3_ADC_RX_PWDNB : out std_logic; radio3_DIPSW : in std_logic_vector(0 to 3); radio3_RSSI_ADC_CLAMP : out std_logic; radio3_RSSI_ADC_HIZ : out std_logic; radio3_RSSI_ADC_OTR : in std_logic; radio3_RSSI_ADC_SLEEP : out std_logic; radio3_RSSI_ADC_D : in std_logic_vector(0 to 9); radio3_TX_DAC_PLL_LOCK : in std_logic; radio3_TX_DAC_RESET : out std_logic; radio3_SHDN_external : in std_logic; radio3_TxEn_external : in std_logic; radio3_RxEn_external : in std_logic; radio3_RxHP_external : in std_logic; radio3_TxGain : out std_logic_vector(0 to 5); radio3_TxStart : out std_logic; radio4_SHDN : out std_logic; radio4_TxEn : out std_logic; radio4_RxEn : out std_logic; radio4_RxHP : out std_logic; radio4_LD : in std_logic; radio4_24PA : out std_logic; radio4_5PA : out std_logic; radio4_ANTSW : out std_logic_vector(0 to 1); radio4_LED : out std_logic_vector(0 to 2); radio4_ADC_RX_DCS : out std_logic; radio4_ADC_RX_DFS : out std_logic; radio4_ADC_RX_OTRA : in std_logic; radio4_ADC_RX_OTRB : in std_logic; radio4_ADC_RX_PWDNA : out std_logic; radio4_ADC_RX_PWDNB : out std_logic; radio4_DIPSW : in std_logic_vector(0 to 3); radio4_RSSI_ADC_CLAMP : out std_logic; radio4_RSSI_ADC_HIZ : out std_logic; radio4_RSSI_ADC_OTR : in std_logic; radio4_RSSI_ADC_SLEEP : out std_logic; radio4_RSSI_ADC_D : in std_logic_vector(0 to 9); radio4_TX_DAC_PLL_LOCK : in std_logic; radio4_TX_DAC_RESET : out std_logic; radio4_SHDN_external : in std_logic; radio4_TxEn_external : in std_logic; radio4_RxEn_external : in std_logic; radio4_RxHP_external : in std_logic; radio4_TxGain : out std_logic_vector(0 to 5); radio4_TxStart : out std_logic; -- ADD USER PORTS ABOVE THIS LINE ------------------ -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol ports, do not add to or delete OPB_Clk : in std_logic; OPB_Rst : in std_logic; Sl_DBus : out std_logic_vector(0 to C_OPB_DWIDTH-1); Sl_errAck : out std_logic; Sl_retry : out std_logic; Sl_toutSup : out std_logic; Sl_xferAck : out std_logic; OPB_ABus : in std_logic_vector(0 to C_OPB_AWIDTH-1); OPB_BE : in std_logic_vector(0 to C_OPB_DWIDTH/8-1); OPB_DBus : in std_logic_vector(0 to C_OPB_DWIDTH-1); OPB_RNW : in std_logic; OPB_select : in std_logic; OPB_seqAddr : in std_logic -- DO NOT EDIT ABOVE THIS LINE --------------------- ); attribute SIGIS : string; attribute SIGIS of OPB_Clk : signal is "Clk"; attribute SIGIS of OPB_Rst : signal is "Rst"; end entity radio_controller; ------------------------------------------------------------------------------ -- Architecture section ------------------------------------------------------------------------------ architecture IMP of radio_controller is ------------------------------------------ -- Constant: array of address range identifiers ------------------------------------------ constant ARD_ID_ARRAY : INTEGER_ARRAY_TYPE := ( 0 => USER_00 -- user logic S/W register address space ); ------------------------------------------ -- Constant: array of address pairs for each address range ------------------------------------------ constant ZERO_ADDR_PAD : std_logic_vector(0 to 64-C_OPB_AWIDTH-1) := (others => '0'); constant USER_BASEADDR : std_logic_vector := C_BASEADDR; constant USER_HIGHADDR : std_logic_vector := C_HIGHADDR; constant ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE := ( ZERO_ADDR_PAD & USER_BASEADDR, -- user logic base address ZERO_ADDR_PAD & USER_HIGHADDR -- user logic high address ); ------------------------------------------ -- Constant: array of data widths for each target address range ------------------------------------------ constant USER_DWIDTH : integer := 32; constant ARD_DWIDTH_ARRAY : INTEGER_ARRAY_TYPE := ( 0 => USER_DWIDTH -- user logic data width ); ------------------------------------------ -- Constant: array of desired number of chip enables for each address range ------------------------------------------ constant USER_NUM_CE : integer := 17; constant ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE := ( 0 => pad_power2(USER_NUM_CE) -- user logic number of CEs ); ------------------------------------------ -- Constant: array of unique properties for each address range ------------------------------------------ constant ARD_DEPENDENT_PROPS_ARRAY : DEPENDENT_PROPS_ARRAY_TYPE := ( 0 => (others => 0) -- user logic slave space dependent properties (none defined) ); ------------------------------------------ -- Constant: pipeline mode -- 1 = include OPB-In pipeline registers -- 2 = include IP pipeline registers -- 3 = include OPB-In and IP pipeline registers -- 4 = include OPB-Out pipeline registers -- 5 = include OPB-In and OPB-Out pipeline registers -- 6 = include IP and OPB-Out pipeline registers -- 7 = include OPB-In, IP, and OPB-Out pipeline registers -- Note: -- only mode 4, 5, 7 are supported for this release ------------------------------------------ constant PIPELINE_MODEL : integer := 5; ------------------------------------------ -- Constant: user core ID code ------------------------------------------ constant DEV_BLK_ID : integer := 0; ------------------------------------------ -- Constant: enable MIR/Reset register ------------------------------------------ constant DEV_MIR_ENABLE : integer := 0; ------------------------------------------ -- Constant: array of IP interrupt mode -- 1 = Active-high interrupt condition -- 2 = Active-low interrupt condition -- 3 = Active-high pulse interrupt event -- 4 = Active-low pulse interrupt event -- 5 = Positive-edge interrupt event -- 6 = Negative-edge interrupt event ------------------------------------------ constant IP_INTR_MODE_ARRAY : INTEGER_ARRAY_TYPE := ( 0 => 0 -- not used ); ------------------------------------------ -- Constant: enable device burst ------------------------------------------ constant DEV_BURST_ENABLE : integer := 0; ------------------------------------------ -- Constant: include address counter for burst transfers ------------------------------------------ constant INCLUDE_ADDR_CNTR : integer := 0; ------------------------------------------ -- Constant: include write buffer that decouples OPB and IPIC write transactions ------------------------------------------ constant INCLUDE_WR_BUF : integer := 0; ------------------------------------------ -- Constant: index for CS/CE ------------------------------------------ constant USER00_CS_INDEX : integer := get_id_index(ARD_ID_ARRAY, USER_00); constant USER00_CE_INDEX : integer := calc_start_ce_index(ARD_NUM_CE_ARRAY, USER00_CS_INDEX); ------------------------------------------ -- IP Interconnect (IPIC) signal declarations -- do not delete -- prefix 'i' stands for IPIF while prefix 'u' stands for user logic -- typically user logic will be hooked up to IPIF directly via i<sig> -- unless signal slicing and muxing are needed via u<sig> ------------------------------------------ signal iBus2IP_RdCE : std_logic_vector(0 to calc_num_ce(ARD_NUM_CE_ARRAY)-1); signal iBus2IP_WrCE : std_logic_vector(0 to calc_num_ce(ARD_NUM_CE_ARRAY)-1); signal iBus2IP_Data : std_logic_vector(0 to C_OPB_DWIDTH-1); signal iBus2IP_BE : std_logic_vector(0 to C_OPB_DWIDTH/8-1); signal iIP2Bus_Data : std_logic_vector(0 to C_OPB_DWIDTH-1) := (others => '0'); signal iIP2Bus_Ack : std_logic := '0'; signal iIP2Bus_Error : std_logic := '0'; signal iIP2Bus_Retry : std_logic := '0'; signal iIP2Bus_ToutSup : std_logic := '0'; signal ENABLE_POSTED_WRITE : std_logic_vector(0 to ARD_ID_ARRAY'length-1) := (others => '0'); -- enable posted write behavior signal ZERO_IP2RFIFO_Data : std_logic_vector(0 to ARD_DWIDTH_ARRAY(get_id_index_iboe(ARD_ID_ARRAY, IPIF_RDFIFO_DATA))-1) := (others => '0'); -- work around for XST not taking (others => '0') in port mapping signal ZERO_WFIFO2IP_Data : std_logic_vector(0 to ARD_DWIDTH_ARRAY(get_id_index_iboe(ARD_ID_ARRAY, IPIF_WRFIFO_DATA))-1) := (others => '0'); -- work around for XST not taking (others => '0') in port mapping signal ZERO_IP2Bus_IntrEvent : std_logic_vector(0 to IP_INTR_MODE_ARRAY'length-1) := (others => '0'); -- work around for XST not taking (others => '0') in port mapping signal iBus2IP_Clk : std_logic; signal iBus2IP_Reset : std_logic; signal uBus2IP_Data : std_logic_vector(0 to USER_DWIDTH-1); signal uBus2IP_BE : std_logic_vector(0 to USER_DWIDTH/8-1); signal uBus2IP_RdCE : std_logic_vector(0 to USER_NUM_CE-1); signal uBus2IP_WrCE : std_logic_vector(0 to USER_NUM_CE-1); signal uIP2Bus_Data : std_logic_vector(0 to USER_DWIDTH-1); ------------------------------------------ -- Component declaration for verilog user logic ------------------------------------------ component user_logic is generic ( -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol parameters, do not add to or delete C_DWIDTH : integer := 32; C_NUM_CE : integer := 17 -- DO NOT EDIT ABOVE THIS LINE --------------------- ); port ( -- ADD USER PORTS BELOW THIS LINE ------------------ spi_clk : out std_logic; data_out : out std_logic; Radio1_cs : out std_logic; Radio2_cs : out std_logic; Radio3_cs : out std_logic; Radio4_cs : out std_logic; Dac1_cs : out std_logic; Dac2_cs : out std_logic; Dac3_cs : out std_logic; Dac4_cs : out std_logic; Radio1_SHDN : out std_logic; Radio1_TxEn : out std_logic; Radio1_RxEn : out std_logic; Radio1_RxHP : out std_logic; Radio1_LD : in std_logic; Radio1_24PA : out std_logic; Radio1_5PA : out std_logic; Radio1_ANTSW : out std_logic_vector(0 to 1); Radio1_LED : out std_logic_vector(0 to 2); Radio1_ADC_RX_DCS : out std_logic; Radio1_ADC_RX_DFS : out std_logic; Radio1_ADC_RX_OTRA : in std_logic; Radio1_ADC_RX_OTRB : in std_logic; Radio1_ADC_RX_PWDNA : out std_logic; Radio1_ADC_RX_PWDNB : out std_logic; Radio1_DIPSW : in std_logic_vector(0 to 3); Radio1_RSSI_ADC_CLAMP : out std_logic; Radio1_RSSI_ADC_HIZ : out std_logic; Radio1_RSSI_ADC_OTR : in std_logic; Radio1_RSSI_ADC_SLEEP : out std_logic; Radio1_RSSI_ADC_D : in std_logic_vector(0 to 9); Radio1_TX_DAC_PLL_LOCK : in std_logic; Radio1_TX_DAC_RESET : out std_logic; Radio1_SHDN_external : in std_logic; Radio1_TxEn_external : in std_logic; Radio1_RxEn_external : in std_logic; Radio1_RxHP_external : in std_logic; Radio1_TxGain : out std_logic_vector(0 to 5); Radio1_TxStart : out std_logic; Radio2_SHDN : out std_logic; Radio2_TxEn : out std_logic; Radio2_RxEn : out std_logic; Radio2_RxHP : out std_logic; Radio2_LD : in std_logic; Radio2_24PA : out std_logic; Radio2_5PA : out std_logic; Radio2_ANTSW : out std_logic_vector(0 to 1); Radio2_LED : out std_logic_vector(0 to 2); Radio2_ADC_RX_DCS : out std_logic; Radio2_ADC_RX_DFS : out std_logic; Radio2_ADC_RX_OTRA : in std_logic; Radio2_ADC_RX_OTRB : in std_logic; Radio2_ADC_RX_PWDNA : out std_logic; Radio2_ADC_RX_PWDNB : out std_logic; Radio2_DIPSW : in std_logic_vector(0 to 3); Radio2_RSSI_ADC_CLAMP : out std_logic; Radio2_RSSI_ADC_HIZ : out std_logic; Radio2_RSSI_ADC_OTR : in std_logic; Radio2_RSSI_ADC_SLEEP : out std_logic; Radio2_RSSI_ADC_D : in std_logic_vector(0 to 9); Radio2_TX_DAC_PLL_LOCK : in std_logic; Radio2_TX_DAC_RESET : out std_logic; Radio2_SHDN_external : in std_logic; Radio2_TxEn_external : in std_logic; Radio2_RxEn_external : in std_logic; Radio2_RxHP_external : in std_logic; Radio2_TxGain : out std_logic_vector(0 to 5); Radio2_TxStart : out std_logic; Radio3_SHDN : out std_logic; Radio3_TxEn : out std_logic; Radio3_RxEn : out std_logic; Radio3_RxHP : out std_logic; Radio3_LD : in std_logic; Radio3_24PA : out std_logic; Radio3_5PA : out std_logic; Radio3_ANTSW : out std_logic_vector(0 to 1); Radio3_LED : out std_logic_vector(0 to 2); Radio3_ADC_RX_DCS : out std_logic; Radio3_ADC_RX_DFS : out std_logic; Radio3_ADC_RX_OTRA : in std_logic; Radio3_ADC_RX_OTRB : in std_logic; Radio3_ADC_RX_PWDNA : out std_logic; Radio3_ADC_RX_PWDNB : out std_logic; Radio3_DIPSW : in std_logic_vector(0 to 3); Radio3_RSSI_ADC_CLAMP : out std_logic; Radio3_RSSI_ADC_HIZ : out std_logic; Radio3_RSSI_ADC_OTR : in std_logic; Radio3_RSSI_ADC_SLEEP : out std_logic; Radio3_RSSI_ADC_D : in std_logic_vector(0 to 9); Radio3_TX_DAC_PLL_LOCK : in std_logic; Radio3_TX_DAC_RESET : out std_logic; Radio3_SHDN_external : in std_logic; Radio3_TxEn_external : in std_logic; Radio3_RxEn_external : in std_logic; Radio3_RxHP_external : in std_logic; Radio3_TxGain : out std_logic_vector(0 to 5); Radio3_TxStart : out std_logic; Radio4_SHDN : out std_logic; Radio4_TxEn : out std_logic; Radio4_RxEn : out std_logic; Radio4_RxHP : out std_logic; Radio4_LD : in std_logic; Radio4_24PA : out std_logic; Radio4_5PA : out std_logic; Radio4_ANTSW : out std_logic_vector(0 to 1); Radio4_LED : out std_logic_vector(0 to 2); Radio4_ADC_RX_DCS : out std_logic; Radio4_ADC_RX_DFS : out std_logic; Radio4_ADC_RX_OTRA : in std_logic; Radio4_ADC_RX_OTRB : in std_logic; Radio4_ADC_RX_PWDNA : out std_logic; Radio4_ADC_RX_PWDNB : out std_logic; Radio4_DIPSW : in std_logic_vector(0 to 3); Radio4_RSSI_ADC_CLAMP : out std_logic; Radio4_RSSI_ADC_HIZ : out std_logic; Radio4_RSSI_ADC_OTR : in std_logic; Radio4_RSSI_ADC_SLEEP : out std_logic; Radio4_RSSI_ADC_D : in std_logic_vector(0 to 9); Radio4_TX_DAC_PLL_LOCK : in std_logic; Radio4_TX_DAC_RESET : out std_logic; Radio4_SHDN_external : in std_logic; Radio4_TxEn_external : in std_logic; Radio4_RxEn_external : in std_logic; Radio4_RxHP_external : in std_logic; Radio4_TxGain : out std_logic_vector(0 to 5); Radio4_TxStart : out std_logic; -- ADD USER PORTS ABOVE THIS LINE ------------------ -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol ports, do not add to or delete Bus2IP_Clk : in std_logic; Bus2IP_Reset : in std_logic; Bus2IP_Data : in std_logic_vector(0 to C_DWIDTH-1); Bus2IP_BE : in std_logic_vector(0 to C_DWIDTH/8-1); Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_CE-1); Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_CE-1); IP2Bus_Data : out std_logic_vector(0 to C_DWIDTH-1); IP2Bus_Ack : out std_logic; IP2Bus_Retry : out std_logic; IP2Bus_Error : out std_logic; IP2Bus_ToutSup : out std_logic -- DO NOT EDIT ABOVE THIS LINE --------------------- ); end component user_logic; begin ------------------------------------------ -- instantiate the OPB IPIF ------------------------------------------ OPB_IPIF_I : entity opb_ipif_v3_01_c.opb_ipif generic map ( C_ARD_ID_ARRAY => ARD_ID_ARRAY, C_ARD_ADDR_RANGE_ARRAY => ARD_ADDR_RANGE_ARRAY, C_ARD_DWIDTH_ARRAY => ARD_DWIDTH_ARRAY, C_ARD_NUM_CE_ARRAY => ARD_NUM_CE_ARRAY, C_ARD_DEPENDENT_PROPS_ARRAY => ARD_DEPENDENT_PROPS_ARRAY, C_PIPELINE_MODEL => PIPELINE_MODEL, C_DEV_BLK_ID => DEV_BLK_ID, C_DEV_MIR_ENABLE => DEV_MIR_ENABLE, C_OPB_AWIDTH => C_OPB_AWIDTH, C_OPB_DWIDTH => C_OPB_DWIDTH, C_FAMILY => C_FAMILY, C_IP_INTR_MODE_ARRAY => IP_INTR_MODE_ARRAY, C_DEV_BURST_ENABLE => DEV_BURST_ENABLE, C_INCLUDE_ADDR_CNTR => INCLUDE_ADDR_CNTR, C_INCLUDE_WR_BUF => INCLUDE_WR_BUF ) port map ( OPB_select => OPB_select, OPB_DBus => OPB_DBus, OPB_ABus => OPB_ABus, OPB_BE => OPB_BE, OPB_RNW => OPB_RNW, OPB_seqAddr => OPB_seqAddr, Sln_DBus => Sl_DBus, Sln_xferAck => Sl_xferAck, Sln_errAck => Sl_errAck, Sln_retry => Sl_retry, Sln_toutSup => Sl_toutSup, Bus2IP_CS => open, Bus2IP_CE => open, Bus2IP_RdCE => iBus2IP_RdCE, Bus2IP_WrCE => iBus2IP_WrCE, Bus2IP_Data => iBus2IP_Data, Bus2IP_Addr => open, Bus2IP_AddrValid => open, Bus2IP_BE => iBus2IP_BE, Bus2IP_RNW => open, Bus2IP_Burst => open, IP2Bus_Data => iIP2Bus_Data, IP2Bus_Ack => iIP2Bus_Ack, IP2Bus_AddrAck => '0', IP2Bus_Error => iIP2Bus_Error, IP2Bus_Retry => iIP2Bus_Retry, IP2Bus_ToutSup => iIP2Bus_ToutSup, IP2Bus_PostedWrInh => ENABLE_POSTED_WRITE, IP2RFIFO_Data => ZERO_IP2RFIFO_Data, IP2RFIFO_WrMark => '0', IP2RFIFO_WrRelease => '0', IP2RFIFO_WrReq => '0', IP2RFIFO_WrRestore => '0', RFIFO2IP_AlmostFull => open, RFIFO2IP_Full => open, RFIFO2IP_Vacancy => open, RFIFO2IP_WrAck => open, IP2WFIFO_RdMark => '0', IP2WFIFO_RdRelease => '0', IP2WFIFO_RdReq => '0', IP2WFIFO_RdRestore => '0', WFIFO2IP_AlmostEmpty => open, WFIFO2IP_Data => ZERO_WFIFO2IP_Data, WFIFO2IP_Empty => open, WFIFO2IP_Occupancy => open, WFIFO2IP_RdAck => open, IP2Bus_IntrEvent => ZERO_IP2Bus_IntrEvent, IP2INTC_Irpt => open, Freeze => '0', Bus2IP_Freeze => open, OPB_Clk => OPB_Clk, Bus2IP_Clk => iBus2IP_Clk, IP2Bus_Clk => '0', Reset => OPB_Rst, Bus2IP_Reset => iBus2IP_Reset ); ------------------------------------------ -- instantiate the User Logic ------------------------------------------ USER_LOGIC_I : component user_logic generic map ( C_DWIDTH => USER_DWIDTH, C_NUM_CE => USER_NUM_CE ) port map ( -- MAP USER PORTS BELOW THIS LINE ------------------ spi_clk => spi_clk, data_out => data_out, Radio1_cs => radio1_cs, Radio2_cs => radio2_cs, Radio3_cs => radio3_cs, Radio4_cs => radio4_cs, Dac1_cs => dac1_cs, Dac2_cs => dac2_cs, Dac3_cs => dac3_cs, Dac4_cs => dac4_cs, Radio1_SHDN => radio1_SHDN, Radio1_TxEn => radio1_TxEn, Radio1_RxEn => radio1_RxEn, Radio1_RxHP => radio1_RxHP, Radio1_LD => radio1_LD, Radio1_24PA => radio1_24PA, Radio1_5PA => radio1_5PA, Radio1_ANTSW => radio1_ANTSW, Radio1_LED => radio1_LED, Radio1_ADC_RX_DCS => radio1_ADC_RX_DCS, Radio1_ADC_RX_DFS => radio1_ADC_RX_DFS, Radio1_ADC_RX_OTRA => radio1_ADC_RX_OTRA, Radio1_ADC_RX_OTRB => radio1_ADC_RX_OTRB, Radio1_ADC_RX_PWDNA => radio1_ADC_RX_PWDNA, Radio1_ADC_RX_PWDNB => radio1_ADC_RX_PWDNB, Radio1_DIPSW => radio1_DIPSW, Radio1_RSSI_ADC_CLAMP => radio1_RSSI_ADC_CLAMP, Radio1_RSSI_ADC_HIZ => radio1_RSSI_ADC_HIZ, Radio1_RSSI_ADC_OTR => radio1_RSSI_ADC_OTR, Radio1_RSSI_ADC_SLEEP => radio1_RSSI_ADC_SLEEP, Radio1_RSSI_ADC_D => radio1_RSSI_ADC_D, Radio1_TX_DAC_PLL_LOCK => radio1_TX_DAC_PLL_LOCK, Radio1_TX_DAC_RESET => radio1_TX_DAC_RESET, Radio1_SHDN_external => radio1_SHDN_external, Radio1_TxEn_external => radio1_TxEn_external, Radio1_RxEn_external => radio1_RxEn_external, Radio1_RxHP_external => radio1_RxHP_external, Radio1_TxGain => radio1_TxGain, Radio1_TxStart => radio1_TxStart, Radio2_SHDN => radio2_SHDN, Radio2_TxEn => radio2_TxEn, Radio2_RxEn => radio2_RxEn, Radio2_RxHP => radio2_RxHP, Radio2_LD => radio2_LD, Radio2_24PA => radio2_24PA, Radio2_5PA => radio2_5PA, Radio2_ANTSW => radio2_ANTSW, Radio2_LED => radio2_LED, Radio2_ADC_RX_DCS => radio2_ADC_RX_DCS, Radio2_ADC_RX_DFS => radio2_ADC_RX_DFS, Radio2_ADC_RX_OTRA => radio2_ADC_RX_OTRA, Radio2_ADC_RX_OTRB => radio2_ADC_RX_OTRB, Radio2_ADC_RX_PWDNA => radio2_ADC_RX_PWDNA, Radio2_ADC_RX_PWDNB => radio2_ADC_RX_PWDNB, Radio2_DIPSW => radio2_DIPSW, Radio2_RSSI_ADC_CLAMP => radio2_RSSI_ADC_CLAMP, Radio2_RSSI_ADC_HIZ => radio2_RSSI_ADC_HIZ, Radio2_RSSI_ADC_OTR => radio2_RSSI_ADC_OTR, Radio2_RSSI_ADC_SLEEP => radio2_RSSI_ADC_SLEEP, Radio2_RSSI_ADC_D => radio2_RSSI_ADC_D, Radio2_TX_DAC_PLL_LOCK => radio2_TX_DAC_PLL_LOCK, Radio2_TX_DAC_RESET => radio2_TX_DAC_RESET, Radio2_SHDN_external => radio2_SHDN_external, Radio2_TxEn_external => radio2_TxEn_external, Radio2_RxEn_external => radio2_RxEn_external, Radio2_RxHP_external => radio2_RxHP_external, Radio2_TxGain => radio2_TxGain, Radio2_TxStart => radio2_TxStart, Radio3_SHDN => radio3_SHDN, Radio3_TxEn => radio3_TxEn, Radio3_RxEn => radio3_RxEn, Radio3_RxHP => radio3_RxHP, Radio3_LD => radio3_LD, Radio3_24PA => radio3_24PA, Radio3_5PA => radio3_5PA, Radio3_ANTSW => radio3_ANTSW, Radio3_LED => radio3_LED, Radio3_ADC_RX_DCS => radio3_ADC_RX_DCS, Radio3_ADC_RX_DFS => radio3_ADC_RX_DFS, Radio3_ADC_RX_OTRA => radio3_ADC_RX_OTRA, Radio3_ADC_RX_OTRB => radio3_ADC_RX_OTRB, Radio3_ADC_RX_PWDNA => radio3_ADC_RX_PWDNA, Radio3_ADC_RX_PWDNB => radio3_ADC_RX_PWDNB, Radio3_DIPSW => radio3_DIPSW, Radio3_RSSI_ADC_CLAMP => radio3_RSSI_ADC_CLAMP, Radio3_RSSI_ADC_HIZ => radio3_RSSI_ADC_HIZ, Radio3_RSSI_ADC_OTR => radio3_RSSI_ADC_OTR, Radio3_RSSI_ADC_SLEEP => radio3_RSSI_ADC_SLEEP, Radio3_RSSI_ADC_D => radio3_RSSI_ADC_D, Radio3_TX_DAC_PLL_LOCK => radio3_TX_DAC_PLL_LOCK, Radio3_TX_DAC_RESET => radio3_TX_DAC_RESET, Radio3_SHDN_external => radio3_SHDN_external, Radio3_TxEn_external => radio3_TxEn_external, Radio3_RxEn_external => radio3_RxEn_external, Radio3_RxHP_external => radio3_RxHP_external, Radio3_TxGain => radio3_TxGain, Radio3_TxStart => radio3_TxStart, Radio4_SHDN => radio4_SHDN, Radio4_TxEn => radio4_TxEn, Radio4_RxEn => radio4_RxEn, Radio4_RxHP => radio4_RxHP, Radio4_LD => radio4_LD, Radio4_24PA => radio4_24PA, Radio4_5PA => radio4_5PA, Radio4_ANTSW => radio4_ANTSW, Radio4_LED => radio4_LED, Radio4_ADC_RX_DCS => radio4_ADC_RX_DCS, Radio4_ADC_RX_DFS => radio4_ADC_RX_DFS, Radio4_ADC_RX_OTRA => radio4_ADC_RX_OTRA, Radio4_ADC_RX_OTRB => radio4_ADC_RX_OTRB, Radio4_ADC_RX_PWDNA => radio4_ADC_RX_PWDNA, Radio4_ADC_RX_PWDNB => radio4_ADC_RX_PWDNB, Radio4_DIPSW => radio4_DIPSW, Radio4_RSSI_ADC_CLAMP => radio4_RSSI_ADC_CLAMP, Radio4_RSSI_ADC_HIZ => radio4_RSSI_ADC_HIZ, Radio4_RSSI_ADC_OTR => radio4_RSSI_ADC_OTR, Radio4_RSSI_ADC_SLEEP => radio4_RSSI_ADC_SLEEP, Radio4_RSSI_ADC_D => radio4_RSSI_ADC_D, Radio4_TX_DAC_PLL_LOCK => radio4_TX_DAC_PLL_LOCK, Radio4_TX_DAC_RESET => radio4_TX_DAC_RESET, Radio4_SHDN_external => radio4_SHDN_external, Radio4_TxEn_external => radio4_TxEn_external, Radio4_RxEn_external => radio4_RxEn_external, Radio4_RxHP_external => radio4_RxHP_external, Radio4_TxGain => radio4_TxGain, Radio4_TxStart => radio4_TxStart, -- MAP USER PORTS ABOVE THIS LINE ------------------ Bus2IP_Clk => iBus2IP_Clk, Bus2IP_Reset => iBus2IP_Reset, Bus2IP_Data => uBus2IP_Data, Bus2IP_BE => uBus2IP_BE, Bus2IP_RdCE => uBus2IP_RdCE, Bus2IP_WrCE => uBus2IP_WrCE, IP2Bus_Data => uIP2Bus_Data, IP2Bus_Ack => iIP2Bus_Ack, IP2Bus_Retry => iIP2Bus_Retry, IP2Bus_Error => iIP2Bus_Error, IP2Bus_ToutSup => iIP2Bus_ToutSup ); ------------------------------------------ -- hooking up signal slicing ------------------------------------------ uBus2IP_BE <= iBus2IP_BE(0 to USER_DWIDTH/8-1); uBus2IP_Data <= iBus2IP_Data(0 to USER_DWIDTH-1); uBus2IP_RdCE <= iBus2IP_RdCE(USER00_CE_INDEX to USER00_CE_INDEX+USER_NUM_CE-1); uBus2IP_WrCE <= iBus2IP_WrCE(USER00_CE_INDEX to USER00_CE_INDEX+USER_NUM_CE-1); iIP2Bus_Data(0 to USER_DWIDTH-1) <= uIP2Bus_Data; end IMP;
bsd-2-clause
ffb0bf3f55f55c95580b9c2c2ec5efb8
0.443321
3.857687
false
false
false
false
ymei/TMSPlane
Firmware/src/gig_eth/KC705/com5402localpkg.vhd
1
2,729
------------------------------------------------------------- -- MSS copyright 2011-2013 -- Filename: com5402pkg.VHD -- Author: Alain Zarembowitch / MSS -- Version: 1 -- Date last modified: 10/5/13 -- Inheritance: n/a -- -- description: This package defines supplemental types, subtypes, -- constants, and functions. -- -- Usage: enter the number of UDP tx and rx components, the number of TCP servers and the number of TCP clients. --------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; package com5402pkg is --// UDP ----------------------------------------------------- constant NUDPTX: integer range 0 to 1:= 0; constant NUDPRX: integer range 0 to 1:= 0; -- number of UDP ports enabled for tx and rx constant IPv6_ENABLED: std_logic := '0'; -- future use. --// TCP STREAMS ----------------------------------------------------- constant NTCPSTREAMS: integer range 0 to 255 := 2; -- number of concurrent TCP streams handled by this component -- limitation: <= 255 streams (some integer to 8-bit slv conversions in the memory pointers) -- In practice, the number of concurrent TCP streams per instantiated server is quite small as timing -- gets worse. If a large number of concurrent TCP streams is needed, it may be better to create -- multiple instantiations of the TCP_SERVER, each with a limited number of concurrent streams. type SLV32xNTCPSTREAMStype is array (integer range 0 to (NTCPSTREAMS-1)) of std_logic_vector(31 downto 0); type SLV24xNTCPSTREAMStype is array (integer range 0 to (NTCPSTREAMS-1)) of std_logic_vector(23 downto 0); type SLV20xNTCPSTREAMStype is array (integer range 0 to (NTCPSTREAMS-1)) of std_logic_vector(19 downto 0); type SLV16xNTCPSTREAMStype is array (integer range 0 to (NTCPSTREAMS-1)) of std_logic_vector(15 downto 0); type SLV17xNTCPSTREAMStype is array (integer range 0 to (NTCPSTREAMS-1)) of std_logic_vector(16 downto 0); type SLV9xNTCPSTREAMStype is array (integer range 0 to (NTCPSTREAMS-1)) of std_logic_vector(8 downto 0); type SLV8xNTCPSTREAMStype is array (integer range 0 to (NTCPSTREAMS-1)) of std_logic_vector(7 downto 0); type SLV4xNTCPSTREAMStype is array (integer range 0 to (NTCPSTREAMS-1)) of std_logic_vector(3 downto 0); type SLV2xNTCPSTREAMStype is array (integer range 0 to (NTCPSTREAMS-1)) of std_logic_vector(1 downto 0); type SLxNTCPSTREAMStype is array (integer range 0 to (NTCPSTREAMS-1)) of std_logic; end com5402pkg; package body com5402pkg is -- Future use end com5402pkg;
bsd-3-clause
056af155e97eee6928ea2051d411a121
0.633932
4.380417
false
false
false
false
fpgaminer/Open-Source-FPGA-Bitcoin-Miner
projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/blk_mem_gen_v8_0/blk_mem_gen_prim_width.vhd
9
70,108
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block YxgXi5ZNjd/KWluvWOlaYPkHk/TK+795UL0y9tJViNj6JUEDwmv8ke8RukSnSfy0Nc/3oGnKwikQ Xo7ZsbEMYg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block A7pjsLJHE+Q0Vj20RRmo8hHA1nzTjuE9M9OyVZNkumTFMCPZuFsTweWRnA+ajkNIRsEqy29BY66S PQXJAjVVb9C+cq20yJh0id+M+GaMu1DypKZvS+5yW9OPzaKH2ewnGdKxLPv5buwxbVg85URUZC2j p4cKdEcyp1qC6vdPqtc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EagYSh1VS+j1aE9YdycZ7/wy3BJGmAesjmpfTxZnveLinC8pax1WIp327K5y07pZI5+7pCneKCCu Ch0q2xNDbiCSzDV59VuZOhCaDiPCTcIQfd3eACesb9q0lqsAYeSJMbI8hJxHHNmU3cjn8jDZTa8K UUnQApUiAv8KbU3ePnUe09noEmoyc67mUx8CeOveoqqzMEl2mEuw3gAOYWR5AfCW7Sq48xgVagGH L1o0UowNhTCGl3Ww7tRjm7YNu8aTKFM0Bynqy5zcpvlTjZywYPxQQrM8sc0nkgVK833oI/QK2OzA v+yFf4ntTCTacbYgy6kHCk92vswsMKIUu3STwg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block QyMTFbGY1TgMvPMcmnhS1DVk97CpDL/bnJ/EaHMgDYVPURou/gUOP8tQ6DW/w7oDbsYVo0Cxfu+m kGFgvaKOaIRdi8cYzsLU6S5XMgdcpenKik0Jxp12Rin0ijEprtEXdzC91Z3D+JydfGrfpFrNE87M r6jo6GTpARD1BUpmlus= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block UlJamfAuw/HSTTAlOXO+IrKOrg43jDciPKjruWxi99c7wFQNGDcyuyjUf6Tq1FMpXs8qkz8jP4gi o2wem873tjBS9eVsWPfTRJTBuYEVNyMOnqt9vvzp5+20InMI3LLhxF/gWDjhlpfGEqFBxgqVkFtS XvU7dhgFCDVn/CVSbMzHQym/3nrVmkwnQAAt7j2XM85iif+vUgBaCfM+x87ENcIKpDL9PeTUB7Hn BLQ+u8c4HtbPnMaLSVpmBZqYeAGJ928Hq1AzX+lY5vxRviuhqqanGhjEwOOvmXfbL42+mf0Z1Ec6 aP+lTG9oUtmOCHmcTXjZ+V+fMvXZ5EfOA9ASXQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 50160) `protect data_block 8u51yztWQx96RfIH99cL+voScTF4QJL0nOroaQheaRbsZQ48q5ua3W6Ktp4eYpZelIexVjqNrcUf 5l/FGYeX/ORb0ScvRs4awBJsbgWgLz/O1aYGOhqRvTcTih6fiVYrIrA/UigxbGlUdAF8icUI3hdb S0j05DU1NncHaCnA/6agNpvYl9MBwoAmKkr6mhSIRpdc6ficbasvkPdMKU9VhVl2QaqCcc8P9Vde Ammy4l2tpYsbHNfp5JAhJqfBlh57c+SNSTbKDr4NWYHIp4i+V5lZ/QyXT1urpdMwgCM1w3lhq28f F3FHDIFYYxhQkAZBBvsbLc2mnjaebh+QVxn2qLEnlrvemcR6e1xncvUGZ6hOV20XEVgNx0S2FkBj z1jpLMD+vjEtuJEWZCiE+vRFQSflnhYDh1pzCWQNy0GuCXp1wqFaDUhmUPlM6iY6ZVHv/wnvY4s+ 8Tl4LCloGRFEyz9xEW81Xe3ypugYHzFmKVubBIriJlFP5xE72WOFlMC2FHOYJbzQn4un3uWiXHcz 2uB5JlXtCdxUYYi8XCoDYtihw4OVUcwPReqMwTNgKbat1D7pxVBcuYGkU+VgaiowvBsEWiSlRjpz E9UH4AJAxCq2UvrkdYEWjehv3VHDvA/nuDm2LIVrFTa3zCTbbGYRf6vhK281JVUMg9eyNoXaanTx WACdVpQHkvHusZkNTmv4mzs2p+C/eMxia2lsxYI6wmwb+xovsIJN86uYBskIbefZgnj1KGVGY76r 4gb3pMfzzADq9vQBUnmYhNG+fHrnQIZimfq5TNBJxwNYa6cW98B07MzvRY+1ggHRmUT+sjEVCYWE JeHQahwzIS6rilKjuYqvlQlj/DOJCpvHIMvNjhEVX+vtosL+G3JhNJzLGDCkqKhHUfGy+QyMuG60 JMmxilPKoDY8svadTf+UI9It3Eio4jOb2G3xRd+evUkLYO3R7xO/hlkhjYdm4XwYRXOv93mg8x2d 2zfOAblde0TfCRvv24xF3s72e6CtUGGolrQ4B+t9O1PFnDq9vr3zMVY/JwC3oLz7aHMN/9nR7b/3 nh8ydSFf/xxhSE2GOZVNe0C7jF/xbbEJL0Z3/qm6+lwPKrSa0yYlFCW1QYvHdSsF/v2VwcOIjjWN yzUkH5lzm0mGtbGpFHvsknWFKTT7UncSvv3tg/kHSABho0XVCl/hOV3/1CZjTI4YLgo+/uVq8L/U QRJURZ+d5VUGL7U/q2xEIHHXZXo485AFVc4/yD70UvSbd+Jql/J6xwXuAfGO0BY9Td0i1Gqvb9ii SrlidVkOd0kZ/hXEoVn8Ro8Vxz5hiSxEmgVczwo7RIvPFVEes6ANqL/UOYNyMpsgm0k1OmgMxYWl 6FmJUFZB5MQPSosgq5d8nz9ptUC4FbOKiZGbtOvT3ibmcnQ6Ih4Q3oFH0oJhj/LZSjSJIVNVglwu AVqw4EUaQPW8g6ZcRacGfX4kBkaiocty3RTzl9uNRow7We1psVJN9FU/uA8dEewjF7YhygEFdz77 yH0LrFjQuV33MMGdb1ohM88QpGEho1nN88xcinixetRRNWOeLgx/wXnMJnvsLqZMEcMzSqWYnlFD WzfrzFgGUm/+nIHrPjfq/CewfOgZm9v/dtLoiJRHnMPAfKaOj75/G5bO2XYGEgotcISqqYUL+bVB vS3hMzfrCTkra0I3E2la/W7jcYVn25qYwI4uGXc6ih27JPB8qqwZQZoEYGv90E5cIhC2gSwO0cr7 7LrQ9Phy70qORegyZA5wtiA9mMJn42AN/7mCvymNNTv1bnYRV/QV9Sk4gRpL8IZDk4/cllN50DzB mlOjEq78jeJNC5ipZzWaPtGLefYFAI45JWd3k3nKDjMgd2qGqeAmVjSplwcGA2XI2OeES09ktgap QbvLE1opMTfYMaOGiN0PiqQZ8OogOXqCx5Asy/HAWAXw1q53nAlUfs23e2CPf6ZwpH/68l3Z8vhd QvKOUhrasvSNWRp3xfIioM7GFeEl/q0wsPzakXlt3hoQ80APCbDuUvEqM6csPxMw5ehK73qP5o1N owPtWR4v6zC62XYhIiY89oBhBtgWtR35vxr2DVWCUyEmdv4DVnOp4jMym7mZ9TXBsK5U1qUYs7Sj IGs/1F3uLqY4ziDF6NuohvrYTyHQM/fNZWqquwJB5OWcS1Lu6NnQ2lQ2QZ347Fv35lhAOu3WvSXw o0Y/ILeecs+YntU2hxE78sSqD5Z9CrseqvTrV5pVoECE4ikML6/mkfGUYowYC7WPIN8RTDWgrSTr N/GUBF/ZfHRh/s9v2qRiJ9t6gDKvBuZoVf6oeDzKVLnLlD9Pa5TMBVicIcvAVSeqpa4NInvvPW1r wm/tUV0bDgbiH7hNyhnJyvqAHZSIM4uXhYxRYXAg8OyBxdqITcS1vwhjQyVBfu/Ix1fGJavj/M6k hzpLsF8vf5p5OM4Nzw+33w0kBnl1dE3bag554fHs1cMZfWPUNK4OwEVr83ziPrwrmh8Q/t/3jvCR bMMAxO6Hrjfodz8IVK/2KzyJbC9AXff+2Ceb0Vm/Zko1PZadgTeDEntv9b8Nb4ugcdHJ9HDQsRGy ehfadrJWA5WOfyZlTbXT9hJYjy2XX8j7ikUEKUW7vFcuM9TznZ0khRZWllglPDdAP8IQ7bQiVerC Anrl0x1DuvKXQw++dbbWCyv32h0kcp7w8DgOWWyaFmnWDh4bL7Rt4EJN0MYAh1ZzezYHbJJxXk5M q4Y5yK35WHXy/3An3V0cXn3LkYEuq6bCsrMtu8jVdhAn/ScLg20LxCWEFcXDoUW7RE2cbKGLF9ue bgDKn8/dxD4trZQljiqHnzLgE3abIDww1NKpRL9NI84tHVI0oAQv9N5PxezR/9mm6+1JlRaS52dt nISFbmZjMxMfu2oUxUR5J3qRWOzmKJ5Rv1cHSgXBU9MVUxbYWr2uQuLA4RQQSajiQ1UxDK0sGFNB 1XalWeejs4IWMDM3ekJz4RQFxmpRvOZP8mkHGAOan8hD2V6/IgWVERaX/VA7ivBqYRQlJ3rI0Wg+ K+vF8SlQEh974/VJmo2logpvALOi/Q1nWvs8G2r1zZRGAz8gaVCxoXAlrp86JOzl2MTUI/f2Ygb+ eld7nVeV3XE4G1qcQQjZuKa3mqu+sFx/OJqNrDTphEjasifALe+djO22WPg6bKwQtpthwwj6/K48 mSzYu7j4G7k3SpnRUivIlj7eZRFAfGO71+mXNLLglW18+hqlSdlpcyf1n639Dxq/hu3FYK1/4jhY rudn3Z6+RL/4fWO3RTZBqDj9HO/nO2l7rAtpmW+Oa1tSlnl/GLuY5aFNSNQPZppYpxOn4EwRF9yH 7C4Ds95WL2EYx/iVDpED8bLEgKKxiqhfTPb3g+xYX6kPh5yHE94zxmGMqDHqE9wo67+IpXYAemol LRRJSypsJ1Y+9gHKp4+fbMw+uKfLlCVos6Bfds5txzqMONujmqkJjERK+kVc0O86sMX0OYGgJKWP IOKH1tWHrnj2odKbhSicnQ9qkTY0JjARC1dY0hADpfXT/7y7pDElU24vwdh+WPtctoDH50ecuipv F9Xzah+nHJGv/4Wge1boj9PEBDxDihgEJ4QKGbeq7B6ur4GrOmuT4DaPoE9ApvkNiTIk0whXWA5s 34iIrSqALVbpgKpkUMF0egvX45f/CkTOqJvsSCF20m81z5obhC4VcrAAd0TpsdZEYs8D6ds/qi6o WmbD5DkMmIvF3bRt6889S/hxX1I6d/I41yENaa7x0fbx5lmpn6ZQvfJAlDoREhtQzHnN1hSVh8Vj lBhGBHFVnB7WvRgDWJGVfFukk8D2IUu/z5x3mcA1R4Xg5C3tV1gq22DpQqkoVvNw5yFmV0HUdAqM 19LDPabFpvgpT9GzVzDRmZB9QosLVWyT0XKXp7ddF+K+OHR87CXoe45lkGn2MV/e4jcLL4RfetnW oel1jqT7vkZed2VdWVOqRnE2QQMXQuMv+mSw5lyGMbGt+YB3rD619HOy3/AZOY/GiYgiBb6MvFrw 0+a2XFNBiL4KLG5APwPXQY+57ENp4B+Oqw/0iVXkP7aHGEUu/GiRJ1ox6HPcf5I/21Upjotomw7P 0KCFbBu6NxQrb4tbQsITMk+R7kwe/zAwmnBdmbUAOtLMVuqAmgzCMWZxAa0VqY6aQb45B0SSpZMp LLcGWou0q0mlK1GJxO+U7sYpL95E3HsaH51AzWIxNf/+aU/r391yyXfTbimCNE4f/8zoNboC6vvZ Hiy13IPb+7PMQuKYW4x4Rodhm5MCUlBbCK6DK/mdIEa/SLYPLNyQ+IIsQ73eCJjoFQRGqhTeSmEU BqJTPE6SuFSyJrDpz9M6+1cO1HcDCG5dqoY1E3vnPhHscVSGUMW+GK2hchSTc1qRu3AIa5ssFzYp Ovloen5KODfAGuxHNza8tQ0tLBPWeIf9GWNdD0+a5UgWXNC1+Bc+8aQkNmKJJQJSBMQ64BdU7y7P UILTTBTN7YUMU7VxFMPd5LRLozvxC3Ryr6Zc3U0VpNRoz9pcjPhmdn4OXkzX6UzsUR4VcGwR0jyU 85bLKNbWPO2OJusrn1aklQSC5xbPNJ3PSqM4w4WowDm77EOKSgWTZVy0reaMK35uPM6AplaAqgb/ LSmZkUHWpBqU35mGixejf5wsp3QaGE29tk42fYWxL3hHVwd0ErW+oiikpuPrJ9ozDENa0CjIt3sf fW5Ho6v01xMayzyeS4qt09HJ+DF4E3j0cTDCpWT6yHQBjX0XEqrsxoldL978bvpGHkYqdE/g229+ AZwAtOjv1Crpx6UT/bQoFh0w62WsaLZoW1WSiueWnyHmKEV9gZUAjph1Y3R0rI4l7BZNFYmT1yRh ZUKw9fGwuUd3OcB2k5GF+gEkLv7rAHryBjc4IEttjLWaUZWAp0NtbHS1f0xdg4tui1PSpU/q0+xT kFlQlLvIsD+Rv+avCrjn8yJLk11P8U1QXWNdU6lCUHEls/C8tnKFTq6tQd6DPbitkJpSF/v6QiFl LWDrhIuR5Dh34WturvbBs0jXKGt7/JkORILY0+xzC2l5Hx0yNXLyGVXTCCvX6JUmC1yWtPLULKEp LhtfFCghl4FjtXawN2uUdgGhv+Wc1NKay1kjW5llMdP77sNhrwHRNeGYgRjOcZ4ovUz2HP60ldql jswrOapiwOYvwX+xfMGtz/e+Cp+tO1SxX1bLqafihmOi0kE5H3z4rN8VsEeQA/iKX+y9aaH8cZg7 AtQeVo1sihtGYVKnvz5N/OUCDjzZLPNCWN1qeVrbAxgnegfLvOG0cuXQghvkebvdZcpfPBUfyZS6 fIhOyvifXtCSc1PHlZALvwDaf2iQ83Abkktq0qDd8UAI2IrA+SNR82gRJuToP74RtbC/8NH5B7Wc eZzX8ZNBh478B1P1ArlrAiFhFBv7B08wox4B6SepQvLHumf8zCJUAtuXu6vQBbD4ke0uyBJ4NjuR ER0tLyHGJ3x5qSlNKe5iAiYvnRSbO8x3n3ChhIG11J+G5up8upnznohN9kubAAYaR4YKYqhFR4D3 xE+Z010sKAQQ+o9or5tzZV2QpPE/7WDMl6CObdIDqZvagcYF2pwi057N858OntNIDGM60yLqz1dO ygo79+RaemqirpGtLd1x1p7JJ09kg9YNx7LB3Y5OMSi6baWMRrZ/keQoNlOfB4ViSJ2pG5ah1oOi TnGtP+CIL5nMO1KlOlZakjcSC5rxcQ2s0KlKQO7SgZpHdFCWGSsZW60exNShyaZFv8QBJEhW8d7Q GKRKyZCLSTORZAwO1Xv6gbAJnakx13D8KmOsi5xGGlFv7EJ+EYnSq63g8CaM4J4Y1eZU14PkNEbh Z+SuYkK4yLUVHPG3tR0GDww2SRZc8QmpCJ4/HXNha/1AdkS7HCMPntI2tK2ELN3uKzpzvHdwHh53 rCsoz7VtT4Vo7AJWhif/cIkYHO/NTVa+qEiobtRlF4GA1i9cJV6ifwW2OxvUg2+hDNvyOQVz0wD8 W2zpbxrx2l0McHmjNQSkmTFX1zlcENIlACy9yH51O6kl0FPStSjIvrhh3vGfhNcRb5lpPKPeN4NY 2DVuiVJnrbxYNy1SuLbhTPKJy/hB85VDq51jtlushCUfYvmX1NpolqzA5X3xss36+4KOQ5HY4AhE AUa4N0IUM8k8EA3jhA75P01r4U1EDGb+RJZXwsOXxlfqFD3D9YAyvteQUus+xP/nr9/R28nRzsFh p3/J+9ffX3hkQ0H39CpU9pKWj2kBoqmxX/Vp5dL4+pcwGt9qmiw2C+1ELeJcfnhN6r3qZxliL2pj kvs8dlX/33nfcbV1++xtclQlg+uV6UZCM5uzFRYBlc3o3dU8ezrOzrkb65BALUA73jOOrNWoDpmC 0ng0gaT7lBj1UtSjw/j4Nlf/O9Uh9nJ5MyjLWI4hjrzZUDqcW6VhUqlUGPHK3buNtn+If1ZLr6vx Jau3gF8mncJXabARxYvOsmhFTwd7AIGfo3Sz5lfH0nb7ELeFp3raLhJKi7EX8tmdSqOwujcEPE8H //G45VoVVYrLonzCB4fedHrCUGqMzz27bUaDq0B5+8lAK5hFtxwa+SJfRXHo9GZAnyBd0FrhLUXC EcAB8AUyHug5AoOcWKV3VhAYcMqFZzG4xdif/dzUZYh0YUg87xiCYGVzMI//po5+NFbpOxXkgTyu JXgbSE5VDalC6jcWzBEPAy/Jyee/uOom8a4Hk+Im8tuasMXs33Y9k+azeX+GYQwzwsF5RlGPxW+a GDtqZRBN4cWThoW4FhWVlVqU1iUvIC8cplF373zto8YQJMkpixS7A8y1VY2tir6UsB0v4jmameGd e6U7g7zjY423xZdDo38AAlxPUwnHr8TmyoNaQ1AOud55kaibhBzP0snCixyx6gHb0ilkSIHBiwm6 MCMXQCxXXBsRZakGqLiwAavW9u5oR5A6iWm70DpSKxFt1T2CvcO3YCWKhX3k3afRsP+ntlaY+xmN w841HZWrfM0w0tZQUc14qDxERasEoHpQ/xjzl6FfEpYKRC+vfS3N9Q/loCqunxg8APb88N7GIg0e KDxnpgtXQn6Rvd/UykOKBm0vJUsVpgEzX8qQE902lKVFbdmwFour6ObTNd9CWJRTnmqHMZd0hS/P L/9qMH58dwFbJPJE3wIEDvALkY+OmWXGJovp1DfPtx/qL3sUN9Gcr4qFOR+12PnjgOiki4hiZFdE mhDSsCrOdzcEtqYNo66iKc9XBT0E8KIUFBGvfUY7SMnZvf5d6+yLgc/P5vzvLNVoJWtHCBKeeg+H avmjQbzv2BdrY6J6B+8kHc4Idy6ZMzkp2PrD88IHGay3YWlaKJ/YNFhAMVsVnrEQokRG7TJSlPFn tdMgZXyI6JF1Rh7cIPlegfm2HMpSSidLk+ytemEEqrexyTaA3mkjUvR2MXb3sTitu7GphkOAoo+4 g+2h1eezc6WWoMublCDbx4tYiubxHXh6BzZ2614M6jdUODZxl1nmokprKtdlbp+qkVxbJvNKJXUe fJm4hFCP1KOkUMliE/5Ogr0mGFxAi+17G/SQpKxj6ewhEt0i8NzCB1odp/Y8lMYkvMdpQFlFpSvi To5bNka7R10QSKh8cm2hNSeoLbayfam6ZMTo1eLMoFBmR9mg1kkJMIiozdsMHOPbPtD34uvmRB8y J7sqIkVWRXROCSiM7IL9nGHpRghei5/3yZIX48HiHS/oADni/sLqN9P4ALTP8cJbmctev7fyzqVI fML6e0kJxDtLSLNHYVU8bG+bUPCLtyLaH+7C7IfOyj1QB3eeff/Q8hNzjrh36iAi/ar/TBBPkbyA 6c3xEMqkv32ROZ/lDqc5CI0g+TXl7kxMOozvF9+kriZsGZMjHWfNalLBDFvMCWMWGmVtC2Fagq1D O9krIaRrgXeVjsN3lX6jqh1IV7kYDUMC/Pkr4nnW6Gdl1+L+A0PAyKd/rBroVCGvhi0GBWCSWjoS n623wqiopSFtbcTqP/2HKcAFy9z+wLZCsFKkJXl79ZxVbbM8J9XtHKcqaR9JmZC4JXIJRmt4YwVY SFPDWRfjja2b4CC933yFRr+O/Nk18R7nswWOvOkuf1s0L3Ce1LJnp/Wvmmeicl8//MODpUpZd3KJ ybNZcPxXgpph7MtRvNNgn2yso7z5muD5/zLv9c+psUCkRwlvy13EJaX+8rMwTCvKVrTQJjXa9Lf8 3B6Rg4bLbvq/nsbfYs9/KhoAaNGHssjV3TffarO/H6CYMQl4PXX5wa+PF8VWa3m6ohrn9lFTrZEL 2C45VAq03ttb2Nu4uZGN6/GZD4HnEfO99I+zyRrVXiXbPZ9P0I307ZtH1I6g1F5XcOOftY1C02sv BRO6Vc8IIVojRpTJSw5JhpUa0BIIAeKj9lBCKlKKwLUPKf/2vHxHWou9Q/ZS6SPWkmrFUnIz2dAT tFk27UcWEUC4YGZGQ3y0sg9Lla5glBHQkPzdHpiBQY66YITGr8PU3tyxWBK/bNqJhH1eGqa4iViM dHcsIIX0ShaMf/q0OnhREN3Kn5Z/IYdS4blRVfM2lPOZUGZyt8RET3UyAPJ+yVq7jBUegpKkKek8 YYj0me+8wfqu/lQcAtpc9EDPJFadpcwfpkO4ADwDm8OT92lbREvEj2mHPMCtnTBbNSNQSPrUTLmW IkdOF+V8zWN2HQIuUBSr+iEIGYppMyDi4GzISC/0/ja6QuQfa21k6z0EzSAScLM7btlRdzC1MU5V JeNqB39kDwkoLZ+zyE1swJNyNT85AwNkMVUL7o6gOGe7TyO8vvKW8+zul8cKPX9zLiyeL/aod81O ROY+QGdpZmaf3FO6iBICXpJF0WyotDsvqnP+K1Vnebxvitnrtjj5ksXocHzfaIBGcPnUdOL+4CSv ZR0IBb+E2VWGridwVcnEym4henZ93Vz2WQVdq/tLaJYbNF7qnsLPjgNL5qb7a4eq+EooZnoiHB1r n0cAtXZHr+12nMpR/QlTYlfP3U+5XtlQGcREYDIhpNUJN9xLY0uS2ry0ie13nU4xALWDyFp89Gbc TytH5qx0D20Ev/aw7q+uDGYU3HjK0ZkC4qo5Xvm3ERQyAANktM6Si9CqovppJ5j8nyYpfC4hWGXe 7eziwL4adhgjSTT+zjPxzsORlFF2zcW6m5B6rcu2KTcvHUY7IKShWxCFDo5GfuR0+HLxu5SDCZeT 74vmnUaOBCb7O10/Qa1z5iTwvvhJaDruE15pjYwsieJP1Iu5C5pHf3kZ2lg0ZSS9obbogDhs6Fmg i79ymvl6nxlaZiYyIFKiJuCeaxG/ettqOnZZL9uWkC2L/QCKb+VeJY9ytxP7RNraY6NORNwYYvGR ov7aQnpHz85vZSjrnaPsc+IfgBtM2mgK3H72EsohQBv5AjaiMmZrC6qxMSdOezavXeuAiLU6xHDY zUiCLpgzAfmjVPkx7OWnq/9Ev/VbJegdo0nHIWO/mUWftweFEM/oFSMdi2sb1zERgLWjGENpxSBi 5sVqYV10j46/PS/+PdOPOW8lv0eTp7sMECxJt+nvgi5JtXIbmfK9xcEcxdlnkDytoj46gh7CZJCA AgcrLk/Gj8fUvVf8T/JEmvyx+IREBl5sxCXjMHFi20L2qYqikXu8ane6oNtV+R0meeECesV0t6bJ H9T1NxGJVNsw7gZxO2nVoDDBZGyC7mtaiBa7joSlyh4IykuSeQJcC41A+RzMvDGGmrbpI5lr0T7p kIfzWgU2+xsJtgQSPyrf90AmAPK7cb5JCXtlXfvz4r920Gjoe5SI1nTsticSMD8p7kXnQ85RY9JC CsLFuyUJYTc6KSn2NvYJwLza8Ms9guXXoGyaaJg3kr/jmlnlvt6gSsVAVx00E4iLy1lBsfBmXp1z oeKrgdsVIJSuOac9kRBrdrjIK55KQnbohuQRW5GH5EPOfgdWayWxF0BE3puokGaOti3ollQEijyv rWzDIrW58VvtJKcvUUdNNOBND9we2UmTmdrNk8OO0Nl+50sFaq6OCMMfhBf463nJKpRXgwvV601a fTlIq9TCCxtSXYc53ueObH0MQhfHdarsnYHuJ2/Ox7oHrxZWBU26vicDVBL2d7mLDNxpbjWoYwXY Zj1UPe4tzF/4vBG7jSGfE+1KmUIWLVbu6Q3G3CHGRtQH/1klH87so4krNo0ccMIsgHKeOC+9Z6sc B+I0MUK2Twl+Y7kQQw42ykAvcCl0qBEMtjs8xAYbPjwVGecpIre5fmd6jLlvr2TsZjhJesTwjCiR zyduVxaSWRcLgwzZMHiZKR6ZRyyKxCL/FZm5Mm2Q0lcOAUOgAK5wVpkT+Nr3grh8avDvVZklbIht O6yp2TdmGigZryScrr79iHyXqgnfHu0FoI+JMINVOTVfGqo21Ty9xJb9GbIeXdXqKvQhxU5czONG T/l8E39ZK6v/4BaOXfmtqNCEPA/R2/3cBeTkULfmzLWEFM36R0z4iUK/vwwqD0RwrX+7OmJY1lK3 rHKLRUudkVy/Jjz1AZgTsY4HR9yC0NwDpr9zqJZYeIbySQhvD8jjjmn3P0jORwOe11Sw89bMs1X9 CSftxxiunA6IYWcTpkkkbzpQyi5KQaXaN479Ag6UYhn99kimqunnBZR13YCBWYEUq/Eqlr5bVTJc BsPW0b1pJBFX1I+qg8649KAkBjUXGHK+MPL7CF27w1VR5tm+yXNsbETiMFgbJllYXreV1HhAbSBg HDHrXFCgOHdxy6phbtJtMHhXuSIMCgw7qcArnXOahjg4Ll5x5qHjf5cOIQzoe1Kk0z/3KlX0KqRw V7zqlWDNzNet6+6mSixDNi38t6QgqbC5ENj/7PEcK/vYcwdENqGtmQrx1x8e0TedLwuFxp8FSr02 Zs2g63wyraifOyaACFb/CmVvDw67gnwt/BzNSFmtFC3LQparF0BeXunHLEqG2piLdvp3v3r8+hzg qYDvX4aL6lISYoO8NuEPZscOJnmosYnP2AG+828tuMYqDAF/eKWtXNhQKyo9luglqjyQGD74iVc2 Muwh0OCzktZJ5PWcHPuoeHaFSdKHUIBdRzgW2j61pf/JuttvNBL/qs0w0FbBT8EmNoS3ES6MdNKs INrUHkvegNpRFH51mb3LHmUYJDggHUYjrg5UsXKA/7Giu+ugoS9ydt4ih/39xtjj4XUN5r2teln+ qqNNLdkSvvaSBoMIJgUKVH1SvJmA5FCVHDoB/fRcyCOW+/6Rb6kd9woImL0kd82o3/VmkYh9OCNR 4fmMrTPzy4ZPPhhO5RGAtzH2p5ZU7TGVUCYREB91Po1CvqZHdID28iB2p2/49mpkviHeWdYic8nf Km/fssXgoqJDPCjJNP6j7/Q7qOxJjiJaNh9hO2FAq3gK3463zNiIGVpPCD+7rRIXAgulIl1+02m4 AkwNJgQu4auvgBn3eeOk4b+WN63PgbqkgPxJ1jua9HF6UPDwRflPMVIdZnqSu5rSixmMrtWSRknB 9RIG8gxAzBiEhaA68WKTKr1b4uN/ty55faVy+HtmYH5TEDK9ODOPWijFV+V9Nq5zLaWzGWTITgI/ cZ9vJTR49+BSvZDM+eqzBLoG/vHfUaN9FsugJqtqZwv8E8U05V3/q+p8xJI45NpXSxhzpBdUJIBc Bddvg3qT4EOaJp8OMJBHSN1snVtG4LBUXOKCHkhG4p+enh4GLgpr6Fd3pGjyZDrRlCs8urdJDQUk J1X2U+2UhOSwUMin14HjQWA6d45hX0nEFnWYoxA8y4DZHFHbwWzbt5/m8+yjPY20yK4wmpbe5/50 kunxCfzv1+zSx/WySqWJPBey5NvUoFNSHnewYGgARKqGtKOPDzdBcM0FArBbVa2qK+NeQmg1gZyb hR+SnKbQ/1J0IYGHBgYuWG6MuUxr3NhlOUYQxiAfUg1RrYvzMZHEE5Yj28Q2w4+SEjQPWM37Mj+V VZ1nessVqPh80YncWMTE0IXXvGccWF4PKohAC3VW4vqcf4dFqyhotW1XSiKvVjpHhKJOe3rU7Ry/ XMPHfOg8un9m//N7q2cvX9xZuC2b3n3JDUByX+flIDQ2qozyNYnyolHkeL/4NgKJQLkbP9TbLnjy VfpSEscBPQYjLMi1cysoBpxGxXTiWLgopKsXrm/X+43pETHFOinp8CiNaiB/dWFhKX2LaWNnTD4y 1kWpNGqqtjMTEkehJ0yVJUw3ChiWHNY8kv/Y8wzE9vg++l5kJUx8JW/anPhDsFkiNZRTiyM+Lsq3 FDFvwDQA1JL2wPcluu3qaxi++RXipoS/wreslWU3BLMFbe0BjJY2STscAKbdX20jJo4uGvpKnEtd GLk8EMZ/S+W5wrurDEF1aoF8NRccnhJBXFC+4okW++RY9zLJ6KnoTJVi5nHA/5/omPOY8qumfbxp fXccw2oAyjQEha4+aBqin6EwTMKNiP4mk2I1jiuzWY49EqovueCy4GKnPWQH82jm4vyysd+m+3kt b9UGBjpYLlNCTmfDjCncH4wPZRaVAgNwR6P7tq672EQ1joSvF2ZQhNkqhicpjC4dpu+OYgifL6zy MDZJxnocoMHhAmt52cLMVyzgH0E14j56HWJTwFZtVlBwfOlcaqVM9JySmlGjyA1MmDOZPVi/17KR N1+rdTjpzhLLOSO7jpyZy5Vc96KAT1zvxezHYSWdYBEfp3iEKYjXzibbcxl8gtS1+6AXAB855oEl +YohPDLqzDgdOrfK69HdbQpUhpTJdlGNyz0xrRrv/NG4xnDpe/MrDhLzRJq7xWFimyO2hm40WJqH HCvOh0Ygl+3v9oClCpZ25v67egX4OVAcBVXEzdSKA6IZvdi6BkR+zQG5Rkx3pYZn/jXhPxn5+QLZ Sg+CkXWfs7xuOfonQiJlfujGtu+CoCjuGXxMkB839Y6V8iRsQKdJ4hoWL5TpdGEtPAauPVPpgz5R I0Snrq916RNv52mqhSe1934ja+8WjrmP7Rm3DFDtVrfvJODCI5TTWt3ksNtDqVJdTMr1bRpVUqGx etrefHv4QvST7KwT7lWgPFEnCdrEEDpbnVeiaQaBC8gMp6N5YuknZAzPQ/6RukvJ/jINwJR9flLQ Px0VQbONX1JReWDPEcuTGDYm906OwiVpUe0z9L0wzqU3jE2idSw1pyr0Mv9fU8cJYszvkCr/aSyt Z7jcwvpTLzCCD8psc65G9pCr1OzFBDf/u0WaQGpj87DudqvfglGgNgfZLqnm4wYVgtO2Qt0cGcsD +xkr64uxEz3Aj13QdCN+xLGwOr9mjcxFIG0IM8dZApND7elVAwpEhypg/C1XWJnANVUyx1OXWYdo eqRLCBbC673qBBpO3LR3rGlWE7Um7YmBBww3iOiN67/ClR/lD1pIwpNZZYThEu3WqwHH+sehpQ/S 9sMKk4eICpggRR1qrsFdr1TAFTXkHjPqJf+MYVZfweQmWIv+TufcEyOHUKPN8YLtpPskWKSTgKHR FUS48zDKpFSI8cbIBHeYFXUIQwa9S8efwDrQhntu008cQAu477wHYgIvUg8Muxp4M0OR7j7geQyi hYLU2Rte8czFoy311mw/0EKzfuTAx4BYumIp95QqS+0Sagqrs+8A6FelBcKzOH7K4MPxjGp+iEu2 4kyvmLNpzOqOyaAwpgpS/1UlC3Gd9gWBi/VZ2EVMaOj0czYI4YrpVANXcNakSyihrC9tsJSTW27U UjiThk0aVuWNRYPhrU6Wv/NCdwwkaXo5ICVqGEGwLwmTET4IR8zrEmY5wIE22I6HUc7qu94PHUm3 T6KBzNoJTFMNoWdoj3ELZ6FH2o2KYW3qHTVRCKf2f0tbL+tHeW8JX1/3SWktKVRljRoXsknksXto z4FGq0G62XepMqucBPoGzRluIeFK3cgat3TsQmvgQaBAyJgQ1I/L8cZ+FyoVwYe8ELkJxi1/Ahwc UReCdlHjVj/OaB0zvqMba2FC/mA7ZZZo7/HHgJf0zSmhvRXvlWEdW35orGrPwm2FoAHQuA5p/NC+ GzTMGzyIHzFiyV1eEXb8c/TM6uG/iUgAGL/zPtpAwHaK4KNXH2sf8qez5UkNBC2lvDSSGQDv/9VE VumAjG+S62TZEIv83/uLGgs1YT1/OtbEieNTaZ63CosKNHh0o4v2BNIp+x1Igz/1hggoGlyBvys8 NICCLy0nRyxXGUbDBmSzQuZyde+4/UWqzoP2rnYKGeT6ehLDmL6Dd4EYpbo9feA185YXbigl7pDh 7Sv3YgBolTauqjaKdyEpA0HfF/EmppVJHMgB5MHOvO67zHxPt+bNuZoP9badrpl+TjUbiGjmYDAS HLwdmKH8kHB5zjatHzxXq7Kl5QujDxjo3qZR9D0THTl/k9KW7u60+x2NfT26UkQlMYpASEGKjxC+ KraG8k9708821VGgqWpAfoOzCZEsmPIAz5JBYj2TFpUeHPLMERejTC0oh7UohsZYSyj8iDDtRySE yF5umV5XIpdko6jtEIwU/WTlDvl6+WendeF3NG7Nwgkexzfs/FXKG7f1RvgcvyvzPtDcniUr8hvi C85X9LFDM34LuW4jfDiguRSAG4SdvkdIXJA3Lq/0WhPSYlBOAVnvQzKyyfTFrJNwHedUyJcSTUDF olC5PdNzGuuQgeuxacOhhKGlbFrqTafsOBA99bu7IqqyiA2JOQpjWw+Y+oOuVjIFBGR/aYR4wWsZ SG/MBBgmgJt2aUoVSk6Jd5zhzUYEeknsaUmSD77IDb9PAspLuWF3H81rNaLaJHQefqaO1A97bcjT WrWxx/bHKu/xPMP+sJWUOc3oUV6m4IXyBRX5IV5O9NQDo0OhSyuGUaT5b5Tah+agdzqZkYB8iLO7 z0FcWDGRQTH0g52zouAjRJ+CY6/w8USrTST1d8Dgxot8h3z7Y0/W1BTGJZda/+WMUrwMOiFVhWRW 2jO2x7Tq6yP4uKyeD/VTjWhxmGMse8S41OCprsWqTf57Mv24+9Ny2oqpehEKnaGvZhdAlYaghQ2t aP3j2Pe8chqxT6uEQhN5+/Hc8P59VnzJgOsaJyUeB5Z4BDSXAc9reB42blQmeD+i/JPRfFhcZ+VO RnAkRcpHHB9WWNJHG4rNcNzzErZIrWqS62ILISPf8TPEJ6QHwMsBs+gOpIa1aPfRKQvnyyoosCfh rFt/f99PGG3Rzi1M8X6N+NmXdw1AqAc0D7l30MjBzEjgNg0rXhX11i0pGHewatfGRwOmjUi+B0nz mawSCvyeYaBQ1MNuUwLard5nfkodS0iLBT1Uc0jj9YE+Ow2jdA9BebFb7g4MD3TXvoLG4Icvy+01 UTJHvS6egydzNCy2xcUTvwJL3oEHI9z8hLyYfjwNk03/8Yrfju8KUoWxOyhuRA64yp1aReGWniUK QKlLc/ExwxiyVXrGz4dHnloKSB0njtnA8TJGK5kSqlPdX+K+2RLbWrNNoWuItPuq++Y0Qj4Yq7zn ccRU9/hO9T0qxL/Leeq5ZUYM2l1tHtMYefihs5+npUauIX/B0uWSJSBqwoHgBG3BMYF+r5HNlxm+ HuoA/RxPbunDLgMfgZzDeGJmWXKdZti3t28oBsR7XWPsCBcnv79CKD8HHkmA/PXcd97TogQQe/z/ 5dpwJYHAhSTowYpXOs5Hdtz5+eeCORrpnW1Sf7hUdwphAFfRNFaAbEJyE9f+0iomi1bNqZCSkxd+ 3XocZXMVnTsi/Cs/UwI74iM3NkqIPUqO293r4Die1/U5lDIq5uKCVm77SDZOmfl++rTN7/5HEaWf Ri3zSm3uIG/ncEfuEftBXxGQQJamr4EU71hpAWgAu5i2ZnH4RA5wFBw+CtULQJ5q9ZQBqeIJ+naa r7eFkQjb+hfdOcrvoziVfXo9J6dC98duA394pgvBDNYQ/HHLTUEJFvssPZpUWSUipY2NIqOi735A IzOMXT8n28KGx0l/W0hdqE12ZtctAyG0BwP1AUMe2pyFeTqwiO/IwnHYz1ubuC6kr+x07J9OUW5M f8QOpsiyeYrqkFYcpcarjsQR6JMVpmynptCoT3N13rR4wSPqoLAsywB6NXa73ipEjtMfOHotBeZo RtI+zKsPjUrzhTsMGjuyQAgPUipgLzcJjbELAyYhFds2VXAWk3HVnG+FZBizlvNrMNxahom9iJlq ORTo/Nx20LbjJbugLg9RvM0sH7t16tcoxNZiX2wcovZasLLoq9FxzeuQRtZOfPV520nFs0m23Lt+ Typwiz12Q40iU00/vmqL+NRPTv3jnybRSauYy7LcyiAKc0fUyPAfAtoEm8+xev0TXh+6HbbjFj6d j/yDx2afOx4toEixoju1DR3d+3LAiaoiZZjmyzDxxPmvjct9wr3v9ZC+IPgvPBQVLNC0IbEULXNe D8Rmq/0vhIJm08E76u/Zbx++xZWGTcWU+r5iLms0U5RRQE4lO1vjF56kPf6UeD5Mch/4e6ZTelB7 KKLbyz7tnGyFS62ni/rpt4JWnCCGKDG24oEM1yzSTsKVCou287bttXrN+USMsSKYENqIq26VMbrU 186oXriJFQcDl/z6OxDjwmc9dW96UkT+o8RZVw3y9DBE5yYXfYIqBz4A4YnODByHyv21vYTrO75K xDr1HjdoSGVDPSVGlioYgpfWdEEOkuAML7hLjQ7zhUBkUJcXWbLtl+/clMBfo6vrnGiJVFOvAL3V 2mcp4R5Pv5MVgKVQr3iYmkjlgCtZy1H4iBHTEZkZD3ru7+HlEAcEhtBVkmotkihGS0adKPieCWlm gak6xFZKC/rUqYVAyrugPInWkaoiGRhobgDkMBChVu7wuhk9HgS050q9vMZnhyQuJX6RTZk2ie7u BJdto/xKJbBeNdKcjuQbvRcFeUt/0eGVMGoDUSAErBDC2p/BIAwZvXDbdSbaMaYmnqdImTwPJq3f PHKV0ahGeXBmBI5qOX8dkL887EQ0P+3ACoJ4pssmWlSR+G1YNB2hwcx5rWkpNM/V4Aw+NBdXD3wk d20/Ys8aWe8WNM9gn/TxWULWsNl1Jj1gWykLFLhr5Db6HRgmqtZbxunARjQh4bms4Vq/Rhx/mMZy ZHQGgTWMA4qrbwKWo2hXievZr/GXOe7tiCO3KEqR0xPgQwpLgDkGv5RO7JyMarqJi90MCGAcZwfd sVoCpukWQxzdF3WYc3+VZc6cT7gRyfQRd25OL5i9g0bFce7gEmQsiP2HX9r+06iMhukHr4MRKbdc S+OKFSXAADHwpf+cbZXF2DqG7ifSjgT4f6bOzuxl4yPcfbuksGQMSpUbf+UeJdXBr1BZdSizOJAy 7GPyp2pP4ui02RkBPQl2KBE5gD8w2RWGD/yrcO5vh3EsQ1PaN+UBGd6izKirZgDoXkTq7CMIhBH8 Mj5Hfmu2z0nsjFafOfAOKCjfXUZjPVWBaozDYXjoJpb3n1n6WLYgaS67c4UerraCU7C84gk9YFzf uczCKorANaaoB+qTflpoeiUEd2cvV1lFep76dI7pawh4ctpmipc8/H8LIDB+rnW0OnJLrZH7+FfN sWgS5i7UHE8rFI0yvdBjiEKSmWc/FoOJVSPeSVWCfizIiUkjejU2rpH0DWMvyNFRolH8RlgceLD9 MVnQyL95jXPbi72Laog5mznGZ6O9Th5j/te5Ry40QOqVTdZ5r4Uh3EgOG6e8JzlbbbyQzZs2QhrV NyONfaKR4vR3u9lVQHJAjc33gtST5tk978/1yaKUEGYiNjjoQ4RCCQDguBXvrUsr/FUEvAPKjopZ 6SUjWQnt6+DyKdpWac3n4bV97L/V3Esq2j0D9wIQ5BM9ygp057Ai4Kb0n8A4MGHr3w1NiaM7rqid E3nxVpOclwlS+UppdylrdqO+4UYNcTstRxG3A93tsOLKhCtz3v9IrbQYWa5qqyIRgqld6AMDWF7T g7anB4mjQOvG+O/lhvC4xthHPvT4xRTIe3dJMhrtsVyr83fxFh5a4tWzc6qty5nXCre2su8/BuZJ y9fhDJJkJhHs59/KrpKMMctT2CFJwOanMpD38HEsC108HJ8UMhsMlxM01uTOij3yo/LQ25OWXifx PXZ2Lh+ZV+z99yjWbBEUIM4s0QBOmm78bC+tdQK7Tnn4R9WoMhJlW8h7edMb66T9kKsfbl7ewj0P Vt0LS3BiTZIWGHtL7QIegzbiMED7C1W+Cf/Jgjo5Jvrw8ucaMlb8jm3zj2F9tpcLIrkw7Ql0TDAE xeNIHKRTCCR797KsQcM1MvE8ofg+ebrfH32qebfeHK0PzArh/eQveF2c5Oi+h6SETLpAYrhdEyNo f59RN4pP73NwT/di0/RG/amQ6nd3MQVIVZmzAMykL1UsnphhRE8E6yKZpPwzWR592iB4cBKqkIHf 885x6WOFr4xIXfz3c6LCxYrbuaeBPrbmTRkecMj7IakxoMGbE7Yaop7BahN9akquzQdTt7X8EJy7 UGOj7xKK+AEcAxAr8vF2xnB8wm8aXqToKyGuxSkRUv5TnQkhGD66l2FFgf70OtXg0ZxGPWuu/1OC RtESEcpCX5svtknXnZ45bELDcPTwqH+/vy7bEXtZFsxIaROo9Bl/FNwxylHzKdSCShynigU1q7xt vjwRsiCWmURTDYy8QkEW1FVhB2tOV2n9CEwRb7Bu2sYjtahH5Iw2eor8Js3cBaPbBwny8HEdv+ho MxTIBVV3DWWgzwv7mNAURx5bf11iE0QQvrpOTYP+LhI9FVIaBtWzHI2x3u/VzBPO6OuFNh7M5DQi q7wnQcrPn0SfoSt4qUumdzIfhb1OwTiJ+IN/AmugnsrVj3bwrZ4058I/6Qu3apCjaGFB1b7zKXdk iF6PImQ0Dt1Z2RRpCmaCa38gMFfzBAUbMydxXCkohWwOfQaZA+14qUs24/TSE1i/ijUS2h0LUutV kylZgsvGgLqoywzNRns8Si1+8ePz5B0TY2WbL5mx//Lvf95ohBh7cxURguewuY5gYCRPGvzabw+v Kgdp/P5YKezsCSiR6aHjLxHkXsdaqeLEP5yJRUGgPpJLKxt3iL0AqiBG1kaRzfbgrYGTtLu//s2R flt3OyxJPEdtWLZdELMfeqoiEf1sbO2wSBJsnA1frRXsx51z19bLxJSmeihoNJoNojbwtwWJCnBi ZWt+JsN5uI3nM8eecAKo2OrdrMcOp74BSQFWrrqwFi9q5CTDDu4A0weh0sBykuAK7vJ2Wagrz/yk IB8M5hUmTi6Qn3PpJ7GnU2XBkzegMzzAO6MsZRFgyNi8pC9y4SVj2FbKp1ad5fgwn99oyQRLaYM5 1GB7egcmFKdWwEuwBOQ3nC+KyuGN2ZrEYeSAc6slRNycZI2DwwbGP3d9P3Ur+LMR4B8j3EA6oo3f A/81UQ7hrDr43OBKWwG7JqjZvO6J+DIq94RdwJbrmStD7aKMBLu6dTjra0zgkIx76gyRg23UgfO/ I14MpoSN1NRBHNC1H0bqXOyDNPJIVqqWgDslujclEzzQejzNm6MWxmSlr06EGyhopUWLu6IkJ87a bn0bq/kHs+Jh29vPUZRUfnHkF2q0KNIpwZjz38L0aMUTau50x1p6E25BMeYPCks+r8wIlPFlbSW1 SdycI9ANE4lE3ijqC9TcY9rTr4R5+i3OruATk7hdYADdXDeG1MdTm5jx9kPd/8M2LacVqiayDPiy WOhe4+sx/U/BrrDCRM9jt+PsRn9EScAm3j5aGcVo2Pu+AN268SYKEcicuIor1vFPHdLbwEvtvXDp wKfhHYREgI0/AXEVUKFFi2H3aLdDmE6pjYlN7d1upEM/ea0RhS6h3ok1QsbjveKkC41ABbeIISYs uc6CJ96rrQO3WSzj+MGKlK7oq8WMetdXsfUqwBYkh8zwQ/2NjBFhKmBjR/P4de3MfgZYcykma70K 1OgU62e4dxaeJD4ZBUxpmyafsmZ9oKDGRSPKAvh1SxghlOtNMF8IgYB2jdhSSNoaLZenOW3EYw+i pN2gfc0aV6992GACj93wNVQpomuZnUVnUtQgkc8G4+4aiBCH9Myvl+moKoU0ZXBC3SKwMGN7AtAY agUZ99iJbsuRG2NafLOjk/amWEYXdJUiSrvE1UcV7K8tqKPjeoKzu/KHtFVOraeP8AUrCIvWrdjQ 4PrnHn3XdLzt0XC1aDntu2OCUUFnvKi/l+LhblR9s33W2totdvP1bsVL4NyqhQHP1ll6Sfzwqs/k COYwwcX0OPUAC38kCCL+GSFYwdEQfEW2jNyTFEv3sIZTtZF6te/w5jWQgQJinbSGwao6IuXGTPJa Xu4ltPS3V0H2SeQfUtyfJb6p1ZktzBM5ZoBOF3jFxSm36uSOJzFc/ew1uUuTIR0992ksUBbGErVS 4jkTMyP8/MHjSUJH4cFQ3wyUFi1LXdFcb4rAZyLhcpB0ss5JJPrXdLv0gVTqRUu7IOE2eeDJsSZz Yn4kgdsS2jA4ITyrvRVAx2Sh+yjwy2oFSCnydWQV/aVzsFQkCF/E34JC5qbDzbOfQURF/sMmAvWs 66Kz0bb7Bx2rlnZizfPW+soIMV/r0rpoUZsFo7RF29jyatCCKJELoXWW2gJ1vFTH3CxbFkqLsTqD 0bxSev0a3Eer5dvPW6Hlg1in4gEh8kjn0f24Zf4OI3Ov1Klmrnx5lCgnqZmMpP5KVfJZYBZ9XJZq KITp8iAboAAXutMTgjSiQ3tpLoJRNkpCMmlOmpU9VvDoXg1QUFMeMHCTGiqtrwNG+NbhgW4UPaRd TqhpqdAbfILzJ1YUUtiLj0c1snQYE6THvBic3XWH0A/8zsgI7i3nHbbgcmLm7tp+2GtWMwwXga4T +ifuzR6SDcowatUZZiYFhpArO7oNvD5PTPnOZ32mBlBx6wB4bdq3Qj68gOd6TfzBPeto3DEjDTy3 pHsv36JJAgL6KNSRJIQDQ7yI5G/aeg5YPKs2/BHr+Zqlu4iGYAU3YVInNWJoiG9pr+ZCv36xKRAL SPTVL2klEF8tcYIogikHBIloFmVmt4Wq3uEc6n4ZN7ZqvOV8XMX1CRntl1xkRD9v9WFaGPjeAI9C ihRW8TcfaE508vLs8QJwJnFrOHcK7uC4Ea3tb3tOx7ruklMWF1VJjFpJ7aLFp13QhxJbqLS1ZQxj TwS4s5IFryThQFqgyKPMGlDKG0mxK9MghBx0msWo/1G1+x7cJzLD3qQXD88I3pvWcITz4k1AFFtM k3sehhmf/uiTc/epWGiuxvHIbzeuUNlY+2BbGnlAJh+BQpMrtPm1GJprLZkBL1WHqhF/ITsERgpc bfFL8Ts5YG3vg6zRh2KOA0SlfWk8cAE3yfaBy7G7YWgMTqBs1aLGaY6wdFYkHI+cnA+eNS2VkxCQ dV3EcOs8haLDOpHbtumlnMVHBGe3yJQvJZw7i8C3CfS67ocbcFL1juam4IZi75EqrsQskXQ29Z1p Ws0Fsjw84mB7m9Y1Ge0K3YQW9jCtLGDrXJEcHPKi1oGwW/FkNg9WbdUAAnSiz5NzyoPZQ38nyKRL t5iuosOea2dGPX5fwiquTJ+rObvZLr/Iabxm8IngtbDZVgOdRnvSy9dktJZ4co8uGG+UGik7jwPW haSJZqA96yErv8ld5zfomDyj5XcHn9+bzBxGLpHHHbKvsyAajhu7E7kODz36zqxVGDr4RwztF3Q/ 1oHJMyuyw5H6gGMJdtzOCTJlH6zi6ev3RMKf5fyJrVfvSjcR5qRYie0lQtJooKP0c9nfnQ7LFWWR qFLMJJKyjSxmAH6/fwrGymemATcldI7j+l0xtg9hQyfrqmbNyRgZPW4G1ZmcFLu8I//l7MIDz7Ub 44XLVx379sNtuYTX4Svinwv9JEm/0IwoHNvsQaFJgKtgT2i7MEr81sI7DlNQfwh2Zh9WpAi9sMSz MWl8Bnr3GlU5G+0RAvW6gJD/KfVq/kBm4X6R4r9Dv+ZnGUU/pCOZFxTSqK8dKIxrhTF9rNxnyIHl JxH0cwhfAJtxAetEbjqdKkCMhpVik9k9qQrnuggHLKOXo9SJsAuYXU2fCJgg59YKa6iou2oQ117m BRk58zL1XD2mn65N31/vIGS3uKKb+AyyLfafXCw9RmwYVBs15H/za5nnuE5+1SLQoyBnJXBns2Gq hKU8mj1Pf7h38bGoEOiZeLjmM2x1voyyRhWsLiD4wT92YZyjVV6aXqpuo3uUt2bBnKgE6SO2pd6O hcVKao5vIBk6uyHANC1TuLPm39mef1p6h+/mrF2yqG2oY0ccSnMfxsR4jz8zRgnRUTFc/qJua5rv F8dNuQaTRwhsXtTdMg4caplrVrb4TOiT+LeQGPlf1EjleeZrgtEeEumOFkasPUl9yrXEtGKK9EGL 8RirAcZTnB9c+oIGfDub6CGghBF4oR5sE4kVXzeiGLCn9gdwDr2wJLsfuNCrmDvkSbqKlR0O7jqz 4ay+PyXwBb/1NWVlbzgyV1nWtMhFQqC7MTjNrdgPK7Z6wvYV86zSHufOhtgGlN0mHdBzZn2A9w6t EXsTcLDret/8RavhD9uBGuqraPKDdTJFW34S9Q7QMLcFH2cLdE+xY9zc3eHz9yHqxaynkufeeVbS 8aDdvZ4baGulAcHKVgxmztRtwQGARVZqStdYYBjj4UvB4j593GLMAnRipmBVwM0TZsBpltUV5Xet NLRXn+q6kataDWhe1FUXKSCA9LbmcWOXxmkvlNAuh33suzlK6xQRO2ZlLuP5ElASHtdvvLC0xX+Y IRT/q8cAzXhKPPOqKzXKWFwXw03sFOF+1ZpojUVdCd4n3GcB5aVKFpgbwmIxPCxvtiYmhc61jSwr R4JuX9zAW84GSUPcTOLtOSBoLOv830o5gKgTwkIGKIePlqxU/aVmNvzXgxyNCM+QqNz71YQm/gTc 8ZAwiP0YQYVXyOKq0o3V4kjAEvq8+yx/zoDtAMbjS7oz1oVQ5YYe1dZ51DMDuZZUE8LBFfHpUi+k kRQ/EWkIQmZk3Zg++GV1fgKmWYVla73Graymnl3mIGq0JZ0oTEMn/Oq0V65EjF3qLqsR0YDaHFrk QyCJ3wwcrG4YhBrXGOftqNffv66Xj7Z9w/HjkOSpXVrEvrvVnXgPMco6hltRwfn7LXlJWQo4XQg1 O8QKpeT0L7EMp7VsA3Vp1Lp3wqxzriiuWg/pb7EG9nc3Pa4nAobyMDrfDfF/kHhEHqueGg096qkF f6l3ZI9ubz54CJoJus8kNGxZimhgEtx5V5Q8za1MHbW3yDOsTF5HjLmYnO43btO0DwwluVCRTL07 SUcPqhXts31lL4IZ7M1ZziL2AhAAkcxJqn5XS8w4D/idE1OJVGRuiitSuIJdYayzTDyllOhFJXDP +hTciE0df3ypgYLmRc0qHd6q27Gx10R1oVGPPBIFmogWjXbSj4TUB3dvDX6IWT9EdhIg0PVr2gMi VLJylD5IMo+wenrNltfnokqx61YcR9VgcGwRUgSFCorV4wPTlSX1ABwRny1J5DI/kyZFKFBOjUqq qkxlc2CBYjZ0IOLQxJgNGYjLiH4enXZUQupM8MnPtGWBxAV5wTWncCiPr6/2YcWHXkbbQ/nM+/CY A049uc8QG6I5B3eXJKKe3ZuZdyrYhHN2bfze/4SNhIpoYDVna9dfQWK3ZmWh2jfl6v4Nisl5KA4E NqSQHFhAByg5buIUwVPfKDZpDE3Bhk1fWrqFZXDcqJuMKt4cQiku+JBYNdiuaGPxUkSv5o77xbrI MTP1z0dztNt7u/UVWsqINi4RZcDO1Wdhec26tMJWJj0DORDJOigp/EmRfVUseh6jU30TJIJDCTit pm1ntdzEsbzAlUOjV+sL6x2AOrzZ3H+VfQU1zMFsYlLpj22dcNv8p1LgrC4ap+irN7JlE31ug6YL +HfY7WNRHz1qpRBvjxebg4cBD1KhH4m6Pj+dyeV0FYerBLKDzmOfLCPeYVehRUkPtru5F2jpQ+bk TQm8QVi+Dhi1b6Os4vjTmK+DM1BKPNf4v9n0e3xQuyDiaRyIjlIlJZvj6vViBGnbY83dmzzoIw+0 o+qR5TT01TKBz7AHnoqvy7EFoJNU5FcIsBAtEwfjcIWlMeLKmN6xkSMe+TSZYKqlIE9YUWzB5+OS +ESCluYYo4NhBqs8IsXEamqAUBz6i31m8IcspOBTaVp38gti+2O6R5gbbiqU+UyEfm2WWQuFvv7i KktIDe61ijGFMbOZSdB+Zdi/St58jtNxDYLN1q7ekmqkBHgKV7zZzqTFPBSb8JnYSw3BNBmi8ECA p7e17P1HFNoWC893zIdZhS68H6lC2z1dixv+SCoIGmUpWbg3U1w6EHll7Ij0p9gt4qUc5ONfSbO6 RbdJqYhFXnOi50w4zyZjHKfmcfyrYbOFGZ0nNqxoDDNW6buM2yvds4FaeIEdOaSdhjnKkU7/ekSj CwKKd07TsYxsXQtg91rs8HvPcOz16b23Vs3EZDw0su63BF0F3sZg4Tx+wXuCQPK719apCvejZ8wi jzw8oMRocf+k1ZRUSKznWhrHGBBGo/Vc8OiO2i29qssJqV6sIwZwATrZ5l3hLMyyowtOLuq3uos8 01ttxG0/QURlL6ordt1LAOmr7aITudcVS4GvgPqAaDkOLfgIgdM7Rlx48jXPP+Ds7rU32DeekWer DAEXGIAnJDoahUuEbKPBAWD9RgTbpOz9Uhjy6kyrbcor5sns3XPGbQ/nyxY4dWyDgyz+yx3xe7Lu qSmTmNBem6vZnA7nDOwhlHMfWSRGPRsrVwt8IozzLmnlbCAj9zw11EeKO/66pPdf7JD4dOV3vb4V yCRJRhBEP4nGGiTKCYQgpViS+adg/3zV//ZzZhdtD86j/epVUbNNIXxKzM7PA4bA+HGQgzdgQIGw WpKYzYvtmCMxjeWYbXmoBZI/xf9orEnvEyQ49XIq5QjONTCVb9prbs5AnX+ZWRiFhGDSrxDAJtOD vk7vMS4y5ZB7O++sBYPGYMDC95Idx5JGqau28I7GJE+XiVUItMgSSh24I56RGTMNSR9BFIbGnaQY j+cjoyscjr/OT2THPRzeq+rTevE46iW9YBCIFDUwepp8GiKpe+Qz81N9JEaL3PAx0EJEqEpPQurL AGjpLLcTg5t7KLtAxyf0FDhGrETWNX+/fsn9ZEMLiorgUdmif1gYeRtENccUCcdznWSFP53IGrsc STsXk2hXYxRDOm8Izzb9jAnnFeoOFilJPhrCjtBIhVbfZF6aJ2AT08WTjM+RouHIbHf1czIgXAB2 lzZtEPIru3N2wSzELD5BfoIWEnSzSPTkQZ+OGZOTMDfLywp4pqT0b7DwUAT9QCdXv9BXa9gWE/OE llxsWOJ+GLWIDylsuVuwzqGoQrknSCQTuhcKbp88stnxr5Rmk3Z7NfKkMgJEM6uYd0/FNMsGIP7/ Ye/mC89NcaEfG4WK/jPehlf5lMcfpgVkJTeLLe4VHeG9pMPmM/ed2gSIviihMlcrEwLvF/MKuTgh OliVc8+lKMTD0haEB3qWhaXaBtwYnlrHpl2zf8sdnKTA07cgl4WB41plYST4z2m3XFWpLLB7nA35 hdHhn6o/xxrgvS2FiWAWpyJ9AZe6dQaLuJJ93hyvb6mfHE2m8FHZTGnPZvCqiZBrnfKLqZAq8ZyU E10EXEyfua1ZaPmEqwSpBjX3U/Ds+JDSGyKFvHFrhwhIoThfar9r6aZf0QOKGot56YIo+rQS/ZOo X7k6bIipF/0IKyj8JhWK1gHfcQqINn9iBFU28SgkT3T0EK5+BaGZcl7KIO75nz4nlFdQ1Vr66kdx xtk0tOlVp9FtRiXrcXBQlWEwa1g2raJTQcJ4/1OdctunYxHQJMsckASOq20mbc4z22u1gvNyTTkN G6nPNOw/spKyFmSg3+F5NCQMKSG+690LBRMfTcfSscQBJ1AMk/KK15RmNTXK9XmlTYyzLBsb0aX5 jCSNCPA3hANF55JXWy9YPu3OMcVzf1K1C0quQiP5T7GFmAunhIZ90D/5PtrMefU10/uqZnOxXyTo mLF9m/VxvdLIL0+QuAbz5vbF1vN9CK0mg+ielRz3fJJfpxlTMKtmv9ttwOsdTkwjKdmi2JVShFGX kZBVWnO+YEJXWhyX1JSzmDXgwG1tMXVzcvg6f3TQpuiQ2YjUZjonJnLzoJoXQjTfDdFjWCJLoC/y 1Qig0NHE7vAt3n5pUg0AR0n6iFBfvw64mXn5UyHt8LH6yTeVQkUzRwDBrJfszJp2WGSXZi35E81P gvHdqzf952l6h00dkgHanoO6X+iKcHNNm7dpVztVRlP7plsoEZ2gHcd8GX/S/6J3e6KM26N6oYJn JdJzmprthyXueeJCaT/GTdlq0voyHBTyAfxrBvC6XkKGtNr36JHToTjzqFxWEwBM1kyg8TI7GzEk FH7NpVJz8HGKtbAtzxNoLxom+7c/vftMT39hIwl8i2rN2Cx6EHDd03MM+LQ9cTERcCQspDpXujoj Spq7g+lhrxvtcgX4aunUgzaUbVvcSvd7fOtH+5rG+B9Dqk2J3C14O4jhQ1Bz+c7j27OiFVOQNOaU Rk3s1EbwlGDwOHKxZUneVqo3QQS+aO1woI4fsWHKWKFmpjTByWDvIYN+wJtnvWIqtfdWtpKZlenQ NuNfpeY+DNe8/F/aB+Jodnih7lBObM//yfDTIqMH4VkGT9+gHo25RKf6wroSuvkAyWP5EOCst48P AQr84s2bllSKkJ1d/OtsqEP392X23SLiC0cB4WfHoW8OHIWijGT0iLjrNb65HsZyjYR492LZ91FQ cFge+JlPRQfIoYSCh9VWpo6SEAgPMvr9zbiZPOl/eXNmymRYwNqDMCbnOoNct9MtgVvyhZ/8rLEV d++pQABNZjDtwUAR00mCUl8HuVjDX0oUI4P4c6A7j7m6zSPKSVH3DIOPHvza2ui8fnU8oNJIpYjA BsJgsi3tIVlevPRu9ULrBZpr2GWXDyR7DGQfDCyoVPYy8HVEETpEy3QdYOoLgzCaweIxD+KpY972 q8RKxHlHUWj3UsVVJhSSzo9barywceRKHfRRAf4NCj2KGIlPa7uWEaf+pQ3bIBA8awez0xPPnr7C S+YGjrwU6JeO7TdjJyO4NbZWLcF5wbWBJBjKl9a1HTqvoPkMnXRW5NXH74Tbo4zPTDu4L3sT0f23 kmUDhvSDsCRKlUzB0WabcTqo4liztgv54U6ztJOgn9K5OF0ba2fI9iJULwfhAXF7hJjg9FfALJ1Z 1mjsM83BkDbs2WbtRC+kRr06VvLqBZIWo8mvxjTcX2k8gQOrd0hd+cbRyftJqTnU138G3uL7M4mC 5723ON10DQ4ysppizi1QuQf/WWtKRBziRDSe4mUESS2BlMBbujEL4mxrUu0+YiN6ny2Em4jds9vS I5CWbh+xrnb91TAyPPkuvGvlrXzCt1QwHG/UWGGJq0iSl/blEfvtmQb516KNz+PtbFOQF0E4AHig xQLRXuRoiOpwJYTRXqGO6cQfVomhvynPL+Y1fOEEoPfpFymVpCoMY18HSfabP+yAb8Wf6g9O3yCn va1V2PQ9OuivKaa0sRu11YlezsA2oLQBq/yqcnBb/PoZv9QJCYjWFgi2zt95tchbhkwmEsHW9FFp WJeGepuOj662a7smIJVDCQ+4P2CKIwEgFwsvjhXNAjOJuJdEZR9pUcj6BUDLMl2aSK7WfrWIotDR 6g2l5IjHeIO4SqzNUeUZ3hGy7Mc7uFq1VsIzRD8JGnLBSM0VHsOP8FxHVTKs34WPzQ0fyOkwzf2W htusolmxpD0fPlodI1WuLP4TGiAUEhXiBYR26Wbj33AANeuidod7lMcYGVbXIgL4SlvEDnciWArb PPmAvWp/bk5ldC01tiJXZBaC+2OU5n8qKeoGEjhbGCjv22sEvN9CYZHKmypgDPn36yJ2voNvFc/O nWY7BEUm0sDhj/8JndCD+QP0+A8nocord91/hbuuUyOtNQM4T/Mq7VAcngmscXPG8FPZ9BsdgRKv Iv/eIk3mGp5xOwNvc/zoajrHezBbfVYF89+47I/KiNO9kccxciwPQOq0OOPEDP+dNI4aqEOXYGZf fIq/9AS2wBb1x3ZhfgkkNFkZ2dZGiKZe289s5tOZE1Ey4a6IZ+s8On5kGQDbOEEmElZDLTXC+SK8 BwsecbCvdI/vwiAGdtbXBD66u9Fho+HJe0/F3UY5k18EHH8kQ6af+1+67KGMxaHt/Ium30m0Na+r EErbVa78m1SqjDmde9vNkkNm2YHze4cgFwrM9UkMS1/OnapN6UnVXA/7AMu4cv9218bSq51fPEAW Goz+x9tXS9QwNCYsFFF2BA8n5XrbEcFVLsugVhTPtf+pA7cI8p51c4GP8NuKGj64w8dQ2yJMh2EU MWhq6m0q7VSUHCTyMdMlfCmhXi3Ixw5d9XzhZqyngs26kPUM7zEnwuL/gXyKU19b6Q8qd2OLy0JQ iXmrG9SJaL30AOw1vJfj97Qw0TsDfMr3PBzE5f/33jALSB7CNA8x5Qeh5oEk2r/dGK8mzQF7aMta Ltxam2ViOUYBg+TeVuK7stCYuHX6ySwHNDHDSnYw0Gjzitrjuc0+JhNl7YyNgFEgDbMym86yP2qp Pjtsxon8U7NHVW4p/GKeUYGEVBKkNM2IedlofbydCFsnOV6/050t/mttWXrOe6M2tKZ6DpXv8FY9 mkeMnPU/XZKRFWCyJ4VWyZRfJscMFW6MW0Y5ftGgVfYJkxKGLrKfIIIGKEkLkDY2XEDKyYH5Z13P UsV5/fdAFBhhVaTceJk19mjzT9RaVIlXXpC2ErAFUfN9+5acEsVeKcdmHZuWBIc0GahosFDjKXrA 0NoECmZ70cv4XlXDF1C/SPGrmWF0lrMS95yfROqIntTkKg3s+mBp1z61XIPZLNIQ+LdELl4M2hcW mmvKscxaba51nOZk5pb3bFzFfERwabyZ4Hzd2diBCql2+AeMBQzV5h8Ssvvk4qoUEtCxzhBdxmSX PcZVLI0G42Rw7c+OF2EOYIcMHnqVdLAWS5fux6TuMZNEABuUeTLYgmo8ePp9Q0/4HT+Plb7sYrXC kMJC4x0vWAwWE+Df1KKseget17wEWJ/C+pX+ffYY2aJ8AjoZ6rPQRqo3mxXV9LH/48I2wKaLARSb mzIpAovl0pAbYoNW795r+mplaiT4zpUWRTdRZdvEpJEv2psUkrRFuBJiSRTg4tvO+G2Ogy7LYTS9 nBN2I+cS17/Ze/8LRRpxpkCzoZDdHSzIYWuPd0rUydieWSNhn8Qx9qD9zsk/tDJ+BsFBQARZGrQK Iyyk8pIDjUqTKNF67FLUIvEm5pT/bJpy8c/wJxjKeuQevFwuMcy4qj01vocP4DX8Io4V/xJD3KTX VLkwIoHoINM2NLQV0FKXkIqYsqsMdINQmCH5LIKsmvanTpJIb9fVfhnTqIAU0s+Ecb//CpKFUS90 jOS27NmwmypKyu7L//Rz7MOF0TkaqLhdXBUvuYY/Gf60v1kGfSlOlfzrO8wukDhb+Kx0UlbWBtqR rrbWb3GI2kUTJXxX94JiTK8MqaxLMQfny4+vcVJTjcgDrcwhakXBIXlQjXHwbT1VmhQihENSnhEz 3G+H22AqT8IrcJJ2xy3K6E9uhgnRzyyR68bBsP5ZtrGdpBCYMkg90y/kzMvU9Wb8qVbYdS3emo6U XfLUleFFT0GyH6RwmE5aYP1zVYIKZUNnSlwmOukwtCAEb31KcWDdvkgDK6SXJPpq+Tq+OC2BnkaM 24q50kjGev1QJL1pVCuMkkAeTj7i064uwFNQavlPMVH3WPvXijXVPqwy88EtiGJhrZXDFKAZonUA pvstHwcnrMXf+lM+YYvkVxAZb7yC0C7CNaoo0R3KJLZmgHLIKBnnWvQ79i75jz9lN4S4gjIojn5a /Mx+K6warA/kWH0zIxXBM8WBge/lWm6gCgo725dXUCnxS2ReGb4FrGAHdq3KZNqv2O8PcR6cE59/ Dxa6JFdgIvzXI2d7TKmPbzLWWnq6J+T+O32lW0eoFDWSY5ng5Qa23iSvA23Kc6zsJm07a9ciELUW PQrG5ydLC3pvODHqzUzdCp31uCUP8flFdphLkTh/2Rbb5mfEnql/djvwDbZyx8cMoXJj9pwYAB9Z BCIQhWW0WhrGB/pUPaj7ikJCD+c/oiBhmzY3N3tC/cTdnj1MT0DjEV3L4snK3z+VwfNDwdEwxMiu nd7Du54TDd4yOIn9NgWXS7WWf1ohwjaw+a8819GqzTVUxMksJJIk4z4RNzfXeTdXsYr0gvUgBpGP 7FNPdElkw5ruyDX7TSwWcPMWB5PZZIgCQk44LXgOhJuQAUyM1AySw5Bf4v28XlTAGneEBpvHKcHz 0VXyA1uI050nRkOwa22TbIIZoZ+naL7SsBhBZrbQj8761cAzEIoojQuHQm1QqEX3drSooU+Ovo9t A7uxVhdJ/tAeNYfzfp75oHA9+z2EGJbxiI1RZTSfbkbshNJjEAizFSxHYDu7UFrULqkWnxeJ25aj 5iJ7yY+xsLR0yipvpBBip6zijiUopS5yTuwuupvsm3Q/7NiJm6zxKnw/g5jFscz7HGQXA/nWl7PR GR+/IbFj23zqRaJFlamMnERO5Z8FcTYSh9nokfiyHk9Vd3BE+UMZG7KzRHLoKnYdd2HNUK5IoNJM u4z1PGk0erZebpVGo26FN5yH7zSMF/eQNl970kKNhuzWpuP5StZjGKVNyk8KLDIignFC8h1iKxKp jkA3yCiS/R0ft3A3CPALypPXPEjeAZaS1uKiqjoAOrAC1r2MWmXQNncFV29Z0ztjPbcYFXl77PG3 W7okVYsUUVUAJbnrLajuHzbzuY1HJfDnSVLeEVIe9y4aqiTHGDvJC6T0R6WhaFFAobTUjPH9KFQF C7ih4UMBfxVus8mdWwaSYgEVeDsZM1L6XGcbwyxPA62g7vrlvakY5+KVa1MxB6bInAkpDEradJxH RP069fBvfXsiwPSCYXlhETXYP63lHmRpeV/EZ/bQXiqcd+C2qn4rjNe4XQWhNrNEQ5Bcyveiz3iD nz30DlR7juc7igK5QlQQgYnFz2gXFD3jsIU8tbydYicdH3tOJCkaGDVpuca6GHbiuuM4ZsC0ZqYf /xpN4NPoDrFK/LT+15MGtcT2TESbY0K+cooODPRQuVarLxMItxPTe+7ox6cyj2sF/kBdICb9VFTv hWbj7N9e/saPdG42Su1KEPGWWkGUwPioRjZS7tGetHrtKhDANnDIDeSm7H3gh0RbO1E1LpL0EaEL QdaDG9cJqH2UC+uzps6AorswFBzYc4NYMP3jZewThFKp4gCaI8Wl9QxXyQhaHTLiy0W/2fBVJ1Lm K9q80gnP39PNtvEqWY3j1Cbq/+Ohc+cvYFaU0SKcJua9XnDCc50DZwy4am7t9lupT2Y886hG1ALd dJlon18AkOfUqWJX4ExEcUFMXbc6OVCvGgE9dMvNlluMut2jH8HkO4l/PljkrELlohTejOMSpz69 HCqjLyZieZ0t7BB3Sm9g0KACj6SeAW3QrwA7CQ+2G+VVEV3EFD+KCXngztPLZn8c03yI3M2OfHFE DFDcDtkTFMpNrBpavq9cflmAo2Jke9AkLqGZCEBIDrKgM2F/eOVFBORTWai/MSx9Ui/aKBQ51ZdY xEHU7i5tX31O+8F3Gl+xQrqWvh7AIbT1oGGbD1ZqsEdaRqOc6HhRhqibWQe4hetMVG+SzsHipAuZ chp7WnVmLtBxp18FfhCcCASUQVFJgp7KvZn8TBhVCCl3LlqP5CjMQk34SZDl+FNrgFucinmFRAkB xuhk3wMhGJ0QVEo4+Q93AR1RcrCnBgNr+kWTOa0NJf3koq5Haz1/1pN1SpwlNYiDXpG8nZBZKRAN 8GPJ6AwgiGSeKt6EhtIFmO+iQW6cPmNXmA43tc7+B7BrJf1Krv2Z6DGbx3MljBVB7WndiR+5JqZK mUmZeOfrCMarHkZPUARdh8JIAgqI91EZX+bLVKFadOU8LrPBS34et/CpjCFP9sUNOAX8Ig1lL0Dn Pp6sSc68SliMM28LpPbsb0NAyNjxasLRevFRNXPDyzIoO2WA5qz5cDWKLljywBZDfHxrLnkuRg7J 6+8VmaeQar//fBhdVaXy/QqgFY5Lrh2svUruxye+xOfqs15RiK24ivCX7TFPSPl73IiTbQqLnDSU PP3GZu6mjY6ngQ0HIAz0ufi8a2G2whAREcFy/RAeDNMVlGU8kEOYoTTq7JMKOTzDJ97NHYlS4QAb EBW3fXWW7RBRAvmptinNWh8jWDfWP2gTwnzzs5iiYYuvJfjZ6iCpZxQJqTj3BgGWXkKbG8PlDcd5 6yKEHxjLPhUqZKZFpKSBK1l/zb5YPi6tQe3+Gdy/++mWfBv/Ji4/nzq3+DkU3DYOPZX9vcbKzEvL MiZamA+aJpK+x/gom9AD70X2Jduk2XjWfuTwHPFc/23aZAhkGQd15SeIeqfh+lLgWGJSnU31J9Ex y5xbEFZOeIFmvf72K6Um4tjFXrzu4Jz7WTkZfJaAQ0Jhyvi+VSZc7YikwAoTjT1e8y5O1wj3vzt4 gWKGhkUfhNDvWfwIS9kUTGN/oN69Mu0qf85uYu4TNby/RwHhzfi4yY3YIT2WuTqjE5XrByD88D1q zn1fOrJ/gy5zI1UwwzTKxQSBo5bkUeKSoAm0kj/keK48zkxYeH5GAiTxKW832UoZEQuquz7NnBif 26EuOXk4TsWU/m0JeYNFEq4kVPlR5yVeC4eMorprMNfltl0OQfhv3OnCXNuvmh1FzTducOLRWlEr r74ZW3R0f6u2YzSOIJlVuqttIjW8iRfh7sFu25xahFU/mA5LmB7xP5BczLipIea//qzEwVhyItAu H0Tgj71QiygXKWvveHLkrir1yFZwoo+3QHwEtiyJFM5oxDTUe0EWF5riY5BUTIRgHU9KRIpzEpMl VdliFOhb8AFgEj/ey9fBkbb1/3il0Qs/8JsnVNAIfp7fO8tcwSnZzUs9JCbhF6ueWkeNu3hngiwY E/ofEnuSxo8C4XNuBbqP+q8JZKeMqSiPelYNvXrBorupwsY6pVC/2MO86uklul2fzvV/v7Iab/gK mZI9aeR/9g2O/dNxtcEXEGwBf82eSDuzHQwD+na+ldW2tZuQSRhA7YR13qXomkDRFVttIBQBs4IR ip1HKmgaI9rc1FKNzR2fKHURmrrwXStbFN63C++EFptjOQ5wih9f3RFuPO/3Q/cfB1NjsQ+kM6oM hq4Sizu4hpZpV+nR3UCjx2R8pDVs5F/lQSFYUMCmLrR/XybvK64VBiZZchv+UNzNRyHcO0aG/i+8 MkGU/c4xbFohHQP3RrFWuhY4Bhm70+0gY2vP7XfBrne45CL4WeKpT9/sKngtr4XMgGUT546IUDWH eEf+6BEi5q5WHiHSfv97dj4KWs58BfC35tX4dqP9Xb7hDBk67PKpAVeYAyvNCIZUNGESsAWhlhuX a/bt2UQAHy2/hX2dAVvGmeHbwaq1yptDpp5zHIZ/4g37WHRff16yvyQIBLvRUnnaWPHZX0j8tZW4 LHnfptfNCC1bDX78fjpJvSC1KmUdavoMY487Czab+sHja3gl6mNwrARvKbtJRSPG9a/79m/3JZa+ h23MoPMJNoy4S5tR4a2ecS/hP3ZabIATEUCL5EYAamo5YaP2ghDMC/chkjBj0A7R87rMUuucbdaD UC12SivNWnomqHxKd/k6/aCfGt4C0kOivo0sgyiK2AfKwaeJnZLRKP8rFSqF7kCThNl3lTagtypQ PFHvXq1Xq95ov/sphvo8XhyEhZt2cdqzrDPULRhzfJM7QIAW3Gq3Oqn7qfMqGnqOQCe4geojP5ZF ceWtHz62VitUhdP3w/GBweucp68ooIsrPoDuYie8KsA8DCiCvRK8HGZbQznb8rviR6nwvWAMgz4m MYFlOFiyECgxYxLCRrua4V3XHRe316rmDUHmE+kJwXsJI2c2pGr0lLYI6iH50o7pnftY0NCWfONn 7zg5ua8xMS2qeY9UtabiE48xvt4qiUVK0p6BHYhMpG9Hp86ODA1xIqTVzmJ4WjQM5fyUxBKXTY9t WyLkr6OhlA/xINJGytaSGEyhLrIygo7A439F8qFWfYtHxxkDbQTfNvwx+RNU40sIIyKXehkj8WiC yufaWg4ztImpaKI0VSiFJhCZQ4vLOgHsP9r8HrRCIYLuDbkh6yZ+aR2Yw9ZFN/+zHbdflCxoxQAu 7Xb+EroBPew3jDZJZogV+YJ4g2qCh3HZT278B5xASvuI0MT1ukEHhThj10QxHNCARLPwnxqpV1Aj OBxexF+cwJcGgZn9egaIb8ZCMmpWkR1C30NKHpJuJgGUUPvcNDDJY/VXR/iKtX+qp5EokovosmFQ W+0DjqxMqSLzxn87La5DMROmXq8XIYOj1x7d34VptoHJ4HlW063Cc5RV3eaxL3ETqTH2L7g0zvnL 6nAkMXeOsihQD9gb7XRmtrHtABF+ggECA7VKVsKtScAAU+mt7yxGeD0A6dQ0FPsVNb69zPLNkgZC 3JRBQQHlkRt5E8AEmVQlbqwpZrjRg5tFYxcqucddM41bWr3QwTwhkm0N7IyQ9eUIZkOyoit6m1Hw xzxU85hicXY2jlbW2PdtkVmaivdyHyAYDLIfxwOjNf3rvglYkKggAikw3YHHON+1FkrkptB2TrjV rvapMb8KgmxMskxJgY2y9KQGTUKnC0AVWJ7iaHr5KrI6Z1WS5uj9IqzWJQywX8ikj85g9nnkjIoD S9vYUmTLhOczdQKBdB/C/rLiDp0WYtFAvOPNDZ7i6OCX7lsPMeQJrOWUV2eEo4xzTepFUHzttp43 57MmcfsThdV6JIR+fgvOJx6Gqxoq0M9hTdZdwV856Q3fdB54GLNYHP3pEY8j90CJv//OcsAiigG7 qkgiK7WbjeYBJht1vn38esfTbBwXpVeUDy18/OmE3YL2qJFShLgBteoJYiXUKbAU3TJ17AG+cG/n dJoLcOwwkbEqyHhIIpCiuj2dmLLaDNxkKS94X9bL5kXJ6LuQLarFZo90PEw6kUdZehGef4Q0ImWT /q53Hhfyx3tTQf6kP3bdHKongDMpbUO7Msab28a/8DdXroWlLa1XEVZa0E7E6wsSOFMe1HH76YYP l+T4bys5+MIbQ/l25Hi+bbaBO76dMnz3krSdLHm6ezTDRlcuF4JQ8lHcwtZhKnd5kbth1cvS2ypE LxLGEv8PYZ7tyoR7DXe9ZV3qMNRcyjqqqRZeMJxG8nfLpiR5MWAJ2Qbhz80TDBVp3I8yzTBUpezQ 4E3iwGWWT00efSpEe5xbyMnZj1VrnnKJ9Pk0bniaYn+1JHIIPAySXcI6ZFsSVfcempJmbl/r+hgq WG0u528rkU75GlEOprxmFgWDlj3Yr5ZRz4AA6iirYvbBlq9oxlsuB3rkC0sAH4aRGh1IT/lqPMfQ 1rXmC0chap04xDaf9+GbYerNmvs/27T9ZcG4Y+PwdRjzfdBA6+OBJlkxl1Ycgcp/a4xtxfDGL2HQ QjbHdZm+zVV4R0nfSh5W8n/4OH3pvrHg5Xh3WuGYVespelS1sn6x1d4hMgyMHQPOcQbPZhiuVy+n MkGvVWdIZt91krq9NwRcAD49/Z7Yd/TXjO9ZFmHUdz7alg0sr0PTHe04z2OfShkZz25Iz43AgKYH FTlSK0YBQWWEZEAEb0RZa7y67EEt0lumzA2Cw5k9Wa7UMVUMjGxbZl76bv9sc9w9SVNPG6Jl+W7w w+6EpdqNg3xboNqGpiUbkkgLc25/1NhBHghVt2KcPgT0jSOCdXOxYtrlZ/Q+ZyyMfVJ9RHOVN8LA wAnqDIzUifhpnLHa3po45RpxIFL3pyZJ7ndd9vRk+7TQixQrKVVAEG9mnnpQaIg2K0vKWpXRIrj9 cFthFq7IJW009/TAbq87yVlmcOPw7KjvvPs2QWWiJZB03gIoGY2siT7eFJ/nmT0oiz/K5Ke0tqLo f34GHP0iFOvFpS9TfXGjFZ0PbdyID90HYyCnOlA7qeGTTKuheWkpdBkMeOygDIg7Ls7DSdE1b9WS RzkTSa9kLnEBZ9WFM1YEWod2YIFQFXYqAcoDXG89/pOUqntDEVFT9w98I+lgw/A8ti5wjguLSQh5 BawRgyn7scE/0WX4xNezSz7VQaIIqUYRjdgAlyGmOg77KDSOO36RcWq8dhzXslhDCzZFG429zGTu dUas4sNcp1ZrA0gQu9JXUi1KFztX8eczFR2r+XBJK1MWWEQwOYLltCiRca1QFQCxkgmWS5J6RmDI QEGnRxnJtDZGUzhKDubhNsdFROjNKoVCKTnZmSFBmuw/eFhPg2EYUadnZh64O24mNO+OTlGhfR2X pGIz++view6fNU2Osiq4GBZ4nKVsfiy/XlMWW6uG50LStyp79Ln+zwuOOzdoe8pDUxdd8lYzV/Zy 7nU6oY/Vzi2J2pHmpR6ISDtwWjyVWBL+uy6xsxXBlZP5l2IPxmvW8Bme9R+h0lES9TjnZ0pBGffj 5beji2OSfWNitMmTQtzKfQyiIyqRr8/rvvsV9/zJ4uJ8rXKnv0D2IY8KXjQ3OvSaaHfnvbtRgMEg 3yly1OJhDe1K4N/TVrm7ITWnxvoTOXC9hZpeLC6+9LE41phPaYhyaJZn2dB1GnsIUQawhEy259bR wpXAVBls2Hmc1wSHvQLCdc94XQA78+lFhiLBnMPGUd2bOwZzcXoEy8OWnQcTnwj/XTbDx6czNXEf dQGDGVfSQrTuBZd3b26IA1Dd0VOnYUsP26FJWrgFmqA0xawrl8eLtvsB/CGWecmZRO5RtzoGfH5b g5T6rBiyogTRQGjcVEB4LI7/gRqO4A71NteS7b+F6vKjHeBwLyLOnsVYnBUv5xvbaYr2UfgEOmXz vhgxH2hsds1xUnV/T1fHaKz64iwN/GQzW3jPf1/vwGx7qBsk1ByalYcmRARNc+mNJuK0BRZ9D1J0 IQcpnFxb8olaXyNPAUhg5eeziNgtS754PnKniHSYqHHEjBzlDfJsesAobnyvQJD2TwLiP6AWmavp ljsZbIh63oSFK2lZeaTj3X+KNGTVE24YGv+YsbRLB2fXr3RIF8WJy5dc3TWiqQFfQp5vtwsUGNJk KTos3K9fGHd8N3JDof9lykCJGgMuHdF98VtVYe8p1/xtnpqtbqBGiKesZKaoKTKGqDPg6o916BLo LVM2wmFls81WX79NfGOdVvhvEir6AURZRXoyS8NXr5fOhotisaTZOJUhMv2USjXBnmCMouuFGieS OAeyl+mtJYE8EdywhvYAMHDDTzH/EMPk5Ae0xIsfOkbLNxQtpdAZ936mQFB1gCkcaV1LWpZUOu7J 9ntAjQkmV8ka2axKtSGNXY1FfH2HZZE0UsC5vWg9NRV8bg7G0kIna4l8fmw1+qiYREaWgy9NN1/X 9ARBWweFjW6dPmuNNZWoBHi4Gn4EHdeZHeQgLD6zKK8CHbfXikULC9mydNhqWlCAQ3EGKJRUrGk4 bMgnGcZam02YrlNT58rIVHKQj50CWDV7etNxrFtw10gkqf+V9ZYUfWeKv+x+kM0AGKsryQq7k82H 3BysWivBXM3qK7z3n+oV+ez/iswgRRQ3vTQusjdrAGjnROWOqG8y+bri2MtTVHW30NLdHSSXSkIF i38xUDzmo4a/4wxrCTizJ0THq4Q60cBEODtzgXue25pJHTOqe76CT9Qxg52TKHtDf0NrwAbKtvAY aHnlLAI7aU3uMPWpZQJDec/LjdBBgwojA6/C/+YdiHw1h2027YpetHA6eGTvRYgjx8Efs8RhPutr 0RxtK8BwfEoSKzXK/kwVLMDfY5FwX59FfU5sHFsLzg1Rp30zOPPE+2sAzIpSU3bMLcoiMGYexFaE 3R4YEScLgNebOI3hQ01ROKe2Kr8JcwqauFf0yY5r3V0i/QYp1zCv2nZVF/aluhgJWKYHXAzveJiT SLteFu3cmkYE6S3OznnHK2Ehy9zOc+aePmKy7ihzjoX/8IgQE+ZbewNStPVv0fXzbBJjwF8yULOh YL6nFs+p41byTxFYDaTqNrnRSiSNvbVwIgQ8VkLPCJ6C1WV73C7PmfkwzJ5eO3+jVdKgffEzKLQm tGCVn7Yjymv9t3ZabFVVIo3phLn19j2zKyeXFZjLOx6fj/Qb2L63UeIVraYR7YbhRsFho6xOamSS xkjIXoNkKhTkeoWxFV6Cjmo5cQ4PE5r9z9lAlZDUg6BfKCI+B2MvA+L3PC/8sOBaclxlBFmHcXfu 6ElpKr/v1Em12FExWwWJFbvDarBI1gqZHfzWableHuOnjcnYM1e/wrYS2ydt0H3hRwmeBStlvq6u 7+n/iEI3XrT88Vtb/XwytGZDuZTc8sGNQU9HDcPQh9jE6Gafp6qp/tZ+DkIRxiX6yWIueARZoB+m jLv5rKnB+U9Q2iNIj9wgcS3nercxgGudF5+qwqTIW+wA7fa4B+6GiO43F9Q4h3OPTtAQ7TDrJfXf DjMOjCopzwI0UzSobYmCPkttbrDjVSowJLGHdJisOOz2ul8zSR5LmiFmSntIL2C81/GV7rilC+ug 0zbKPrgjE6OMyRVaZQqHD167xOwfCQEZZZD2IKUNsdWhBiMalw/366xrJjp95j3DC/7K8Pj8pZPE ++/+oi5YFFRLEwH+kLix9dfTDJWVaaztTU1YwdzFac9D0tVGmA6Mg9G+6RrphfIlYJ8CR7VIfK++ uzAd5iIepakl1nPQXzTVXC7CytIIap5ZYZLGWcd8Tk2+e66O8sRwilQ7QGRYMk6U4MR9DnGIIHED ZmXw6kahb5WtnMMX4h3h1hOfBq7uhO4jw7MfEGXnWGrCxPodyJDsTyDUZYBIBVdSzG07HAwp1JAw bAM9zhWSg5lVKK9h9pn51EpJ4RgRDw7o3dOCsM/0pPUsYx27KCrLyaYJzk1xPS/uvmmUKyFQ/Las hhY2vvNgJfbMAL+mzlvm0ncDMvHOERaKZaG248DP2qxWAss5PhFkNDzoHcGXzOxDVPgb3zHR3igA i/J9Qb7EisfrjSN8BG35hlcq0wM8psa7qPiblYAQVnrvWowBmPARiCDNu5jzB+t98pf7g+AdWq3k ZgJZP6fJICb6unmpmuIdcuT5Dy7hgFwfKpukxBz0I7/sp3IjJIT5DncfG4G7biJliVqdO+oX3I3M mUuksiu5Q0fKv8durdKmgpCNR9VHQvq05PPmEzosSqBnqh8UYP5X6Eec2tfLkMMFXHeMelG7ImWH Pr9bB6s2r1FfjJEYKbCF3Qb+cXJV2aEjZwbpSqHZ7ZC+FVBuGASXl0Y5Ac64S6pQePpTtkodRa7L XwtwtRKrk8ZXjg0FoiyUfikB821TflofhXWzZuaHDY9eJHUngG72gz8JY/L0EIgrJF6/ajjkxwb0 cxkvsjg1rL2cPo4wHoZNKiLtbDVM205U/i52rQPvbj/FQ/dzmaTKXls2xchDe9QzgjmDNgh1JP5z DD7qNtMTs5i55SXISjj0R/yYcanHP71cTsUlE0fYN/0HvHcl1rZuLPk5R0aeviw7oLZjk52G5o/J qUboyY4EsTt7scd+Ep4c2tlNuqsI9fjwuOYub7rsz4yPhl7F/ygMOQPNm+HZUEzaN5zbfD7vzjGX ICQLIqhS9RnXw7T0pwY5oXLv1C3YssGTtN6KRE4vV5yAIY58a5naKMZJedAvSJY88pu6DRH4h/L/ M+dw6VMSOKQV+esI7181KhbiWQPHNrUN73ulKVE9x1jEx5Nz1Qcni7kgvLFSGJngfKN71e+JkSah Y8nvs8jVo6JbYJn1O3dLRqsp5CZIHjDPsmYZqL/nnwHPA2dq29Go75TO3zQMgYq93iOERaivn0w/ qzHnYV0wuUEyxNtxjwO+Gw0nzhotSrzlcwxcuWPgkCctXBOplqychGHOkQE0ER4oqkA9V9DUIDYd pfV3njq+dmEzF7mj16q9EPt29wAst95MWgr0HqwP/nUPVlEhYuxvH1aBCOPuQS/ebzBSwFZDPC34 eOHgQF4GY5nbTMCR8ufp2kF5Srm1xXPjat4iyhi9bot/KmPjPFjOEqSLJ4F7RHvE5fd2SkeV6h4i bHl6ZQ/7cN9gB5FQl1/0j1xRgzXzgPdlcObSchR2xYePaT9TjPBv+uFg0NhzcWUHpCT5CqqSMNhg S+sEh68T3QInOoY02OoZXCVo4GSzlynj/sfrrRqspEDsIx6X5XuR37c8MUeg+1hzV4KO0LiXNN1I Z6CB6L1iuOuvTuuhAlpOxenNLg0dMZ4x/ucuBvztl9piqa4t7dyzn9UTBiTS/bXQQ2R70E2gwlQt mZsyGgskSnJGGMBWrndfPM+hI0xvEILgXvSgPVh3jCpxkrhbfGzcWNSCbIkzqaMG5JFudSnteb6z hbdK97RpaRfGj3/Csq6D8lSPDChtwniI2PO21j2L+NPh3uuKCER5+fEDwJESG2pGtIZSI6IEmmIx d8ZNMG7pHbLG85/p82CmvLJ5EEB2K3mk5H/gqISZWDv0ip2IvrcUEgZHBUvwhAiHai1+yT9uKoVP W0iXgSe3fdWgZ2z+KCUPwh0uB0im9d27h2FE7FliVWXmu4CLuTGkue+MmZbOkY5XNaMxf+lZ5oJM PBWmKmzOyAGTYYNz2+0SqmMjF0XAqjHAj8wGOB5epbXbAu3WgG0+vsw6WJM6jVtEK9jHRcIluNbL fibxGQDxLA27tk5mWf2emMR8NCXGH6RNkLhuKHCxdHomgE38b8VOUnqzi4GQ/TIqAoTiemZYf5HG Tf1yCf4yFExpK7vwyKpr31/dM4vgDrjkbsRpyKGknEBKpAKUaBbbHWD1Gsc6XYzeFoKqjUmX8Vr9 xE0ZHpVEYcbphTB8lU0q+9vIxPni+EBs/AuRs7k3LH05E+0yJz+1/T+cLBG0jIRdhsmhXkonqSO4 +7sdsio08h4uUV1oMt92CKuA2r6RMl/xWSSOjz5+ycWflMqdsCcoz5mI4iACVycAhPWXDN076oUM kCN0ancJCGvn+Q+WmPn0yZG2FgIL08+eromSSekk+GcE8WxtHaputTGdqjbQQyVLYW+UrUhmng51 Mf1o68qXHSzk1kn53PozbQ3hN9LsyGVu+3Zo46/WJOJmHSF0O1ryHIvvW5OHVk+6iO3oRHcKymeS +Yzp1u4iX2GscYSHfYSm+DJcHgb3mzQtPu6HBm25gSHvFcPbYGxYNMPpfoXBoV6vWIFLnCwdzrQw /eVqx2U2gS4aRend8BOamQNuWmwsreLjC2QDvulh4hs7YNWNEgjaf2uCVh2LHQ2NPsg99cbgjwvk v8BfbV9MFvf0c5SOHS1b6CHFLk1avdzW+nP2B4ZQqFwiV8YggJhbSdD9oD3IQyJ9Q0cVNbVe3SHd 2kjBS8yYYJhUqPJJz1GVMsAqMIYy5lNrRnznM9Kz5z406T1lXUbT47uvCcYGPOe30Iiz03SVN4zn 4mnOIM4Xz8GRbH2xsdplkQjSnkd/sCDCo/ByazNzHlJQmP8z90EhWtbuAGeGfheSniwCVXpAu0gz S9ztUn31CEfUVeR9WKSl5lwbn7ytwiiXEGl3I/16+uqOnlzmhjr79Fz5kkxYcLFfbhyIuB8SRwU3 F71i9U99naXvYuITgbHoD+4seBwqg6BAkRtHdftcIF94avvfVgH6HfGtTjSwLyViYxFGjDoSLBfi iL/QC3zjqhESkeH14ptCMsmaIEl6tfX96s9zEhuG57MaFDBw9IZxHK79zinKYYxN8xg227LJVsoW GFAUqWRKUL33CKp+pqiRWM5qoTuMtkxnPDTT7ZyuQpAdUguRLc9of9liqOVqqzDnkSQ97PJDitTl rhY6ZzZ1Kqou9veyz+PTM3OhI3SSbjx6pRxFuvDmhiusZYscatICfii9DMTRLB8bqvDtxxbB2lHA gUejR21ZrbHeeCfsJ+h8SHln50ikvKPt1l0OZO4NTJsH6q+vdeFDUMj99PIvdAGZ0GchCh9/VvI+ CB3KhIDpAP9zQrHxBMOLUkLhVTR7vi65Bz0+s8JTUQR9O21Lm6qiDFEBzOejtlPSywV/l2rihIUi mz78oyM3KnvItcXv/LaW40K3YKqpLaDEG+lUVrhFYogswg6uI7oMpAJAsAF8cx++SwsOM9Pmln6Q QecdkDpcFQDofvLb0wjP6oGW+Ch520EIfgYc16a4QagaWBhHWYFEJMNoscnPFLmArWSM1sJfM4G/ QnCFzWA7F9w6ELGARbr3de1EVetJ5guAj7IYQdaPiRl858uXUcNm5l23UYc/J9Gf0Zffx/qbs3Lm WM2gik9c7qkVF+/dW3KkEkx38Ji/8Fsa6dYrUXsBpRcyIzUcOp7ZT8buSaHAwAOOcufefW5A8Eih KzjbMdttJQd6GQQVVgQgD9wLK10ThixaatSP/ediYPpDtE/bQnRhPNzidc02ChwMXyvnaXYyOf7V SLt8c/cSvAPkmnF6uSYFA4GN92OAQqc7wQU2YBv87nU4bt/DQWse+yC3rdsje5TmB3pjiVumEGKJ TYqjGvEvuKUW78cCYQjSCPC8GJ3IaNq2LB/EF3KWQyvW5TToKPWQHDaXrRLXhtiC2Esg1wPAU8eR aMtykVJKclZeH+Lfea2Vg/OBN9Ufux7RERXNMB6ski7bwGX8o0Om6V6mf9j3koClVVpNPrJ73b+M TXUolsKfzuVq1ZZMJxYDYDlagGix/Lt/EW+DEYmqoH65cSuwi+JjdfpXqaLnWGvZmmY26PXVCT0I uTGeqk6/uK9+uCkm1/8lwmZ3OEe9FaRBZp4u/Mu7zdBlMlh2/Ypq3Obvuvt8QkZqhag3EkOBV/rT 9kbQTuv/rmbSuqQMDtGGAqFMjq0fc0aH587QuPlixSqrHP2TuvfC5iNyUsEI/1RfRGCYDXz8LaLc CcnGNwLy/zqTkVZQVNOgvGHQpVkr33aXEcMhrHlC297fCuFpO8CGUdZPAmQMWIml7HijzsGsvT01 ep91fDV9pJJn6slVyiPlmo6C/xpT6hRkR7Cwg0nWBlWceDbuJ1hX1upaC6kvrUM8pLaUXWTnQqbz YiDuPaoWjmQ6/hb29MYFD8sJLqWnRdmc4buswNAxx/tKLIdOJJOW0NP+5ES347OjD6EZVhi5d3Od 4gEcp0DKgD5puoo93qgJaoytvOolzGNncIEb+sMEafV72qLHD7G3/nGI82RNYAz+kCBrxY14zf6p +g0VWd+2uBttS4XpB5gUEmCKSXSB2R53iOktbysWqKlCf+oxAh1osENl35botSflaK4QJPI/HYRJ izhpJFq6LSiP5FtOlUQH7k76b4ROVjZdGTgp0DuRRv6YNIQJ4g0GRXlnUGCgIxlAVWvn3SsZ49lE Hdzhk4hu0DSnaQPISym78uFV19nnYf+39RrOuZt9zg8RcnQgp1oTgvDmF403ZYtrv5dyEG3x/NmJ CEyTxplcVZ6OndD2tEKBlGwgkb39YTqJrtLll1voHQOwioL1hDAFCtltQJgbsJP8C4gVbkPHLDcg wVKoTp1HV6iRR7vlJWOUDE9esaCg8N6OBA1qem6HlULXeALyvLfVvXIkeSAr8tK0zV7BUxibN5ZG DlefAuHn8d2bxxT2yYTsW4msK4e+0JvKV+EgSklXtR3K3u2jDgjG6jmLnA19JILizdoqGNReq2r6 yvJoo+SMuZ5Qhi1EjMwvserpAtjq74h5FSCapVyGwxkLzEeUXs1AnSO85XEoPQzliTUcZFc17epW MInMH3dgE+G4xrvMufyBs8/f0p3gK9OrsRvsqjmYFrLxu4mWUiaobM3AeY0n1h+L4nZi7swrnwRe g6ZBBAwy71x+At0T/uIDMTq5VdfuzUb+kqYY3Qw6eFd+xjDL+Gdm8SxGEIf1MNsCRYknDKlwoIRW DAbF5Ux80Z0hsKvUf/IHTMcnhnCgBc+QPd95Rm5cKkcjE26m11sUhIiGPc0/YKbboRsOHTbCGOcY CXWSPytAGMs5DYwZchZprGo+4yUZwzDA56fIq47qfjgRx8uFtD3LEQ56HHKGDzSUbjeTRNiUO0Bw 8M6byrmE0u8LxdYyLjaX584Y42iJxADAN4XjlX9eWYrehjJ2pxfRg/3mDoazPHZsWAZhm+c8uTGK u6LIeh0WbbnELSGEdf+dxomqYWcDppCUE/RNanCy9woA3gdcAJcfx+rH4sLnx9c8e+HRt4RDgJPH u8myCZG2qnt3uj1kof2iDn2+bnrLMNv2W40kioprVogd7n2VQVtxzKW4YdXOrPnmNd2uMtmTOEDF 8mqPNqtw9laRCf7vaDn9mSWa6UWI0atjHyonDxIDehFR4eo5Jna7J9it8V/ZGftrTzYyJbGBN27g NNQdhrzbdQ0KtnwCU7UwGpWq2vETHDa9RVby0aM1R+qWoIP9Eb+iv8YPmQVo1bFHdsBFuSUewpYn xvqgaJe9M6XNPXHk3It2AiBU6JtkriFM0Aul7/aQLBYcBrYxe+tIF9dYJk7EJiAjrFWGdHEKQ1GH aajgL0yQGrTV9rQ4p+vjQu6vPbKbF1Zaa/+qQfCSFroODOkQupdsLyXsg+yawIMmnpWiGsz8uQYT hWjDF9/o1pC4lmODuMBLIfXMNHgeBuYuU5rh62PcKJseGFto42YNOeNu6tBtrKMsD/JgzJQaOSGO Cgnx2B60ABMWeZYvADw+G6OUaO15id8jZ6PFqN3FFQI8rtBYFpc5zplkDoik2pGO+6H5J5J08Bg6 zYqneUvVPu0f0czkg/xo7O4Sgn3cCSXkYet/x/Ncbfe4H5coaVCmz539KeaDUSGk98mFrWwvb9Bl FvvATuUEqQ6J7MkxG29WdL+pLEy1Vpccc56I7DYKnzQsgE6K4kgCzwjTIWaaHy47oz2HwSONfsBb awEDDZH2WfumBZpck/JsTDLKJJLyiSiy7cMoaRlHQDC9/k0kcXkiFk50tlLSi+7XTiYs93cHy8jv 5Xb9cM20HWm+vTpeTkD56jvfT9ovUu3C+sD8S/FoA7XTG6j8ubpIoJim5XxuliKRnhtmhxq2+nhh g8UvxTut2PNo5zscSEcTjz0RhGYfuWrGWQEptepNZ2Cbg8df2OHPF7wmBw68nMT8uOU8aNLF5YSC JUfl8TR6jCPXoaMdhwxD5C0lonudPpDR7GcMLis6K1gjMGDHUH+bRnWh1Zq0ahWrsSsV8p74vlz/ ebfp2lVETHMp+iGj6xcF0LP1YiEUreZ6fOy0TWCbMNn1BtNc/V7pbJ7eyr9dYsTIB4nPE9G67I54 8rwVW6OihoWHmON6QclFG0inOgYdXB169UKvavbwLMVlk6ZyVU0wSozXDMutQJ2qeiAEBJrtj4IB 8MwN087FzdT5B9+pApY2knhIl5cufQ04wRDO+k2gYy9tnhBRqKHDvw+FYSXxfjLLerLvCYFE2E+s wsRJxclu0+6JlrsDzFLC8HZoAdcFBTLurw2yDGaqxbIEs82F02qbDsupHaDwHNu22jzLYwjBEqdO 0l/XEVtLu1SFQssKOMyVrU153nRthSgHVXK2VLzp4qUHx5CUCwgdu6zSPdf5D+SGvc+An9XoHZAM jqQnHM98s6Ey+A17xEr64pstBO2b+EgFdbYbKzzX7h9+s3Huo2+441o0Ei3dVg5NnlA7YlkAMNyb YfyQSkrflXsBqi+NVASjpH45LZh3mJPbZR21faHT20LPtuAllxDMCJVzxBUl0rM+ESmb9bNNnf8p JEWxNDEwzFR85afst198SCX1l1CvaQL0Xt3FM2/LRrPx8QMPrYEbSCPLxYRGFya1eiTexd7eH14C qHS/zoPO/H2bmGCCKTEEEHLsFDRW/rgUn4iu/iUsjB7ZSTcVKNP94f1o9ggp8SkIgv0L/UnIX6UO XTbTp8YA6cDoklnj0I2t+i53goRLQcq6PjWlTK2Y39kNHtE1Xw0EwUKFIu95t6f8ZPg3koS41O/l bruSVF3foZ6b5LQTEoSjnOsMmnwFYtFb5GZdTmx8/GBvr0ESm5s3cYAhV5UGvmYJ6CGXyKRxj+W7 1NN2sYmkq4riJWAxEZ6ysiEDYi47IOF0UN4yEoRsdNxmMsaVtySlCcNM1D2jqXxXR7PRVCypZRNO Rrkb3FOXgm9F0NUjauHgWWVrn/2LVMsq5g7XV3RkeoURA2lwwXt1QusZInhDBSOZhOSVdcg7HYYq uJiPvboeDy6U1PBurQEO2s0xkB+tzEkbi9Y5OL/O0TZaTKyD9iKJLYLkkuwGZhCxwl8SEGzCUDzG 7x0qx4ODg2GqhBTrZZ58QlENehCWLjYjZIVAdB4rbtu/Xa6UejqQASh1wkX3z2WCMaozd3ZafKkW mGgBQkJ/Mp0tuxlimE7GMNXzYnrJEcruo+3k0ldMkpzrOOTEZ28/cvL6CXcU7bwH1ncCvTjTnEQx 6qKtp+6e4sslB3J+tiUYlhz1SozOZoviXwZNkW4ePsoJBBSouUkUTU/7cPekQjjscr9v5+GsGPW+ VoWTZ5KWFDyCgEjKhspNlcJJqNLIxoNa6gCbNSrvp0LKz53SRnQBK33WwBYvAXxrzvBvrjko+a7j mkFfuXhIyAgSsXmkb6+ohEdz4jzjd9NhujSML7IMTJ6/RB4lDU4vpM3jVv4fnAcy7RZdnnyq8Ter tgTklt6iBurHpxuwR+FE8QHqfDKGzF5zPudOMBgPDKuaMAfwwxKYKBC81TY1cLZUeFhqyAffcrbd oUMp8mSsvndnIGa3jsWnh3GohWLpVtNbYj8mWbl9Q37JktvjEn9Xnw70EnMyektXTRKkQlzBDoWY KgN1EXR5AytgyamqGofN7pnnarY1T0+F98HjttmYjkhqsOx3lwvHuA18BMmPcrOjDR3CgP6L/DlP pg3Lug1F/1l7YXVl4sIQajG3MJz1kwQk1xefaXkZQTsJA739QyomqfdwifHMUVpigC7bZ0n0lOJf Cz8hiMzTxvVYqt3OcteWGs7ehoOPWiDNnCrLjRLlOp7SfkIC5DKUC09zuLKbmDKtSL5D+uokCaMC tqlkXQseJCT1GihwiWK7fvrRMsbBpbi4UJ+I2MPqrNx99BOfWIZd4B5CZuwha+L8/jOXGslVDkpZ PQMt206tB6tm7R9d5FajT/ei11+Qm1j2pZupD2xtYKhiyoMKoVVO5AjbvRpc5Ps0YY3+RvKUL2NU Vi3CSvnWaz2nBtzJlr2WmY/H84MH7PzviETM2P9E00utd6WbmZf1MwA5wmV+aZKc8W4qG+/+wn+r Vf5x/pOVKYeZN92hxJrFEleOUURpUuzIaPGT58tvD5sEfE1xBTK+FE6S8djQlf76INQtfqAYZAa3 cD57BRh7t0PmBLlKnHCsJN+Zzj8/E5yg/nv5KW77dq87n/Pq/8EzcMs4U4UCH/Tv/7y5TNsvV1nQ k72wOByGWgD+SNfNd8DPO2lFpjp4SQoyoRTq7VQGo/SMEqWDyJijrv9SZcqZJjr5TB0HG/Cft2Jh CgmJMcZMN9K5hxMxM9oBYVVGj/231ik6DO5qylASLAQlz8vx8Fwu1wMFfCe3LtUfQqEITxyhcyr/ Os3kTqaxaCHHwVv54Mfxa+ykPgDNyE8i7U1JV7a0h6DIhQl8MyVq25Iys1XNin//0gEDdVgefp+s BDUPq+WlNrdykskH8X+8AooYuAeXhAlfX7rfUImC2dBzzCJAHIcztqwrfl8HTVw3CwXdohKmvfwr tzrDcmg0fopcNw7XDl6P9W70dBGlGyC2PWwiwvuusfIezJklOMYI9l3FHd4GgIX2/r1JFhbMPg1b LIwK0mI6GGDp2Zqt2cF7LKR7wZzCSIWdXUxxlXrq7l5oaOGIhDYeWlAtK4bMFdwuD3aGqZw+RqXg 5Fn3OWrw1IcGlBiEJzAcgvTSGY3yn9MxRIyXr6R1gQ50LifGJVmxOhGqaOGldxDQUH1UlQVgakhz WlEP2IbHmfippbtj6mEjQsSrRgnbYXcpHNab6nvWdgm7bwp2YOH6nfMBVl9vmulcTXn8MYXl521I vjiBUBPpwdsBpQicn55eXL9A08rnVeDT+elxNr1k/L/H2cT5zcZRPQ9eK6mtJGQ/kCWifX6XAhaI G5HbAgdy2O48dztjRi3K2K/bpqaFtSryHJlTqP65+9rS01mHWyFF8nRdwAsC6x2KjSCSr/qvVjtB JF9T2W2EpmWhSyHO/8EWrJ2sgMKooQve/Hpaugv6vdhikSObxbZEI3hZmmSUEZGvLLgsEU/lUx1s +PVrSBBluHAzbuiIg1e4pWGNsRnWTQIg7mmd01yeVMCSpo1DcdpYkerXBno57tBUw8IjD+MR8e3v rArd4tKEJKnPH1uLxSh/q9ya63OqHRMLig1Nw6/iQFaWoH9JyooQ0oPKE0KHXf7+OfGuzM7yKdP0 zE9VyD94wwN3+nqsi2Iem8XnTImi9pSa7PHUzETtovUeflyNr+QXL3dzOa8bwpOS4/LZZC4OdbfG QUzGS+EEGd6vLvrWC0hqmh1uiqchw8wprxqjSTFAxk802Oc/DOZfZotLzAvPaw5IZhQXMKdPanUW YHFYz91jy0/pwnHR7kbhgSDqOWF2xge/DEYM5XeJuhmgEDSgicPZgEkJiPfTe5UEhR8EcR0qNtzW a6SYfRLyAzKJgzW/VCdoeamJviWCEaebVvBDKXWjBCn/lGdHJOoOiMK6YiA8/7dhv9ortWWbUzus h6E67asIUTWj/cU5JfILT7AYYvoBA0FcidHrFMbAcVex7qMFeBYVjDB6I8rj4GVP6B6wMeNan5eE MEkWvNNaSVHrQ4owYA5XBXMmKde8EVueHAHYM+v8TXWvzz1Bl/mYx2Z9Efp28zpyfE+F7wQnqRbi FlQ0Q2gs0yxlEEmx+VwGn5++bB9in8QNZu7wQGyc+nUCj5t+6WRFX//1/4rC7aVuiLydBg1JLaxz VYZzI9I8GvMYEO2kPjgNyAUb4thH3bZ79JG0M3VN+1NNecS1cdC2iNO3CzJLbDEdhy7IHf6LlyuG 2/vZFC2lDcn2O+bk+bWJM/NqhBYhb52Et+WJMojWgAHBwdYWf0fhDNc8CJW+mRcdfq3RAe5vczDE G/NUb5gGr2icZb8nbWHc+a5J5b0TjUJA9mBLT1DbuZSIlqAaz6TxiuTlMdG0y8Zd2hxK9PPSbkHt +/fpxgRvC35J51Orrons0/rlVAj4tq6dx45akW4NKrDjFySX7Qoxq5UzrkJ4tl49IyuCS/QMkBdo 9BHC2rhMjiRcWX5gGzgRIKIuMXV9LTskHHV2NBfiAxNkwfciU2M0SQLGZ8JN1a713pJUKBcZaz4r c5LOA+rvScAOkuohIW/gzOtBbpVSB9o9IF8EtzlIqjMOVwVwju1Ofm2CyrVXgFIby0NUEuDhw26m +jG13w+V/9o0Motrz+D1voWyRdbpaCse2QQNfUHy0ZBwJGrgIyEXesfMaTPWfu9ATOXvMZ8mA0B7 7P8sirww6OmEE7gxpBOYRXOCByBNJ4odZxk4vBMyZfZOWVrgJZ2ah0nEqyUnnOsD90Ek0SlKFPyT n6i0FkdZ7wXZSLxabQUjnGM6RgoJMJJv3+sR/LpYhHS/oUb2xawZOOsX4yooLoD6cPoU2gqMpyIF LtBsV68Q7Ck0wqAAunZWL4uFt7+6MByn8N8QljnnKdKrJeuHLBEjRK8AavbEw1QcuhAmK07rRz6p ddguMa0vyoZx5M0f4iWoNwiXxWhH33Rm0XwDUPIlAWXz3XZRDj+QmIFlEqfdC7DJuh7/ly7oq9nc nC9bu9IjqV424NVdi2vQPzy0asQVONGsnt2e/pRZFx6feRa+X/IIkkBY6LJtpqUs02ntIDLIbUGm SeyNco/D5VMUnMMDP13W6l/xhuC+r4a+qsJXVoa/9kzOxpT37dI/jbc3BCye/vkbkT/ek9GAWYl1 izID2Tm2AFPaIdJplVj3sGwzlhS+q7mJbroix5kHb03WIhTSEHxkBEfjOkChAGGQ2B+53Tv31qFn ckFTS99dRWPLpT8OP+ZXWwmQ80AwICluZ6u0pljzDHjzRtiI9Rf4/z0G+CiJjLgz2IRmYKXRCS41 YtPvxeaG0cepw/kyIHWiSOrNPYvGBE+db8toCR/oCFLhi2i1eenHzFErjE5j//KMZWuhB4h4W9pu KaNNHNPVaESWvNiuI/LcjxgaKYF36wOZ4xfctU/5xoksO4No50B62SxwXQp630tcX/LrrjrXDf2v cdpYgxFPeHkVOFP5JAaOeedQLMTckz0sGV4Z9+ABtvH5WosPwZ/3htMaPJAocrLX771ZkVXLEDwh hQXuboXYw+tB+z5ecJ1liUk3DqiylsxVRlcmv1teED32nHtNEWz+kS+tN+WSum8rBF7JXl3At/zp 8MZokyMmIQjn3bOFTkBkJI+ZCtnTrtxPfKTeYFzvKA0U6ExGjVVmGaC1AXtuFVEDQei34F9jyu4o Bw+8DdcA64EfT9dO3q09ys0d3vunypnN5YRzVCLoWNGwXLfkyBi5zSshBh3tldKF9SDEnMZ4VPbf cB7zAVTH6KX9EFTm132DZPwg7epEzP+O9Tm1XYZ39sSbjGBayrACCt01zEHRafbhZhD8DY9L0pU1 +5iS7SFQvt/FxAVwM2cOCoLoOTMKILYsLqYUF5GYCBnRAQU6ZAPudwh/uSzmRadS7sp3v8UKpvFD EcZCn73dv8Uvyo1MpeYo+9C6+jpwABJBC/UvXEQwYCofk+flTW38dj44JANwo0IlF9+jhuxnaSmO ngBDPW9TqQzxJx0aPDLSTmo10eiCc+1GFsa11P2/PWmMYgUAtzET3eNGgcKkRyq9sOs00bEZqNzt qbo1xTKi7piA73MEnzy/+fRFtKool/EFG38XOJ82z3ywfV42BmePDLPZDUBT/XjBE44f7ai8zkMR +JePe+l7ey6gMgy08PtaFZTGw5Lvy5ilHzZQ9wYfAHs7nYf2xe1yycl4V/qR5bbehl9D0QW4oaGe NQ24conEmuni28MFO5zFdEiMkrrqj7ttBIJRCZRX3o8KGC9qnU0RDkzpwtdao1L3ySDsOwRX72zx O0SC4QX6T7iy5XXTN2K3oyuukSotTUrBT/r8IAOglZ9j8cMQnD3eI8APzs4ufI0U7NF8lD1lfw0L wnX5StDtBbKejPsOuLmlks1tfTb+RrbBbZbi8pnUeqL7GOTUBxIE57bmGylvgYVxjD1zSAvmsAxs PiHBDvkyz9Z70RBG14C0Fei9UfFpmC1WCOh2QTQPTliLm7R4oP2wlvdOlS9o9kE9wQDf9ws2lAbR A0Dt0Ssl3EbkQ93YX1EDvOTH9pb0mYIBEFyheDEjOsmaJfIovwWyIVHhYDzw6xe3MQQeOAdO/EV8 6vCZI6xE0RdzMXIsiu8MkcfANWn4tjjfmzCpCPk1uK9eUlTzi27cUODYxMsstQzFXXZOD4izYE9P Uw7JO7qp92eZGHaKVPT5muE6KFKjryovBEdTQJlg8VOAdQl7Lp+DmzgkvV9K3NT9nCgM2uEVx4x0 IyyrYNiihhxpapbxI5z+G/ves9YgohbCH8oaRYVtDdfdhae+oT3s/B3/sppTG6nn7oyb/wDP9dlX QKPBHDoW0pz587V9457TuT+IKxm8CFX/rx06nynRcla51ljqBeVfkstCws4Fy+xreOt5Xsd/eQ6h tJH7/X2+n1JasKrpj8NK3bdNKzoOK5je8lSnKOk1sAlDS4yVYbgUc0iV+b20xy0INAB2ACgh1732 x+dHMKVWiX0azHD4TylPKqbOticJ+ZYeg9D56tARI+5iZ32k/1kIa1vFIRVzo1CCQ0WnJeiGRjtp tgqIwIeiLBVbcQFJo1MCFHKfdVx/2YN+pmSI/bw9fyAaGDfCfD8PXHE/77Fnxryd9nn0e9gKLEPQ dLKWv4BzaGOafL9cNftdKYD8KvndBDFfV8CCkHqImm6t9fuCLFZndE0Hwt7y2hRhiRFFpfKzDfxb iVYamqF71C82KBAwF0FzmjXtaiEP5Uqf/mD8I1LeZ5amkJU+9bCVJyZ+vwdqDGGw8Qj6jZCIZqE9 px2isHchx2UTe6faE9TvAslBEnLzovGF5jx31T9ubPRNEa+yimLo/wDjoI2qrVkVIWtwOzXaIc/j BImmCu//HEfD9weNhgeTtUVFLGHPdvoxvW29TCfCImIzJLh02QaOHsAvwLQq0uTvJsm2sRO6RLoW 2XwN/Qnjx8Zd3WBI1oTIlHCFqF4Ui6b+lo0sak+5Jcp62E6eHicEcYDtAQyUDiGies+95U8oYWBD QjcAekDrebC+4iWHOHYh8Q5Nq7o8AJ8K4YAmMCKhAQU1k49XYZo5KHx0QiFEZ/H67u1KR89X3+CQ j0ntL5fP6T0WmNBDgjQS/oFplCdAff8wjraejmJPIEph32Wr3l82IrbsQn6W09fDWMrnEuOUusqv aWL+9fIEFOV9e61m3PevqlzR7WUPAehIM7JhD/tFNXLtz0C2uPvM/ubxRffA1c54gEFLrAQ3Nsx3 XBC7dt1rGLN7lb5tcBjxR3Jg5zW6p1iKTvCe69jgK7LAHRK8JfdhvnGCSOLm4lZj7ONEcewwRv7l vtjftLUdX0KSdz4bGcD2780jTA4dGVCDFRue2UjssWaghFpkmU8TTbJhoSdtyw5HzAZbbLdT+o7l hwirG41L9+WZjlDVIEkVvflrFlrMmfXhcbKB1HWGwc2oaaQOPhIiGi2eT4fngJrNCPq4VKS2Zs++ j3iL0T4k69P5TLhW6DdaUvGvB2pPvFGvTUN9fTDRIAabBNfi63eQVSe7uIJGDt0l8lmhw8d3Xg+N qYuUjDQmhOAGDIa4kFLaiBYsfx6+GkOS31V//GpGqbdT4SEVqbHbw8uQhZhr7IvSNpLtk8ntxgjQ Amn6Z3dqWfvrlP3h94sj8gcbwJ1BRSiXzNjrFxR1Rf1Em5SGx2GjIXBkiGliRyMLAmMH0w14pcXK M85kWfZkH7OjpR1gzQ53gIFwy7drteKGqSl6lxVznl3N2TdJy8vQu02J9x+HkYy9BWTczMGAJanq 8/ll6DwaC2MYpZnWVOxAFPDS+cx+jZt+S0dFEXmj5dFR4lFkYEku2Gs/rjRydT0mPiZO+tGBkvRC i/PncfX+fzn8guRXFyVv4spq2gsN4G2iZzkM1kzwi8QYsmxsM4KBBfgl+KMFBBqnO8454vSPIaB9 7xbGPZrwAl7/M0YnMSW52JvW7joR/mr70ZxIq8QunllO1SNZe7tVZD2Wz/ecSkj+x8BQebmVC+mz AXbolIc/QgaibdB3WztIA9yhla/OC8bcOyoXrZAje+mfoSjqP3ZjuvMDTx/mY71/35R3hQgB48oD ZUYWW+6gcu+wtWOFDJ7UlcmxPcPBZPtXhDnTk2kKnshXkPkXNwbZ2RspQWbnZ/ZnJ1YxS8JwaPkL C+s7O73uQEJZ67bwLWkcpBcVuXr62N00B6OifAJfXj7Zb3+bwTf9CzAR+xpDpaczESPtyUbPsm8Y AQRp+6yop177bd5o1ajpceROPNCi19MZ9HwZaDjpm2+it4hEPTfuETd9CGtF22KQGBEbfDnMO9+0 tvmtv5BlVJVCKDDCJDb5osTKQ5sRMK315hmcVYgYzvPxCKknDTUoMiZ3Jzw/v6GvjXC1J14C6cus EJTX1PCkpWhcwi0uQohuudxk+UaXqLV+qOk5u63T/zBX1m/VZ28txXYrBx0V3q9EwgXh29wbjiZm BY7lWHCFJLuI2Nnrsia2CvtkhrBc1TlZ19+0JnH4qD+IouNA3ISDGskrWNHtJd3f0LPhQ23B7+79 WVPVACiuCGSrK/CYR8CwH3h433bDPwN+ki17xuUdthMx/d8QDK3FYm3PaJjI7sQy7gWk9Sv+IKAG KKYdLNrTLASaPsdnA2MZaG6XaCZ+mWg2kx3I0Azf6bybgROXSA1iRHV32NetTf0zShcPOzAnWEeY sZOkK3/QZRDWh2k2MaBYRuID1t01sB6cfUVK1WjzM8n/MSm/8/Wu5JOKHbFg5kAjtJUGGagiZyPQ m6liuGndzQw3q8D5QDY4ekkKR5nRlC9FRSUJwvgIsDLZxHBeGPHTdU8/lEgzS7/eEsCpeAZCPupx a0A1pzv1ECNhW+AMDtUjtKz+0YyFk/AhtdvZKWu9OrbqBp/MWCky9sN9uxU7ZLlaq4WzmFUQC+vQ TZqVYsWWg6BcyogUlGAZi51yODPcsnT3Mx+D/z9AF+Zos/Ec/87ktORNDlUdDGf7MARqEKGOPK5J dTIKqkoHm9raE1pWgf89B8GBTxMHKxX82SZl20RuothDvA42Nghvc/uqm2AkKlaRvZecai5IebZx gLND8EuI4m5HRGyPY0zz7I0EHuqSBrSu36sE7q1OEmaEqNSX4NvSWm9iWKwtZlqxPiBuTHHy1qDg 2ev1WCGjAbTZAM7xAAVBy2BTSU1P0119WJ8LfFvdxR0aMx+pbMiQgaPITWhc9gqGcmgfWyl3vRiD 32WtsXJ3pvbOQ5RpMEE8SZknno6xYjVRJUydLUgWmUtPLsPdK4JZfnbmQNbwpDxzWfELC1KiUTa8 ol0X+ZuLzsGiKmgHFWe6TcbjZ8PXzPg18G7pXIA/uLcxZUWafLJbNn44gFjFNKPqN2WRwLZdX/k0 oL9UW0B0fft/qCw0XAcV6zXP5cdjiZrPp86Smc5yAu8aLpszKSaEJJhSX+0tUP/QemptHDEA1jzC 2+4hm0H3rvZBVqRzVLraRvCpVGzyXX/0xprRe2tbit+UcxZk+Rsc3WMhWuj4X/bFmWfAZ8EddMZz RThH3k+02FPE2hh0MmYji+syswBMva1uQ3XR29PP0ZejRUeqamWrzDGJkVpPVmIO8tObVt3BrBkv jcwDY+4iWUAXFZKyrUwZrjgfpqy5S4NM2TMhsmAiJWvwapmvaEmuVeTrINh2Akd1/VriF3ay3Rnf hWNc25rnsVF28Lw1xnuSJLeM9A6EbwhkBEDVMCqfrVjGTATvky6K+1g6KGJB6ByWnmz0FgDQcwn7 ea81v9GAA6xWXxIJOjBh4tgtdxTuWk5Pn7L/49XB/ANqzQuwFlh3R2jOiF5eqTkH4HioQVKdA9MQ /MA1y9SJ/w4Jjgp3eJtkx8vRDAZf5+B0JqoQCTjWTydUxYzzjFKbnpf2C0EkCYLwyWavnhnEWvSz xugF/jwNVZm84oW+Lr+Xk2p/bv2P3ZyV8sIv6GTkbmMj9OQ2P6v0cq6kSipCr6lCXW2Qi2EI43go TZdg8dadMOt+L4dE8D85BvpOxd+1kBZTBO6ATvCAb94OuSP2xOrU/o3HlSvYqttYqYFp/PvW0K0B pvsXpjGXoLT28cNYaf55t9yssaBr+deWwtHWcIxROCsGYGM97KfjHBL0BJg5+x94qbsc7HuFEWhq YZNA4Tx9V5vkfgbii0fBkncZ1wYX000EMGtMimSaQ7X9ILwPuQ0dkwbZXQFVhuaaZk01Ul+Jde+A IqkYWpukfXQqC49wcWMsdneWwqFfB7p1MlUsghJMmzUtQqPxpaES0I8qA6WlW7QtjhzAg6NrmDAz bLaQTFgSR3qOVC+vID7eNFKN1VJ65yk3ifBzTwpD40bk19iUkyhNhOFDEQxIpy8f11k4T81eSwPI q3J54nE2ydWNJjbgonBl5ndLOalN4AmIk06I0Bo20qmZd1wzdQaz4IavEjpNPFunpZGFLr5THfcJ 9f28MhK2UP51z1bIa8lwt5aTvMXnXcK1dKjvLdY+ga9e0I5XEmjT0QfxDqwG/u27cf94kxGz+XDp QA2NNObSR0CjU2WD+mg9nK350mzlaYFDpcoNi5hn6BAZ20YSS7MczE9/NkHU32fajVAmdf49iibZ ZyLEmCJFddqLkhvsHV0XvbnmToLZpfc5z6UK2QgzeZ4NnaKKR3OJKSZ4SCfW1sCEwfRQSy7AKlCj 4zoUnNYl2CQuunwNU3ZN/74Srt7lAT6Bxllmmxz0X6ygjtsVs2J3ioLlV/aHc+mWF1OrTPyVulu2 XRp/SuzjsdgHerPlGS4rKqonXRUFmB4lvaYvnRMdglt7m8Ptzd8vP/pzViQtFS4U4F4rE82Ouge1 3V4hxNpk+Ttj1PePvu1u/e8ise8lAhgwNwWipGtYdcimjeMV+JleyXLwaV9WviZNoIisZYCznD+t un1lqAfMqfCpnHGCdYldvWI/Cb64Wj8PLCeOvTWNQTbELV9FxuIAjFKGanqjXika7VCHWLcKhdqD RAoOPdkuS2zHrjhH02AiYf/3vcQzYyJ8K1RUCXOWHdxU80AgsVvsHAlbo8uQkXVIRLJBvcSY0A7h Fh+fAkzmNZ0kPid4XkSJjzANIRpZ3RpTkdeyhc9AOKiER0nvESB7WHOMIXYVHrafqjAysV7tej3A D/KXPUpIWBZOIBoq6js3/BTX5WNlSpBm6VSeeIQe8mlZKJ0m8BcMvVwxXqMfVUm8uF0LcW19+3zu Zvcv5gfQ3f9rjUMVQVNgSatHbHo81vZUa5IihuvakorWg1tHKpiZAZLrMWyCiddW8GkGjDGtF13n I7aejsUKu91m8djV0LhVZm+wFAwzGVREALVy96B/+BOJw1MwMCmY2pYWSnPMZfOvumWMfptQoaLE wM/pzOaYmF5ctd+ECz54pcdGxIvctHSkYa7ijU+dfOg7kYVMim6oYgp8tS3C0CXmm4pVBgAVM87O DuT9vlLxvUHzz61w3abM31gFuSqGISndug+9fxEuvoS+yXIzNt6fWLBv3/NnYaTqF0ryqaIug6xr brdT8cQd56c7A4eorfQ/Vt16DrZyGOusJFt2xmW/ebqnSHJzzj+gKcApEGb1PKOZOrIdB+SDF+3q FhfG2kcr7ekCLdJGFwFTjnYUkCvSTUyXPuPX3cM7/YVPD+YlU+ToNR8ha7j5S/ihtvGzq5oWw8tO Dfa4lI187irT7bAOi8PB2htgtmUq8cNV4R53gTOOVq2olqct3eXODK1NfM2eRXAm3F5apboM2226 XPa0phwLV0ygQrxmCU5MA+P4YqjO6z7IvYAYKi1TpzvyQuUMLPJWy0cd8JgR4SGWtijQhTBNdygU DHFHxLvFKDCRlStugd/qSW4uiNw1xMa6YbZnJ0egkKOvpUwkqUVQHqUq/N5bVuRq6L/WYL0YC8t1 FhPDiwaZhuvlI7cwHwB6oxgsEX1XAhtDi/6fjmQbHVpt+wzt2nBAUVNIqmFMSaIIJ4HEn8c8Uhu8 eLFyt3IcrctPnLJ6e87BBdhqknO0RZrY+1nNXMFRMAd/QhjURjwEqdIaUDjsW0hadFu/hbTNPtX7 AWJOIlVda943p4ONAgopgDCfVeBwIFBi9355tKzYVWXFaiKADyxvKV7bfhhvxOhIrhmRL59tKYY2 9t10/p9kUHFcNX+WOfGV6spbmyioE9b2OZ9n6MqX2feAxk0HxhFQu4P+O3ULvuNSXlKhuHWjzq/q WUhONZhn0L7VZxAXJNKS+RsihMQS6eo/CXRiYqG8+6rSiw71pN5L+EEYJxfc35tI8yBIKvpT9cBy bqd0pnZNG7kDz+E92xCmJyhBUcyQEcML1GhURy0r9R1LWtUCxMgtxq+m4GrrkYOpG97F8IZFJNe3 +GjPRW6NdHiibXYTeDUaLMeT95aobDdqXuent4VrHFVJSqKsm0FWmtzysQYVVLUvtn6vmhZTWMlD UU3pywn7hdbymTx1ekBSC5lr/Np1pHraHZShEweoIezDWEj5g5ATbRfCVjh5f5iiAV8bOxOpmZGv kBNQVyz6owN21evfNUEd4tqt4FELrqDbiTBwlx7oSN3eYDe1UgDiZ3UCy91QQkP1Ib69zl8QH8i2 VDVZhbLSiST8xkKvWycBdUW4caGLbfvZX+HcOk7jIFxzSMy9IlJ3SNu4JPIpe1n+8iVvMXd7HW2l CwsZc5Irurv70NpdbzP+lWE13g3g9fQ1yMtSF3WmI07bToVrd8g+aVbN3W/GidAVO975AQ9Pazu8 zFuXAR7MpPWOw8iaFEvXc/o4OBQbyvh0nSHX2NvOR6OG0fRSrhzITKLh9jo2YoVjYNn4g4VejfLT 2sVd0KZjGebYNYAdjtP+3NmfZKAXAUo/FKkBinBxWWJPgxxKr8E69Z204K1Qimx6AQvVNOMeIEZX 4Mkh7xtcRGbId/9ui0sFIDGAZKNQtGdAg0QqqN9E/DmmLgOfbNpA+1BSZyyrlbfAt3nGKD/A9hpk ycjp5AQKKqx8Jn+18+PtHKUrdBw4P7KP1qQvMbXc1atlcZ5qkEf3hmzg4ZoMri4G+42uqHZoA5zG wP9mAaJvPoKCPkCF5h0IUfW9VteQXPUooB6QN9rA2kSD/er184Z/ZEq3cbM//fqN1hV6rhvz2yDH IMO+6xHb2iaP3V8B2odFp9S0aVzErMHJx471TjEFNM8sybGD2Zm3R9bfESKxPp/NhuNJ5O6/e1D7 FetigsCb3LxMINi6qcUBzzRE0UADakjiFzTeGOLdHFDvSFZ0IefzAwS6xwTlpA++FcuaW6VZVkM2 A+3YmhK8y8vHAt9yrIUFG/+lm5iHQu8gApKh3E5qtl7GQ5rPQoxfpI4Ck3kW3Ktm5Ncp7AGewk1+ XsgzMfkoeV5TEE41L3TV5pc4tj/0/i85DzYo6o/AhzdP4+vMV7NbEabEK53aEG4ogBsyaIOq7EDq 0LzTKWnSB6rRts3sNDo3kEDfS1SpVMyfNUTAEmR2S7ikL2QJppQorq88v/6EfmTy1QDrQfLwI1kE XRFf5cI58H0jPxF6Uga3j3joPSURrW9NcHHYBpkvIVgZ7q/P8u0HvSGxX6gI2PG4a/Cp69m3R3T/ vt+Ufxif2oe/hiwfeiAkNBy522ghoOG21wNnNgpT+/91CyNtH2PjcpA6rnBe8q2aXmI7YTtkWA2o UcdZzKLm2DDSbx+OjlPxSz3cioSHuCF+OjQwwYjcswmwGx2TDU6N7PE3uUtZOVy9YfMiN6hNiuRR f/htUqbSWftrUfdsbOm4a+6L2H2ct0SydUWTuWXZk7WiPVNfWg5DEFhSIO0iuGDrdW9dfoQKSpBW hWL522V6VZcgbqqSS1PHRzqHZhz9pZHvYP/7c8+0zcq8cV9sAybDBVcpNoLrzxDs1Rbx5lnl3EQL HR0+QZSAOnlWH2v42yCVd8YWMB5skQQGT4q9D+AA3w/N73/K7rx5K3VjORmXo+EsWfkKYRz6Wik1 FYUmgSK3H/luqXuehy08SuCs6K0m3IXba5SeDRV6/RpLOneBHVoQbWd6uapiojPF1w3C2Mgh9/eL OXWB+PWllfsYKgnhdBcjO3Dw5GfwURNlME+OqmVD0K/ffGE3Vl4W9oz8yJXEakAuGIr3IYdZvgv3 1R38eOJ37DuYeAoQJIvj7AE9NTII5IRjuXW0d7uGQ73rzQMf1vH1DQgrlmid1eDgMZc6p1zidDLc kM+V9a3tVljRqlKT5tvH51HJNfvbufVqOf/SSFZoiIagwe4lmX0s4zYtR7BN3GYMK5OQHSf/OUyS 0PUrAsq0JHPFQSsqikYkAI89fAMnPffdbhhYUu/WAGgW7kgKd3XKh4TbJDhcEG6HmNcqZZ5gZDyJ wLjZ4bYWlaVqdgLL6Oyxm8Uii6s+AyPT7lnwN3q/sN1sLwbVnYRWeHFBT5tCxq/Gn4nWsAegFNDT WKM6DnGodHJ+XvhhGpT/6dfIijsM9U2PyLtY9MiqsK7KcTMMamU1wxxjft5Y2OHDN63l6/S9zUul Tr1Rb9zUklrBn8y/u82w8iJqXOLYNOi5HTIqpGVXjps6i+XBXdrdWKZaa5iGdbRyTcUQ1FvsjFe8 szEoEh2CZahfWOJfI5acMZrQs/HwenGc7R/hgZvdQTbKbTKZyNlj1cF0WvLEVI75R2rMWW+unIIP VZVaezkBszh9UF4CrnC3+7CRUKQhw604ct7Drucb/0DIvuqekRdKWChPbgrIEUi0LrxVk2+qi46C A5Dd0oARPL64SuAtoeWLwmvOrHyWV8Mt1JOjiQZhEOFNrZGcxp71m2GvOm/mqMDhfOh57vd4LCKd C1fEz7njnTUDvVTz3H+jpZ/oqCOnn7qSZZZAleZxzhP7PKLExrmmb9gVYeqp2/L3gf6iVgUUmmyw 6VZ9SwLZBBUc04NCwT33X0amNDAUWhHvB/rgJ156WhwpgxhBKsf+Pq80vRapoWVP04wTFZ6k/4PZ FGhbdZsi2es78TYyjtk68FF3ms5BKjq7quyvtIr/DD86Je0afki+7FmrgcRry9NLW0LERBwl91wS Q2i+e7htd+BMh8naOHt/YR7vSa7lhRwe54djFZLBRYlePKK4k5OJjycbIQqKrVtLU7ZPAxDzxfxM lipNNEyAN6+6TalVPsLiqhsbIo7YavlvmLlzgysbCxlZZEpZ7y28nK1GCe3CoU1TBT7dD1hrpKZR Sbc9qRq8+AxF029FIkdpv+u2Sy9AjpFdRPSr3NvpkcqJO4eh5S9QNWgxPbENwQUbESv7lodk21ri Wia8JAGkinrCPcgXWBLbdGSOn+cLuJoHH52vXodJ6YUaBX7i6OuyMbNRhInclegx8r/Vsjcz1jR9 VfXEv/oW7A72HKyo32Zlq9ADx4AkZ47bZwr8HxNDLV6WtNK2WGw/5xDOibiKZUdrfX36yCCbBk/G gAY+4r/LjZTRDkj/7XWpEJlgNJqqw8OAKpFR0AswqtXizQZtNmGhMrPakuxffsxF7yHUD4ZRY0Nz W7Jc8BofwTRwXleSIf5981EvesORTPjO6KWd3c12kgGLDnZT2p6jl4vW4Y480Chx86gVjB4iHTxN CvIpRaVWOVvnfIyDNCLjZOg/32GY1y8eG265tAh37BxVO7eqzLERGRQuEV5sftQvFyJzswekZzHI 2g/fJqRVjiFXbjV6r4wlf+2THvVPd0GquW41hFj8pZlFxAE+QcN/nb81p9BGk+TodNNTF3Qd0nLT Smo6wadjtSZz9PvUzVlPKJGvCj6E2ribfEy1PX4xLQ7lifUZOqCChj8PBfQlXDbS8aLA/Je+exxY NAThZGRwk6LmQPslj+cxAy4ViljnoQly01PPH0kxw5M4/0nWN4WhZOpnrH1O7rQ4SOoYEvS4wh/p iDoU2uqaxTEVIfmY42kj3o7mBMM1jjcBZytEvmOhm006inw6KOuYYivRNLZddZNLaeyUZCVnVZsh Uscqt9EiMs+su/znKIfg47OF1BBen+B/BA3hY0zbDmpRdgvV025jj6NEQFBLUmqxAuIG3915Flxo ajalaKpNjoqVBYjWOWqXUIu2ZETyimzUqpy9+Al9qSlYoZtdECR+uFdjU52b6vwSqAo3XgMw9tvq x2DLyitlhCQmiERU5bK1ICebJ3ksY5ZwBjVKTmXBFAwJGQ1AybCqTsRpurnU5cjL/WCvDdykzFpB JIAWpg6W/LgMDQZChFpSAZdWBVZKCkNmns48q5SI3+9bw0RV6QP/uAE0EcX1E5FFZ46pk+ssbtxw eeHEFHmBDXiR2tEbMfiq0R3NxDANHqsDll/sN96Xix7ORG+3Cz98g2icTCXEXaQShmUUrkURLiEV rZVCfHCwL+pS5F1PapZTozqQAd5P5/JoyFwdjRCq9dqtCZcQODVqnB7ToZCzqKXEhhzT3cEAUNoF 6IT5QO+0ntNu0krRzwOAKHkdfLPss5AF8Ye/s/UIjlyGGslR1IPMpsevi3jI3KqVET3JrOJ2OyDM vfjyF+IbsEPgQv9pZdxrS7/1q1T/rADnHXt8PChZLkts+GEcfAgJrF77oBMFHh7ZtlhH6fmAJUg1 6nC2h3nw1gjTUbWV0i1vP0eHoWQL2UHp+/SAHRJTN5LIvIBAfh7h+tgHfa/7tbdAqm/YLD+BOn/j DWn+K1wn5w6Cp1DlcnW0A2vdJTl1K5lE5Fqm4d3Mt7wnaInz/EG9qFAODLzpEOpy2IXXijNboPvq DVpWemNAkxnom72sGbPMA7GbEdD7UeNzKcxdaMVwkBMi5nuM/4MgnuV5UjEdpb4Hbkii2QvaKxw5 gUiobZPib7CzgIrQJut3PMYyG4dzZpk+oSdjuIcC9bcznPA/BJjDeZ05mygubmLnsfHwNYsDU773 rA8/wM314fWWqqkrXn9hGpUI2QQDBHy+SHZ0NQKvGbE+XGtk4NJR37fg8JnNfcObfj5PnEBOP244 CDoHcoeZ780/qEhBM7EGHUBY+GQcRAG7Z6YNALblEGR3EOF4qEGpsGVHsYm8I5uKX9qgLCT6HN7v 4c9PL3jJvbc+5KLHxnF6KSGxhQB/9E2zLVmZxu4HZTaDEdJs4c24iTklrx4GXIc6Ib5mbud1Z9dm EkWPLeJMSXWQqI4IWMkqSwhxaFJoX+tau/ZtA4cA8jl+J2rnwcsym4hOmm6Q3w1eaGwzAlX5Xqne 0nZmm9kj23p4Sbs7g6WY28wUQ8gK1LJzjJJlcFtTaXTAbyGzJXp6aMHATYD7a5wzCQio2g381xvH CV0+AoYTGYvOuU1rVPt8bodFcR45LhAtJs9A6L6MREVPZ5c/FNqeEzlzZ6Zdin7LfGDB9G7Qt7hz UT+akPosLAUGTI/E5GqIAZp13V+8hMkkNVnzXW4BaBTncE2C2EW47IKjOto1dGuMlBuD6kMdRq4k nty5cM4RaoWuLXWo7l9dmWFxJQYkp2NgU9pE9cLKMWJaoV3T/9/658QCITTOUMdRw2EbazEylYpT qQ6mns1kw3+xg3qW4bsUqmQA7VggOB/Adim8cSb/vt7rhAN8c9QjNrWf96sD1f0RC6qNX+GftY2F JmWsL06E3vxcTNcUX9YOA94MsnoHAWloawoBZ1Ue0ZWuBWSbmwTMGv0kuLa9ucqx2a14Lmxcql7H 88gJ+GVmePIAvJrZi2UyMHx/sX1HQuwgx6kas3a8shzwPARqTS5iRlX5OTmQ4cANHPbXcjrBoj39 LzrEjFf2z9UNhH2aRTkz6Tn9tkjaHPpSQeABohNkKXqn2niYOasLX27MeTqiCxRMhLy6aBZGo76R 0WNwAsxeSRG6pf05fo1tvrSkC8z9AUPfXmKmsxUKkSxbtb/KqBxxgbr/9JCo6QaB7DfLWxK2YIba oi02hbksI3gjltujKYz4lbkPqpBGdESh7ANzTb/ifTtj3l0Y4k3BfUw3o9ga/URXic/w28HS6iF2 2htX8+gn/XI4QIvZxU00OWnUISRkiLk/mdkWyeUqXVgb50UcODH3EWVq5Kf7z4BlIhhIj3q2Ayfo mACCks28Sp15rgs5KFEMYnxsGn/3We/lY21NWmzUmafHa3QgMZcVs2RxeyJpr5+viaj+f16irWzt fqAXdfUNc6jD12KRpvWDZZ61ivbJ5pq0o1O3mNAtow20t3vMqSKQHLTGUl5UavZaYrshq29bmQnO /xF8IeAbi4/ASR5s1qbmvFF0XzhAWD7cvqhwF2nfo1JvudppHsrquU5pognWu9HlESJCSYrfkgJV RZiqOkBPPNqPjS12zUvJkod4ziOrHX5/hUezf2cQAuOjOuWssdYmXS2RDPf7QZMRCafUZgMGqKMD 0RDLDWDYlP9j79uxLJ2vUdE5QP/KXbqj2nwA73d9VvDjIzj0uNQtLLAvIq6pTjS74Uyy0VAUaEJV xlmP2UXEX6hlOiH0KVBxEI2BuvjeYa4m18Mq/xG+NtfXuZYHSxjHD3rTTh+sXoYFuaioWyizSzi9 PzirJUwue9C85dmg+yflxAkN7G/yXaDFQ5uXgY2z8vTTkbeVaMfPvGYhgPWAQP5vh/04ruaJ4qo2 jmRftkvrPmmivYZl3GUbLYmfVpI4z0J+Kn/47hqoOX+eocSN8jujyEOqce0BnlqLYXT3FrajqHHI pVfGSI96kkP6e6pt+gaBhmqHNwqkKYYjAzWJj3IvqZe8/KL4TTjSHutzyq4K1IR03+fMs1mRCaVi XzAASRVBjQvCd9lc4fWq7wQFl+Gl5Yioi7mCDa4TfGekVTHPwpljAe7XPPGmbASPHAORlJTGpcLr 3oqjNou137Qqktknf+29CyJf9yZ2L5eP30QfZJzoGEfjJET3vpLFjU/QOSKQfEXXRsixWDBl+osm zZpkW+RAk8/JFareufY/5AFw1yg8kQSio0RPC8hgpxf691TpIEGrq+/usszK7jnvWyacW7JrfBi5 3dWlqCPsI+LOFtX9Lo/VqV0nUsHsqBny+ZLywKIn35clg46Xj1HRfZvDaqlp/6q1R+39vVp9iIiP 98uRNe68ojW6uegWZBRPB42tVEE3+8OGoADrXN+btpbh5npkW6F7XtBFDRLegz4oV2+wbxd5oX/y sgSD4NL2f8fPE9smA72fcAkYa3d4T2qBRENlEU6YTE31NZ4hABnIBIrWOpEAfvDP6bmQmIoF7WOZ HITN2qJdEAwjJAf0mE2l8A1rbUwYvaUwMlnDbzPBttmCjfJQk7nWXW8csO7KheA2CggtX00FusD3 2kf0nF9dEbdlGOoQhaXm63fPE3MDTR/gm4i5ZPAT5cBZjQwbbDLZVWHZe2SfwbKJ+pR1l8rs+Qhq M7mchFz9ax9ETHtDFfBogJJ+t8yfOu4WU/UmgDQUQJrcwsZl/hvaqC+aaHj68H7yM4ZpDYqu+OIY C3QomCEy6KUR4Fp9O916qNho15KPOeufRezvIFKCkdgTbf2fVYRs5GdhVF9DeHydc/6y3IlE1KQz iQvTIEy/l0bgSYXTbVp+/YnBesMkl0TF28SI1DP3RkcIz2odd7CkXBnGpDgDdD1Czg1B0mMlUddO UW7aFavePMY7xDnPmgKzQmAQbwX/Iv6r67KXm8lKeKGxqCtolKd1976SXijXKD5Kv/vgrq+FZKvc LuIqWZId0yqjGfi+blbACj0YvRPp27pk5ayoMX2PTQ0keugXGXhMFVKcu9E8m6AmnU3slgBqHsgt qjubFBaS11X+aPTbKT/hSOBYzAFlLRyRGlVFygECtnFbX1J6tuXWFSOU6135Wd/jKUqjxfyRiFMC Y9CQF14LmJ6HmUEOjzYSZUdSn2pYyWF5aRK9ZjOXePV+FHqT1IqWM/EZyrDyizN5mZPWuAe/t052 CpXbYGRq3lfH1hB4BlnCdztRDmpuDGxcsQkv2fGF5ouzvsB4RrLtgE2PtHqB//DuSSCdnHMs+iYl EmAGP6YzSI2RfZV44Xn8AA7ImF9F/gwh2YfBDAgfRDpZ91XgChihtLUVOq2J5F3hje78BhqHGRwk pHKSNJuKascoqK3aWuAVeGbd9exRFnez0KoHvk+a44+/d/+1UhBYiDOR3PIfsHmnKv18xNbSlHLr AdL1ttXrt50xvQc3dhQZ5W7LUij/KyiSo82I+/+PUesLHJZ1BMa5Wr2vIaTQo8ZXMVNi3P3Q8pHt UlG5plE1KXPHAFOLG0wTI7zZ+H5bqL/vyC1qNsOE0WhkEvnu4XT/bx4kMk/lLL8URrL03iqOc6VM qoj8kIYiDkI8bUY+QEuCopNjrnUJpSGZMvw25Mml637xp0DI8UgXWExC/VeEQrnEVWnHDdzDeZF7 s3ECEnES3Zb3fZx3LbahA0/Hf45ZYFgf1MI49zbioQTDHHbDpJHdYWU03dIX1JCSdWcRdQkFEEcT oUsDP/WmIDp9Pjf2xHHHuTkZpaBBgj4Re7iksIHKfH7nJ4zaWdCbXRrd3FNWf4R1p8u4iV9SZT0U m295IECodSazwATaV8DqMPbjl2ZZTQf4u70WGHMVVls/PNMreDIM7RL7zbHXcGyreQzAVBkrmxZF Dopa3gkVf7ZDt/XMKzhxVNqukCafvMdtnjfg88XxFCbCGhK2LONJeRjGblfUAQXxLWXuGa3KYlbR iEnjue6JCSjpwBU5t7fm0TpzkMv2zcb3VIIz6Br051j3ZwJe9maBeMHxmM/uh1z2lhdhHivPATrM f5DijbsydbtEnatENaYASzyYCqm9FSLdyHUxU41vUr6siQlPN8bBzlslqW+J2kzlgXHeP9v0kGOt cRLeiwuBHM46K6dlru9T9AeL6SBKysGrzh+RIMYqAkCCFMz4FwZvG+OZSAF1pCIr99KlPSSVO6Xf XcfL4xpnKubrzVLrkDsfYyreT7CAPFU4P63jQ7rqPK/ABKiYC/tLghLj+Ni1L2TBv3Fv+9+dIV3b hnzVRKsCau1XsZhjvpDQKJ+7dpGbA/w4POn6O07ufqfqyAWWoj2YEpbF6pCEA7dPv5wRNe/N2YJ6 RlqPAzK8hQZPQRwAnSOovvpFHrJmp0m/FtzMwaOQOrvhQ+hY0pZuieED0GmYJk/nxPMM7l45Syr9 VJdiA1YLD2Bvk7dPQ0+FguEuL2sMgT9sK7K5CIKwR5kYuDbh3DA+FkpQW3/qWe8MsqJ6xmW0a5xa c0bFCXRdOX3eJHIL44lq28ZnpQNQZD3UB55ecAv4n2VVz7GMyMFCOV8Li8Kul7e8GrW/ZCJP2pEI rPgHQKIMiCRQoRkc1nrbeAs0i6kjnn11HAx2qK5NvuEv51RJuR/mLnE3IPlwrzd689Fej2NC76MB 0KmfVT+edcLDyPg6r281uGz7tFUZnvNgWqC37YyvRY3v0MWuw6rxRDAyZCtgURXFn5kEVgw9b9/l MRRmtCkkDOmApfVRwLI+S8Na20Ot2yjtJjhz0WirqxsEE1dAWsAiNV9eVSebisQv2n9RjS7uWrHS zR1/xlFOxrD5ECxT9EspD9Augy/vli7oPHslfRbL51VIPwvoNROjZMC6nT50NCEk0/dZ56KD3fMz 3CKO4yrrNACj3ty2UeG9hFtoEm/WSobqUdGvhs+6hHjhbyxaPSRwPN/zEk0O7auYCdcRb6t5DsCb xsi+4tK6bq8yWO1DJBGd4HYXlLwnTzAKxtNYgawO0o3AVCKjAmkCW+ZDhlilhKYfQH15lWKWZBTN SoOqQ5PQm1dB7muC9/eUjismyO1hzUHCkOscy9RBVDgICgfWmnGDvvWXg+755FrRJT56Y3fbdKOm 8agsbEPS7RiDw2C5Dzw9YdGm4vwgcpn3G2deHmiIqi5Lh5NAKi2rbFCh4EigeSMAbmrLXj3flXfE `protect end_protected
gpl-3.0
cf37758f0a702b2b20717f0e2318b7c9
0.951646
1.826966
false
false
false
false
fpgaminer/Open-Source-FPGA-Bitcoin-Miner
projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/builtin/bin_cntr.vhd
9
8,423
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block WNTX0ikh+ICPMuHjm2z/VgTJZxKROx+TuAoStiGICkf6ayzluc16qokGYDiUXRrxQTUdq5slzHSR pzpnu83x6g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Hix+cxcUGGG9of+Dm5Y8B76E5U7dWfRt51KzeSpamtxIisG3z18GBmcOYaQIK9CMvXnHSa4S3gSz ITqlNfUorUTmSj9ySg87CaWzY3UxxzU8GFrzXE/W/DIF++gqIdsvVYwEpPiRf5+KPg5agN2LPDoT Xx4Z8bkAuykqu6hnyME= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block zpfcPArH36ylCcTCrVtkTsGAWq7pzTaeOP39THAxf5MhcOibxJr/T4V+kIQDpwmUdcx669yMCs0y BQddY/xyQhzkvVglKX/K1arFOvTh3zXEKQ9T+sDDWrtJYMPeJUwZ+5OHvpa9II5fM5pspTA9IFV2 eNxfYXDS+C9rWoAI6wIO7Gmj7ec/L2TwcTCB7p6zIwpjG+RrJeNtBxpcuuifsqQ2BZn4ULNJmrJx YespMf32ETNVSwDWmPUoTNBkyGNf5hUODBru24fM/bYcmx5NQCd5CJBnUPFPB04VINa57ajZClRl /HKScFGuy4DPBF1aAmzIHzTSszHNiO32VHtBqg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 316qaQECTPAFsHJelpb/lJkNt9g5CMm9rHzkyknjMNzhuKc8VY87db0BbyYyddc540j7KhhwoKdo BTlJ5Wjc3HNlKvQhfcMfbeW7FXvwz51wTSxWS5jT/MjBktuq3G1OkYivBOrzAtHRVtpAgphvXFe3 UAjUP+f+1eucaxQQbnM= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Sg0HaAIwhhEshEOmSO0OQqShN4TiFz7pPluKGTD1v9Bsr6nswYbeNILN7erLijBrwulBwPG3Lnpz awMDa+LvWt6nSLIv3ezB1Nu/INg1BgttqwlUxdZvGuQiZ1fDudIEPJ+GHkMXFC1oMFyyhQwDlNwJ 2YD6Q9x3QA/fbwgSQjhjM7HNLI0/ImhjTLJ62sQUY7REhMJZ0HpKACdXGhMrqFJy54AlfAg/5hli fMgIPknJhSYYMiED8Nkv6++ZNIcvs/Nyvj/SxqbfnTqne4H5SqmFryWXJ8+WZwmc1vGZpfzEbUwJ PgWlASWdLeLfF/2tVX9xeqjYg2Cuwkqe2BhuOA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4496) `protect data_block RlgF9kPHtlNif4A0Dpi4UtPMqLg3sdMkZM8O0MAYWtaS0YvtzZ1U/URmgVl5+mA305S03iyil3ci i1OtUS4deZciWvDdpWaLpNRrqlgdinoB7E6c771XWgT9By7gAJOjKaMHNtS41QM4+zNKP1lvU2rd BKxPjOHGT6XSQZ295LYhXC00ntFb0JBagaTtXDn0SEsiRI85poMROBC8fixl4wRobEnGd0SeiX7I zp7imEDxOHdT2ZGLws0WTzW2o3IYdQIJRYTkc7w6z+wobrXWfQI6jxkGhJnTXsxQ/NevRh4EyiY4 A3jPUI/SwOvEnc1kM0lPelUo8H50YQlyzNxHpHOcpKnP/1ePL8xqSs4lkunjgH+qIUMZmhcr3+CH xNpCVJfHJY2VJXI8x1K0DlnYgbZrCl6S+n0f97FH/sE03tKlSLCDGFYo913Xrr6sKmyVz/RmTTwU R6HS6uh5BPR7EaQQ6HTWHVfuNMr+/PQ7DfZbMb7pM0URbkAE9ESwucq2Z7tYL0Rm+4CtD3f9nfud ujEgYV4E4Xw0hjV7vvB7ADMHBeHgYDKycy9DWSvIthnW0FjWs1BLcIUcO8hcXTVziyH6h6YK4L4n AaME2t2hhD4VrzunN4CeipXfW2aVRO85zkVahfPL2mvUq4zRV6ClfNBcilngqlsRJ2SCP3Hp+uzZ yCLk85mNzqXbJtJaV98hPXOwrOkDhk4EzCRktNIj1vQhMkH++vI1NtWuUUIaUJCF8ww4EcVaNd3B vUhy565aG7Sf7GXHTfQp9T3In78H26FvVc9xNJg6hRdnAURa1wMPPOTkRNNK0e0dBzL4zjNtkCWt wQF/LEaIukjYcpO0pcT5vluzJBpBXG+SUjTi+E2OP1Ef2an+OjDrWv2Ynx9LlkMoNtOo9STrN6or zX7REgaNtppsEHXPvxaahHHTfnL+fmB+PRkesNYnz7Be4gxRpUCY9r+xVry5CXJs3sDtu8Dj/r6P NiBeI+tyJcgKgoj677mIdlteeWBbVbRwZKlH31TPIcUbPe42xWtl9E5uds5AVmmiM/cTujDEfBOk /kOlIhkmGQrxGw/+zZkuIX2Y3o5hOj3mpKc7d7smB7iGmcUyZtJQnzeH9OGEsEWVytUtS+2bEXTh gldaE1Zs7O/Ly9BI6DfgwWBG9b2koxEiAKsL1G9TFrvsufzeLplQMIS0l7x2B2M+0QfdJgyGDG5E hWKLScMJVP0DsBHNbxv+QCm6QdHMcNDG33vU4Asu0THqM8Xl3OUk53k7zbgM4zdeoo6AS78Urp7J 1Mosp2ju4KSReMML1glb6jiDFwTuGYb+hxOh+7Dg1K9pdCF+xsPL8VuOdvJBfaBir3pAKxV8jBtS AWqOvXI9R9kigmFtzDTzoDJquGtQI/RfvtGayP4zH2otOcfFXbyUm4yWVHUpvITOLx6qi+XEmPbL RVC0RHfs3dLip3BRGyMFAAj1oWg18pCJlB7xevFg2vO2JByvXjxxTxqhwI0MZ2lYdBx08qr+xB1l f9A6Ub/ivEvpZwbQ4jY8evSUIsLTgxQvPIsqMb6XNhguQUuy5avB83NNms1M+jqj0L9TdAXvD0mq FRH1X3lXz4wHkvsqO+BtbXRCKmrhgDJFW6h76SrntW5nJpAQ+4iXHG1UJP0K/zPaah42zUSYCVEc Aqs4nmFtwelD7XNlZ21fgfqwyCX58j2h7p9IhWXmCxoERGY4FFJru7rSQu4ljn7RdzGE0Vdi6kz0 2TLna/pZmQVyzo8ggfnyCWOgxDEGW9g6EwlPc7fb7T7vMzwQA4ayT6GllNBb/Nm/mlqb0LWKNqMr nJmWYxC7WII06DzzRMdOOpesTh0o6l93VKp6YDfuus7yuFMSgGZl3trl6aM18N5wq+rGqChlisSM HeF1Ork/0JyL9mJsy5inP02oAPrRLzWqtQSpzx/OiIDSljm5lpjlWu2dUpRWC+d1e2tU0dTCL0AQ PPeaFYauLce4K9w9hsQJ24fWZ6fXLGOkJIhPnsLp+KLV13C2Fj5odQkAxqPWaalqgYMp/EHz4jFa PqW7gGh5/Lusk4Ft0vvtPKaWCfWpCSW8rSmCTstovPxWyRvqeUxeOzHz731rLfrwYyOxsYaABaJj cbE/rpuPS5kFizPmpzC2TkIbsA3XzbdM0PgLiZnWtNct7xJN26aAivHViEvl08Ecvucvfi4SupdW NZPUP45wk+72++L9Fe+c6DKFsd7qMWq6rQg8KimmjAS71R1fic4RcYJ1u3qS5HohT4gHkB1I8dzG ehV9vPcGrIMXm1R6sj7FEM+dIwXhiGpq0dfBxuQQmKu7NZgZsoXr80ztkS9dK3Y4UF+sxuVx0Q3i YfEMO3qBp389sVrifrkd5/S+DqkbmLTTHdTAsz5JtPPjtk17w/fvCri77BQ6illFQ/F3BniuoyW8 ukdRwykEoBEkAqp042ZlCMQHW4zq6WwwCh9WUYuo4WyUNbNyJ74iYeRwIffcWSjrvaglPhUZvoE6 tmc8FAdcDjGoknsM7sKdGxtC3B8I+IZzFu0quyIa+QGZoiQ62hXw98jekGdfjkGlEGnRLbQL87zp tD3AyEFeaKBmU7Y6KYMrsCbIBX1XpnUEvJ9ieod5spDUBU0OKiYpiwiI9psn7JP+vmM4GpHQbcBC dzFZqSb8trl5FdUv0N0CUDqstsy3KhTg5so4Emvl0y93mO1CiJUmln0i62TkvVrzUvoTtSVkf7SU +5o+HdfKmcni8gw4lVp56UWG2/pQiVljS5eCuMYCtDErNiUnUTnPqW9KktLE9lW0ZhRrgxaqYyBR 9bP5rV8blHQUsUR0/iVmCaRTTWM3fkgWX7p/KIakB9UU00u2oa4XdRE+bys1i/PBQ/wRXkturoMT yKEzd//DzY1Bu7k74w5xRiuhqbs5X2qLzrZtuYi79VpYOQqQNkDlIZ8WhZrJJ4NG1DkCPXKRoEpy WdF1YgSBymlYXiZ3ePpjUMJNnO5S841lNqmMdWBDav2/jv5V8vFYni3WRnMI4ugr6fjwbfTSH4eU e5CNsgRWHgSTYlXo/XC2X9dR7JkRbkCal/f6s2umt9R5UBXTMNbqMsGgMDvPGU1h1kTL0JWCtzz0 +5jFKyFZr5ZLbaQtHRFfD+V8Z0pERkE9ie7hhaefeRGxWVqoJWvzE0xrYHCciBQGavEmfW2Qjycu M94SEBHaWn//SxY27Y90+URGGr9D7SOBDyfBX91jgawpAB6nQiMBHdrywZyGAeoawbwpsYA4oszM 4/q0pxp87BYcq8MGXvf7WmVWFR4DTGy5UPCrsj4SXHHFQzdsagZIwXnHIs86CfNpYkxoiz1CrpgI lb9CGwP7G8JslPwwIYT0j3noRsnveiOtBeqHpS4hdQbgMDDE6dSH3sK11NIhWTfzg7FrEru8jYKT c8PaG7xSgEmHJAI0lenItKnQ8ivcs6CggCmuNR4xUbntGuw/6OYwqZ6TNXnEsy/xKvM2zsWtUWYV 6FnBly67WH10xk+QGtceowsiKnR/gJMIfvlPAtXGmXc2RwD7qMsQDwjCYXribA37dGmjHdOATpL5 5ftnqM2qn0hiuVxYYAJLytGGEiOCOGy87SaxInrQfoimHQfBDKBj5X3cQIBQHFSePrejZUXnyquB EOHpBiI7JT2i2dRe/oau9zpfde/CEyWalrcoYsUZ/2S/7RXIUsLa2U1iEd3bVIDwo4MaJmTDfZQB leLnPB3RasGQ5Za5Tf4ckGW57Uw5Z3c52J/2ofMqvFVpfBywkvCBBzqey+S3aU2orBAiIYeLFqQ5 aSs0dXskjM0gfo9XLM5fwwhMFzhB/4M8RURZWWsK+7jkcfd+M4ga996wK4Tj4lC647zB+278FfV9 fbRhuIHYvwkdWSCVxYxlCQFZw+DOOgndwHUWMUMTEF5d+tyDJWLrLaFeuKbU/sFGXViiAB39xXT8 BsTSEZzi2Zcjl8qrRq8sPT8G5/+f1yadyHYq5NZfUmUcxrzgfjb1+Cs71Ek+YPTKP7xTACiA40te Lrn2tjtC0tt2OKgRUC5Fk7QWb/eAeL5hhfKrcLEpvZwfsopt3u9aHrM6jsVnkrPQmPBGZtIevKCM bo6RSWi4LBTQH048y2faUSsG1aeWxtUgvdSwOisakjLIrhmU2VMT2K5RX/AgS+HIjMp+tr/o5EGE tdvrJUu5FU2beHII+POOk3N2xqqUqz7fm9yn2l3PypNLFLjBb/ISbKKHEEbGHaEMNYlC9xi1MB9/ S6MqB3gG4AjBh9G5s6N1MTPrKZ59jkYOVIuxW4jf1nBh+jYQV/e9DDKN7SleUbavQL7vvPgh5ffm d9rhcCaIm0AWHmIa9TZB6KqGGIbLRFUdM6qJJzIC5WWPC4Fx1a8Zdpuqp0Z0u5Pflzi5JjQH1G0X 0+xGECRi8qNl4m4y6pv1r2qBbH0bl4eWOUobxDRDd7s+LkG8pBcuKS9KrSyAhSlbfWjCQpv04AJJ w7Vy032edC/FqXcxchdUEeuivbhI5+EylatTVKc/+NkiUR/6lfqkbCE0v39N9s1tbq7e5Yep7Ock Ry444sNwbTL1mRirE7k9YC+QBboxX1VSOceijfYb6g1PHSWQ1fP01Ae2fBrNLrgYdOBQRFBXDAwp x2ZvPTc1Ob8Mf4Eutekn49+nPmbUP2CMLwj9A/m3pp1BNNf7UM6kF6lA0ycMhEjGSeiSRs93N4lM oGoeA4G5kwhnt363kGDJHc2fWyvLuHUFqtg+c0ojgLWLkA3ztEqyvACarRcH5jgJ0KzBqh9Xj1rb 0wp1itS7C5DgKp5JFrYnm34rfSqcbyidY4aovvGR7PKii0IvuH4q+0p1+5qpSiVbJ9iP6REkIt45 QRMQF6tJYExkqkYe0WhffmUjvgwZw/Ul9gphHMZuPgjpWlf/juS4CkRSz3ZGZLXq8e2Kn1L+KFty 4zaYkzZkDadKZFpbEwpvzTxuhEfTf4nbesWUdX67jqj8M8hu8OljF7ka3S9mydoDhRplP7wUSkAg RSk5pbeYDGdgfiJcoXCZ+vPNbv2AMs014rKcZJCZCSSE1eqQYR64a5zVNoshqLjeCjQcClIcDkqU YCE3Lz+MPZ4d/ixfXItAd3smk/mT/6vVMoL+HINM/RQKPjwAo8ItBUBs4UutXIp0me6ZiK8cVZvA 7orZrJW0iMy8lFRRLaEWcandHGU1eg7I0oHAw3ejdo5yymt1g6kwTBWWkICBwlHjNfI9ciKn2T7m rkyh6oyMEAinUeDJOftm+xLxB5glsFzJRlXwxAVZ2e7zmbeC/BWDmJ4QubCIaEvPgJ48QTrRttJW 6aFOu0lEjreLiBOEAKHc3aHwQEDcsd+xVscCvzGY/VlRjOSVSwR0EdppOoixxPmAAQrPgC4uYeY6 1KuI3tyl6fRFgQTA590/ek8O1gch4+wnnCIrZQuXvotucSbBmDOPV1AZiZrz+bRMz1Rgz/uU4WT5 kOsuOVco1gkbkR30kQ0WxV1HTOQKzi8FjcrVLEnTKum2XRnGGX6jd7jk6F6vfGQ0MQ9T21Zhiug4 Sr9vclwXnNtsKEjx57fs+GCsHXP6aba8za66CuqZ9FZ1tZ8QepSPOD9APC0V43svwRffLdfmN978 HcPyQLeDzPfjUn8wkLc9XjstzAllnAwcIDKAt5Py76DY2Ey3Dy/7/15/h+LFS7buX8wgggIpciBI kurf8o4fVra73NKJYrsk3DIIh3aSOR+LXzPKgAcUp99m64SAifiOCWx+KLaBUh4iYp1iY7GREPN7 f6a5k/QoS4DQyIP19yFq52LQukYR5ncSvoqPjWLQ0WKovlXrNj3cyNw5cR1P4rNdhq05OTq0sauh zAjgEi7quE+o+JowgUDP4D+TqUVCxCS0sWHAVi+tHwjwAcUbME4WigWwbTGv2IUGpe3OoKIzFF6K sIAFQlyYhK3dCNm/KuLdfHikNkHpKiKb/LcYMGcYy4NAARHVal+xDv8Oyfb6IvYx KE4= `protect end_protected
gpl-3.0
d6fc9712edaef424923d491c4faaa5de
0.920931
1.92746
false
false
false
false
fpgaminer/Open-Source-FPGA-Bitcoin-Miner
projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/builtin/builtin_extdepth.vhd
9
51,718
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block GY/t54pHGVJ065DU6iyeP0IGhX3m3zgWR3CHMNUJGCD8ByTmZvAIAWCgc3oJ0QrzBZ5Oh+5CZ5DF TavIqWUsKQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block oxyI+UFqXETEgjfkUdrR6/oq4uPQfsOtJbfS5SPPQNd2HZ0rul1ERMXIvFjM6/z8KjTrvr3KGyRB LpW6EwTNQMFPVo8kx8UxvMR1sW1KrbTMRH0BLrWOt92G6NHnQl1+SxaUQxsghXjyG/JAbre2bfiU iqvu762b9cqH56dPi3M= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block FLrh4aSFXN7QmByMlZtYyJztkY6d3rFbJ7PWkRhPtSx/XWI+K1WC4TL2ijRtDHMOJiBw+RVp3lwk 1FfMk+JyqIU8zEP2LZsHmL6ZUy7o+6TOArkJ/Mh3F5A2zMIMwJYAuTEvYxyAjTRqkw4ObdOnvRQT UvXsM4qBe9cVD/zQ3SkI/PJ0yTnLmsLFGvDSH+L4PSmrSa9zJ5LtQExl8E/rQ010uAmpJQcBs5qe TY8yxFd/lwUahRY2UPauIpqLckzivoWpJVY6uLt+ACqFtHlMvqoXGsad3ZCEAz5UCJSDA8NmfAHT CXlJlfDWM0l+ghoNeJrtNy4N9vMmvI0uoogmsg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block fDAVqwPG7hqeUdyqAzhIz7YzCsLwyuym+aPVKFFS8Fps3vIEo6sdV5RHsEDbUPrCQ6jdM7ruwthe JPU+gEyiKt8dyDOUZ8mBPexYc5BP7WNiFVOGO7gUvhoWvOvbvVhUBEGEq9Ol1XBQfyFYv7jpWB1v WM5ZVOF//asIUYkG7sA= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dCrzdcyD1JsETNea9bIE5OyXc5ioTGEEQFvKhCNet1qh5fr3v1lX85EA3qOV5TiKgrVyNPCcjluM Cd8heRQfm0MR0CCgQ6oGmxOK2xtYfxc6nZliS0HYH2KHNCYaIYS6326fkfEJav/eIrgRdCyzXiHf FY4TuBJNCocKb7Vn/YG80tZSNBcfs7SO2bbpL1C08QiWcEuRHGXu9LackllgnoEE78kWn3d1AMKz wPYWXhNuUf1z+jLVvJGODTGXy582Vy8rIQwrSy0NgKwetARBqJE6e8KDQN7VzLUWe8DDyI3saLdm Qk47B0jqPFqKb1Zi2VPwIeE7qFcy3tKHnT1pSA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 36544) `protect data_block iyjRAbVDp9PfjiVl603SQ2Hh88Ot62hvNVm0U9ao6PgzeELr4XeG9zZoB+KVjvP9cqZ6iFVUJ6YE Ep+18HKBlFTwIkmD9hW7ptIWxPCMH/LEY+p+KUbQQutd176d7TuxSaip3ZDYxVPXLa4Y5qrowUWY KRiBCGxavQzsd3v1eI5E+7PKaRBp2puSkQ7R9lr8hKkC679gfUQprUG8TRu7cQx+M+mp1y0fecYP 7gGceoSz0O3LJoPyzT/Sb5a4hLUjrr4UdvA5w6BYJOOq5DGpMxSp8JWM3beHrOXqt2oSgdhbQ+rj XqLTBTc/zMPXSzTXLYGll6LrIEWQKygLGALYY9lQLNZWlTWYH/uAnrx8L1N9xAWa4YTRsf+OGeNB 6x2t7HZ+gm/r4cNC9EvcbCntH/L3szowyDO6vaA2ZXcbsJ3D2uEVE31kQ1eNPjDUFF/7pLCpXF+i Yfp+CpFNUrqt0fxX3nK7ThOSwgVti8ZYfmPRyN19ImxLLxVYaN9IMj2PgZ+Pv4EsxDHghdz/CwM9 c69Vg385ubhapZzfUBeSynFyo5i54VEZuIqfmTqJPo6xndKDb4j1w9YHBUgZ9aqcnCa8ZfMq1IfG 8JfKz9bJhmhVCgsrZIwhoxbY3WqxLAuK8TLYdO66tHKU5ByaxK/XS4F3IWaTa3dLGsgwzj6bVZeQ RzCXcq0u2IdI5P0v2Hl4TAbt0yl1ZTKkLbJpXtev4sEr016T0OeoLp30ovxUpAGgZVLbCpCaRqmY VXC9DEnWJSaCrAsliy6qw78PXdeF3ZCPuqF/mg1CsjUd9/RZY4mmmH7lf78DtP04MUNqIrhS5PrJ 8/N9IPNpQ2vbo92nL4ULugJhb6EpGkTT4IPfkLclxm2CrE6sT8ECXsih7ZwB0o70Su8lLBuhntUv bbq7HZvkBuGHK4iMtYUchLA9NwfUx4s+qyI3kUzsttsY45aHbD/F4jzx6o8T0RzCrF3R+94RrEaI sMqFlAlFCRdYAF6AaxJG84bQnNunFAMdpDrI7CCnonc65NTxxzUsDTk3/7yvkq1ULZFgSMOT6d/E E5XxU8jGW73P4WjdChfuq9lr8nzj9hU+ETZ1IHSfUwy18lNa9uqJZhHO7PEKrIyPDF34e0HbMQ/9 WamWT4jmY+bvoXogdIWGPd0WoBcRxnfVRjSonliDjECj1/KaBkk/l4SuWaB1ZnlvMvShD4k8hW8N x5nwtIVDiUelVc623hI7bfvWZEeHN6q1p0C3r7sRMkmQT2j9+kz0wC7bxsRjeHobmweX/yKvdLto LT4DA4siwt0y2x4H1I3X6VLfaaKTpsC+hIW+0qM+6jcQ5MkbOj6tPg2vY2m170gtzkk2DYpUV3ow ZzJ5vrwshKC8rCtxPeY7KkbF1K3jSIAFtfjmWvVhomRQ6OtxDDkCS4yRn6xDp93nDEgWUurNxCEk IUF0YG4NfoONM+IHoAlNNA4LJjAJy+wjrXqeogCYZJx+BlhUX2JLij+SbhGXP/1uEBR0wTFzhXzS LvwlJvwkMt+cIx3A2c3Xnsmc2UlTap7IIFN2eyg9nyTcsU+fTCz75yuPUURKXQ52GHyncJitPxNa bC70Nee/F2pFEB7nGO76ENe3oEvCV83456IiJuTERD1lxcTJ87vI4vxK+3B9RME5zspVnMm83Ciz C9/ZSggupO2kRkW4RjxUgbobqp67A1YAMg6L9DcGuCp9ZuvkbVJoPhL15dbhgyHAoCnU7Vt3taLo 5i3NZ2Xw0/f4yJWszf44tYcfVAjYop2w7C8Es0Hrf2WKwKQX2MSIQHZUUpFbvRHMDHSANeJBcbVu z2sc+96hJsft5lRbI3nL+dcxD20DGFfZaBwOALcqSGQUHWgUSzWFT2vVi0nJSU2FRq6EZSdEoFzG yM1mEe+jVGxffxLPzAq+3R+f9wuQZcDKH4jqxOxOhC0TuxkmmAoL5e38hV7He5N4sZwRwpepDM/a wj4+B1V6dyyWSuRXvAqZ3sELkDAXIkXXbm2/wwSPg/nXe0XYcWhvFEXKhAdomAvkUwJD1bYnUKaK rRiwemTwzAVGJ4YfdFte+ieJ6DRMbJ+vmyPJGfxwhIuETmozIRjpsMZKw3CBmcRMaT3HeL3N3PNf hlO8J2hlvLRITER1X8KUnBbIL7uV4PeLNnfLCHS94RBCJs3Xlafqd0zycqBRgQcBuijRW4+5imAa jNP8Bjka9RchQQR4ubuef3trPdX2vjkNDocouOhmNoDD3X9HfMna7PjVVIZO2/C8+PFerSavhqzJ TVeQ0QDaTz9d0x90nf2AtxWoA9ey5pNv6j9BADojbsxjM+V9HoHIJ/YT1TGc023v3uxm48dyg29P v6WI7WmRP9KFgh+p3W8oErPJ6mRVCjB3rV4OItaNPH4SlzmBpl7QcFtAf0h9Db/yXGQZ4JqQ9YQS t4k/l33CAhVRtTm4YvRVUkqNierDqQvA+bng31gS4FGZrG/042pQ3GqqxIBZkdB7wg2Tvinr8+bB ePbrbqx1DsLOGXm5hyOLeDOSROmHXbbTMFFDlbFXM8eWhvSJm1i8Z1gIFH4BC+iOSnr4DiJa+ORO /Y1JVVPDpiYL1jIA5Ar2l8RxJmQigXLv7oThDmFE8cbEYFspml2XxcMHMsXcajud3K3TYNEcKYJ1 ofA1X2G8y6nXZuRKVcBFE10NVJxpN+nw3K00slkZss7NQaOUAq/3CPpQGOWWxzO5Y7Tr1EMUYtB2 gkcD43oChpBj+1nojBwFnqBx+FOpp7ilQYLaAp9zEzw14cktz/Em22UyPgWY2RXpVYRvZeIrvAPe 41Ei7/P4bzE26N6W699JTYZXfGPJzxt/U5/vpoAYxS+OkzlBCxH09MVNOJmZZVBKfU9j/ypLudpx XRXXPl9WebZsYdBg4lhDCSVuxelrYNBwC3k0TfVcwWubQZypoWk/flmd0OM+YnRXrUIEx/fDRayk 4NbPvGZgWoCC5wfT6Z/AOIQV2dLbZvuCI03vXR6Qt9gBerx/8kyw2F0egjxhpNXid2xpyJsvCIFJ 9ECBrYCkPava5BIr1KHHr8BnF/RwtX7kd+FUucgBRPDrMQ0cGzjKMb/OnK+RfrfZBDvz26cJmqVp sZNM1HtYXfSk2GNZuMjoR/2I2MG/RN40CavIQCBQWY90vz7xRjlF0csNf4qXkryrcMS1D7oM4bXI Z8TDM406jb89Xb1woTzQlqo+O96XRZNj4WBONeN6l9JlirJyew1bWHNWHgE6C58RNuY+5n7mZFGF iBb6uYqbN4KmQE9WWCRAvs1BjsWV/GRs/h4Qt6iT97WN2Rvgr9RwZjwmjmKCj/Hm8F71XnVWI2MC DIHtNAm+wF6j0kdgJDpWVgjlLP06vs/d12IdZRUfcOtHEW9ydxIqu4HzwYXncSKZLmNRDdumOcrO FxYgtMi51zBr4luFqt9Ee9Ov9e5hrQO3S8RbPJOuHyJnbzCVLXnfusnUe4+xWjMuquU//diryW0v rVnNuhIZ6GQ9/RfgvcLktqvC4Wfvkdmi9iQ02xcSZHydROhnu5geLJWVCxCUycYF67kzuPHCMe/6 21WbzazLzgXDMf7NJbKgCX2r4PD0htYDSrGYBk9mx0h4YGWij+PWU8KxV9BjBbT8Pa3LRls443oL /QbkUlDflW3qk82ofW2edwcNCVKlWb4H8JRGNXhA4UkG1uZA6grX8H9A0pruHfUysCDFkqhKZAro 8ShHIKfCA9M2DdBDJlObbMsPh3OvMBBnahJpH3xbFmRjCYElGJXjnomU2JeHFgds3Mz2KDSQ5CFI Fh/h6Z1bk5AvWw/M/pwd6tg0OjHe9H2+gxNPw86GbvEo2VXynCticdFFR28UGltM24t8gUrgmFnU C0acVOjpnaH8H+F0AUJL64ZJWmMGP0lrBe4M+0LnRzSi0/BFZ88yF0iGoFlP5aDR9ExuRyN2S+bp NfcQTjd+2CNP28kmjtiX0lJRHDjjx7hmhTG1T0HZuXrL8ylXI3PRDQZQWPdI4G6Dar4CQIA+aXkP IaNMzQXZAV/VchP94B42CLdxXF42FbZ062qQZYBurY54CB0x56rKmRmzjtxhgBG/mMYcaHo/E/lg uuUJIIfUojUvEn4WPTuATh2scVuNG9tmgn+SldVA0sWUP60njhhBLdhLcDB5Bmro0w/OfRAtV6BK DsmTEA1LmLDLjpag+aCmeGR1rvvvdaCDD89WOwhom2rsS6QvCfpPhaPllcM/x6xfTCUqFRw5e9nE ebyFznFPRaYKYbnM3/mKB5T2mUJviibK9K20ha3JM290VIxw/j+m2ctJz15IPxRTT8dhAP8KFHPB 7Ah0JNGua26W4Sgbvwo/ArLJtIstQaV2U1u44L8TYGfZza8GeZVRoCUiVU0VkuAmV2nVbUq/fGoC j5ENdJPj2J5nxzZMHD4EeJxF1j5P17wtdH6yCu5DGP6N3mI+EK9EutXviVobmtOCbH1UbU0pHRJZ yUFurEfPoh4GN0qfNjKwjbNut1RPgD57zcrZMgPILadmCxXTOg8yEnwXjFNKveVx38n+o0wQnizt rX4OZvPaaw1gLBAccwh77BeTbr1jy99Wk2gdCTrCOw0tq3Dmcqbc/8B3+l89mGH7Du14qji6UgkK US5gfDsrcNS+GDm82pxQHZya2VOSGUyZzQURxUVT4xw1soPDFUL9vDKi7s6iPdTe1a1spKVJ9V4E Y4XgBCivnMwDbI5+wBEIVG20aSserT4Xqy6EYleUVg97X0cVTmSl3BSRrnvtTDea4qVTGGpmSToq nE29j+Sjl0HZcYWXcyb9nOpO3TmYFDXtP7BDsL88SkBdTN+inCfbJkFwgCQC4vTp7J25maeeM6Xi 9vXd53EFybrpbQlDMiw/xnVp0hI9VxiS0LX7HI3yLQcyd5jhHsG1RK2ejhkfVpnCG6DW4URTAZYO cYERXqwWgAZZryzyrYLbuhamCWeY6LiX59Dqy22SjIus1nKvPd+/TrT8ogRBscu28wTGOCxx8c82 rtiWbDW2tdtXTLvd92ilSOOkPkLW4KceDo0WEqbnc+3GGgm3Xm5weRGQW14c8ftonui3Fj+8H08i 9NUVSxbG/sAIH4u+lT3SZLoGOR9uN69QKkWN2G0LMG09SqnZoNVtmuDFE8hwmBnzV+pEcVyV3C+s gRdPIf3EcSg1K1a34SYLYK0xbPS+j9Bi3KmcdYjYl6MLhNEc+d5zKVpLjgv9/sGalHdw8cBpq0mk 8NUXcokDfCAGlW5J9aoFBq6Jx35OTPURfArr+eAFiui0qb96nUCJgRwLenwdnFY78648ik8tIssb WV9MQFB0oat435hRecV14jcFJ1IpmOVEcAmsTVLfJFh6dEuCah66FxFotPJHojxKgU+1TI5iRqDj DmWrtOAdLLEYPAH4y9hphHNpC0ht2a6URYf5zMc0BQVR5WNgVVu9d1CGCQLL3AOrRe/WchozElTX RwWP86jcJ+u4RNl45m6LlQTLc5dXcb+is6VAPZDnKpuYftCcuSZoG6si3r9S4MmT/GI64fWKBbKM IfOCMRIVMUM6eWdy86c5gfVfz2K8bjChBqlzKvgvSYMNA1UZt6ALLpXowuQU2Do+6lEWf6C1opHv WEhyB7wKtDlywvc+wiYZwoDZQRusOGRFux+SnE1lvKiaXL2XvCArlHgt5/uSjsgIyteivtiVgUwU P9kAAGwuK5qStL3QNvf/AfI9KKx7/wM3LPQ10q4YKq0m3tfQzhoyMW0tvnaL/7CgV/aFAFGa7m/y aPLdhCJ8ZD9qd17k2SYuDJreXmB8KZJJNcvoZ1ID7z1adMAPxY2Ftrtg50Wj59SVc/v8zD1rEl0V t3Wwyzs7+PvEVN7KusbvvzJhnynRKXGWSQZ5b1BrryD5oAlaCTIZ8CkI4JALuNmjgag7CZcWfM/+ KbpT/3/RYOXk+XJetF8hvFPPfe9ycaMl50zaNXD3cz1b4Z7FXpsOhhNduyXMgwjTcA0b9CKRIfYS sQddV+/eZJUKMKXUqluRhrkzDhXTlM9BAjFm55Scg6xNsBtOypq4y4neZ2RdouvqRYSNA8Z5HFrJ a12Dbs7S24+RC/tvgumSfLNGYTHCThXx/QVHKIOYFe+C3NBpODZCmBYc9cgjKGqr+tJqfS2KdOpQ Qld9poq0KyP/onTKafRKh2PLaVYqWusIq8UtKkIUh4Fgr4oufS50fdGVWahZrdglFotWf7jPv0iB MWzz3B0OnwV1wdDuABdHL2yBYWcB4sssfgVEJlBN0kh2fZdF0rmnsRAh2I/h5gWGFVXlSbkNjfds LInpwFFiTreMtkt/dTbAfZJf8HUdGgH6fPaFgWgT910Rxzv36ANzD5sxenPdOgGXdtxyCyfb8X/5 SqYZ8l+reDWbgZxvhKEPNlqUGcEcu28E+ooxoLZxFKyxPS19sSEeuBIiXcsvyTM10IFK04d3lBGJ SCI69qGzVcdoWVSQ8P3dYP4fm9Ge2p7dyAaWPiLKYbzVK29FuekZ5v548VHi+fmZ1eSP/EStI1uR H/Fs72xUymr5pHHWnO52N/lsjmW2cJart5U9kowX1uHG78oQ4TKEqzYl2316HW6/hsRfaWu7/NaJ EIAlhEJjPFOzALKPTnm9t0lyi32fOTp557Zyd6/256nXYN7XlZ7C+M8zt0RxWQr2CW4RbOHwZov2 nfXdbp0YzQ0xCVuQZywaxOx1vAHTKZDXLfFCY9O4ccxYy9B6CNEmQnjCVahW+u/0m4YV+ANisJDx mgvXeUZZfVEmfYnfY+LbaxX2DL3ka9lUyQlqsmtfg8yOKcEfH6m+M8dSBVIx2zlnRCCxFZEwUBT2 pK57sWmhhp81ONy8pX9Sh+yDJEJbRE9qOeEDlkwW1ymdVFrLFJ9eY7z9ayYr7w+9JqQM49tQ/Co2 QabSZsj2+AMTAGznTQOWBZvd4E1QvDQpHwjDOEaxhAm+ypbt91xmevdWAriiWq4lAgFCxwZYoWAo Rxw0y7KSGzqd+oqjV2JLUWjWv6OSPtGpbFZlYNAjyWOqxtgkqC102Dd8bxfIp8iO9Xh5gGLV9KFj m5NNkg08WtOz8aMhrmYym424zetXpYJNuRCIZBjmgknrr4sjPqLPiuB1j4BDMiE2vtButyUa2buS jC9UUBndCWj9VlKis1tMbiwVA2qrxwDXnyD1cg91OUm5tgfx4mTr7uXlGF7XqAo31SnayhKP7n49 nfMU5SgdJz4VdZa3UvOfu+rYIXIy/ubqvOTR1JMnCBVoOeOaH/ecwrGXm3FXA3gwyP/RVt6bJnc5 j55O3N2L4hH0UgIlEDueq9F7f55R2wHpHiLoWcNZg564abXGtQxu3w4TLJK9PwZn8sjZemE/GIpp qYJbrrgJaJw/MxWUhSnhV5SsiXTlUAtRprqF7T0YT6H7b1NQlzgUQJld+LwDXBRrI+pkh136DYcr NrZESUD+rDpdrPZO36PuONDeCsYo9bbnEP2s4Ig1XA1MnMhjQ3+kcSXHjRHzEx44fD3bxoQPubFk ZDYVh3pmZfv85S74Mb3mDp+xZ9dI921tjpA2FpRRfaEaYidbbVI+xtnA4I77gh5llUfCpIrhhP5c jjp/2Cvr7OUQ2L3Rep5eIOWIZAM3bRoc1cjJtjQXkgV79RpulxgAkkxR2zcKxLPwjsYz+dctz2xp DSGLwRq48Rltd5m3O2idYceb2VGy5rGSYAcXeeWAwCSLpugeN6vj4CxxrkJ0btc0K2B6e4NU0tmY r/LWlzK0hiUYYr0RnpdQfE9SNHXFsms+olN6Idt+yFXOLHt4MUyWJOzPvCGNQuViY2RdXB3Er9f6 LZKwpRDJHEQB4RiU7hBeC9RwHV592y7t1wMDuVkMu1Qk9ewMCF6ICOGjOCjweWuLK8yIFRcyTbw1 j217PNK8mfBPRnkvH1QYgvYdCUQNLDxu2SsgcVl7hcG1VpkH3ibaRwUHeEGeEi7rURIpxiwUPVI7 hE8JdhXUx/1fqvKPyVXjM1ZFG0VL2x5mwzL1xfLZP4JGfKiMkJUbv9ssBlNRxWWhmpaY5JjbdIfP mIAHiOQG6R6bf/8wewlCU1cmHduBpUFfL2cshq1eF/DA+VcZOeGeM0Jyjh3bISNF60kLnKrRvjaq Tz1gTydJArEMrgidfpopc9AYm8WZgmKG9rHgTl0WqFfRd0tvjvnryMl4PFpj/jwS0CIFrY9vWjGv XUSQM1VIkWifx4e0PpZxw+X67180VXtx2/7It++AhZfy2gGgkdxvSZ5Mwr8imrCyNbAsoChjhF2d AKWpREVL3l7a+KFhBp3ur6M9W2HKJLrCZ/0f/G/cLhfGN/JXkxeG13bxAvYdyXbOn5c0GB24Q92b NI5dF+X1WJhUdtCdP1PRuGTOLoky1+ldcFhWxA6na4M7WIXsO68xYSE+jN90ZNHwLXR2rBqEyUjm /beMnO1Tr0EUWsAbvuqfc/Mt+tT1JT2q7EUaTeU284WIB4Wlo8ByBJ1zABlP/4x5AFE/gNZpoYjZ qz8YGw7dOm6Ue0bKUqV6flo4fsBhunnHu7Z1Tmak4YidGKwjH1SKogn8SR+kwAPGVpGNdWoVaGvm +cieTWLe/scp3KaHEELe2sLXOgSfK5gSqkUdVDmIE6vXFzsZKPQzsxxHhI73Zz5h5M+QXRwd17do Yme6i1SZSi9OUktNKLurjvgCBGBh0swvcKf+nKj5DaZ/ThomBA1ERJHld039az4tSIfkabYwZcPk G/0Zmd2HRl80nMI6dkTGpi/vrosUqAqntwKPMYhzAMFYqBz1KelO2jjcGdwkHDeXJ+4McdBeG6in 0BgeSlg/ZEh908TQMBe6z7gS+Tczm+L/1xd+CeJhBr3bVhXDs+7JfVCYvDalZQcCi0aA7tY0xaRw ePjT3MOMlKSMJ9tA55TqV/1ViA2SXbonH6Zzy+gO10Frqbd3e4LqqlxU3HN3OxIakBCFumihH626 d+g0MEMv2Yo0TDHmxfPFWhdkh7ya5Pj+vBpKT7tYAb0VLwcWl6MbaIULgtnFDJIDZKu8HhQbK1Bc E64y73JMMqv06EvblsV8cyuV6iN/oFFtsdblBCPA2QluNrUNZIbQ3T0AltY5sI/VxDpvq/rQAtpb hDAYCCQnsbh59EUPp5hYyvaGf0GiNViaPe3fKnbOJIExoWKgRFxTRwZONTpTYxfOR2ZMm0gsrVuY 5L7zPHCZtweA9KhXKg9EJfK0QfnFIwANxvySrJvWRwkYqNmjJVwBAoJBFTNNJqGrIWLh3boc437Z POiV4Ztz+lEEYX7EyNDo1HXylQkMpGKwpToKuXGCHKezZEj/2BjjQVhg0Ku5is8AwLVnL/4fxaqW nXHmjcYz+pxiMZpEPaToLrQ57bFiJCFJ89EciihDln2YSCF0UWHaZt+f9Upx6uYo/+IBojrCWwBo n/l/hBphnsqcxrWsoUKS6M/3BLjYtR7koFaa3sQDIYHTPEOSI2lYgJqdWwd8qxkxZlbWoCGSbkSY owBDNddLHZIBTYnqzuOvzcp/ZPhBMoUL9zsnaENAY2Cp29EF8Qg0X72oeMpOzi/wb4cynlnUTWHn zUlPlMbnSAPsskZN7kW2RXd4dKb8tF9duR1sdKJcxcGiFi6NwOfl66s1SOjuEgfKzymmlfjMKfEB rYHucItEICmLVDv4J3fJV1WWimp3kg2Bgf6Gyser5HlrLbuCWOUKFKRy3AzMxQm0WiUcXvipkMQq YylrNAK3dMKTlvJn8843Vvu43FTg/dbwVXbmJzMtvm6liO602zA8rPLcNvWfCxuCJiK9vzs0uUiu xBRShdfkKZWIV01M6pwFrt2us2TQDj9tyN7UejTa7HjV8mpko5PiEZ7X+beYeHCEkCMUzlznvz14 dfCikkGTUhqH6DCZemLH3kgTM1IFiSWk7gmzxBt/zhXboE+Oagpg5JOnSG4XPecYpIPKfY7wkPoB 30zXn2Kgd7WFLbqYYaX3hy0yBLbeHBqttTeIVfftr14IncyvS3+UiOGfmknm9KQUrp7RVlj/BA3a ZaGVIuNIaP8loLM8jq2ONg2YgdRS7pArfKt15+0V+b6WBrw9uo0DjtFDKyuE89gtuAMV0Pan3XMc rR4XS1Mpk0ESNMJn+ibH58swCkv3752U7ttWB1HMQc7h4zgHR6mau2BUsUona55rT4pf8nU5odBA lOnAB7umgRsr19ngYBWT64m6vWHnEI1aUTguDlJBbcqwsonJidBLzJT7vv1rBJe2EgwK+KE02FN0 tYcyBaMKhRyqe2IwFU6EykWDl5l6+9dwcpWYBABWiDJgBiYM9G0lbhFZIZ/XBbrtCaMpViZRtKeJ ZHwS4T8TielG1fIDNsNrmU3uscAV8p22CUYMdQxqrOgh94p4uvchj3f25uwAW7KjbtT6jkAjtqFp 3BzzfO9i+us5RphHbuudsipovGNIeQlT6CmXiWNY5G4WM+C8BJdi2Hsgc+mjbdaxzRYq8K8XrvWM PD3bSgPdWhbCX9iL5cHLWgwFu+sp03G+F/JEtNNsgA3CJsoH3Ggrbk/MIMMq5T6UlipDFYfVSx2P oz3Lrvg3fn+hvtgyNHM+bF3FPuWO/su2XHLVYfLgfpGFjvyQ3nYfROXbHbLFIZdVDjfUJYtDpLaI Kkzs0pGVUqgg7m0uqRvSET1fQpuWJhc0aiQF6SzQ2tyPq36kvV3kq3b3qlGYIGGvV3/uoc7PUNQF pJfMk9JKQfjC1O7ObsN9ztOAhmLDcUhEtCIVMzl+521dabGUvh+Tg4+VmK41n/r1Uyum6YV5Zhs0 TxWkRrfqb+TKx8PTv3XQOcyWYnT56t3c9gi6ZNBM+gY4yy1I3R2EPeKJFBM1jBbh1kmkuZQcjarv ePOgGQjJwFwvaR/V4CA961Awj6YThqpH1/XJiL4qe01DR4bY6+XK6YrfTZ2vsnT8S+GYkS2Uk7Na Aj8zjwRdlZ045hRL4OAHCJm+iGcL13YQVvufBpCVA/fZqvHI0s1hrZrllRbHpXlu7AktWHSH22DF oiu+8ru+8pAyj2EwDaCGqHq02dT9YlCcNE84IwkNLeES2PbyrFgKNYlPrRaNh7BfU85gdRKhizPg XhR6pfg4MPgPcLvZxzpUmR8Qb1EiFRXHku6WUcdeGAfmEjsthMOQ2XHM5cuQV1UwE4jTCiv3MH7P UWIIS309evR54/mNRKfMzC/uKfJAnO1jwDkz+ySIy3FUtUujHJZawwYR/GM4mq8YCuq2xraJ9ioM +5r3MkFjAbxT2IwZDAXrxpmNOBjcWxt4v+2noDhNK0S3mxqDlxIqhohBucUeGXTUqGfhT+Cxx8Sq 34dcVk8y+ySkAXYP1X4ngMtoN457I5qQS8ZeesYvO9PZRbazewzmVf7Mw8AnbWNITQItqPrLNeFP 6ZMkr/0iEwyse9fnaJiH03LdqCj46nhbNIKbCZnn4o7hzdaxfYVcJp7HqkKq+rzQKDbejbFClubH gx8b9c9wqDHVhilNVbbkugI6ALJqBIB1hCJ8RAW2x23fpWvmqxhHwT5jaW/qUOlCM4Jq1IpPuKSt 4/6ilz9hcHWvv/TDJxbUny1a/94yJAyUHs3OuAFWdLTYMarmNSjflMEE9QNuk3HGa1ksQ+bPC8Qv AwUZI1roZwH+9RGIn23Y9nzdHDrLAuGDm9bcljN6r9tbVV75WdDm/ASLRtqQn8S2I4tFLprqsz+S xHlkgwExdXrrh5T1h6ckQV580V2mB2iKth7DPAJMOMS86mqMXLwz5qaT1/BWIoxQ2NMJ5sd49/9G IVQa8yzC38qvgLXZcBP3sqppOgWJgTqizUherxyjfeN8UO2QhPrGYB3yBbKPAN/HV6c3Z5NVDKcv no2xRqAgqCWL5dkmglacV1kNZIyPZDqQstJrRZBTA5X7hLL6MIoNP2LMQUK632y/vgkUMELaMa2E a+8ZtpXKnMBYoKHtt1l2xzxXAF+wTjsiDHlQcjlxykS0yfhFJRw13Iqf9dXt7RVFdH1n2sqatL+5 6hhXzzUaBkPr7HbLE+LPhO8BjCn0sZFdToftbnaD+YxJGuKdVwkHUuJ93FvOdtZ4NFSV2cY4RnE8 wpN4BwFPV9OqOiSgX2/gDHacPcjUQJrxD4ly4bxz5WiI3AdMs4/pFKKqTUcjn1dVSN7Buwxp1Zq3 gZPZMJE8XskaGdQaJ6niQMyOQt33KyXlrrX2PfLyP8uhc1OzKu6P2iTBeNdFIrrwA4o7/fer1cso HZ1JdUUt2nUKEjXSCMdWA0qHUGbS+NA6r2CmilNtu1MN8FqXq/xIqK1BhZ6eV/yPrldAtuB8fXVf ISLGwY0Mqstu68ELwLSPkscHYsRrGKNB5+RgjVoecXGNaX1KZ+GZ+SoBCfSwJsPa22ThuCCVeV5W G1Gh1oQOovh8t49j8PJWVafZFJvvLxUR/e7narinffG5l996A+E9x6hf9OkoOt+Qnnzs5oguh9MF rundWIdZJioL6jnEhtj6qC3fmQYxEblKPgiOQQk59eY5RrTX4R8+2Rn5G8DWT0MX6SJFECXMhrMZ uFIVeM2fnLP9MvaAbThDWDfsAt8F2lQK8rDGKP3IQ0y1DCh2t5r4Em9iyFU9s881fHVcMQoIjKNv T0Pam6tAwwBzO+zsG+VlA4WM8kma1Ewy257HrJbpCyWFJMJc5CXodkd+cYROt3wT1wPqRHF3Pdsz TnN33udp2i8HM7SClnAo91YmqvFofT3ZtvxzidOdauZczwnOr+pQewZPpBN4Rd7E8f1A/I5Sh2AF f4VX927O8c6HMO6URvCOqCH6Nv2mzDCPVV5MT8TdZXli3vRnyE1/+VjsubSgXgY7dVVv4LNqWOtX Q9qwuuiTi+IFH3PCPAqoCh9ZSCg3RtCy0oRmG9ZxwIMmqeQ+s4zCym0Ko2AIierPOo9xk6vnhBD1 gYtCkUlWGKWMXFILb2A5Tkgcd7aDIdJdONe9+qvPavQ4ARZxYHEWWkpAecA3DqrNhYn20tKuAk6r oPJn76QWQgBtATIZ1Xpjv6UrrRzNLrzD1nBreScEP7xGvPWPt7iHyqvsy4ZJRlQzpPM2C9H5ocJ/ SWsVY//7/HpWYH/SovKJ9J6jvaxykjg36iLDvnb7uFkjpJWZ4CAtc1//PPQzfbbe7XTKOv2iGzfk 0b2l9xEzI7L+yNBvyAwLgDvTo3GS2sknYtsHZkmq0RxG76Lg5DW7uWvI9ePzNsg2kqqWOj6ifYtZ yW7FUE/nk7tAaxSdscEkic2tI6fbjyBR8tSyBhsszNaV6nN4GGZS8XgePPgVFOw14SGFJg0Njmq4 KTSzxihfjKjzE+1wRZZYbeTPV0h6cuQZ8FjQo7FNPhyyz6eGn1/80LTJfigAopv5IFga0ulpwMGf T0XS3ragkDJv8r+ewPr/F0DWHh0EPeZuVMqKimIH1R9ZgJ1bjEhbgln9N6kcHSPPN0yoYKSvIBX6 9yvolUiBYzbUgY6AVL5lvuL/E3f8qZl3+rY+meZ6m7YGr5tVDC/buDkNNHCJckbzcznRzE5QvUlO 6RdGLtwAXbnK/hz3EBzkjONDc+mAlTcR8GtFQjXvRmZzgYigxS7QqFxUoU5xM+xMkm6ebN80YtNp W5gr9Bqntd++Au3nhpww9ua3yPCK2sbIrysHqfpvNlaRaMmZ/u2+G3wO8tFWoOafm9D6rYkDEiKA QcHs4GyCL8dXRMINFXDY1DQoOnopbF/ujnnXLi1/YtrQm30INfnx4G59dRcula44B9hDed+fs9RQ 7Iox+fHYm0Qd9tLPTEv7jJiCnUogF00dKvfJhBRauGonDsuKI+oWDFbgHkhSM15AJGOYtIAzTUNb JTwzgyOiYwCMFfZEQMIyBxEkymmOGFJsMNCiDzvN6zKOPEnZrqxxMaWkn9fDZxQxuIevGtzqr3xF Tj1+CfMuH8w+pad9sLDwf07OEWwYAmvex8uGdID4ymbWtP+ueqaIHLa7tGMEDM2la5fDqnRPUokq Wd/QZA5XXo6qjRAYXGuYLH1Y+4f8N6YeDEyDUe8EGfBh55hfC2SFASoorhq39xxvHx5S1ziYOngr 2oEvlj/AUUG0xX4NZiKL16I5KoiHXZNS5QrwvCadTZSmuj8DXy+R08ht4DlDk5V8Fsmr2nAW1wa7 nHCjEp15FO3IhGhudVvojQ6V7euphI5HV4CfVSsFIjgvTxIVAyllMhHvpczmObWgb/jIwjxzIp8Y dDYjTLpz47Mgg98JH/PsRjzRoc+We0GcngW6K0TxBpQTStALtVKEKpGXv72TkM/zNBKCoUhKba+u RgcXMJbmpC7ftJjG4jxkWeKA/G6INd8zeq0mqK6mBw82MqQFR1JBeVAqAbAeNINfBl2ZZMaitDa2 zqlSJFFXNeAJUMXwM9tU3tXE1NCnzKAR+xqfUWB4A3KgVObKMMDX/LrTjtOiRIrgeS/dW19v2aGB iGE6lZiIyn2Z7iCH9kmEQoSlgl53wBbGupDh7WmkyBa7YuA4VFqz/VPMwiWKlNqHkpTEAAkZxCtE n4yTQ7/iNe0gFBv3EZU4DoBg1SXMNywfbnB4tme+jV7C8/BVgbTvYDkSLpJOXLx39aZSf7dexuKv mpQ4F28pgf3fQfSHSxS1jEQBAlhxQ+XoGSy8ahYh4pVB1I0VQ5dNzVOCvRbRzCNbUirPTnQgKYTU NUoRk+dTshW+fBbvrwJDZHUoSMvEq77XWXKwLoEtTr5tQ3fIVP4TWq3XNPW+8HbWk7O2jdFGNoze CGz76Mj+GQ09qDR/xMfx8oeczIZctlMKpc6+rnjhRUGG25kPQA6Fk5ZYhnaYTaawmS/IDC+WRjwI i1nBfrMSeyqVXJoHBBsVw6nFq/RjL4C5S+EIonW6dTSaHKCxNKW9HiOtakAUKA1x9GdlyFIv/Okz ysetITdWZp8rBkHOhzAjWnCPd3anIrcN9p5//w5VD0Q2nCkK15Um31ES0l31yPeiNg1tQAKhi2C8 H1lMI4qbMNVM+h17dF24HL/CkaAS+9CqQ5CWc7ymr7AQ2xLnHEvHfLXCGNpVkLvBU2WHVHTkAWcj luAqVgm/jKzjL4LgDGyhdTXKN8F/9kMASCt1WwCKOXDt+kzmh6xODJ0eyXWjC6GKN6gd9uA1K+6e xDK8onVy4mXLoq4QXSr0sWLitSgIUxxzPXxG2YOafSlan9ylbhTNklPJcwmGXi9KQjDhmIf6DGw5 VnwyzWF3InYNhubzPqNn5LaO1UFS+BjvdeYveL2EsjPoUMeXd+vTKSkcBm9zXjSegg8YiAdQSDWC 4JCs/7IbaudMvhtBVHmCGpCFDrIIXRmUEKiv2s2YpNeDlals1A5TjspuAfOYpqbpXMFwiuJ3Vm34 bC1LH3DTHJ27j3LatBK5/tjk9PYmF8t6Z6T4fqLBl9xW/06WzrM8UEoMqZomwJ07WbiiPcJr5tJI GnuhLu1Sy7Bs58gfXVYJSxzddWpDm6fxWpp+OKqSxv8rzvJK0ajPMlyZe4ogX75NNns2CcBoYVNk P3d/iG7/8M7CuwfVqD2eBqiHE0mox6jMqL2Hymc00MK+9a2uK4swVEXlBB3A9shFb+ke4TWgfBvG 1NYlcAQEs28kQVPvg6k+P6xW7mqdOfY1h4g6bfPmx/vZQVEon5eT5sybi94RxKNVzG5QrZ209rsc RsqmxYiw92SSRzRV2AA7ssKRniCW9IZhU1htnRgGkxGYSBB4Dt/REOnR+IhME1IvLkNqQLBNwfjf a8P2o8VsfqYGW7Yvb1Y/b1INGSUkXOGJB3+9KThLnx7VCx3rmytqh6BlXE8zMIY1b04phueCS+a7 XGYd8QetXaYbyCaw/QOZSv1W50YVIDFG0rKBlqfppvfx59EL53Tue0hR49GRqad9JZ5R22G+C6Of 4TuE/yyV+xcZ6BFRxswlwn2C0/nZhJkSFfuv+uF8zhaFCbiu+SSfGGxLwJIzQ0Qw2x0CtBsIdXXf l5nizbMryar6ZIL/4CKUbqP6Zgo3kH2fpF/xazG1ZV6diNBUJjhnY3mjX13QBlvMYfSwXsVu/56z tbhdS/dh2AmtEn8GJnz0PoVhDuKG5P2pZLeRCPU02rj8AzOT+qeb0dMQ0bWRj2uTAjmQftQkY+/W XyJ4p+Z1t2YWb7/McGB+JgmTS8CP26wEMQXT82vGDVdvt1fBz46ajsa5wEl9CMDRrQv3LF9jcNZe Ts/R7xd+UfxpPW8iO87qdQvlpKmolP6WXWfievF9RiL5m1UucH2zvvcl6PZqQYguPrCsoKc3Oqdj rOefKM1LcdrAb/M4L+o2jJ96iEDvajtj7d1zO+oZGIN9HC05DBqEfNytZbddokeklOrdANQyACrz zpRHm1AJEH+ABjyL+5Qd0cnT+L7nhcnvfGqcUznOme4Y92jfx0GQOjxRKINcuabPlvzxYpWLMt3M rvqqdZk/OH5OhT26uVpgm0N1RKCsFgMwCiWSQb97/BeQnWIY9VWq2djq+VAhmZsCQeMJ6ilrsJ7z gdavU60dyAK6UE6Ln75wXFNI9R9ny1PMyPEVkra6I5BfNaUYKQxqIijvxOBWdSnG5zouC+rmAcN1 ONrkQiAwUdrql9tjlRduNcHLASzuVQrvN/X/+ZZOpi77943a9Xta7wTu99ptT+zLahix2UUO17GB CCKr0zzD3RaDP2phhozT6Mc3JCga5vjo2UoTOmLp+CrZKmU/m/38FIQu+jXH54qCjdQZM0bZsRzb fnTrd/ddQ0kA/y7vv89G5YenD3nTcylZF8m6q/ApJImCK4Y+3UE7+Bx6MhDDzXLzB2fYWUN6Bdem 850j4Ogib6k1gyW0qbd0nWZHCBscW5t7Re0AIbyHyFAlnOKPbyorCxJsJoCiN7kPVzcjoFbC1CwG aT9Vp1TZdZ8/PbhUKOwbayb04P9nO3AFyGKj221f8c0aH2c37SSwWNVWMu6e4ECTBhsgFeW+u58h +dQCElJDEwNGw7h/PsS3hU5V+U1noPejO/Jk2uWsfCat3+MhYxmTMFKDmvmK3pMr4ZJgB0pYSoCZ HPy8CqfVoHhQznJlU3votzw1Pp6LF6Q4xqlb+XhLvBRFdaSnc6WshzMpxB7XovfcEqNLNPm8o9C2 4CcxyGGkAk7lUQ/c/qfNgsHlSt21p0VP7zMH2l+vJmdKr5HtP7RT7CDmiSn4u6XBMerG8KD08By6 rN0o8pjPGTdpbTEYGFq49Y0fsREjo6txISVrU/lI7T1s2thR+oiePpGXPkaZMuRlWX+ohUgYBpWn cRn6r7x/bVgTrZiciBWPRoUvtTL+b7f5tNLxT909GFYwXft39NTIi1sF3CcZJOpI1/VDuQayp9mY f4YVKLXKaO7miPyLNBFG5lA6256CuFdLhHLYqo9T5SFGYbBJzIumvnb209oBD50/gjh9n9oL3VHH lkv4/ZI2jRr2Da+iruTsseWmL8jvZf81ZA8oX6fSR93YK52p3NaJAV3mhRNt0lJK0xT8PDZV6KzR z8s3NIzklT0VqFT18tKY1zGubKX82RH706HwqVIfdbGiHBd4xHlp1aXBOKEB3fMDuE8yGJikplQG P3FRE0y8NCyDZrvkZVbgAp1hInyJXR5RU1DiYcKNGCqC4yBCFACcOY70P4H09Vfwbe7R+1lS2hpq ZYWQlGBTWJ8E5eFXRfP3K6rr8jeVZdR3NVJNEEK3S+HNBzQI2hWjWMBd8yjliikwD9IoxQKgkpvY Lxl3St4wNVP98Nkx4lLK57hDIk15ZInru3Al2eh0sBqggwjzU2X5qSgY5V35ZdjzP9DFK1DwpxmV vOr5OZb8nHYizQ/cuCPs/h1GFnpj0qFV0T2bO9iuJ5u323sLD3tKOYrNN1YosCDso+xrn9ySfDb3 MDdyb/WGoy4+HWXjcWaA61HxTEsmM9jFsV4ygKnrfDINZJH4+wie103RR4oo8YYytUMTxLMxI8Vf /GNyYEprl68s7SSoZdGVTS/tBCwNElnjDe84FxeiYdGHePlxE1ik6/RDjgpaNF1ZX8IoF17QD6/Z 019Nx3dS7GNqt+03wL+SiFgM7zH9kx0waalyKOe4gQn3N84YnJW8cST6iocGJYuybmfAN3xbZfDo FAEzKiyJq9MN2xJMkBiuakqKdzC2X9epqsn+QXSOhqSNs2o3yqm/+U5k7Y4pw20IJ6hLBYM8KGlk 5USvX363wOsFcU2jsh3igitVHiwLRWhR56OUr6FOkBAQb0sZck9wA/Kco3ANPLmnOPCX85toYsbS lJvUDdQZCKbz7T+Dj6FZD9JSjZzzDyKsUMfKyPCZl1SgmeOWLYWWgdIat8zqTiNVQ7PZ5JgECsUj nvz8zAPepfxSUE10n1QS5lVVhcTo+3HsBRNJ/NmgLJ2/GWhI2FMlwA7CYzTQOPAFvIeFdCsPLNEX fkicogupIWY3pJPSXbdJQmoU9OuBMgwqBLNc6cj3VmjZtMxRGL2RiwuskWApNK0648+WzvMkJWlM 37p/IkGfgLUIXtAGSBE55dbsCZTpXCWtJ4pVWFRBD7P3FnSWDlBgpVGep3lMUnv1l9jy6rh/nJ38 W4fn5vV7QlepVcebGQYPfZLZNvQnCtHkVi3K4mJt0DjEKWVB4bGpZSAYoICZv8q1nh8OHM80UHt9 c9Br60RLWK9/IliOGGdWULHETHT9viM77uJKN1z3lEJGo1f97ooSeSX7xyOQIUpA/70vz94T2abq DKOXS5Fu2Vz0r4tZBPp0+mRHZrfw1lLfox/Ryyxz8zeOZe+vq0gqfnGoNi86QzG3x37064dU2Isr Qdn8AeDuBIgUEFS5ymKeI227Y9ERlfK3QHQoTNdOfwpIe1goLMNWjnz/MJwNkwpRhBSKNHpiy62T Wed3pEc3hK7B7lraqXk/VXDX5+9NkA1PNjsb2ZHU9SI3YEjY6+NhImvMULv89kZoAkmpvv05no79 nZJi3LbGbTcojPw1mzJfvuCigevskJ2T+jN+fkQoX7I2NatZimZFPZPhYiQARXZ8WGxE4wABM2nP CDt0y/O2MgMaCfX5mS6d+JsRtkZNCb8B3c7T4ExtO+ARnsGcAgrSimTnoF4l1FpJlMo7jSXVhJzi Wj1cw7/H10G4LJd2v0AX7SNhES7+c0s/injq1p/7YG13nHAdbyeSQFEcmdIB3lekTX/IEKGTAY+U YwKuxSUlFv7gtCF/zhDrfBEUA3O/bZsBlwkKcYBgxr3QCPYxCauQTRd2bhK0zxV2DdQS6nbZpjGk zyX5bX4n1SYUQMD5Buz4ejOwE5NK9pWXAyBddxfT1ww8a3rwK+sedkuKFsO4iQOl0KgYxOQjdlM5 Xr6BYeIdUeNNjaURGdsN5bb896gOyEn9AREidxA2fc/+nAplSd3Lkvh/I/IRxsc8ePy0CoJIlgEg 0yPqtHaa/5KTbCQevsTQ4C7wbqE5l/ThBKoxYe99jkG6rN3jWTM/uOPP1e0+CMrBUIyJ9YEL+Pgk N6eFoElsuRIWRk6SoQNS8iUOhMN7W349u4rL9t9bWcDffFMsnsC0PNxnIDCLH8sMYGO+aWEoMO76 s4jlWdR23RQuUuUz8OMr3sO/N0XtUuWcwEYIXxD6YvegLwXe1SAzFR7qUs+7vP/CyMpMO2Y7epch /02XmCblJaF+U7Vv83lXQ4NSgb1nan+wOi94SuQ/iLSYvjiAhBQfVH+eLAfpwJ8d2gV/HwpxhrZH qKXu4QuWikUCyhy+dVjrSpZ9m3bAu4pozVpLrOovtagK/b7muS/G7WRcINHLqLIQyJ9V83ItA8cF gV+HGWO1imOI4SrziAZOOhUrEmnyiUAxzG+9jYQCQGFdpvP/0B7fSfSC899vRaWNwiBdojjh6N6i 02uZEMl5i2g+46zxgH/mcbh1aoihh6bvPaEMWASiy8K0rB68qfjolYUMJz6BGOa7lcN/do0Slv0M 1/bdhdLkt2P2OyDbsQ4elRwwHfg6WSVYouQJu9t8dMvkqTD5jfMiSpLRQowg6v2OfX5JGoWwlBzv JQdWdNis8Vd9MbJThfSHucFrbvr9igUlmfWf4+k2a4z1UBfsoNbVi/eBB8asbRC5O+fiP3/emAgx NbaEbWmWxIGyiLwF1r64BfqueQ+7aRBLk71daDN5hKaoH7U0Nykp0sHjCNA3T5gMXDRbuDj+8LoK BUWANafeaq4PEHT8ZPpYS3QLBkzSdiGdly7gmcBcheq3i2xEkES5nIgBI48sm/sNDFdBjH1uMhMo iP4gyAXrL18fNIo15z1gvcuSuC1yV75m2quVwakYYrYqUbMW0pUy2JJKy66+KVcRTZspfs92w3Y2 BnNMOzO0m05TeNdXJFOGvwzo1NpJnkd/BDM3PkaIPu9TsjQ/dV2l7EFFikTd+oMgK+zh7IzxOLFk IZuDTpSFFdPEF7VFDy4v9XQTQvWn+Hzq/7A4D/L10SpqVfjWofWXjS4ZrVZ5sbS5lBuShmDEUC8d 3wutZ93N997oh88ydZuzhALXwdMlWdsehRiqYtEbR0VUM2tBFlRkKVh/rsykPVTCyiCL19dYQXpo hEWYVQtTdonjO3aUTFigk80QStCJ7xzZm9zumgb+y6kVwQ7fB87yFAi/6ZNXsLktK0Su4iHS90q6 Bec3zLC/86cCuBxGu2lZ1gd0WDeCFJOGeE4SiJRTpWFryI6OvEIsuB1mLVIngmLf24YO/NE8qX2R nke5myCHE1PhMK4BOYF0zqcVB2p1OHqHvnFrfrb//M2YTcBpXuSoKy2eR8xvd0YVv068ALuaz5Gt ctoqRUKwbqWJfGIu0T1BROR1DUl/RNy0QA7lvOJq87opJsLCeqlLiT7yfcgSeS/paHe+EBswr3Qe SfSatN+VSJ8FYmns33XOuwelYnioKgC/huRJicubJAA12V6Na8yd8SXo6Qa//sMO6KBBPI0wcLHa gFXo+cMNUo6jtdCz1dIz2fnJdwjmAI9+mvuSdG8uNHwCuZOCQUhbOuhc7pgIKlxD1Qi/1Nqiru+L sWat3RHVMVZll3mw010CvhkxqVliV9B/Q6VV0s57dJYPhpQGl94ruJJNS6Zrkbii/42zFLUoP0OZ PNFmlR1YFRAm2/W2YejVRsgF3y+ZJQp3M821XjGWdBq6aHm2d5V2IJ7XdAyj6bLsci7N1c4QgXJY eatTMdXrq85WhKGWiV+Hzl6VmoqdfXCeeG9++yBBZy3BcLCliIMMgTSkRbBDFYua9AuWPEt0Yrwl Z53zkjvhpfcfAFHX22ybZyT8IVw0X08Qb4mYq5hN2jvkdW9bCFEj+H+cMhTGYuq+VhfLzi+SiBRF I4Qlw66HQ/B4uOyNESYOopdEb9dbtTM6BVign/GL7eygN6JkJA2D8+kwc+HmTIKEqPauMD6vrjon TfigkHHu4wuLe9CNaZp74gAHCyn9lld2HKMQx/jVGf13WtYXaJ+BRAb3l5fnalbnJz0y+mreklYa mFUfY/dfn7o2w9Vrdhq122SmElh3Bbh+idhjP2QvR/kN9QgiraZIdPZOd9Ig2rRS8QkeQhwbVy2T B0apg+ZktgM+42b/pKVFHxo6Q8UsiB/63WeEP5VQg2c/c9CSt85C5r9Qh6LKnEZDcDfnRz6JqH8I EPWuYEWFyN11y76EHWqvFF0eiRkPcNTVg5k1XiejOIlFwMW0fZWhDbSRfEGHvbC87BvWawOXO9Nk clzHX2xO2B5zmbN5QFgEixtqrLnmB3n23vC6ggpQGaFHWBIn86sMbxg5tj2/22P9sfjdaY86shvd IMfaNBKVWpGpktl16aw2F7KiZObUzZ43pLUTpkKLQe+q4WRLDMSiwoPNab7gaiaK5Io11LM+PiqO f825g2jNBIzHCo57XIRzBUeeQ3x75mN4pBpB03T4bF76XSmcIGi6nbeCudjMnbxKmsVctK/RmiwN SyZn/vcjKGaZi+yKhpuumHhS4meicWzM3hRH3/HEU2C+Sfyo5jsjqREys6m/tSKfdcRuj/RgOGwM wLuuMo3jXSF6yCKR8pB6P8WgDP+Yq6s+wKnN+SPXWtGNV3l+Cv5JbW37YLXGWcL+TRx3k85U2JcZ aWFvt/41LR0TXZDaqaE+up6RbgZyCBgAydKQkBk8jdkwJn5sf3tx1jQDIJ/mSkjNRleehYfA6j+y wRGGbHJZW4Tny4G+pe2VrOSugklq/2SuNXJsjJGHTZQESKAKKMZrL28mgBzgCUQnF5qMd64fTtFb PaEy0M73eKi350Xt5bmVUa83+3bGcZg9C3eGNEJ+d90Aq0Xj9yJxtSGM3ofSkFSgw2/zOxKKFN+H Pw66H4fM4t01QWvMJZKE9FT5ADHXZe97JtZ6xjEZ1ESScBLe6zRBvg1XuA3ijBZ3/fnmCVD/zNHA qHH1vAv4LvsXetePQLAOesXi6xNSzno7aMKOJwY8XR0xeq/phDH5DuWZPk9F1K/fJ2iQuY12tzA/ lH5dzs0136ybU4XQ2C1e08OruhFfVvdjKg5C4NDvAm8NLgdEHtLsmrZ1829zAerEuf5Qy7gslNIz babi3+WiwAWdeCo/l6jq0TUx3ETP8cSWLBGJN9GauUKZxB3YFsFKW/TTcjZ1j6wa7/ZQeQmPv6Ht QL0KlQhK7HxxfrXVQHTyw2xiSfEGekFOOUDGjT7hILLN6jC91A/4M7iLcUOpbvGXFmjPNSsyRJOS wBX8Zzc29Rf1KKoIhdoFpqqELTxp9TyMB0PAJkHHUxaDEhj1yXF/Ig1TpyNaqXNFQqWpFQvJOwa5 PDBx5YJY6IOcjy0zKWGpIFLM6p3bD4NwTr5uqzPsfaqc0ScKD8TdydJOigBTA4bv6hHFF+ofkn2D 5avKACszF4Tdjqm0AHATruvCHcLv9pJho62VXA3kA28aMrQSabX8p8jwZ0gBOXouGNp7XtpZmhe8 /Z032o8wNeOKmTjXH5TsjzlU5Z+f4BFyWWxOifH20CBdBdqrjG00viYT6PvpGJtBXYh901dNeZKi cPDah9jNNHJZQ09YyAlCUdUZG1bbfu9EaTjHJ6IwQsQzexBoNQdgaI4OPA5MwKCoiBy236hG2zcW iYBAvfw8Pui7Qa2Vq5CfoR0L3L3myjlBHmhcvCIA9fYV6u86w4P3anyfDqflkEcPRahNHn2SQVRa 22ScEonNfC8VbvYmJj1BDL9zz6e6N6nhz0wJnW85MwwR3Msl09w3Uu4jUJ3iYMFw3Sn1eDivxH+c jYJICUtw6yM+1SnOtEm6cVZX3Hv0fRDnc02hqlNB+hXV9nf4uH60r59Le7FW+gS+wKDQ4eu1DFMZ Z+u2LIEsgXNLTVhgY6PhNsgGFDdp4QeKpqc543Dfzx4cZ7JpFWWydS/KYLSkZ/K7PJq4E2P6N3Oa kTjUXNUnuFEECwBPw58PA0XgcUAB4n6/gPqER2cvVcb4CuZUEd6u6jj6HEHT9sas+LNfE/khZWcJ wbakWK5wtkVkLcHI+syMd+i2/1vtXr3ZvxWhAfhuhQvXzEgIc7fCq7JACUWZMJqQrlp+fwf0/lB5 09UnqQadIq91P7W5kxewD/2KlDBhiDmTSX1ddYXJY/9mH07MZmQjWdI7+bwIGJh7FqKVKppuGWSD 9EodoF88GlqLIWGI5QW3B4CgJ3whQsSIQZe5dinc2KT04Y7Ngn/qY9ZEZq1562hce37rh6DgMLLc h8+hxQpDRgo5KS8Xt16jjH5tUKEnAZaBOByAT67VK5fAxO0xHWYK0YezT+z2e3HwCTLOWaM2PwiH 026w23SF2TJR6kUaHGC+1TU2Sl35+BxRD4TG9MzJXI10nO7BtqOlS7axfZ8GgijjMaULWjfY0ShV d2ynED1rAoW8qtxbQ8f4Xbll39/lU8F8+F0OCRHSY6eIgHOJmPGvewHipv7ainH0/rLRbN0N50Ch FLKkVquMhuW8vNSr8MlfS7VMA4Cmtd1TTd4vJkpnhblScO/d917QQMCVZLiDQwxIRHXzYAhp9Gla D/jTSmsDGny+7J3clVIw8nvxNAl0f+Y893rUKaPIqWUwBCRmOiTnBz0V9eKwy3U+lon0aHyjC5Lv bjTj6WauCPQg10+iRHjWcaj+ho5HW7HI0VU4cH1UzZ1yb2xKYV4/rdFDxsNEhi4JYn2dNcN6a0cA 6+CAsLo/8ISgqcj3JsHbKhMQRaiAaFhW5+ujGew1bAFFP9iVudrNqqknl5pkyzqJAxCgj+y7h5bh gAu2qQyp/v6a+BYfSoKlGf/MWTnEnwN83yrv7PJF/iFBXEFD/nGq6b/t8Vhd4uc2ZfuEGnHdL3xH jA+qj3S/pQXVIhpJb0k3OePPzs/xPEC1B3yi4avpEcsuZgMTnHyqAQXmBYHNmkBT0LyL6UhZ30lv +ExGKz+SnVFxR0mKyyw0c2VSjqmiGOU4ObVOqeVoccbxiuObE6YbBQQjwzlm1EBWP0hR1Tpy/Nwp ij5STKYMHpFDS0hBnHYAVknvqh9OCA8zAt7gXxkGG0CEEje4qI9OafGp0jXxM6058gqUUztueqHn asJDo6unBH90cXvbLN51f/+yLU/MAwb7BUIzqqnnMbdWy1ZV7xYT8CLoM9aH2w2ZTZEApgxhsmjM JIrROKzZvIBfVa/L0fL1d0QlUf7JoOuF5K7eZ3ipgFuJBoPJcv9o7JwvycTWuOz6jOgPhomDksli 4gx6xn+sm6PIGJuiXXx7xtTPUKGGwXcF+2VK7gUrRlWhjsTWvisMvQ/HZbijJMjJ+IAVHAjBN0u6 S60dZny6VNCnQqKfSqKwOyqsc3+nLWNgfyvKvckE1U+sSMt1XckqOvHXLVhDXN5LBI9vYOtnyU0z R3h9PzTwidIMeVPHGsbX7CsPMVGOjNIf3O3dLLCRpluWLZRkRpqRZ22C8X2P/NuzlIpOuEpuZIzp ah+QvCie3pfSijNwvjFbBSRyjapwymgLeb3Sp3BnTuWBcPjwH7UpQlD7zt/OCnquYnGT+RDsqlYD EHjgR1XmPnIi2Oc0PawvVJFgh3sjC/1rDIgTlZh8s09YJx0R/g0V66Xzt2yfE0p2CSaApsWqRjdU /CM3fEkSFuG3wPhcU1bl/uq+cT57MSA7okKB4xmnvpMYJTNIXNS6jsoVj6ve9+PtS8SUPtQNQ7l7 xrSSM1YZf+vFruv0aPniYgzrDDkjGBJm0tCdtd6wQhsXaWvD0WlWRvG97utYvti+oCVwnVYLVO8L 0XJ6i3i9eHBTyZifkJXpC4GFxHZJNuTF8gbWH6molKQsVv3OTEdeVto8rrpQI6eDdqDbozCIe/kC 5Mm2thhWAmH234ejQ8p75RsClWtQttV3wth0ClIJWhheiyD5pugbn/0tQVnbCjSm4nYnSQhlhjiB nhzIioZ2y8OmCOrf63oTrtIFrPS6F1LqU1XzS/AugykrxdbwygvpFhASlEUKmZT9QvU1QfDK1Jhh zvWK9Fksbt6ssjEGw1a/urgMYlsXgUtc6r+Ako9UJVEAbwO2sA/6ua5ZNkYDyK1AVeXo1LC2t4nn Zm8ywbNH6C+wEDB/gi78i7zD3QHGZw+7m3BoFXyqpdOSBG4WWamoLdLCuIzJ18FwqIIoThe/V6fY rTZrR8S+7FPUT3Ked4xtrN+fvimQTyjqBV9KxTzOziyngHwO+vuaA9c3UaAAEpn0LB/0uTS4E01u aN/6KPlYMfT6ARVXTJE1o6WYaFS54kt5ta9hMCYG5hqGx5J8mnVbVw5QcbOF/5YwvZrRe4mKjvl1 yz7aAw6Hp9NT20H6h2Cdqlo877/2hSaEX8JWqrViiYT4/CDRiDeJkIh3yd+ES+ZSPLmop0SHcRVR dE+VhHldzYGMuhIeayYL0d3uRu6tWn3tW43fcsCeuafYzqXCoP6rSiYRCUH5Ctug5t2wZqnnRHkX +2g4jhai+BsUJOCsYADNelKl/0YXx6Jl9WdhpKCu0LRPMMeD0wu3ZZ0pT4RHt9HZ1OEjgr7S2bjb uh60YV2vtIFDZkAi4AYcFloG9suGXO+9s6zBp7aaQ/wOQMRhlU044R6kdOxhZV6KCjaTEuQsmQYT fmxWgFnrzyrhOhfu2E7aqCPpEozLlzBulLsgpMKA8Tw+36CclLVL9SsF3ENbNke4Btg1fMX+Qywv k+JzchGowIpMvcVldblZJgo39QzXApBIFtZ7h4aQWNb8jZgehShGOW9FsmGZjmSGYZE/r/kJ3+AP XuKTLW9g1O5D5QmP4uiN80IthNsW58EAgVP3byb1Xkx3ti5zAJLJY1+QmwbbufYdbRKkMUMqxhYK sThVXQKIMg+aNqcm/VdnQjebqPSRUfmwy9nLwshG9j5ZK2jCcNgybv4SLQVVbapDzZfTGAdDQ6md ItRatUqVvfLsRfgrlXG8P8DuW63k3VDK7Pk+FrFG38sb1jtET55UFbxFkDVk/E6PdgsNnNqIOkeU Owz+QKqFsgPhMX7qekmdwfUKP2HhopbFP8MLWU4Y4QHX71rQI2eCJYnBvAPT/KRwjnePpsw/HJtn 30qtwovudQ0eggtm6Abo52ZaRQMxzLl7ZbWcDHgq9RNeYkBRC8Lr4s7ScTr7n2MUgEyw5t2S9n89 J44/YKDUuE+uTWtkQGcy1kdji+o3TMgBb7DGOfv7Do2ZKKZ6kHKZWAtEsmvamXOb28fCzF1ZM95r zd0zP9qV0cMtfeJ7pG00Ixtp1meQMRN6A0fkesTCPbfWnRdFkH/2ywLzHKjpQ1l78A9cg9YeJx3L 4tT6XuzR9iEpqCLPoOOGgpPxhtB0XxwH0dwOT+sqcX0kx/sH0n1bTmaEp0Td/o2vKEDeahwqBwhs QjpiXEccT31ZD8Rjq/lnOo8KVgCyl0T4r2Je2dC6PTFJvNILTwbQu7jUd1YGDhpRxJXEfAxxPWJA XpRXBhElwYFsDzcq5rmtedp6I/rtaPQFPvVwVDKWvDIGIpi8W6PB8H8EqDTZZkFwY1g1S58r6I/V BQxZPMv5t94t3RUNNJa0h4lhyp6noW6YTVjyEyJsAg9rhhE5mHvm+ppLEdnZHM5iAUiuupTv0Skd WmoBFEXKximUSjKPpKA+mR+hg4vrxXS7SlfxLAPypB10hljckfYlUDd3/IXCd+YDCgZwl5jVymMc PtrDModHpSgdrWKZ2CdvUV/YWwAe/O5OaEjwoyc+ztUYTbAlAik+gObbexDF8GK+HgqMyYOI6yRf vh9lseEzbKvPlbUCxh0asTEmfcotuUZi7wnjEXV2aemSZvdDE+9wRyxmIjxDJmRFCk2IN67QOhr8 mfVuh546JIJCF0pOtY7qmZ2JmzrhUQDHV1cRVN9SNbPRFN9o94xhE1TQDcPG6BtObPBHg8uwoQv5 J+/zIqV59LyGnm6fUyTgT1GV+axJNIt4ssppbklE5a7LYjeIEL3U93zIdO4YfQaerdBIdqz3WY6R uB6ILYd+aqplcqXrk7uxZ+qCcYzWYxtm86nLaSybicSyGr4SpFftE/Kc/xkhRcXiOnGlhTddm6/s NwHqRE3u6Wv+8vHXdi9ofjLMMbfPJTAs3T6G9T482v0aZ02eR8+EUPhYgHb7HltN5sAy+RwJmgWs LGu/QXN/mf8eOAdxjAEJgu+A6v5qVV/YSl/PGPH2FdXmJOMpR4Z7pmzSfg2Qge1wWoNz/sxvw+Ha gVaTtqy70R5f6U/87VNXaB7HU/OMojza6iGD3Rn4Kh/ogAQGTss6w9imoTpcZtM2i83jISH1VM4B UjFDMWubqaOQ7pTc96Cafg5Gb9FHGgbbfpaqUaElxdOLhI3jDiEXCKbTisnmCiEUX3ag8ziq2YY+ VLY2nwWxUnD3GRAV9p33TbsnNVHMI7yjch/OdIgHp0ruymjgR7LDOzk2SOeYATGHpTEuvQznUXVV E/HcfAEROJrcyLLSrMWit6N4U4SSCvEDH8tPuWJu49CDCmByAH+8v8j6XLReJDVbCG/JUzGl3+AH h7tMIbLM006qVtrK+0XQ+KjLPCSv2STnPpPKyD/V3qV9O9GK/Sb655mMoc72BlNX9XAruN59tpgt yf+QQ/TRk9mR9WPOqZpPPdIhgW2Jw5jCdztqh+e54+DZ9mxvLAzr2tKA3MvWFozbgSOR4zsrbLc4 xODSptfI7jk/ooUa+PNKtn/kNJOScvPlkIm3ivwv+ut+Iyvb35HnXBGD3NdU7bce8uwDUVFtWZOY 5msHi/b6mi1wqtWrk88BgZvaK2ZlTP2rykFMNQX4AdDtcjp4fQjAARaJBBDopMtU2xtWAGB3MArK SJoj/N8397MA69ma+XfAFKu7nP73gpsftpXuoPRXpi3+WOpl3B5kkudVN9jTRmUuuQJIwiVHDdif K82ML9/5y0XG14Rb+FuthGPBVLtVx6gom5KVQmVOapokqjJeenFUnGcREEVWt7fEDwAer2D4cGg9 dKjAMIaB0q5q/FaV1GFA8lECB5K+0EvnU49X4GD9KsjosoA2rxZCl2b8Pw2b2gM4ZjBOGw+eZw9U TU8BfQxogh+BFS+adqW50mTgeMuPgoIKOqIYRt9hsOt8GdBwgJeFsNAyMe32jQBoqadhluHKAzUj tTuV1ZksQt77B1HVMefcMAzOmXpj4rup3VexwUGssMzJHQ1SirbpvM5+O4gJCJEbIuLLOty/S/sM 5Ig5g8MEW2FQYHcYfq1/+saWto32/8FBg5UPU74faRJcSs7ScJzHPSnlC5eDO1DbcRPJMHM5ruaG xpVvGfBJX387u/UgJl/Z7eRUnMRdyovuUKrg5oOZPSR5SrSsKxl6NqQQtV93fw5gp1Li+Rvci68O vUQAExCy+6HgnkEQDG7loLQcvBbz7CrJDJZ5aFT0sUzEOVYMg7Ue7/1szy0sABQ64ba4w3StE745 +uTPvcroimFlTpzmba2I3+twutmMYS6FM7IvjivbwIZ/kB8Bx6FYvw9Qy2HKk1CXRf4Tg1ovTvUV YecFOtGExMPX2CeUJRJ9HrepmUz8wjjUjIu6Xj8iT0RqseqxeQB+mc/4nXg6Lofz6DLP5w1rKc+4 ibNqZ5C4I6frEN4t5GHUyDyHizHTCAnMrqYmfmdJxmj4aRjKi1wKrc4G7XNo7ZwnDV+FfbCpOQMn Kh49IFFwrAagxYSw+WLnq92Gjt38a/9VwzZBC/UgrRTn6QZHdAZKmF83c3RICHGyxsamYWVrz8Xq Jn/qO/xNCvZyYjPXMC0j7OqzKAEo6bfzEuZJA5yrXsVU+LYlxPShe/NXHjI0BCld3a6ijOiIJhXk Y2OKyNugB8bAeRpRHtl4PkleHPj45GlTJ8EDXh9v5j6Zrx/2QZPoPbleu0IDY8U+ha6g77f6n2lE H9RpdP9aa6Qgx0sDICiL/uqmwo5npn9F9aAYKuvvO/U8obX2Af5/ctuTLSIXMdQJNOa08Dks2OCw qzOBWD/zzLMzRDZroNoMnMNI7nN9XgNse/zuP9hasB1nFaYF/Rn10wXY6D3EDX0PgHtZ3ufXJV6l lzqYruh8OnqBP7b3M02y1VLia3w/Qq1it5AR2cx8cgn7GXjW4Ls2vrmilrJT89wSx+kbQ27xkZqd aINhKl3fhanxLsC9DEsvSbd4LjLbFQjKD20EnrrIeed5IKUbFUXr/a6/rW1Pn8kPcAbCA4ysbCNd CboCCj9LNb4I6WVuzMhYlPQr2aUn+tHj/espxuz155bSqJwbO6ynhAxfPVHwLPxYQfCGglUABWyv Npvu1erTzOduZnu08h5h+Ii0v0n3SbkVOtcJd7fK+/Wnm1PvYPUywfJFNKIjZsWq6gKkyK3cSbEw MOXtsRe06xNdiidlGyXA7Ny7kQFVcuOynmqRtRCgHqV7skNLtG+FuHYfPyeO9ysfISvWWdCYBubA i+8Piuxkrqbi/tc30Cpgr4l3vrGt+GPYwd7GQalDApDhTB1TmhoaWlp3OZkDe1RDn4BDmzM/F23H 8YD7v3Z9Gtso30ZOfJuAQepgBiAZhjpW3T0TnGGiC+MV5YQc8X2B86ceb5bXS2zj9Yea4G+qqjqS Ya7Yqom+M65kF8QnOFig63es8e48vc/Wto9GQ7zSsdK2ImH2btAt/frUu+HbJ//YoI76dxbGivvJ IuzyRS/yhawEQn/0r/eN+9GbiAPlpsO7302IkFHYF2tTcu414YocSzpi7V45WIl2STni4kaF+3xX GZi9vGHJLLBNZk9gRcBoPW7Uucn2YPVgZ2TfsmzKtqbIk0OjgtGpktEh0jOoYik39VgRieec8vyh EWrcYvhE5eOd77SSBBxIz4gczL8A/CHkcNGjm/yqJqAX8GXMHr2YHdCSoopawf/wl3JapCu7wce4 pPHbFwSdbst2ezliWYSIXlt2oOw+7pHnnUPpo9r8GgCRSQCZEXBPNOW02KmOcPZlkV9Y9Ag0iMuW gAQ9+3TUGU4c2dDHCrTMIc9WaDUUXhyN39GzELhNRAP7J9Il+vvIkmVnawlFToHm3QXX0zMGvx3T Ug62gl+aslrRbNpCgDPVeZDgbHaKNnoZKhGK9h4283J/mtnfBTz3KHTFS5TJNhfgxtQf5yQ2NF+W x61JsmlUmoUh51kI+zWGfeY1nbPsJ/8xs0gFbr6FGRAxeTzGgxOMAV7smmJ5YYebFNqLnaM9Acps /y2ybroCugPmVb5n2XsVFNi2ao6akbFrH1gT7U89JD5SQG6NMQ3o0A9W4sVhS1gMTApqLUaguB+Q e98sGF86RskLPRi2Kh7O9BOWfiRAM42wXNwzF+VcFYpGlu0tYvhElx9KW7kNSDVlvTzx8vw65Ff8 hiHdoZDUt5QAHjONM5ajUawoBWcCUxxp8/vvT4uJaPAOu0Z297Xl/9SG/H0KKdbgQb4Ww0lkDFCI pHjhRGgLO8dFgZUHxd3yVYAbqYhtQ/ZQh6HTBm2YlOkolKD5/v0VmBf6AlI+g9+EH9I1VFHyus1q IxNlsDPht23wraDAclgXY/57+bASbB8nvO0NfiRjyPgaqbOga9opS64HX5rGInk0HZ5nYbQQ7DrY r6d+eMwX/jlf/LOLXaqu/3GZTuxqDRB+/1TURf/3q4lzb8CDXBOsDNruAXvuSUbO0MrpqCWuq30p RTJxX2MUVji9qM8ScNSBsEOFcmJlLvrh+iy+VT4N8wAbpbYowDCsqrOKrk74SogmDJ853pyKIZTz QsdmBpqC0qTdbhrwL7zqxMw5t7mlghYQ99CQAYmtobjGfRYEfkzoxucg0okmua78X2jTF+HqRsUx gWuu7FDOYtL6kKnW37xXYhg9V8sQVTijexukMDMgSt8zZ/0kYumGEuadsEQ4KFXLIPcbKQoKdL3X MToYP1vAcyaze2UienH9xFwPA56nZEksmFVGIlMD/UlXSSwRB2BSnKnaxpI6TetKXDBp0CIVPjj+ 5XUy6CPZgALMdLYeibrRkFHwF5gqBdlOuV8PmjjVcj5V8clgB5j1KJM07BYB4bypMhj3/MTnCvBR glWfvBP/ALJROOvZhNwitaDs51Ig7cADKd9ryxPlXNC+Ik/wt+dE7fc1VBMem4L/XbxrP3JztEeU Jyr7eNmllwfqRVRCF0F+knYUUZvKorBzxVKhpUNE/NXgA/4kSnkVxB5LwrxwS4zmfVFkS0CacEO+ FDHWziETTDLPD3CRFUcU6FQtBA/EcQEv4Va/f5xy/C+DkcsQNWry3DrK7kpuBMN2JvwPmGa9QSmS HMihsFnDYjATsBd+uwGtDOf9//1HKxn+lcw/IOgHgy9swb8dNkiLTs4wbiLqjIGDMlac4C0nkaQV 0wck7GnGXlAWWrnvbNJGG+GEuikDjl4zgPpmycJhmSN8KT0t32IhDuIIsdtY8KT5pTlhKvhysfzT if1JDCSUHaymFQnvCOZQapYEBknSoFPfBDI+B7ZIyW30CJHN8uFyEXTSxnUsLJ4UisUPfQAmn9rc jb4xx2BumzzgQ/ZTCASATsfgExhgGQIifVq92Z7NMqY5/aKov74bhwsP9jsuFTdwvtzbsmseJ1iR c+WSktrwtXecw0CSMLLbpw+44ro+HLMrm4DT3LiAg0mXQoOPZvMQjWpdCxuyG6bvkYuUESmkdktC fVaTffyAjJb3AINjsgdL7P1MScxZ7BQEvLuf+F2eTRbk1gpxy0YxQEoBK0XcfTN56lFmuGw/IXlH rsgg0jke0wZWHcu/nvtyyvLBtJNKk13l0PNRt2ZdzvJyv4YpZIttZxdoiWKvK4G+noK0NO+f2xpd DN/+aKAVNfOPugOsxCsVF7xrbhK/qF7v+zVQ7ZP3pwPDTLHqEZOCu4S1eeB0RF4fN1c3Uhw/7nq3 OLCbgZ3d1VetR/vVlGKZn349XR/l+lvPh91s6APWyEct+BPEqvuMOhe/DT7IMd33BJDnfr2eW9PB ZA3WmYSONW15iNYCAqFSiKPd2pwAy6HnhSIhLQHXLPfGLsrvzlfIeV+Q/EYeLXXzq25Fo1jWeuoc qMyIojmxUfb/ROvUxqi5xZGtA8nxyUAjjltJ7BS3aYWLzG7VZRjhmimiTAEJtYo4qF9sPuotrhvB I4cleYjGlFl1PXc2IixvZfjxHhlH84zdGShkNDkcFJrY2/qfe6j5T30nd8daUC0vPUl+IsVp5Ags OIEsNEVPkO+Dl7hzDB9lVM9zGcgFKshb+9ex/h7zRRVi4OMArAjHgjNo8OLy8YhcSiKGIp1SWH0x BYsAj+08/EpeLFlb76Y4l9B7ogbseH9iFgqHDA7cw463HopHNuEULJRKTaeq5fv7JiCDfmIqdte3 VmOb8HWAMP5iLuG8vTtkosLZ0+YIwjlsMpER4hXxae1EHVnvETgUmecsDY0IybHMzKHqYDPbn3a9 bSkbJc+RDgP/2ftGVJJJcusfy5YzeZVGYj/CTz7wIPrnO8TJ7yHt7aAyFqTs5FoU7JFQfVLwnwWE 94Ab05g3J5T7UdlWWkiv+ZqU+2lAGWqc/N4q71b3RQcjeuu9g2glJOkONAEZ2bIRGQF3xLl/LtP5 XMLrMX8VHeCzCI/wGclrPPOUYqBHnKIwClCNLsC/P242Cphkv1b6ydnjjK+GUzn0rYceV0iXJMOc HSp+7OcC/gRVFGUjp9uN+Valvg2FOhkNMkC0Y4LWgcLMq1U2cvDGxk5e1FDFi49skUhVQuXpbpJA m4aQO07Ds6GAkZso5GN1ShFUQc9yH8KFTRm/A8yaoJricBbzlvBR4F/oWSyfgRaosKnYoyln3cbl 0IQyz0MAx1AfwexAiH8XPCLyV5kZILX5FqrJPyt5iN76t4u14QUZ/36lo1M57Dtb2wTlOko0lQhr W13Xg9EPG95mx+rP/5hhSw3CeYbmX+lE9eC8S2P5yL+J6DcrElT3cMrDOl5gOJRsilE6124h9u9L SNGzTWjRei5w+mZ7yD6lS+GThN+mmyebatP0YKT6lCSxOrPktQ11Dni4CO4vndtB8ajCF7fk2cnR 8CaVycs5cdx4aU0KbQCej+d3PAfIQTu37WRTftzqJ31OspI4Mpu2zy24+jMsH20uzOjLrQ35t9gz 4hXcG1S+HytKQE+VXPkrAUzDBd6uW+UME8uRqNyB8JMpbdc3SagbzpgoQFSQO9f0ErxMdzgeCTlQ xpqOJIz97GCNKsW1bnw15ehfkR7mZCJu/ppumMn1kkT/1uKnr8eNMQtxFKhaCiMorn92wd3c6U0s MBs1VRPBbz5MX7LACtxG8jded3wGot2IrKEvirbZ+/dSK3u/ulQzLmPXB5LMgt05b7uUaAfmuvsF pJd5ux5GzSbpeTpT8ENRCK4aElk1kFZuDakV97fRjVpgi/t5cGwCapXg8N4oQ4h8pxjf7vtyQ76W 83q7qoj/Vus3oGvXN//o0XToONFQ41JWEOdb2Fgw21Ehd0T+jxii38ZcGJsbFrTeqCvfQfYlUOus XvRKbHmOO4fopcAC11OM/0teRxz2r2kWAsEkAMMgr4hT/82k0pb3TXPo7TzntqWXGAXm0XNl+0um Gx/AGWQsXbQ68pmaRENgGFAM9HonpgPkNwltGBqjl+CQbnYk0M4i334KLprh/2wm/wdksq4XIMUQ +Iaon79djQvyDE/iPMxGgtGWn3z3VonZfKMrDYSZldabhXHJBOn6QQPxqFEO2305UouBoI0pwPnV 1KE3+CZN45sEjQz+22SsZzvSDbs7L7ogPGaEpzT+A4YF3pUDMHAh7XHYC3X61v4lLvATVCyo0s9j afsdVS0nJVDq9UX174999UqFsbEeIGSK//4cJBCeRu3oCbxO+hpOoHYRMyCeT/t5I/PiIHbfKNMf BzhbsXLHapkXwcRzo1bXYyjc5fxq8Oasv75csHsbQXWXusW7oKfFALFq4isyKqh43VG5xgP1N1vh j0hxctEWEp4fFWM/3Ts7FgnVq7+/cd97+GDsq7tjYX7+J8xSoTIDyP+9Fo6xmWThp9LeHlyCsD5S GYzWy32GcvoF9Z7zMmK2uIqKFjb2qL3RggCgcakXQWXsYwCTIzDYLw9xf6MyWnmcBxbxGPuv/Yyb WPTAjrAghFItnazoEyi0M+1D2hRxwsyAQ2IbhDbdFWmOfjzd6CLJzUQcy2lhSfk/tgSTYjJ2DLru KQiKTcG+MODkp0fKtLSNcCU1Dnwz9qbbmRnq9mMiqTzchYo2vwgDCXhrT/GBQKTBhKvayw2r9NUj 4CWk7MA/j7pZ+84HOo6+YiDLdWyiXe3bSwEsQuabiES+P3onNxICGqPaSa3jjVou5ZaP+n/HoqZY /3NneRm12PoBQ2DO6xCvMnS8PyCHmTCMqUihF0rUcaxunw3+IU2bH7dngwinIFKIpHuhsPsyaDcE vnnEogLwR2s5TnO99eMDTk7W6VTrJGVGbossDhGcatjHaZweNDICWsjpMvbjB2gFlN63ul0VIuTb L+F1i19LzZxkEnzoIxuoo9I04EAR5q3wautwD1bsKNiJmAI8uZF84vALSxQ5qi4Mm2co3HTubufq xoOj3T11o027qd0+3xY1l7zbAafOXI45uHfpcolP4rRhIuJSdGyyhRZy4piHjyQqjIZQDqRlrC4Q ccXxlQjkqnL/aMWRWpclcdnZHaKazWnG5AfQmYEIMKkBk02MX7on0dXYptHlye9psUTVg2KtbLZM 49o1hjqp5zDy2d5YvpKWiIt5+JN17/bZH38QpF8pB/SgpfIF1vFpF5wg3F9Rvi17MXL8c9rauFt1 LEnFfy0CMX7ZoGzpdsb0IsTx2oj7TjtQo6tTsHRVAUxlhVCmHrwE3HB0x6jwOBGg7V0SX+BGOsZP Ojnbjj/boFhNODuvq8uh4NevfxdJ49lxzeFt75g1xJDO6N/ejCqTiySkjgEKL2YO8BFgOklXwsXw NK06ex0tcgIkOuUSd9V7rm0CmxCAtsHhKjC8lPfUS8HWxUMnCPqL2Kv3f4JLLG5vHN4pPwOY4l1X bj58tg358qDeFVHJXS9yqc5jnuGrR0GJ3+dgmA+ZsYe9+dYslojewLBChw/Yk2LZ1T8K2fey2vxc dDY+JAky6O7mgTX8NTpr5iOC88DvZbMsDvfnrY8tYu1Tx2Q2m2u8g5Sl8haKVpWOoDa6WQSqm/o9 YVE2rojVFRdqyqPJo2VGhZDsGJ7iWPSgzQ/c0G17DQ2sr+hQTiBC7m0GrFef6vh9OK22/gSf2ug2 4L1KRX25CuHaoXnzsnCVLzA51zN7IejgBku2dy3rCRIiG5jY2M1mgdTXGieHL0+YgSit/ug4fjYN NOGUt+aqjt6T/8SGXQEIXlSfH4jpOq87mjpzBWJvKOqtK9cSinwClFPx3DVuClhtZQsEcqxYmrym /xvRrHd31b0Mk2jW32P0Yu6t480w6uD361+rs9Q3DOvgIlpifgZrAqgoD3S0GMASGoTyrxC2FZL8 WevgcisHkic+Lg5Rnt/2nG6mlLWXGV302bWYYYYRbLb8GDsKfZuTLvwqeHSs4taNqeDHwfy+k7s/ 8qGo2MMsDgRHdCW0pK9dBTGyq7/haAjzMS1qWILFi3bRCiDlN+8ulUyyGywcKsiQJIlNgmyw0z9I 4egw1EjiH//rbstlz8o1TfF1DGATn4+OKhY5Qx5SdMpp8xpZ4AHdR4trF5muT3jL5MK3rzOKUNdk evk5lsd+6r4q42jOelmxSYGKz+Iwof0q0SG5Mrn35MBNo7EBodV2jIuxclWzmFjlQXes6LbQHkM9 8P/TYZ0WusR7XKibpo2vXZlxnDqBUz041YSwkDX5iqQoekDPGQxt3Xvz8nYcmDWuJWFTkFbrxKol u67dpJWvN8LCtdi+DcwkWZ8PLbTw1334V2XCMwqlQoxzeLo44503jdguvkWGhHTOXF2alK2CYqPi Z+sq4YjG5zKf4xLJZiBk+Q3YPJvuNGqrobEbGJT5W/V05N9MB19jImgApfHfa1zdksVtwli2s9cI vxiyhZp8mdmxVoNWivzP3BbMztTmPi3+no2+mBIlRconubG3YHVSeskB/VE5HQl3YQrfSvsQUuPx Y7HCe2sN3Gs1gScWlfxahKr0ifHNA0o+rXX2c52MtWGOSDt00i64SNBJJpl7rwT5sM9GMlaMylYe 6H+WwBi8mMoVEHNJMWk9G4lDNhVsOnK/0eU1drjrHWzZjzy0RO3JIOPZCop71JtM9EPosxrcJ0d7 sbyT/rktv1sD8eYc5p7dHijAbn7rFv/GJ/0CCjGypL5RuErlYVwf3ML+i6Nv7arirMx/nzqeIaWi gGUAZ/J8zv9ERJFDm+oxYlebOcr6muUkmKnoEQP9EOmcSohVWSJRR7PY0PBR0LlKqYEJTh0qFTmR 1VsCV61vPdq0BwwKlMUZEqKZS/mBW9t8/RS4HQFCX1ZyizKtqGEGUoKn1jD38dgAMCbNUeEeLZ4H hJb2D1wduPdcYzGbb5lnu32fmAmZf8uHPm91s0BrKA02NIju5t5mqDRENndIhIK8Vqie0kCrQyYG 7G0/EVyqNSiA3bBsKwSars/XVI8VYgY9Hbaht23bg037CmCBTJkRpszOJx6H17eaP0zvwUz7w59L nPToVBQ6x7tpHi5ZR2AVP8SE7G/nCa4B8b1GzjnKFcLrdPzi1akWKqio64GYOFsxO21kl2UWpN65 pQ3e22XJy8Q764EDenTgo+/TWkfL2PLjtfP3Tu/SadL67vvQxk+1Y3S3yFRn/Sv1JtgPzlNv6Sdx i1UBX90ZQFGF53w+G4oU94sscygAhLRdLYN2UNIHx0cM38QirZTsCIGTIewG93IRLhL26XnODX/1 pCXbmPXTchf4XcUkrQgrzc2+AZtFFl1BhdBBkmtNhZHo3UMdwWpdV1FJQNXC/6bde2qDG7YCxMuI uUwzQXxeoYKOuPF5LwjzS2poveJykh3NunmDs++13/Pf7sS8HwlaVk1h/mfijq/+XiXlO82YbcIF hncBiIjlKQygBdFN6cI8Np01E6a7SH4ZBdhtOpMm9nJaNcGADc63c4plJcjkz+2JS9crlbc52FbV hE+DtkQWLwhHG9v50rz4iYXOZDPkAuMkY0zPVbZahQ7g2cY+IovtTBM2QrHVL5+6mYygW1d1HvBm s+T7SeJ+jEXDXmHSTjgW/wSP9tKTyUEXlWYKyMfwsj7CZSFzzV1KGU32eAq64yI344ny7h0eGsEt jnAtByXkYItIZcY7C7pmbQTIqZrSOOOXdSaUVXUqOQwplKtfACwKyXcBYCT1hhGCLFC9QEjJte/m 2bgxgvXpavSDIudtoFxreGg7qAM40sMW84KJqLy7bhgZAJSYh8n4ph1/mmyJ8g1jSIQHkkarndfn oPKTkPNKXdQvQIniZGGer0br3OyNy5L+S5IoVpFn0cJlmgoAoDAOR+/ixbWDToJQ39YdwPRbOZ+m hwhoNc+44nTK/RhLwxtnF/I8FNtco8OzL9CAjrwQJ3IBdXC3CcDH3snbC6uAeILdkxc3EhJ/l4Dl opTgPeF+ZN76BmwBcWo0R9He5twdYWFCFttRuj5VdZrMnO37+187rNJ8zzQxL1MbpKaRXr7SRzUa AS99yx9LCaqAqhRWG34L8fuUHbW4fIWQ8uar2Kka4SJhInuTV0WsdEWgy644cqa2hz8fVRjLRb9j 1qI9+pZtGc3nIvySB8hJ8Z5JS7pjDxUFnHEpOZqhZp9lmAXbIJvaMvAQFkm3A0xPZQwOG/mf5suV H6EwZXqDfowwlJsKAoocpwxPy9koua9pq4A4BSQz7++k57y8gSNWr9/WDsx2eWeIXJ8KYlLNeBID XQEcmlugMezAVHZjLKuSUi5us07WKSfzIOb+1f32n9vpDRtKJb97lis0EHuDJwbzvPNvVOOkYeT5 utXNvWXi5Z1LM4zHyGqfCtjAFyFk24eP+pTHfZ3z8f9vDaj+ZpBd3EtctORNmk576EyD5uLxFhhL 27wSEQawirs82+mm5ZybSvapjHurXjI/LFZrLvpOujPRAQM1LZ/PbXf9nLdSq60ANgfe251nrtv8 vSDK6mowMS4eetVVAnYehByyPtr+BzSmNWBYDyYGHY/isqKg/BVPrH1RaqJaXACxEi+2LApUEMh+ Ix4H+w4+xaVElSHjrTArE0GHIJMU7CZYppTcIMb29ERdqW7lgVZbnr2vUcewv6im/7RtR9YMBOH9 Rl+hW3tqxLlNe/X3caMILzlQeDq67P6LeOJZmHPvoKfwAzFQsNaMu5o0D1yqKIsW4f7EGbUgFSMZ B+H4UqdMZM07fO0N77VGL/gV+c0ZNUiKgtUqg62wUYmtzvzpdQPoYaXgC897ZzOk9rIEO92LQDER RbC/mOq8nQvmmyCM2SVZVxXQ2C1tg29O9LiHJVW0qmUHxqd4vWgYprGKB45HECogzrdqHwTIn/di hel5MWrOBSpjPst4dWqsLYlfqIvI6oyzU4as2HmgO0+gaPisUE/gqI8NSeRiLV7Ye29BBlXL4B+z U8NqsXtfdqD0RWT5jPx6BW6T+lIRYOU1shCF480dq0gJYUh/Zvy+LgVADmJ9iTQYZ1bZBX8TXSb8 BYvpZ+P7xaSeHJYBJO57ADsTHaGNcvbITya2XbFJBOA98rn7W6KlNRY0YzbTJsla+xMF/4y5O9+d iV0Xq2KFDULqkqY28fSH8pG5Hsv5QRAZ5CpqWRye8hgRMlLlOFRZcx1BE+3U93MuB5JW6ofPhOKC 5zw3C7+gp0b5NNChWqNBC9gVdWuGtNR7nIHgpGwJxYeFSTQO6mP0Hw1e1rIoziOKDvfzPCQeDmwa ycECKQ8GGyuixrXkWXUUx3QzrZjxXwstVTzyg9Q41zaMDbY5NnKZRjy0X90+mPKXXAGWZs+MZ86S YTyJCeh8z63lzYocidnqZEb20xNnnwlJYwkHV2OYj7Kgd1zLCtf6ssuUawWwBsXsBqnFxHXAsjp9 +3dLkamZ/PVATgX6CS8Pg5H4XWAm3sYXqZK7/NwZLbFAi/fU9Hpinpw0vRgFCsIJ96qqLF10prRs iBjk8VRFiU48xeiCA2rTfzImXaxGEz0Hwxm/ejMIomudpPYMGizEjZ/DaoTOcP1QBmdDew+0Yrqi u6wVWkIR4t/J8UiIOkzEskioewlIOeg05sfE0ojKsFTMGt9aZTESejHWnP18/rp5udL/W1WAD/Uw T3+x/o+HCq+DE/4Om6ULjs8jkBhGMTjEdXRHj0MyXglJE1dcdesFde8Hre/bV6hP5lmsiLVGZOyv N+Zdp/thg4BRF0uxuamaS7siAp1+Xv2jZFnxqgaZDJiCR9k1VwrJQT/NDJU2F02sR3fHLKH/kgFd Lq/vEHnuMuVl9I/ZxV8O1ZDrIqgEac5UVz/sS90qVjIjiypFpuNwR2OCQC8/upASfbxIyFRfsWHd lnb45oia/XeghJk0OcCWK+UiqSDaFb/rPBx74SAhTOYwVeORS8YSBODSmjKe++Q7YSoNckRSszRc 8p3fEOHvgx3aEiEqmelojggC0GQHbFSoCknAQMDslp6cEpGMeJq5vh9qc4H1eneEZkE44nwR5cfF h9LzBJSJVW0QPwX7YZt7svMyR4hS1vMX4Nv6LHcTsGM277norrYFWtSH1kWyOq3T/j3PH7wdQzyV MyrY9lgBJvIxBPtfEVgxZrZ5o+QdZvm7lcIaaTnOG4dIft1cXNuVAXp6sk5POkdBlQ6QsofVr9ta ZJ64idzWpojs4Wd++yN99eE/glkGk67ci3VP7SmJEjFBE3iWQfQs9QIidgLHATNH1Geq3ihjh7i/ UmnT1RYI4n1+zqOljWvtzZiQXu9NPnfudUGoEMTV89cbozcsizjuZdr0QKWa6I6LuPHnmCk7F1FM oto4TpdKhLhLDXpM2UWTObbZMqlm7t8BKeexPLBzKPr+4ukS88MaD/AqfpkVFo8LS4zOSp53owdQ UbSuH66SBE34pILTnoqn+u/szFtfQkZbFSFekEQPINzqxWD0EVC/uonKufljmvIXBjcQhbZc8cYY xFVrC4HcYHXbMPss8YNgrnvBi5qe/e3TQNLzp3FH4fZYIAsGgg6K6Cj91Doy/UOFPDozrSW1ALwu sDWemHb0PrD5uiPf1XSEHzf4qSMXEfwX1Fj8wceYJvrhW8dVzqFtsKjG25yQ41lnpHwCZDg2NdNR bilOoL72RE+qONgi7e8lDZFlLu1Ja3G4drMsFeVqrH+fbNzRSQKeWILdUVHd8b5Dc6pkCiHJ3Z13 yr22uTBiTkRHrI0N6aanBVbN9IMpNYeW0UaPWK2yxEAv8qcLLY10djXw7kMgAFncIPVgzKzDdKg2 TapNx6hawiVSqWFX5EHRgLL9UEdF3JETv+TEeWaXDbZCUSHPkwTQvmPZya3NKX5YoVUy+DQPMS1q U7nYO3lHHuKhS+PCSqBrHd3plT6kWt/UoIpK2nksGOZ1rowI7DTsS8l5ZMi8QmISloosjwE1LpdF tbHn+SzGnG/h5SSykAuNTEJ+wsZIwi5rZc5G6FkwEPchPmUovp5fKxYUZbfgMOM8CHCpD5EG3NFw jcZCe02MzcpiCyOSogkP5At0Q/rbr3BTWT1lgspCt81JIc/Ib3t2fxrKIK2pFCqL0JqzTVG07JcM PakHmvC4s3aa+RefAl9ZM8su+jnSRAWa756r/HU0UB6Ole3f9kq7qkFY08HpZksSR9KZZ8CdI9xm IcR9/EeucaXadY9rsvhQPFmxbS2eKn+kq+5mKCKfFdQPeE3JKndw5pxlacRCl//iYO9b69oUyWTw aMU1C39VgQC5VYMliNk5eT6ynQbnCa8bn2sByS/A79j4/cfS5fBxyo0ulpxmbb4Ze7b9EmaCcM7q EQMJtIl6yKzrL9TjJFGz0Yckhs0osjWBGR/h4OiPMqPnKhDF59Cl5x/oNwXd8DC1R6ce7gm+0ZbY fOIgwTKrs0SWbyqcs6tdLrA7Rn6TFP7Q6Cnw5LJeUntknWvjtfYHyI48lqQ+w1xTcvre3MDkgo+D mU1dJJBEuC+I8xsLAalKv4O0eKUPolI7cO90XA2CIIVoPn29vyUwEzztzVif6Yf0LVL4CaYbNpGv RJtCMifXBxgfsLLa+ql5Z+IvFmG+mkhDZ3MBqDBxrKsd1kiRoonLFBnfo1zxzVsRRuu3S15w3+sr UvNQsgXae3RjL13hKl7AWud+XMIilnhda3r6RO1K0HNg2j+D7CoFl0Umb6hgcZxHpgkqvaI4kuCz KB+cPlxDf4ISzyZblXJ98L7prUcfL7bgAk1d4CmYBDxuJ9vSYApS1nQIIp4pmBhW+ofcoY0mqif9 RghvX1diDNA9Z1z10n/RPj8GkgJSMAG181xGdfsh4hyzpLxTD9uGBWiGP8BzCqjsr9zqaYENGEUW dJyKu12jndtlAp3USCfOkN0aSNj6VRF3o5NzxWIaOHdb8VQVUVtpwMwkuhh+8su7gtqPIfFKkRgi uagH6blOvlCox6bOlqxKnXLCliGmGio5qZatcnjF3AGZqj0DOtjFpuUzPRyRS7LWmKLej+3MipdC AAMr5vjwqWobI07XwXUnwrfQbonrcR3xpn/OaojIWLmFRZPyGhb78oKIBy+2lEhqphp3zT2hFaWq zwZcvWBkeOPppfIrmHORvRkqrowHkH4nRrnCwL970d90XOHB75CjLvMw4g8nsHoaz/YeaY1vDIGy if1sIgGw6x6M4dwcFOspWwLkjEhPNEARdht+UYP+eufA8Cms4OVdlE0Rv5wtG6Qun4pXL7H0SUA8 JrPDQoL/kWo2I2uoyIjO21IqGlHBSznQjuk1DJm54XEuRJlWN7xPLJhh+xJHWisPUeLHvg4S+BnF LjhTtbqlv33sKAQIrksCOVxuKQHXMMfLRiL4FiQKhTssNxbo86pOMHKj6gFHYORlWldUVfEH2lR9 deSKJjT/HeUSHBOpWpgNnEBl1hZSkdgo6dPUHhrcVApUXEjfu54Czg4CtHdjIQzfcxf+x+sIv+xl dikyM1nNM/bMz/dbwkOsanmVkx6YwaScsumF52oOzWmPkHXKrl8Wrtip66Te8i7rmJe80LaG9zLV ceCNIuPVNxITKU6GuJh8H+YQBP7Jnsb8FRh2+Hkk4jtw3+UoL15UUM3m2uclnfyjpPmbmKmP9r2d 9jmq4FmGTx1+6r8brvtipPRZmeHGqSEcDg/dg2PU6LX9cZIdTHoyFRYhuAhLGl7Y2ML4FL+iMrYK 25QkVpmOfTDtmShXLV95ol4Ipye7HeBj0RYNuEf9kbe9Uaasxk0Evk6fgtmGcH4yAEK77+kNOmVI zneneWSb72w+KtvgLcWP1wOjb9G1mLIA4GpQVt2hIx+KuO58P83OiYBY+0Ga7hR2eWDoGeoJVu44 y13rHGD0vITZboNE92jWccjbQz1MHzUzOLIQ4ByGWFsAfUudO2dOxfB32bfRLi6y7rnALHi6z7HX Ah0rB0tuCa4NtXLLU/jz5Xb7dEPi0jKlgXaM+JZ6HEUoYl7CUjq3hNyS6ptaBjVfJLH1cy0rPz9O lU4QxFhpaR28eM/8olynFVP9CHf9Nb3gvxRwK+NV3qQgjVVBD2YF6+UT1sCbrEC61r1hwEjjJ0W1 K2Ztqj+rC2EAQIFmNnzuzDeIpEnGH+K8DL+/69Q0h5hFAmrrNiy/8N1QKTe7bOtPOdNJPw55dHvf 1Tk3QJ7FuYgPXtV2zp8CJ9iE5mKjaormIen6avhRSoiZzSquv+9kvjG+cHRcBp0FjW9JYbBGGBle GuhCgJLIfR/L3gEqz7eAUD26k6Qv+horDJMD0Zqn3HU1oGKF/Ijtyw+Qx6ngNoekweb3AMNtYMH1 f2VfZSJemJq84oCHDOBqcu/mWxI21hp2NWd+/A5jpBOQJROGMlw20pP679sgpoUEYIeJ13XTGwkS sC08cLZF/fhdEbOrkLk/++RTBIBFJl7a/4qacS/FTC908xyktWfggiKg0WIIs+9Ur2lTDWCWUUyC xrOgEooqs4b9Py9UxPp+ii7SwKo/hyMHmzD35cJqjQFrmzPZD4UVxJJzzQSNFVcn6pWo+i8WsdqD 3Lw6OMpl7x/4bAnwE93QbHcVrxxqVLhw48QeIBxtMSwF9VqGEzSOFjxMI656iJqNxKlEPPlVBdfs MBTRCtTg4HVjnO/DaxnfJPyCLwEc2YioXGkIBSUNNmgqm0YLQ/GdZABHrursqfrdPNlImytwHetO zUdivb9+VD88X3wDEkqMYqRiRuFTUwFlVySe4MqlKNvHKSRCi6+zJ6rCZ9ruZQ7TWeEzVdmMzstt LgmNJcd/JXR7mNKmyvxgWpfsRFTLD5N4Na4Goo6IuM5R7RRdwDP42EWh0QL/QnATmvPIDHTmwRxU 5ZLOerpx88PIsI1ql0zXQszfG7DvNm80ap49QNnzjBp1H9/aTFzHUTB5bRnm1ONYR8Zr+wuBoNJ4 O8TCujYSTHBUOH9hIwAB6L5FaL22ek4IvH47nc7nFAAlyfjUH5NjHO1d1KnbVMThBQAXGMIeUP+A URu3wVe2zSFK6tNdhJJONPgeIWf8g1YYRyYvwbR2Aios90GhU9we87Cc7VL/xk8CjfrZ/ZYWh7n9 vyARqJpd7aiyNxQxPIrdvNwA5yFTeJrqbzyDT3t57R6tghBvk5iiaNtE+fFt0ttU2C1ztG2sYJXj CYHPWz33NNos1LLISphI7PEa+bwSs4N7AK58t1jfO4fxgD59jRZJlLx6OIanDhF+S75+nVWuj4jW 0eC73RJVwzO5NTKZD7K6Go3xxQay5BhcfrrapFyrWda6hpUzi01+cojzeFV1RD9N++1Bq5PeCnsT AIEeQHVZSnh8uBlUZgNM+a3rDBnBZh0Jzg4bYuKYp0WlEXA4tYLr8JV3IKIWRhcTrCACK1tdTzF+ K3KVCm7ljVaDqKmobTRMMCOXZccWmEqS18k0a7hVeGCFDL2Pv+J3ivTxqtXWlIUjI4wuH3qP1CU7 qQ2AIC24nUMGxPgvlDGETO2ggsDLnl+MmYeXifTDH1sLRJxC1nmNcMFwQ5TWr8oUY2nCTDjjl3/v 2xPsZcAvWHHsx++JD/Ki1tluA3QexbTdMyneXpoD9uTdSCNY6JBZl57B1ttmlamTjiLyiOmnHo+6 pssjo+240Leba5fA3l2nwtzzihhTSVNkH3X7LsOjeT6RasffSxo/3x4nVg3/MiwdhOBBDNxSlxwN vxgkTNhv0GxiiYD2auqsLLqcTUG6AynajBgWuKyRSXEfD6cZKYdM9KB4X0Sd2/w0Nq1VSpqxWnOg 88fRmVChut9sBH8qfkj+apAheiHq+fUIbdGEThnwDLO9S5DrZBE5tARwAOmgFRh3FXTLPjh1SqvQ /CnfTXlgdhB6j/5Ektc8CLS+37PzKCGkHqFzmq/BWnROvrYgKpSJdic3lT/NGUUQoXazCWtyvDIv LrjNKYOPQK66E2v6vahCy9ZbQL0iKV83LcM7sGBktqSKL9NB6OXb4zaOn3v25nfFBdm3FhPn0+uL bob7eZ53CfFbq3EY4cUoEygENjYBoj+49F1bXv/CCEAAfMUlh9XaWAJML/yRM2SGxCrghzd89Zf4 Q8FLlOVpIzTJ3lnshXORbJOWqe/sFV3yWeWI9/ATxpxWMJ4RRj51CZ9c5x7NrXF4fGEv7MyKjZvm NJbqQjDnhNMCDyCqiQWpqtHSnvZhzq/inqv64YaNWzrnNSEFI1CKYoClu5IbgsCcUlh5P3uIfNVR jB7kOZA44g6L5WvTBlo6HBlaJHl5Gf86Lz0tBwMhTqqWuDUUlFT6zj36gTKtd8PBH96pEYidwUgO q0exqvoDmYEFC6M0i9dF4qN4E1f0cfJ1dMKps6nVH9yDUjIc/dO0PZmdhw19iX5FUDNgJOXSlbzL 5iTWUudFhEW3+AneGa344Pgew+5stGP45VbGDBPTfbszUBen4EwwhpxllMm1Aw2FtWBEo4sC0fFT tdKDv0GqTJeIxF816lMu8wXMynvUwasHuVCGsdOwmFvBqPZze0lma466/t7z+KvQ8EqdLgPGam5Z 6JigkhCtqhWDB2RLvw58wV6P+2QEI/YdLmrxRK44ywOk9E1k4kDVTeY1qgz2g6rucdX0X7jiHxmd 0BZUcSNwlcemkfwPcuS0NGeirOzkhCX2unByA7tvXVbSS67ZZqRlsdLNm09KodCwGd5V7cJhw+Cw T/C5uTWlqHeyATCfEXWe0UpWww8VPV1qk+scX57cwXcv3LujHoy8rGVU+mpktGNYEgmt6qMUn+7A 93QufXp1A7Mjt0rttGhUkqJdzfUP2UWF8za2Fh8VNS0xgzryA5JOcOxOKyD9q8itJANZOcs7/dB6 fP4PSPOskClSELsvaP3+/b9GLj0nhgpKFNpZAkiJQHJFb9YmkLP6r2vYUAvdApk7evSjDsIbhTtI +FezVKZEGwCyPmZKE3/+ZzHJOxpzDotBP7jw+3OvsuNYo1RWX8+WbDo1EWUk0NVNrA3t4m/+ZIHt zIz8K96tx6QVAqY1S1FA7vtDRfiNwiEs2cLqRjNX79Yo4acBb52IN+q65G1Z6FVfZO2nsstG/TSH UfyoSZ0tX1Ex2tZbbYRehryMWxUXvzaG+ghr8G0jsLlByAabFFRgiq37+aRprCvaPmdYP2lvtMOk pHWNC3ptSIW6EyA08MEaKjSpfynZ8NwFUbYbIhSbPQhkI3EU+Gj9++eC4wZ2SBk7535XyjKeTdaa MvSJDg5CDtEO1reeodzBBDUKMVZzcpLWtzIr6jCliTKu6F78m9FwBvNjFIFs3i01LFdprtJ7++1M 7q9LMsZ8IgVjua4YfpZ2qNZR0+xybv1Fi7Y1pDjzFEQANplz/Zd4FF62jYJHKD7zWEilwhgBnJR1 HgKjmcstNr6fV4+r/FYCeIZ8/2UPwBD4Zd+WBzHT85ltOatFeGKBZXwUcb4gRlEw3X4GmIZS10el Cyb9trXjzf8akL7AFy6hkWxCcMMt/tAIa3XjxTm008lOSn8NcE3ixOWcPJXyOelg+TzMIRQGUNDB hVvMH0ih3EARr+d9eotQ1mIalO3+FJv+3tVNm2tTVc7naEcyQ/kwaWKRe8+yV+mMoXy85RyzBtRT 035LQrtdEvhjwN/5TETYvQhXDKZVZ8ktLHDyen83A+0zkVs5nODVc4qgvjinuWeLuuwRQZvzZnC+ CObBWX2d5F+PsEyccJ+E7tg8jYrmunCvNeUzz2CBTT1yIsut+VZPr/E1xm9BGagg325c3ZoXlWmx ZX4/Fa+yJ6IJk4bYCTTFIzhzGkk//9kvvFbw3REvk760snM1+6H90AvyIOwyb689NXCctr+i15nt ANv07zPiJpFxDdO4s8Tj+0YH5ouPPE/uogxRkGm7wbd6pk21c9pn3Zwj4+Uko4hIoXLnMnoLMwqr xw4QYu8HmXiqcPfO+5jBB0FpFr98ypfWYJiVV7EhUV/4FKomJiYksdaagaL8EhakEsYT5SYY26gK adS3EH+eQHqj9fXgdKc684vHZCROic60JiM6fYdxG7pcLCqim0C9Ligg9UEP4fR7VWJtD5E3ozqI ByqZGxfh4X+dTy+f2WugXaTM4vyfl9eUuTcOtc4hKzggPsix+qRpJ3OuJuXktb1RuAP794buFaXi 6MbxAoUEufmoMcyCCu8MhHmhm86e7NWVduNhic+tPhV6pa0u+HIvQJ+iVuTmX2VWcuu4EQG4UVlO qfcZUheOBSEKZUM46YyXyMuZSKz2YKtkv3rJ4GB1p1LQxY94lXhVjsztfhsi2vVgTeL3rBPuLdMV rf9wDgFcb1oSreBKLCps5Mzgxs6B3fOigQSYVQM8j7H1/G/TqPfGS0PedKpXmIpFdRcxUVEdzlPT l73BeQUCmrVW2OLQGZrnIvr5dgSRRTS7rcEFxCXDAmrFlDdcNi2D+Aw/lLKzwo/fbIu8qDTdl+nt nukd6x2Wgl/5h9mHrxdVDbqVdKJuvPO5akki/KIPN+3Y0L0dyXOXopjJO/SQ1EaJqYRNZg7XJ5eT c/2SZ2h3iNLi8NgRE2YjHVklluEgq6sWyCFrnoeH4Par4OtZew/q0N+8BLuRateqqHYHdOrYWwB9 vBUBZaK6jOQJOgAfY5Aot2ViFA3cw3gMcEFlTKosB+PrgdQRRHWVfInYbLeqqPauPbytK2XfMsMb MRZZHkA4jHTQ9I4EtVFWZ25BnehfYGt1bX2ZvGH09vUyI8X3vKGN0foQ2YWAenxqCOhqAhL4KlnB d1WgEInmIvQXh+zhh4dADxoIXogL0IUcN5crq+iUadZ3w7smBZ5y1G2i36WpZV1ZsrszlFxtyeLe OJmKnyM/wBKyHZmJXx3NOvGH7OhCCiNKB0GE5L/pgEcxk9idJCC5YHXih0Hrb79cl/VWf4SMuHK+ R3gGEhgbEX972dN61Km2HfzvZvNqls/AuGefJ34jONhUFNWUcbYwxA6rxndfBPQzIVdhH78oFOrZ zzvBhR6dFvN9Ka0Dfk0wYKlTLsxE8d4UTUUZvqbMZ9TkrjRb6P/ZxSm26hYZ+aQ8FZmDvKARtrqa SlLOyUJ0zm9Nz3lUs95/ADsDnIZGwehMazuFqFGajy7Em6W+dhXdqdeSic+uwBrgwb2SicIoH6mr 5C7eEaRLdFzCiDKRIHy4Kg9uZwFlyXrMIsxTYAAJxqXV/tQvPepQLaIlZ1Gk/yoaN/GB2X3PA/4U lVLwdLIvK9yASanG8TSCCe/dVDKld2uDm1Vpz9765y/7+kcJAUyxomtT+EzgJhocVNVMOlCj0xf2 UuwjJR0hYpouHDFBebLf6uKef1KfLFkbL6GWT/n9vlPTcYAu0CrUJdbcfnJrjMG8AZ2shS2aY3Rz GU2wLH9k+Vsh9QIP0wP4NeMccNpn96WuUcOJ4OVb2SKHUTELki8lSU0poNdUJHyhLLxjQABblo2B fT5fd1oHaO9lq/EwY+XitfeFPoVEETRWvtoP1FHzdkEQI4hfAEYJd2RzzYnjGjLs/g2PzMAL+4AY 8zGk1CMCKMWixYH+12udU9tNDRUzCPmHi7ez9bgf0JghJ853EoDIEimMuvEvpk56ohwld1knAPHI Yn8x6A5vc1pEvX9rVDfoL5/Ll4SDzSzbdBg0XrPF34AQ3pxcxOIIRxg1eTH4t3E73U29euwfHz86 YN/RrkzWnAABfhvJdBP6csIvnCU3mTvPfhUmTzeZApIc+U8YkIKu7yqwvhWCM+deM6FIHhGPN7BX A0qIGhSp049hUhbt3HoOY1gwitTufBo4eYlg3DhpMPc9XpW6id5Dyvr8OwepQEcOr88nmKkL8C3N 3A8DypfTXKkQGVq/Y0X20JiQdqIHdTRRyrKsSO1Le5PLw0AQhY+HOghgYPLa6OIZBOGSr+c9Eva7 xkz49nhNUw== `protect end_protected
gpl-3.0
d918c82ac301e4b35c84c857b5b278f7
0.950037
1.827039
false
false
false
false
ymei/TMSPlane
Firmware/src/ten_gig_eth/TE07412C1/pcs_pma/ten_gig_eth_pcs_pma_wrapper.vhd
3
10,440
------------------------------------------------------------------------------- -- Title : Example Design level wrapper -- Project : 10GBASE-R ------------------------------------------------------------------------------- -- File : ten_gig_eth_pcs_pma_0_example_design.vhd ------------------------------------------------------------------------------- -- Description: This file is a wrapper for the 10GBASE-R core; it contains the -- core support level and a few registers, including a DDR output register ------------------------------------------------------------------------------- -- (c) Copyright 2009 - 2014 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. library ieee; use ieee.std_logic_1164.all; entity ten_gig_eth_pcs_pma_wrapper is port ( refclk_p : in std_logic; refclk_n : in std_logic; -- ymei dclk : in std_logic; coreclk_out : out std_logic; reset : in std_logic; qpll_locked : out std_logic; -- ymei sim_speedup_control: in std_logic := '0'; xgmii_txd : in std_logic_vector(63 downto 0); xgmii_txc : in std_logic_vector(7 downto 0); xgmii_rxd : out std_logic_vector(63 downto 0) := x"0000000000000000"; xgmii_rxc : out std_logic_vector(7 downto 0) := x"00"; xgmii_rx_clk : out std_logic; txp : out std_logic; txn : out std_logic; rxp : in std_logic; rxn : in std_logic; mdc : in std_logic; mdio_in : in std_logic; mdio_out : out std_logic := '0'; mdio_tri : out std_logic := '0'; prtad : in std_logic_vector(4 downto 0); core_status : out std_logic_vector(7 downto 0); resetdone : out std_logic; signal_detect : in std_logic; tx_fault : in std_logic; tx_disable : out std_logic); end ten_gig_eth_pcs_pma_wrapper; library ieee; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; architecture wrapper of ten_gig_eth_pcs_pma_wrapper is ---------------------------------------------------------------------------- -- Component Declaration for the 10GBASE-R block level. ---------------------------------------------------------------------------- component ten_gig_eth_pcs_pma_0_support is port ( refclk_p : in std_logic; refclk_n : in std_logic; dclk_out : out std_logic; -- ymei coreclk_out : out std_logic; reset : in std_logic; sim_speedup_control : in std_logic := '0'; qplloutclk_out : out std_logic; qplloutrefclk_out : out std_logic; qplllock_out : out std_logic; rxrecclk_out : out std_logic; txusrclk_out : out std_logic; txusrclk2_out : out std_logic; gttxreset_out : out std_logic; gtrxreset_out : out std_logic; txuserrdy_out : out std_logic; areset_datapathclk_out : out std_logic; reset_counter_done_out : out std_logic; xgmii_txd : in std_logic_vector(63 downto 0); xgmii_txc : in std_logic_vector(7 downto 0); xgmii_rxd : out std_logic_vector(63 downto 0); xgmii_rxc : out std_logic_vector(7 downto 0); txp : out std_logic; txn : out std_logic; rxp : in std_logic; rxn : in std_logic; resetdone_out : out std_logic; signal_detect : in std_logic; tx_fault : in std_logic; tx_disable : out std_logic; mdc : in std_logic; mdio_in : in std_logic; mdio_out : out std_logic := '0'; mdio_tri : out std_logic := '0'; prtad : in std_logic_vector(4 downto 0); pma_pmd_type : in std_logic_vector(2 downto 0); core_status : out std_logic_vector(7 downto 0)); end component; ---------------------------------------------------------------------------- -- Signal declarations. ---------------------------------------------------------------------------- signal coreclk : std_logic; signal resetdone_int : std_logic; signal qplloutclk_out : std_logic; signal qplloutrefclk_out : std_logic; signal qplllock_out : std_logic; signal dclk_buf : std_logic; signal txusrclk_out : std_logic; signal txusrclk2_out : std_logic; signal gttxreset_out : std_logic; signal gtrxreset_out : std_logic; signal txuserrdy_out : std_logic; signal areset_datapathclk_out : std_logic; signal reset_counter_done_out : std_logic; signal xgmii_txd_reg : std_logic_vector(63 downto 0) := x"0000000000000000"; signal xgmii_txc_reg : std_logic_vector(7 downto 0) := x"00"; signal xgmii_rxd_int : std_logic_vector(63 downto 0); signal xgmii_rxc_int : std_logic_vector(7 downto 0); signal mdio_out_int : std_logic; signal mdio_tri_int : std_logic; signal mdc_reg : std_logic := '0'; signal mdio_in_reg : std_logic := '0'; begin resetdone <= resetdone_int; -- Add a pipeline to the xmgii_tx inputs, to aid timing closure tx_reg_proc : process(coreclk) begin if(coreclk'event and coreclk = '1') then xgmii_txd_reg <= xgmii_txd; xgmii_txc_reg <= xgmii_txc; end if; end process; -- Add a pipeline to the xmgii_rx outputs, to aid timing closure rx_reg_proc : process(coreclk) begin if(coreclk'event and coreclk = '1') then xgmii_rxd <= xgmii_rxd_int; xgmii_rxc <= xgmii_rxc_int; end if; end process; -- Add a pipeline to the mdio in/outputs, to aid timing closure -- This is safe since the mdio clk is running so slowly. mdio_outtri_reg_proc : process(coreclk) begin if(coreclk'event and coreclk = '1') then mdio_tri <= mdio_tri_int; mdio_out <= mdio_out_int; mdc_reg <= mdc; mdio_in_reg <= mdio_in; end if; end process; -- ymei -- dclk_bufg_i : BUFG -- port map -- ( -- I => dclk, -- O => dclk_buf -- ); -- Instance the 10GBASE-KR Core Support layer ten_gig_eth_pcs_pma_core_support_layer_i : ten_gig_eth_pcs_pma_0_support port map ( refclk_p => refclk_p, refclk_n => refclk_n, dclk_out => dclk_buf, -- ymei coreclk_out => coreclk, reset => reset, sim_speedup_control => sim_speedup_control, qplloutclk_out => qplloutclk_out, qplloutrefclk_out => qplloutrefclk_out, qplllock_out => qplllock_out, rxrecclk_out => open, txusrclk_out => txusrclk_out, txusrclk2_out => txusrclk2_out, gttxreset_out => gttxreset_out, gtrxreset_out => gtrxreset_out, txuserrdy_out => txuserrdy_out, areset_datapathclk_out => areset_datapathclk_out, reset_counter_done_out => reset_counter_done_out, xgmii_txd => xgmii_txd_reg, xgmii_txc => xgmii_txc_reg, xgmii_rxd => xgmii_rxd_int, xgmii_rxc => xgmii_rxc_int, txp => txp, txn => txn, rxp => rxp, rxn => rxn, resetdone_out => resetdone_int, signal_detect => signal_detect, tx_fault => tx_fault, tx_disable => tx_disable, mdc => mdc_reg, mdio_in => mdio_in_reg, mdio_out => mdio_out_int, mdio_tri => mdio_tri_int, prtad => prtad, pma_pmd_type => "101", core_status => core_status); qpll_locked <= qplllock_out; -- ymei coreclk_out <= coreclk; rx_clk_ddr : ODDR generic map ( SRTYPE => "ASYNC", DDR_CLK_EDGE => "SAME_EDGE") port map ( Q => xgmii_rx_clk, D1 => '0', D2 => '1', C => coreclk, CE => '1', R => '0', S => '0'); end wrapper;
bsd-3-clause
aa88eeb7b3077dddce48fbfc73be4329
0.551054
3.926288
false
false
false
false
ymei/TMSPlane
Firmware/src/clk_fwd.vhd
1
1,558
-------------------------------------------------------------------------------- --! @file clk_fwd.vhd --! @brief Clock forwarding --! @author Yuan Mei --! --! Allow both single_ended and differential outputs. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values USE ieee.numeric_std.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. LIBRARY UNISIM; USE UNISIM.VComponents.ALL; ENTITY clk_fwd IS GENERIC ( INV : boolean := false; SLEW : string := "SLOW" ); PORT ( R : IN std_logic; I : IN std_logic; O : OUT std_logic; O_P : OUT std_logic; O_N : OUT std_logic ); END clk_fwd; ARCHITECTURE Behavioral OF clk_fwd IS SIGNAL d1 : std_logic := '1'; SIGNAL d2 : std_logic := '0'; SIGNAL os : std_logic; BEGIN d1 <= '1' WHEN INV = false ELSE '0'; d2 <= '0' WHEN INV = false ELSE '1'; ODDR_inst : ODDR GENERIC MAP ( DDR_CLK_EDGE => "OPPOSITE_EDGE", INIT => '0', SRTYPE => "ASYNC" ) PORT MAP ( Q => os, C => I, CE => '1', D1 => d1, D2 => d2, R => R, S => '0' ); clk_fwd_obufds_inst : OBUFDS GENERIC MAP( IOSTANDARD => "DEFAULT", SLEW => SLEW ) PORT MAP ( O => O_P, OB => O_N, I => os ); O <= os; END Behavioral;
bsd-3-clause
6e259ffe26f2f6754b76f403635eb946
0.499358
3.648712
false
false
false
false
fpgaminer/Open-Source-FPGA-Bitcoin-Miner
projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/fifo16_patch/wgtr.vhd
9
22,085
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block lptqRhamg10D7hMB5OSfJjY8BDtuC5fszVpL4E2MRYDFc7fj4Axi287anZA4s5tt0baXxcxpEVtv xNWZD/7cIg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block N+8pro8/jbgddYH6V6DuOl2RcDQFov8eYlPBEdcHy3N7zIewzI9IiZC0O6S7nOLSZGju1ygoMMNW fUmhOZut6ORbFMJGwytos3x/PD+mPCWi+VYGuDow7zvZZ3A0QvgLxJn5DTCokntkmbgX61rLywUU g+LPQDns040N8cqtG5I= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block YF135qcAJodFmWr1g/L+9BM2mx+UTxuGrOT0QF4HZPdo0ivamB0vzxdftjV5MQccQdSXJPChXQ3N un0rskEeyoaPlxfqRpyPp/e3Syw9Z2Uut0Lj01COpAT8P4UNJJuyvg5CdGULqRb41k3X0FzaYVj6 nuwaw11VMYQm/fq5DaGazxrLD2xtqzJO4TdnK6cK0G1lhfeID+F5gGWGJExSXUq/2xiiIkb3+nnq Y3eimcQ8emgX4eZb1FO5U1UjwwrbPr0Zc4da5D2b3j/UDCDH+uieAjj+CtKvhzbr5evpmj+Zy3JE dmbR1lP2V5YhkMRT+YplfYb2H1gUZt5GlKquug== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CYxVZaKIgML2xe9jMO3bTQH3F0ztmyLWQla5pB6PkhOu6z1i27BkIFFlIVy58X99UxjSg+zvkRgh UEsEoUilIaIJe6/VnPhhA6WexyYgXggjSZWavI1LUAjR0Ktu4C0TJwYq42jSZdZRrnKZEW7JIMry +2CLMRihU2+nANgs764= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block WsreWDpxDvrDWBZSDJtk0eTVVa9dHM1YDLXWmG3aX8VGpnotl/Euq5xAVG/ouakMXwbsASPZcCqS hKl7BWQZEK01EgjuNz+6DhLFtYOYBXF/YbjR//tZY6zrufiSnbeWld4/1KPjXipvUD87N0fKSAHF uA0W3RQMZu2ghLueLO/lEezkA3E0hTWS9c2HbdRV8qanHIO3xUexcVsQLMCZjLSc+oCAk/iEK0Sg nZnA54yhO62ejKJPEs/7FqHCFbTuIOIi7jJzSX9scmw3rzhQJ/EaOxtUV/yyCjTedyinRlBW7j6y NTOlFJSJeiSOBwDpLAJSIYPfZEXvWAUplNWt2Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 14608) `protect data_block Y+LrWm2JoAUHfs5Ur0+lneIJpiKvw/1NHgWMMlyceSgzUq1ge/+eeIw76T7EnJ3pxAY5+jV5obTb OCKwDoa9dP5jXOzN46ORC8JfaywBnHYcLFUszhvB4d0SryoGxnL1oA53bncG1kSHRbueMr/nMU2m Va7Q3g49n715jDB2xXVJvpmtB86Ntb8JKSICF3xtZVT2vhTIrplBUeB1gCnqz34vp5LSZOIgHZ7f 366zTb1jyLOERI+i4UinUMIRTvzBzimShjnE6nL7zCo/z77IBBhqK1k8hS268k5/eI0yaq7SadUH IOpSLKJsnWG9f2P2qscmxtYdZZjiZ7HDaWpUvX3mtFV3z4XfZHSBejprzTBYezuzBILJ6dbC+YcF CruXeY2X3I4xAa7lQpIDwhCMCJ0N2p+60StdAHQ2zeWxz3SnuQ811RnmuR2hu0dY3CZTbtkUtgfE LLsTaf7+Jyhe1v4kUXoQ+V06CNXlqrPiXutsAn+tA54vOkClWC6hA6eKnmRqFVGNO3gwocxdpyCT tXngw2GgnPnIwjEGrxuQw9mJg4GMlymkpoxk3D5kAJJ7Mc7jIenVwN5s6fp8P3uaSUDVaYOJYiUQ bc6av8TbNXI1ZCYTOH+RjLc0mr6qoG+roTym5PNgoJA+O9mJgtbmxEJqXWlpgz6BQQBRXUzID9Wh gBdPQG3i7NtCeamRe2Gbe/yrYMDHEmu4GNmmiVrZ0xjT2DjLYsA4E63pMZZV8BKvpsk6RSQe0uIz xL8tjvqD2xtpuoE7ZjAMRL73GC2yLB4WD36PZcIUZ7GsFOIhnJEYKYO7M/xX46xWI7125PLkw3FN QOWEBNgGwggn4mEeBvNBaI8p4fnrZWWiDx6Uqj8oNKeXNKdCNDD3orVzuzD8/hiQKRNiGfYfGNuX UQAEMggMcDUvqlFcR/miRhZTnIu5PajqurS29GTjFpKdYqUKdg/KKBCFuu4nfExLDOSX7PERDGDF bMRZIuqs4aJU3iPV37TRvmMCXRG2CjLgVKoouZIwppMBCJXK9Jzel514z84molpxBBbCZOEILt/a LaF1KQ4pO1PJxQA4ayx3CGXNSYt5vkqgC0VVNF4l6tfytZut9jrEdfZxieJsQ377m1qRl5Va++hW UZdLE6g5MWPrHVhQO2acK7aTCkzFB8N6RPdqEmffOlaSFLPTk8Roit3Z4FbH+a4nDLdIgNxe7NcL QO+L34Njr1C/7UVHShCjW+E5XU6GIq6+7nWFmuAm4KVMPqqRZghuU89DdK4oT8NfjQO3aLhAuyml 8OHwjHibHikUj7m+veJlNIxm+KQKPAB4UfnepMxAzwXgQNtlvCgxU9RB/TE3qc7W1oQ715zkJ9pE q4e+PXAFg/9Jbn9DI9/QtPeZaWqzctMawjyQiHFJplQEs/RyuqFI3mX7+AroiIGybTYctqKSeNTS RoBAhfMsD/oed4thmRxoc01IbfCcZovU74KZiBdXLEbCAWm1V69tLOSWDzEVYuC1Fg0YKolZR3+0 0kgJw71esrCCDukKY2KpLnsyqLBtsNWlT1AkOLuxqWMsaKa5WH1RnKTNPCzoI9oa7l4avCkMYqmS 7JHAVq8JAF3smOUZEtKXdUHAVs6ihfwnJsMl1idTWbFsW5UOM3PlNqO+QQGGjef//ZJI5Eme51Ua 4TN2OY6wWstalyXHHRw5KYPNOxRNFy3cME0WZnWBkR35ngmAuMLtPD8ocBu8iujjteimYneESJEr DRU+J5QToVZ4VUo0EGsAS3ZMyChhD2TJ90j58wxy+McYonK3pRMFqoxz8Hc1nJQAyUE9YvZnMI25 l6lt6J5aKY8CXg7ikGo4PRZodmivj8WI3RWkW30PtuvcuNyxzMLfcAuITguz1mPiPmqy9g6x7XJc dsaYL6gs/3JK5v40RHrLmfQlj8Y060VIBntuV9y7/TR16n5vWyvgYilbhPmAWC00FQfvG6V1lxm4 bcnmGC0twIGtux1z56tgdBKiWNoqO8ny9kylWlkCYios3xk/rxFPG+5ca9orkHOUIXZ1J+qBQJxo Tl6yWePn/w3LIlq8MLCuITYoPe1UaxjpEE5x6vjBrWGMpCv438IUc14pDjqqVQCLvJGRQm3AIWGT HZdqrLzuQ5Whx3CoaqTZCrAZGKSfR6RMs6d619KTJbDMLa0Bmz5I6Q2UmEZIH6GRPDiR/xbtmAYy INCyF5lyO7Z1P+euKwcbS5l2fMRZ1kggGhcjBD+F3YBadisQcE5YtoePMuZoBqAOjx76iHfSh+kE cTpE2swXyuopxGqaHy/qauV9kbcTvT+ek/5+TI5/rRejoZsqWIRtgE4UDer2f9oefrwcdoBKEy4w rKYeDyJjBfgsJAal7D8zpzaESDtC7DJkNiD57tI5ETMzzOUTbrpc9BGOH8m1JgsvTl/n3tIY9iZB Kv6K7aI2WNgVmVXOCjTsa0v25Tbi2KGKrL+Xe9wQCw7YfKpeo1Z14Lxu+3Eo8qQtoRf5fyJ8NVRo atxLop0EqwJOKookt60PRrMoGE8AM6e1RhglMwzUj7L6KE3m28M9jvZ0EERefpnesEj4YhcY4WlL 4mLzJKMaUqQmRbc2Jl7N3HIgV8XKwRQUKqER452lbirSadNgkWOgt7qTaD5ZQHfCOzoAC05Aw1A2 5CT2i+WFKXon8m2Sby/+uMoErQqH0ZnZs2thk/WVK+oux7waEVzWLx3r2tRcBPCRbdGMijpOm2RF kKGwi/RoxljkHQt/2HuGfAGy8+CDHFrSCnQORFRbcVG/85yodpF35BcE/v4crlpjp7KSTQ2QqgWv Pz7wTODRiSWuhsXn4dnSOsPNZGeLW34yNWNq1UROoKidXucpnqcqp2AdZtm9aRbLtpAET337mUVn M0fRdKPMv7+oUJRriM4Ez1xBRxK0ga2avxDL0Us6Uyule3oJWUNuwWLKpEmJfiMmxJQCI3JpVMaY xX+TAA+BDoQKJjZlbmXlXwEecKEaXuYY36oEDD9HJbGHfxVryt0yq3apCFtR3GJNEfNPwKU2ZeqD Ttj4tyx15wCwEmKlhMYo7OBfzIGq1/8Fweo6qQprQBgw8UKx/Vc4ZiRty7F9StNxuYuAu0y1SvKP vBsWQ2TC/Rinfn8Xx72uCvRb5EkBYvhnJ7e2bqUJgB07Iq1Fj8HLY/u+R+p+AfheUH+YS1/X07BV o2vtLOIKe4pDo5e0NtPhzSI8qhhXQgtkU0rW+SVNbiY65kk4D9/4o7xXXctypXR8pOjCyzglAUiE mC4ccV6IdqvNkG7MeQMyUM3B/xruVE8yih8+eDQdTeM69py5Dyrhvmy99vyDHKs99rGF7jsdLwod pjlIiHFN/yoHmlvm+wAdNzGtJiWTX6WKzkSgztFhrf24YT5BeNDp2ZpAiSb43uqL18Zy9gQ5gENb OJyAI+r4rSHipAXAj3C+4g2/ogcy7paeZ6IlvPsyfgEiH6dDvdt/FVZ2fhLIRq7F3QliDn+iM0K9 DbyzVjOzwBgqC/pOsLZcloVPoHdG7jXP+H+VnilimGiy1odZSbqgLBvF7WmNfRdBLCAupB11LP2H oVu3WAEqpTKeg7JOfGY6bBjk6MLPuASTGou+zuSvu5P4RMSkI8FvFCXv16gBQKl6ao5sV/W1D5Q8 ngOowmIjM81SdI1LM+ynfMCI5FC/1cJzzQKn9h89a0Hh7I+S8GHTaLiRwHfe04s0/nIJ3mkmPPGi QjQgi7PkHOHIZBWBs2obKwcM1HDgmPOlc8/ezzOJBlbaQGMzg4GdZtotjrFPDsAxWh3DhSFajgiX D2klQQOa4N9XVakWmJH+gT0Ppc4R8UNHVPoEFwsbHfmkXxdTE08rbsb8G7arJRrQnSP1skq2l8VZ 8d9xHiEHSwmxN1ICiapM/WWa3/U/IemUTy7EWalf4IYRpeVpqTws7N6MX6mxWMZ2ESEAVE5TeHYx BsUIsDz3lDQsrSYwbYqNkeZPxbm60S9hERtEiL+SyZ9YzlJrzhFD970NYti7ST+kGNJYzSY/EXR1 npF7jGAY+43Ai7aoGS6S9gWd5ILZQMzvMyJljPTkjecf+6Se86wu7aFI8O8WCY9PQM55AS/1X0h/ RoucBr685gQS07ASZk2tLRHRhvLET+af7UOY5xixHtXqa0OCnU68ZmDRh/no9NU09D67ePeyGDkx 4nDMw+GcA57dCZvchjVfpRR5tZrNpxtSiTZ9SMkcoiavM+2SjrxFN5tfT6H6fxyCPC9Uq0yzv8ss W9g8uoi6DOie7vlUnH65fhdxGSwxpCadjd327+R8kuzvEWN3LvHHfhDf38YBxlbxFY7vbtv15Pgd S2WNfKQUs4dMPPRnL1gofO4dQIdoipQaCxD62DZll79hZVonBp9aJvEJlXxzf78zdkDTSzp3RWLt 4ZdGXUxsCWwpyrhA7/hc/F3PnYdZhwFNGhWoKXn0YQvY5vMwN/2sm+Fveii8qNN+yXvkfiyPET95 8QGqSwTCDERv5zgBBP2AxAzjPyH0GZ7rqMzDtZZ0Zvajfi3XLYzbdCmwXmJnc2HFiBTHvR+/wx1P nTmy/IO8kj5AvjTnk0snC/DL86G7Gs6I4jcG/ZfbuYbbf76r8GCzjwV+5gQafvCurFWorc4yuutK 969k8ufy+K1TfuhYLri4QB5MOUBSn/j+ZS2domicb1C7Z1o/swvcY5h3OslCran3IOMvMR85fs8s UjT/z7qXfShWuOh61n8NbsstdxdUTb9RyaL6gqE3s4dXUs9aBHCrfum6VwAKR9UgZl+XPWcTL3nm Jov0rB6SZb/Pfu0JITHjgWAt393kCIr1fa5kN04kzj3QcisZuPc1k/+Nb6HWQ3VrDZJN7r6IE9aq +AXmoYAxR0VAGBREsLrBO9wdmc7PHvSZW2UrMO3AM3IyKSDlHFrHhcG+hbLxi86srhjRSE7E0qKQ maa459c+U2VLA7+orCqf7aDBFXZf8D0fMJvVcAEsa2qT+acXcP23GcTMztOigO8/4EWmfMXHQd3o 5feZF3FoZRyIHlHZVd8Txql5A/DZY+mlCwumpHU82MNu6Uw76xrs/b0S2QIladHIzIilM8cUXEcg 4b4ZOyxl/ty9Rcqn7hjOqhVAPqpVPhyjatlf0ybB5NJm0i5luVc2bToLHhW8NN0c8PYAvXnG+vAX 6TXIDZMGHkM/0CC6ayktoU60GMo9KgyA6SHpHvorASiggb3WL1btevHDEi3/1lSJ0lSdHQ43N6AX yx0nEi6+1vvpjR/FDhN8F8DMqDYy6jeAvtLFNzrx3xP+7qYvQY41uvPUsRVQPvzdYthnu2m2dM/t IoClZvEvD7nj9EZUWT67sTN5mDiS/jhHPbXbmlwFNB2djXc5ow4CVLSD7lKubZcNQxIp3N+CZt8A jg/qIOuRNK6jFX4NfzPS2dpXKf03X3kxiT+l6/8slnrT8IMHSp4SjcI7hx+u7TvSMJ1OYhr53DQp YHqIDs4ytIwZCI4K7q3D+f/xMv0RL4/6q5rSgExGk5BjEwQgVVVcM80aH30fkcinBq5o/94vkKH2 aLfBliXMd3ghSFNEEroI3atPE2AP2f8Eadxs4pjk54vc2vYG5kLbqJJane0Rzl4gXV6j8pHprric mDMFyxiNRYLr5uX3o1niMDckSeEYDQjamixdfxF4TSIhATSK/e+PbENyqvMrQ7mvf1MrpXkzIMOI FSsKkabPsklA0r3Ecz/UAQReghAtClVb9aWbPjiqRsYMpJU5AMRxAKnmuIsrp8jz1rAKpFLkKfqk AWpmMBXhC4M5lkaoOOM2hxLTaP+OKmk4/sjX6LLd2vfWF/lBUrkWj4SWpHEw1/HtPdQbXrCBXmrR MblcmqxHb9AMON/tr7/MhV7zAloYoRmQYWF2ZUIsYlhY+FWb43gycLTvi3T2delz6xzeHbC4z0y8 Tuu6Hu7mtDVnnBuoaNm4dNvfOuZLUNcRvjOsvvv7I0lP2cPv5SSLhuquLWnk557UZ8YZ641xxEn+ 5SEQTwIG6hbNlOxYQI2/9fP7iSzkqW4ppqZH9YVaqkoywiYv1+Sk+0JbbsseFmvfw/mCBFVSBm/E uUoNhCfC5lJKLJRVCx90vU0eBR0+4ZyOUG0EUul1Mf0uAkka0ihNG2snn9EZbSimf+SVlxDBorQF /Uvkjkq1AAM4HC4QEnAJYdOee09FPPVaITtnF3najRhc7rXBhvRVPd5WN8LlBoavuMebLgVBCDw7 8tnLLbmNZM/NEKZHzvRIPyWABxsBTL1SXFb6SwNUupsyQiBZqkT8ELwCyBGgYb3b1PGXCLyae65P FDvQGucVgb0K7f+OkLV18Jfjb290scSx8dFzixahFcnLx6tEMvzOC7Kq2QK5jm4qGTHFAo9cjYoO U423p4APSHex5KAj47+4PNoRZJZ5uxRaJKSLlmKm66tqGOQdOEAP3Lzymq791y8mkkLnMHEzFcUM 5ptTF3mUsNTx4i+ChcH/tJ4IQ9lN8TwQoP0H2dnBV89j8nPN8e6CskuyzFHYVsvxpd/UvOXsqjFJ YNUYmgq9u07g14zWPg7JQfUtTINOpo2jqB23FWA8rZCZ51dzu1sxl+YUlHQNrLdnWcoU9cpK3lxx ZV4+WX9iU1Hwy6NPqtCiETlBrh+/kvukwElYdqaohkoIxa5SGKpNBRdBqYadZoDXtha5MWLVN1XX PnrocJQxZ4ROpdpYZH3EzpyCYJcaXC1SpeA1GKxz9/1OSPgPfbgnTq+D3oXSBwGORPnRCqg/+SvD NrkemWC5HIgTSh5KCeW6Vc/IRVUf+ogd+whNfnR6c3N03X5uH75+bf6FHiFOZta2+MzeG/ZAqcaP cO0Ib78U7GfpetA94n7A1ut/WF0AhOz1BQDidkq3w94ZC/LXlgtsAiBCoNAC90XiSUpdb/TmDXDa mGprSHm8f71NsfIUxp5nNgOuGJC3Xfy15Q5aq0Lxf4p4lQw/DOkbQTaY9t8vgn4V6s73k0bLZUEe IlqHe8fAahsMr1n98fjB44cabymaM1aPMPPure91MsC/9mR6wKG2NudejPmKJkaWx2LxJxcKzzhf 3Jo7gcnR+aBowjh+9Ek3A2kqnfg0Bxm4OD9ZYtSgBMLzRbSXNPu7Kdl/oisCcl06lKrMWGk0lakc NXmAqhLm8AltVrtEYybKZN8P9Fhw2hizuH+jBs/S/PaQknpUy2ynycw/XV+/Qb2ffqlr0kVZEL84 JQmDbcshd49BS6aTI64lUyH/iUkildyGqJngygrHkMUZRSyIneIVLQTKkrXZXBfQVx5/+Cndro2b x42mzodDfZXK5JQxko3KLStifo2+w1a6Tyxr2U0xEiHjH4BWTRRLxTah66cJxyjOP51f18Nx/4tb VrW28ILuWMEAQt29invO8gBkra0DcJzffBAyS5DbHwyENBQvEmL+JS5iWaBjvN2KPXRGjsUkUqhe BBV97dfjtpUOcbCjoN8rx38Jqk5zzKshjkYZMLvyEZf6hNmuXBqlHkrQvfy1yavKxhaBc8lbW0SV uy/m1peN2Ra2njkEpJybubRy3u7cvdgIydg7Vrl77JOKpqVFoL2zRTRLOjiEoiAsAW1Ear7RgtWr usKF89Cnl/A0TGmT/srP+Njl9VD9KXIqJnZ0G2Sj3MLwZRs4CjnW02A4wkKKfPLH358ntOew7QsO ssGh37GVWbQZ3lqtGltB4ynbyIx+bLs+oMeay393kJoXFD5z/JkrSUEI0789fB9CvfPJpfeNwwGx NoCs5U2x9qJF1iPr/VmstXX7iio6pohmnblQltnL6lnqTZzABWLcx9aWV9EetBGZLzP5MkKreIUa WOnzzDYxuTceCfwHo7fCytNl6I/RyglMPcJJKK5HYQoiZ6yLCm5H89qptLe7a7aUtYyKE0ZTLcX0 QEXqC7KnotyHzntdnQwdEtYMesLeQDMML6BoYWcReWgSZ3ydBtuee24lYDKPV9zJ09WHC9zV1EuY 4n6pCq23sbqRiVHrWIX9UR9inmOZQNQysjB4JzhXnQ6nWQ02a/yXAR5DpuEGIKJpt+VyD2+FznGX KTVbe/gkROVaV0pl3S1Wm0HERBVCKtGaMEAMq17pQv6GM8a9rxmy7R/gGXTSUd7ui/46TXnHMKKZ Kkv70v2b+p933Izoxl8iLtkPp16rf8+V5fZQjnXWcG6WfIuw8jAXrVN7oxgNH/mGbOzGpV/IIE5K zFTywzNs5NNaFq9rbb9UTfNyJ3TR2yBpPGb6qdL0CLV/YycPzdFiVDWdj+keVGQxNX1qmCn8giei WHVDMEyAdWhLuOcWeBXAtEKvRR66jQPt5ZI17UQa60DHGTfr3pqqui2SPqT44ibmQpQ4dlDqmzxz 0JOA8ugb3bxQrzW34BdSGoPpwBUmhE0Jxc+2NyxjhoqYKsC7V82bO7P+VpgaqVrNjBupfem05jc3 kq6bf3otlMkqv4dRrO6JaOhoET/kXLXIPvgecwpn+vVlQxsuuIntFf2hFqnBUsvdEdAJsqoBEdoM UJGrcWxD8ygodILOOGSjAOioLxlbCbPG4KM6kPEYzlY2ZuRx8TdFbOzT2SFES/tJXusvZNsTPpft fmUF61VbUbo1UJN0gbhHOjg0xh1OfGc+Z0b0FuVyR2ZwltgbDPWm/HwGgNuxN71ZrdZQJo6/eyPz QZI8Vw018fZH6tAZ09ZM5wSByf01Veq/FJkyaH4KW0hujlGGxYZ+SOtp2N+Oppppg+cuAHVWyKkL zuLlo13I2nFQOizPKI/gRWTrh5lUGqqF1iE3Kvm7OCRC7Y77jvCwh0DC6ZjK0LVoYAnyA+ocrcuy HSYUZMB7mtZfJb8mPuBRYMg1plJKZQPaLpEuk01gdIbLEgfEhNnk8yle7au/euta4Yd6q+21tDT0 rfjO6DpaqC/gQq+pZ/pjHnmzg8i9MA4n7lkT2IB9WBnBvEBfkkWfnHsRXaP90NT3AofTzPHLx0HM f64KGCbCiW2dNifcbgkTDMtuS8EuunruJfnXda1D6+esaxM5keOr82GAcjh/ysgYEOb3w4zjHP0S DcVrxwTiPqXUY/qZ6HJhZ2XBMLlkNW8nDnQtgxAa3iqzfZHOynNtPMm/LweVeabHMPUxbD1z4ExQ BnHFpyrDEPEGv1XZTghxrKR5T8fMwcekUyDccDrpGLn2drY8Aw7vi5j0fayl+2OvfQ81sc1MPiOv FVCo+9taJGvNLdlEholV/f5B2SVNpPnt79bdXmz5/Ez5vkp9QzrNQ6vJw/q4KDQVfLquv0pD3un2 e85pJXEWHyxirtbARvBwAH495aIjU1uCEXf8xA09J2ELT9vH3q4iCTeJh6/uU/8pFhTRSaXneFgx F1G/Ow6U0m4qSmNf6qCJQ1SqNqQkL+FHUgZid7tM4sXeDo0WjBsMSgA3lMKI2wYPDGJ8sJCfshq6 oAQfcY97pPF+AmbA0HD+dsfgclxus0f51uiKkNdVpwyJxgKIqOWOB13aYVJxamMtdPJLsu8Z5USZ ADylP5OGxOaG4/M+vsgJL+ccHR8hkVS2/nDlTlmAZZEoGWQpZxZeWa3PjBo//EQro9v0c1oetnJb ZZvIKT2uR7GnE9RTZ/hJNzG/3Do6PVQjLKoUGLbf4cKSDClfeqLkQPaO9llVM59ad1Hvo1ChNq67 dxrf0ZFSa1lfdsmSVDDO/RRC7EzpYXZPunpzKXxES61XsNEurPsdmdUK6TlbPr5wedpT6RZOW5sp CZzplgVMNS6o1WUEeDhVsLNvNFAC7Ppzs1P6bLpbDbhh9vWTTq5qlx4WYrxpQOR9VEtAQlqbwNn+ t8JekRjZ54XpV9mETtyYn0wIS6wCgwULJTx9mPiBPa0cYKyU6jW+0AMgFzPZO88ZM/026QDNjLZS gkUFQasP+CJWnP3OkCcZvYO9A/OJhGDtVGCBhV+boR25J0jpJl5dg8wybdaQIMaKlb7spU5kJZi2 P486g/gsSaoUGyq+2w829LJEHzJEJN1o0zHhG0cab5PHYAr2oqusyW6H0DqJxomFVaDvsEoKozEo Ff5fpfWFcEUfzXtkbu/vt6zdktbPj434kNzV+tsVVz7Qwu9aTr7t7r8ZAtxIQNhlB7aKFMzxvw2C cQj1K0ygNJZtdcuG9BkE54OFJ+8LJtWQSYX+NbDa0VBmlCZPyJjzUqty9eHiIf84wMJ3CAmYefYk doIaDiGDgfqzdFYyHdVnZSMRIwOIp902K7zzhHY0GNDADl3w3vYGz/CSDDwhC1NoxuJYeutYFhMb Ih8KDB3MCnVFfvibSiFeMXtryNsbyZWFMQ5CvNY7M+HyWgwe8NVzztfv8MHZIgHb0WFutpiNpUxk k4z4Imq8iZ8DjVrypbcQpt3ItlhW/gCnPbMx4L6UmSRmPDh0HZLhpsmiYTYC85OS8YrFbQRcekrS SSkVDn/8iXBrGRUTkm0YgP+r08ypwL7GiXO7Bgbyk5/FDjCn1eEzsb7I4TLsIDrMYpAP1l+hiVBB +MRDBbwTc1uW8y+c9tfvfTffewbqiyX9Pk9gFVYYXbBox7SZiysr68DtFJIHZBSGyTYkVpOKK3rg dFU+PA97SAJZwIKFGoufMtBtCA19TrJ64anp6rS+cfjf7aG7Umnotc30SKn2u6OijPjzeymERgMz Ty6CAiWzn78Hico5qdJDbgUZbTmEEOV1FsGFqsHQa6u+8SWrcUTmyjSN/AXj5bCZgx6EccjEVh9F rb6wmNLJy6iVr9/BnxGUCHbizkgw8jcPi4/fSVlBCOtZzqT3PBtO2doFvVKnMAc24BeChvHdD664 YxB6GcQjB+lU3SLtDnltmk6Apv99RztgYDtu3udPcePvyv5DpMQz0LiGH9JMwjI6iUyL1MyWcCun kMzd06tO5vH4AiUA5fw9utRCSf1h3CEejHtwsKAAxNS95pWeG5A+WmWkF9Qedqahyqc7gOvHbJpb T7TTJNH16B/fO5JZkSLDYok0shfgzR+awUfNSKIA7MpY4JvFYeiLt8cDFFfRxaIvHcITyBvxWAgS vFrrdeuz9/gkJQiy9tVOrTPjZ24QZv6lyrvcWDyE77EQmHbihxW2D6yPbCYZuYAcH9/wRyIuQ4uC mjxXo6QxeTe2PBg5+fySdBQDTirOSThFEnRjWVmfBZXJCK5EBaaSxOlu+Z7RtgnWm2WDpSCAloY5 Lgql+aKpSuvY3fPJimGbI1jW35lamo6WWI3xic8KQnVYMb3x2fj4mGHKBIUHcLy2EssuD5HOTnKQ x7a5GXg0nCGbzEdWvAu1k7dZOGDfOw/lyhN9+ss6g+ys5HuU4B9oyn3fxVOUcPbvqyhxIU5rOT42 eLNrAJLrtwKTcVj2BmKz8PLP2Y2tgahgfPsI2BFcMZo71inKzBLnPJQ+jXTvmTQY8zVl2JG+o5f4 ikO99JEktIZB35lr2XNcNRBgishLsk8jGQEJuXLZLcwRB4Bq7I5vN42i5UvznzhEYH+O+0wVYsio vwNzJjEH/Sphiwn7ep8s14JvLdtlD65W4Fu+7lGc3aLaxtq6tIFL195Tu8nvqDMjMoB+dApufkNW DImHYhql9dBlrvyPI3u1maBWRdpBlnvUuijku5HVyh0zqogjgknQvOwhCaxdDfdXiu1vdaxdnFCo rshcyIxH7zFmGtmfehAx0fUxVltJqKBa321PCIaWbbMiLUWRXCnJqL1Rf4Yr863h/qHtJFghHIvs 5sJjaHGP2psyV3lrS9/n8himOTB6XQh+UbGNH+e0jrlecIaPJPhV5FWIakcE3LBzlMiKdpryRmhZ a4TBoRfUWlzPRMQenT30hoHFhRU7HCyIL81981pI9Uq+ME66xKKm0QBuL8s7rsm/W/0Tnu+cQNwj K1K9ldKZJzNhlOBOew2Odf+P9WXTrvOhLCOTErvJ4tb7soHhhF2dPkWqD2HnmkNYOYh2VI/krD7W 0bR9doeac4sNs3a9qUP2UQY52AzhTjVnxPPV6xTOpwPBmvpCbviULITBVWhsPvmfDdj69wKbO+Ho o3O9YqPYd/TivALLdssz/IrjxTz1CaBSYIM+wyc2U4C7pmUYYLLC6tYU7TKNujfAF9MgIVrn71oC Rf4RY4kqjR/LCTMp+ts2pszmwWmniTEwEzsNItlNzBDlmSf4ZEr81LziDarqXRqbzMXWxpMOqPAi 8nvEg09MuXGE42v79N/dmIRFsWGF0/Ubcz5nPl6rlSkzY+yA97syU4weyrtMJuDiOW2pzb7c1ORV aC+ZSxib+y21pecFCAZB40phn9kgyAs1u54ZiUYeP9/acO231lJm6pdXUi5ShmCSAWNAhIBAVh8k WcBBCB2t89P/+dG1L8it8XVOrYfSDFDGbqphNbDHLIUueOgWCtMackImW47P960a96DSbl6NMj5Y COXJyEBYm7YXcJSM6il4xMRNfJeLdOQ6LSzwjGKYR0tnOS1hRu2mDgmoQ1hfjQDqWhgJxIeaYLnV nylS0XbXC6/UcGwmouwaN46huEaOjX6KvZT1QwyNz+fvH1/njW0fwQz2YV4yZ8sH8WdSEowomVz9 gLCuNzkj/ypXS0aac/g2WN7VJRGu6eTJJ/h+mjTmSpwOcHpJbIEXBl2k7q8m1tb6APjSgD25D4Tj +xGRtVzhBc2atMS3KRNzqT4BXQVUBdRHLpwF7cy0130L+Ki9IzZtFUpRXpr3cXYzE4eDx2Lw8CnJ mQbREz5YLlHG/hLVI0xtcMIiJVI6VETPBsvlmZr/O43YzK4UgKKgv/uSX5NGXeHdHkPRb07kIkRL nvD0G94Ss/65AQd1SZz1ZsVlot/zo9CeuVY2N0inq+7H5FEMaplJPpy8BYkvXWMvlJzui3TCY5Qe fcM57RHBKbbyI776sQQNcM/yS1bm1JJxXZwG+3YncwALmerXDxa50aqRBJZ6hz93CRcPhcpXvLHs 5LeLeJwclp8v4beEyLuvgRA+M4liDV5Wor5hZifcK6akongm9rbxGrDl5k5qxpm8p9RoIyhxDkDJ TIDDSnFudwDbxws+tM1K2n7+3wrofGVEfFhYH7ghLF/2yV6ucvemgNoj+bnTWOKDoDoIyI2MU9Ux /GEiCNg+3flbKr4STbLCYbiWypsnuisB1E7P+y4cAF0DU/mkW8tN3lbxp5e3NXRlvGuYDclyhpCm GcwU8yFfAx33p+blfx9dkUjpO1snniNzQynvK3v66QJSVTSSs7EgbIJuGKVW4DDE+0Ijpr3ndFNR FY0tk4/LxQ8Ubo6Je3W2l27hCabWfKDm4VmT9WI2IYVYJK3E8GezIJgTV94mT9ezABwFkuKT7Z8U V2HQKj8HBFmWrD+4Fl7kMOi5PBw/dPgk6Gi6xislIq4GT+wfdjtYDraV3xbxoIrTtWJOscOs1W2A hCyDmmg9A0rG/kNNXehasDqIg/Eqokm1KOXeObH9qeXjdUBrHB/nTDU7A88aJys6UgG87fSoXO0C gFJv2GMytWp3au03E30W3iZKdctDkKsx1KbJXFiGCSdAqbfyeHjspguhd8UdRgk4N80NXaTSk5Yz EpJAXiMxvrjmkDK/rZFeyhlttT5Xdam07OSxmJ7cXv43guotHfC+1OqmGJ3fZvKnBFKlnNqCX5br 6GTmVXbi7rwWDaFyYIb1m10AbuhxsLMPdaSdgApdFA+VpHMYHt8AGJg9LRnjBv2c9zDMHLeSqZ1d 7TUlPEP2Sr4K/S7TEthqNl9EPW9+YTikWMGp4vj2dS01+53+dDCIoHA3g0xU6VKokxDjcP7jsD6s wO+uDrppDG28ieMmPX04NOeSJMUn2d1ithITtZa2LZpkZXb4Az7ENBkZuxjVmNfqe+yNyTN0f9yW 9+pe0fZs5SjPYGaXQqyFQ+xWi6Wq/EBoX08kLI20g+UWK4mF83wNc1b4T6i2RaFDLXO5zmzZTgJH /S49YN8ICxhyKqQr6JWWCFL8cxfcMaB4W3h+BzPKc6n83dJLFdq98F+4+mx7ZSOO8BSWp9IliUj+ OVWlaGvFMfEUCznNwfWrSdVvqaBRaEVrKn+XONo/8OX3UalzRe5vOmnl1iTOTL6bqiIxzunPZFa7 C6eBVxG9kB412OSsVNBrg+R3th+7HbH/4CwAmzniPMQRDnS2UbUK+wuMmdnqRNl9aygBblcDJ4zd LVN+3gjH11ve/dSW5VaNdDNvdKy0iTi6yYl2vvZq5/SLtGB4WbQxVWLAjQ+PsKG5V1My2dIdGVE+ c8Cq/0Y2bXvcVpJWvPFmapm4OhG3Q9dMbzJmYcxa9ko7URvrjh0FiGpGX2PTGQJF8P2TWCbtkE2P UBFsxU7avmXfjbfIXQogKn0oBojBeIk+QrbJR/BLNLen1yZhyAlvcvKSnKLYQmBKS4mK1h3gGH0k Ltr8d0aIt1s/AQU72ZLYM2qgjut9eAax2qS/Z7fnPPLg4EardwVkubKejevuj8oPMraZ1nRqGjq0 7NuLOM1S/7ddG9hM62frdHNUHBVB/q5JhEz10Gk47pCbHu3zBXmaDmb3yCl82k9D9I22cFJ025bI duyyEOfSqw1B9y0kc2AqTynk8DCUfy6uyo71TgOgv5GA0XaT8XfMKnj8Prq/pYXgo8nZAXlbWVbk Dx2QOc3dJSPnkBC4gt0M6muPHvd08tR9Lpy0VnKbeD/ZSLA7fxx47jpbZLV5SB7h0wESnM7yQh0a tlXwo/cwTcVhI/DkiHzei9QiGz5pba/1Pa9bAc+LZ3BfXRyjw3Rx1PqvkfC6MokDgH9xOkssfgw4 A0PTK19G1OQgkQRRtMwBqEZ0b6OyadlKu8ypU/SWrgWC754PEIazar4APxLbxw65xsLoPOYwJGJo iJUSrELO16VrxEa6F+q7j/Zirh8y0f/k2qKyCHipRlS8iCnRLQ40q2SgUDDojVHvHpvArWgFNP1Q Z/nENxo8yxS6xzeD/8SsQVXwNKp4f11Irf1daVkVVvHnDhfcsZ4T+OTto4TTGQNFQrqcjgp0Xbyv zjcWV0WcHNyk2hP0UX3xcUAzZnpWoeyJ1IPWhRt+Yf9tK72i73HOU5NrL8cUMopKS6zzLfMw5yVt s0SmMrSrqvmu/UqHgSJkSds9P9cGmmrs05J5UaXKzzpkl28bs+K+NWbdakR1YxK324oLcIUGbzKu 3cTHb3bcb9zCnIZvpy6BdVRXkTCtpNB0t87bWPoQmb1x812848OzI1qXi+vyF0hYUIjkFPNtr7Pa 1cKTNz+lpFToz9msA+DgV/5+hgEbS2AiAUvHBYVev/CiUnmtn0OrDeJHL9LH5dADuzEb83JxikUX cGiGs+9SeSyGBiarBdA2XjViAulirfT5rVJLuOVT62OkXOOMIfLHbboBuOoVeQnUc3SN2xYoBYEO ZJp5ufVYmeNuX2ojP88ypK1azliXDbeYMf+VIfBjspzWIo3ujuNXlWPKcXId8MMHRtBUYVTzlD0k PsrE9MVBgXEsmupbdi/swqW5ZvTP0tpdA6B8ZHletq7NlFYk56WhAxgHpGaMdzfOeIXa8ldPfSdj QSyWAvzc144YEdmpEeaE0r2fDpgtEA6GSbwL+H9IpAlIyGcC4d/dmmvcG9ZEKMBBDKqHv18ZjQQJ /Q9jgqnHK4BqeI4UnMCbRxVFCeQkp4F25IFHoa2aD7+tBKkTZO3FCywzJp9xctylGjk4ZYjhojFD 0ml4PVXRlV31FIoE2kDGPHVULjOnGObxx0h+1rC8qsIzOZJBH7JM4UO6K3rDCE0929gZpsaxQsgT TogiifznK+imhmcPQGjAqOvvHDV9ZnASLfpd1KNlXYdC49/mMlyEzzZuMn14v2JD3WAcvNbu7Eif 64FS1jBxx14AxXIqbVh+xdtclMyuYcYF9nGUsdbgV91vzAajGg+yytlTbQl7l5Np2J+glNnBadih pFnsZbt2Im5XqVfWAQVsMTaCxrILg+7CZ+2wmkRVCghi2nIl823W81tjTxY9IhKsHZ5RD90YgPfj K2ITQ9mqTwr2XED+pZVOZlft6mD6Nt7Q2qp5FRvG76q3x8I73SZ9WzL9Xn/0eRarzBJtg7mNmE87 uhvEtmTt4mdCSQ56EzjKci/wSHgCeM5XS5yZ5euXMJGA9v5lUsflwv6M1XVkRF63C2uv3MevTaKq 7Keen4Lu84iOcTbqHXjEB9AgKX0qRLJcdbuHrF+34w1H6BpiWZupIIXeVzkSh/qR6DV6KGPT26+N pygOIm89NqYArW5NV09GEbXZ3XEEUsASSsAyZV3Dv2IT8Hf15bjYfe4kK6gvF7IlHHWEfu3ahB3P fSwi7Do7uGtcABQeULPo/j1qahaek9dN2fi74BjTFb4S1MU2ldAaRgRwT/Zn9OjBCtBJ0tx8GxiR WbNdcw2RXr6PGiW1myzGjcsB5pVx6xku8TymEXhkgjtashDmLoDaxBqSL6A8QDo9Pk6G5N6bd+09 i3hh6oEHvFX2vXwnxS+nVoTAZnGXuOfPqkxFxhGoM7WUZ23PWdVcaBSBGEmXF2nHoUXV7S0El2UC Ski/b6C/+XZNhTU5pIv1ytBF6tohCvcrvU3xj8WURL6vCx4xfSHxlmE9sCfOi9csuMO1Rwbks3lD oMEdQX8LyVF9xXIHoFIPmPjpcDmYYFE6yGhi5flUfk+du1UJ6E9WTIH87rvDn69l3dp1ckv+nlWv 7zrdsPvphg0xdcALmnNZdtPU7OvCxUZRx+1/+AMjwSloDVXiq68G1+ZZMQ74aJESqAF9YEmeYUOt 47MJH5SYbf/PdmHpqHeKTQNT4bnD549/sTsSehKkh4WqpgYOCuKhFSmDaf9SkM4Z+YdS7SkLw2j0 EHm5RPXYmHvzeDahMjU/QCcI6oaPCD2L1D5mrmO9YI94vzBZLXosQjXTa8RnXhfbbmYfZSGPqu+Z 4aHP80wszCv2YrXaM+dCGiM4cP12R/yWLExbWkYXilKK6Wz0v2cdA3MNQKTDryQn4aqKlFHHMNKn TlOtR+HTarMfHgebz1PMFBIwBIn4FETvZvmm10mOI0nMnFdv13NY1r52Cc72ivvF6iPgdYLU/b21 bjMQJYL6lflbyA1hnuM3ek1YlWTDHvv5Bp5BjAK3XDbBYKOCzOdatNOz4RgeOWZtqlbsPfndEQD7 pGObPK62jNd1RFXmeMdXQWJAIBLmU5jfBaEByMzZXxHeYtYXx8BQkbrJSEEPx8dV1BsWHMyZ6xOb DQ+0BTjNQ7xh8KfOreVvXg9Q91ldjmummLpM6etzf/wcbstpN/N5xSrvFfz+I5V3baE/1BwMeatn 8e5g0ucXIP6Kzw+42KJxHUBrNcJqgqzAvL36XwC5K1CVC73IieUcVpJasL/zdbJHNHFIPPm1UvxR ZyYNoLldXFaDYqCbEe0jEpQJfLnemfnKO+WRUdUgv5f7xakvxcJZ1U8nagzCWEJolyN+94h5RT+e FiRVEN4tOKX0o2hXcCv+C1BaFZTN49JOO7MXBj3alHrhYh8U4OgIYiClov6LMSPOFB/JlIBo4tUD dx0SZtymPeUXLZ5s5zV00HZDG4Ph29vWMo5SQqa7hydPbQ6nIehCQLUDKyHI5X0dfFZW+Ypwj0HH ktHPt+zx3XIzih710+P4QpNAcc9ycNhxJM29DpoBd2inlPbbmy2sw73tdIO4Pj4l9dE7SLdceOYi vdJ1GEVirgJYbKjZwHJ5G0JcgGgbv40E5hrx+1gu4xIC+ti3xTkghUMQ0NoigXAxs3yOlEM1fg9z Oh1wuQxPYeIMM3UroU09o5f9po2Fpbli0VsVDVnh3kfxU6z9I16NeqXIL873GXiDkmzS7b7D7ZTZ GVGdBq/WLjbqWNS2xaRc4kj1W5CrBK+Wk6gvevG1bbS5uOpqypZs4m6x9pesfM+OrCdqg/IeVK17 zqckTEjDEm1VGdLUPCCod5f1W1alg2rwQZMJey4aRsQrWwo6QCibkVl6gDMA+B9FkRI1WiFGR5PY mSNyFRXgW2BJgI1cJbCOMzoWflt+895hH1r0odui3qm2Jiw+snx5gUu/MkZkWh9ZsLUc6kKw5Axf wSCffjs/eH2UK5xo8gYNmo0YZs2nP1L0YcL/0Zhsc9DEODrohhDdmAMV2izdtSijlrPsCMHQvHHI rOkmyOvdq7zV/XMvJdm+1zhSnmenhvdYr7XZ1iWZcCfLEeiyq3zFzgFbW86va+dPjOPCsN9Dt8K3 UnDyoGZYaEhhRtNmN3pttjpqCgLrx6jE/XfV1nva904PO/lpYEKFNekSon+4Rtdkd8fpuPM2tyK7 w7BcWkI6dcdTJMi5MMPKdTid+SHkSd3UaS54XUmHklTfiI2d2a7QCGEPVCFhxL9LlipwyXX68rMe rFT64HfXvIX5aWBwexJGZSPrhDbQ1uZV+FHwY1HOIwARIdwCr/Vp7JhfFUbf+DrUEktAiX9uwv++ fC92cvIbsMhIl4lv/NH7CBdhvPo0OkJizhlI2sK7IRaP4OKawFnVCedA8d6zWGFGqLnsK/JKlEaj FUZn0aYMNJgXkRNHFgAbM8rFa3boH9KYZuF5VpnarBBvCBm4gqdBljuV/FTWUc3VgfaSs15yPpZk SxGWQJoeKJJr0+iJAuduw89mFp3AHi6Vyd6hfZ8h0UGJRtKFokWMJ5cvekalPluEqHtqz96EoOA/ gJ0Fdju2lalK54qeueFbAPQhRoFQ4D4Th3qwZ77gwhM+hXySrUAP8BQxGOotMhaFxYanoSOA2ujl DJxq7D0MqURoqQ4kG1Np+vqwZs6jcy3+y/jQ83neRwODJhlizENC4GlXEq8W2vB5olQ7uvZ6GhLg 7kbFmKrMoPUG60zeQPX/RYe5GakrzZqBp/Ztx7QCqywZJQrmhloe3eooL6YlNpbmnDcVJGbxq4Yj dT2Rbu/Xu49JuOXd54kbwxrNo2J8IAx8l05gFCGO7rWxsQc+iUoY6m9KUiTgG1ljOYOc87JBM6+w hRULHX6WkYTh0zppTeaZiBFocO5+uyXWMR8ps8FlCAFHOk4nZ2Gc1fQsx961bHvNzpPzplNK7npK 5dXLvU9IXXKyD4sYkwYeMkb5ofi9ujxJfy+LiUqndXn+N+TU4rvGGKCY9uo/7y4FgEIPTeZl3ibE VUFNPOT9idupbNAcuL7JudH0XCatsVAXStxHkbDTQ1tI86VIQQ/1ooGIVyCDNM86uyNLTCLo0Wj8 sKbB8MCgvyPnAMzSWluWc7DZYYrhs7OTiyLMT73OefEGwITuxSWmPnBgZ3sfwtmT524g/4SkbV9O /hOkgqWImkTOVrnaYxkxt/+efG2joextCppiC/5qGcCOOOZ55uMyyhNBU1eizqccoi0CrvACsh9c ro4Y5ehLiobCUJLsJjl2CP2L64Yt2NKASS/qETO3HGKLcjDfRI83CpDxjesICwq/jo6j0yW89M2H Ws/E++CCVojkKB1cCGSedF1p3XRgKT1XAuQr+A5O6cIdoblLukxV+/ozawBahGYERyZ8BxFyxYGi yTMR5UDWPK0XlDeR5IAoJw== `protect end_protected
gpl-3.0
67e62dad3f28856b454f925f76acb6df
0.9434
1.858381
false
false
false
false
inmcm/Simon_Speck_Ciphers
VHDL/AXI_IP/Speck_Block_Cipher_Multirate_1.0/hdl/Speck_Block_Cipher_Multirate_v1_0.vhd
1
16,041
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.SPECK_CONSTANTS.all; entity Speck_Block_Cipher_Multirate_v1_0 is generic ( -- Users to add parameters here KEY_SIZE : integer range 0 to 256 := 256; BLOCK_SIZE : integer range 0 to 128 := 128; -- User parameters ends -- Do not modify the parameters beyond this line -- Parameters of Axi Slave Bus Interface S00_AXI C_S00_AXI_DATA_WIDTH : integer := 32; C_S00_AXI_ADDR_WIDTH : integer := 7 ); port ( -- Users to add ports here cipher_clock : in std_logic; -- User ports ends -- Do not modify the ports beyond this line -- Ports of Axi Slave Bus Interface S00_AXI s00_axi_aclk : in std_logic; s00_axi_aresetn : in std_logic; s00_axi_awaddr : in std_logic_vector(C_S00_AXI_ADDR_WIDTH-1 downto 0); s00_axi_awprot : in std_logic_vector(2 downto 0); s00_axi_awvalid : in std_logic; s00_axi_awready : out std_logic; s00_axi_wdata : in std_logic_vector(C_S00_AXI_DATA_WIDTH-1 downto 0); s00_axi_wstrb : in std_logic_vector((C_S00_AXI_DATA_WIDTH/8)-1 downto 0); s00_axi_wvalid : in std_logic; s00_axi_wready : out std_logic; s00_axi_bresp : out std_logic_vector(1 downto 0); s00_axi_bvalid : out std_logic; s00_axi_bready : in std_logic; s00_axi_araddr : in std_logic_vector(C_S00_AXI_ADDR_WIDTH-1 downto 0); s00_axi_arprot : in std_logic_vector(2 downto 0); s00_axi_arvalid : in std_logic; s00_axi_arready : out std_logic; s00_axi_rdata : out std_logic_vector(C_S00_AXI_DATA_WIDTH-1 downto 0); s00_axi_rresp : out std_logic_vector(1 downto 0); s00_axi_rvalid : out std_logic; s00_axi_rready : in std_logic ); end Speck_Block_Cipher_Multirate_v1_0; architecture arch_imp of Speck_Block_Cipher_Multirate_v1_0 is -- component declaration component Speck_Block_Cipher_Multirate_v1_0_S00_AXI is generic ( C_S_AXI_DATA_WIDTH : integer := 32; C_S_AXI_ADDR_WIDTH : integer := 7 ); port ( -- Cipher Block Input SLV_REG00_OUT : out std_logic_vector(31 downto 0); SLV_REG01_OUT : out std_logic_vector(31 downto 0); SLV_REG02_OUT : out std_logic_vector(31 downto 0); SLV_REG03_OUT : out std_logic_vector(31 downto 0); -- Cipher Key Input SLV_REG04_OUT : out std_logic_vector(31 downto 0); SLV_REG05_OUT : out std_logic_vector(31 downto 0); SLV_REG06_OUT : out std_logic_vector(31 downto 0); SLV_REG07_OUT : out std_logic_vector(31 downto 0); SLV_REG08_OUT : out std_logic_vector(31 downto 0); SLV_REG09_OUT : out std_logic_vector(31 downto 0); SLV_REG10_OUT : out std_logic_vector(31 downto 0); SLV_REG11_OUT : out std_logic_vector(31 downto 0); -- Cipher Control/Rest Register SLV_REG12_OUT : out std_logic_vector(31 downto 0); -- Cipher Block Output SLV_REG13_IN : in std_logic_vector(31 downto 0); SLV_REG14_IN : in std_logic_vector(31 downto 0); SLV_REG15_IN : in std_logic_vector(31 downto 0); SLV_REG16_IN : in std_logic_vector(31 downto 0); -- Cipher Status Output SLV_REG17_IN : in std_logic_vector(31 downto 0); S_AXI_ACLK : in std_logic; S_AXI_ARESETN : in std_logic; S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_AWPROT : in std_logic_vector(2 downto 0); S_AXI_AWVALID : in std_logic; S_AXI_AWREADY : out std_logic; S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0); S_AXI_WVALID : in std_logic; S_AXI_WREADY : out std_logic; S_AXI_BRESP : out std_logic_vector(1 downto 0); S_AXI_BVALID : out std_logic; S_AXI_BREADY : in std_logic; S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_ARPROT : in std_logic_vector(2 downto 0); S_AXI_ARVALID : in std_logic; S_AXI_ARREADY : out std_logic; S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_RRESP : out std_logic_vector(1 downto 0); S_AXI_RVALID : out std_logic; S_AXI_RREADY : in std_logic ); end component Speck_Block_Cipher_Multirate_v1_0_S00_AXI; COMPONENT SPECK_CIPHER GENERIC(KEY_SIZE : integer range 0 to 256; BLOCK_SIZE : integer range 0 to 128; ROUND_LIMIT: integer range 0 to 34); PORT( SYS_CLK : IN std_logic; RST : IN std_logic; BUSY : OUT std_logic; CONTROL : IN std_logic_vector(1 downto 0); KEY : IN std_logic_vector(KEY_SIZE - 1 downto 0); BLOCK_INPUT : IN std_logic_vector(BLOCK_SIZE - 1 downto 0); BLOCK_OUTPUT : OUT std_logic_vector(BLOCK_SIZE - 1 downto 0) ); END COMPONENT; COMPONENT MUX_SYNCHRONIZER Generic(BUS_SIZE : integer range 0 to 256 := 128); Port ( CLK_A, CLK_B, RST : in std_logic; DATA_BUS_A_IN : in std_logic_vector (BUS_SIZE - 1 downto 0); DATA_BUS_B_OUT : out std_logic_vector (BUS_SIZE - 1 downto 0)); END COMPONENT; -- Signals signal input_key_buffer : std_logic_vector(KEY_SIZE -1 downto 0); signal axi_key_buffer : std_logic_vector(KEY_SIZE -1 downto 0); signal input_block_buffer : std_logic_vector(BLOCK_SIZE -1 downto 0); signal axi_input_block_buffer : std_logic_vector(BLOCK_SIZE -1 downto 0); signal output_block_buffer : std_logic_vector(BLOCK_SIZE -1 downto 0); signal axi_output_block_buffer : std_logic_vector(BLOCK_SIZE -1 downto 0); signal input_block_register_0 : std_logic_vector(C_S00_AXI_DATA_WIDTH - 1 downto 0); signal input_block_register_1 : std_logic_vector(C_S00_AXI_DATA_WIDTH - 1 downto 0); signal input_block_register_2 : std_logic_vector(C_S00_AXI_DATA_WIDTH - 1 downto 0); signal input_block_register_3 : std_logic_vector(C_S00_AXI_DATA_WIDTH - 1 downto 0); signal output_block_register_0 : std_logic_vector(C_S00_AXI_DATA_WIDTH - 1 downto 0); signal output_block_register_1 : std_logic_vector(C_S00_AXI_DATA_WIDTH - 1 downto 0); signal output_block_register_2 : std_logic_vector(C_S00_AXI_DATA_WIDTH - 1 downto 0); signal output_block_register_3 : std_logic_vector(C_S00_AXI_DATA_WIDTH - 1 downto 0); signal input_key_register_0 : std_logic_vector(C_S00_AXI_DATA_WIDTH - 1 downto 0); signal input_key_register_1 : std_logic_vector(C_S00_AXI_DATA_WIDTH - 1 downto 0); signal input_key_register_2 : std_logic_vector(C_S00_AXI_DATA_WIDTH - 1 downto 0); signal input_key_register_3 : std_logic_vector(C_S00_AXI_DATA_WIDTH - 1 downto 0); signal input_key_register_4 : std_logic_vector(C_S00_AXI_DATA_WIDTH - 1 downto 0); signal input_key_register_5 : std_logic_vector(C_S00_AXI_DATA_WIDTH - 1 downto 0); signal input_key_register_6 : std_logic_vector(C_S00_AXI_DATA_WIDTH - 1 downto 0); signal input_key_register_7 : std_logic_vector(C_S00_AXI_DATA_WIDTH - 1 downto 0); signal block_control_rst : std_logic_vector(C_S00_AXI_DATA_WIDTH - 1 downto 0); signal block_status : std_logic_vector(C_S00_AXI_DATA_WIDTH - 1 downto 0); signal busy_buffer : std_logic; signal busy_sync : std_logic_vector(1 downto 0); signal axi_busy_buffer : std_logic; signal control_buffer : std_logic_vector(1 downto 0); signal axi_control_buffer : std_logic_vector(1 downto 0); signal reset_buffer : std_logic; signal reset_sync : std_logic_vector(1 downto 0); signal axi_reset_buffer : std_logic; begin -- Instantiation of Axi Bus Interface S00_AXI Speck_Block_Cipher_Multirate_v1_0_S00_AXI_inst : Speck_Block_Cipher_Multirate_v1_0_S00_AXI generic map ( C_S_AXI_DATA_WIDTH => C_S00_AXI_DATA_WIDTH, C_S_AXI_ADDR_WIDTH => C_S00_AXI_ADDR_WIDTH ) port map ( -- Cipher Block Input SLV_REG00_OUT => input_block_register_0, SLV_REG01_OUT => input_block_register_1, SLV_REG02_OUT => input_block_register_2, SLV_REG03_OUT => input_block_register_3, -- Cipher Key Input SLV_REG04_OUT => input_key_register_0, SLV_REG05_OUT => input_key_register_1, SLV_REG06_OUT => input_key_register_2, SLV_REG07_OUT => input_key_register_3, SLV_REG08_OUT => input_key_register_4, SLV_REG09_OUT => input_key_register_5, SLV_REG10_OUT => input_key_register_6, SLV_REG11_OUT => input_key_register_7, -- Cipher Control/Rest Register SLV_REG12_OUT => block_control_rst, -- Cipher Block Output SLV_REG13_IN => output_block_register_0, SLV_REG14_IN => output_block_register_1, SLV_REG15_IN => output_block_register_2, SLV_REG16_IN => output_block_register_3, -- Cipher Status Output SLV_REG17_IN => block_status, -- AXI BUS S_AXI_ACLK => s00_axi_aclk, S_AXI_ARESETN => s00_axi_aresetn, S_AXI_AWADDR => s00_axi_awaddr, S_AXI_AWPROT => s00_axi_awprot, S_AXI_AWVALID => s00_axi_awvalid, S_AXI_AWREADY => s00_axi_awready, S_AXI_WDATA => s00_axi_wdata, S_AXI_WSTRB => s00_axi_wstrb, S_AXI_WVALID => s00_axi_wvalid, S_AXI_WREADY => s00_axi_wready, S_AXI_BRESP => s00_axi_bresp, S_AXI_BVALID => s00_axi_bvalid, S_AXI_BREADY => s00_axi_bready, S_AXI_ARADDR => s00_axi_araddr, S_AXI_ARPROT => s00_axi_arprot, S_AXI_ARVALID => s00_axi_arvalid, S_AXI_ARREADY => s00_axi_arready, S_AXI_RDATA => s00_axi_rdata, S_AXI_RRESP => s00_axi_rresp, S_AXI_RVALID => s00_axi_rvalid, S_AXI_RREADY => s00_axi_rready ); -- Add user logic here Block_Cipher_Instance: SPECK_CIPHER GENERIC MAP (KEY_SIZE => KEY_SIZE, BLOCK_SIZE => BLOCK_SIZE, ROUND_LIMIT => Round_Count_Lookup(KEY_SIZE, BLOCK_SIZE)) PORT MAP ( SYS_CLK => cipher_clock, RST => reset_buffer, BUSY => busy_buffer, CONTROL => control_buffer, KEY => input_key_buffer, BLOCK_INPUT => input_block_buffer, BLOCK_OUTPUT => output_block_buffer ); -- Synchronizer Instaniations INPUT_DATA_SYNC: MUX_SYNCHRONIZER GENERIC MAP (BUS_SIZE => BLOCK_SIZE) PORT MAP ( CLK_A => s00_axi_aclk, CLK_B => cipher_clock, RST => axi_reset_buffer, DATA_BUS_A_IN => axi_input_block_buffer, DATA_BUS_B_OUT => input_block_buffer ); OUTPUT_DATA_SYNC: MUX_SYNCHRONIZER GENERIC MAP (BUS_SIZE => BLOCK_SIZE) PORT MAP ( CLK_A => cipher_clock, CLK_B => s00_axi_aclk, RST => axi_reset_buffer, DATA_BUS_A_IN => output_block_buffer, DATA_BUS_B_OUT => axi_output_block_buffer ); INPUT_KEY_SYNC: MUX_SYNCHRONIZER GENERIC MAP (BUS_SIZE => KEY_SIZE) PORT MAP ( CLK_A => s00_axi_aclk, CLK_B => cipher_clock, RST => axi_reset_buffer, DATA_BUS_A_IN => axi_key_buffer, DATA_BUS_B_OUT => input_key_buffer ); INPUT_CONTROL_SYNC: MUX_SYNCHRONIZER GENERIC MAP (BUS_SIZE => 2) PORT MAP ( CLK_A => s00_axi_aclk, CLK_B => cipher_clock, RST => axi_reset_buffer, DATA_BUS_A_IN => axi_control_buffer, DATA_BUS_B_OUT => control_buffer ); Reset_Signal_Synchronizer : process(cipher_clock) begin if (cipher_clock'event and cipher_clock = '1') then reset_buffer <= reset_sync(1); reset_sync <= reset_sync(0) & axi_reset_buffer; end if; end process; Busy_Signal_Synchronizer : process(s00_axi_aclk) begin if (s00_axi_aclk'event and s00_axi_aclk = '1') then axi_busy_buffer <= reset_sync(1); busy_sync <= busy_sync(0) & busy_buffer; end if; end process; block_status <= X"0000000" & "000" & busy_buffer; axi_control_buffer <= block_control_rst(1 downto 0); axi_reset_buffer <= block_control_rst(C_S00_AXI_DATA_WIDTH - 1); BLOCK_32 : if (BLOCK_SIZE = 32) generate begin axi_input_block_buffer <= input_block_register_0; output_block_register_0 <= axi_output_block_buffer; output_block_register_1 <= (OTHERS => '0'); output_block_register_2 <= (OTHERS => '0'); output_block_register_3 <= (OTHERS => '0'); end generate; BLOCK_48 : if (BLOCK_SIZE = 48) generate begin axi_input_block_buffer <= input_block_register_1(15 downto 0) & input_block_register_0; output_block_register_0 <= axi_output_block_buffer(31 downto 0); output_block_register_1 <= axi_output_block_buffer(47 downto 32); output_block_register_2 <= (OTHERS => '0'); output_block_register_3 <= (OTHERS => '0'); end generate; BLOCK_64 : if (BLOCK_SIZE = 64) generate begin axi_input_block_buffer <= input_block_register_1 & input_block_register_0; output_block_register_0 <= axi_output_block_buffer(31 downto 0); output_block_register_1 <= axi_output_block_buffer(63 downto 32); output_block_register_2 <= (OTHERS => '0'); output_block_register_3 <= (OTHERS => '0'); end generate; BLOCK_96 : if (BLOCK_SIZE = 96) generate begin axi_input_block_buffer <= input_block_register_2 & input_block_register_1 & input_block_register_0; output_block_register_0 <= axi_output_block_buffer(31 downto 0); output_block_register_1 <= axi_output_block_buffer(63 downto 32); output_block_register_2 <= axi_output_block_buffer(95 downto 64); output_block_register_3 <= (OTHERS => '0'); end generate; BLOCK_128 : if (BLOCK_SIZE = 128) generate begin axi_input_block_buffer <= input_block_register_3 & input_block_register_2 & input_block_register_1 & input_block_register_0; output_block_register_0 <= axi_output_block_buffer(31 downto 0); output_block_register_1 <= axi_output_block_buffer(63 downto 32); output_block_register_2 <= axi_output_block_buffer(95 downto 64); output_block_register_3 <= axi_output_block_buffer(127 downto 96); end generate; KEY_64 : if (KEY_SIZE = 64) generate begin axi_key_buffer <= input_key_register_1 & input_key_register_0; end generate; KEY_72 : if (KEY_SIZE = 72) generate begin axi_key_buffer <= input_key_register_2(7 downto 0) & input_key_register_1 & input_key_register_0; end generate; KEY_96 : if (KEY_SIZE = 96) generate begin axi_key_buffer <= input_key_register_2 & input_key_register_1 & input_key_register_0; end generate; KEY_128 : if (KEY_SIZE = 128) generate begin axi_key_buffer <= input_key_register_3 & input_key_register_2 & input_key_register_1 & input_key_register_0; end generate; KEY_144 : if (KEY_SIZE = 144) generate begin axi_key_buffer <= input_key_register_4(15 downto 0) & input_key_register_3 & input_key_register_2 & input_key_register_1 & input_key_register_0; end generate; KEY_192 : if (KEY_SIZE = 192) generate begin axi_key_buffer <= input_key_register_5 & input_key_register_4 & input_key_register_3 & input_key_register_2 & input_key_register_1 & input_key_register_0; end generate; KEY_256 : if (KEY_SIZE = 256) generate begin axi_key_buffer <= input_key_register_7 & input_key_register_6 & input_key_register_5 & input_key_register_4 & input_key_register_3 & input_key_register_2 & input_key_register_1 & input_key_register_0; end generate; -- User logic ends end arch_imp;
mit
6ac478867d5cceac4c9d457af8b3b809
0.613989
3.11234
false
false
false
false
Siliciumer/DOS-Mario-FPGA
DOS_Mario.srcs/sources_1/ip/dist_mem_gen_2/dist_mem_gen_v8_0_10/hdl/dist_mem_gen_v8_0.vhd
3
17,726
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block TUg3INHh1m7IhzJTWhl4hakhjur7Vc43ogrhEddqQLKQ5cTmQJLyY/O39MHvxAMR2gKZYkMnwG2l 6cfBg6sy6w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Y/ia27A6wO8jmiJeDD7eisp/o0DINS9aBVInHA54TUKf2WoIq6hh9BVkHnKwsRC6y0ISNQHYfAzE PTfro7nWLiogO1UdUR6Fdg0dugY297GMGNSgJp4hSjDcncspoXCIzLXdW36IFe/bIH2I6rVCdQGq Bfb5Gy8ISAcPnQqsvfA= `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block kz0wef7kcYmKk02nW3FHEht391vWQJY92Du/ZIt2m7OdokLfO6Gv5DKbZwOuyO+yXdcUUdWHG1Hg t7gRWxEAkdlL4/9TviviX6GS9QtH9m8xJMYQY/3evLZuJv2spaJpj9XdTT9hQlWB3KOO/c4zrwkZ 4xmqwjxejGJsb+FM5sQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dkOPiv2V30YAr2yuyXphkE0lv+yYw3tHqA0yhJuJHSglEGmP0QTtSBhDMb+PGYV8NkSI8H4eVQnW syXqKR2vhzWnJ0zRCtYlN/vMwjrZm35SHeCGC3CWsCXPg5fWlXJzxzDU4vP5OD4maGH8Ec1mMktz gRtGcXleZSmjeO8rz4N7Zl+e7irHttUbvM4i2n84/VDlVWomp1+ZWh9VIiNadiVaF4GeyDmNDujq KQ5joBbbe4y2hoQTmu/mtfDUMZGGvUoImw+vazPIlVHH7z5MXdEpWiEKnH14qDniwjKNjq35y1au oZwXSsG5YkjKitE/OpWH3/uszWGUyrd02WCk7g== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block YPeWnh+rwbGP5pImDI2d2//p4f/IpBCUCuKd/hCDk+2PHES852iLZdoJloFPd1161LDanxCbRI/P 1nJbZi1obBy3B4ujpRc/a43DfJ7dxQHZtNjYKs9a//VCBS+23vBkqK8aImNg1Enfw1pvrz0j2FHW 6mOF4jYRiH5WXOIIuBHFpcloerzd0g9AWQUxk/T+WCSCqmYWUEWg517jiOu9LvsqInAOCZ5t0SWx 1A5jeWyL+aVl7ZT62sEEoT6kmD5KQH/kGkUI9nUWAJWa2/k8yR8JxLoz3s/KFTMxpyrcHKw2Mba6 kP0rB/IanjAxmkWkkMe1p+USCoEpuIy40jLfFA== `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2015_12", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block RAvb/4vlo/jbWHAYh5QgcKvlffxbz7XViXDEv3yRgfG8bjy/C4S5r75Las5nWMxVHPrwZeDhcP3y eWa+WDaWFUlrAa+9O9M4rBFwyH6vPJbUtAoKNZ7YapE5ME95Y4BKJQml4a6fc9hGkDkuDTohQL+e h1h5j2N9YkWtTKH92l+ACHoeTq3jJ7tMmqXWKNWTJN+Wsc2eZhJhClQDjPMSNa3YztWgvs7raemX fIP1EibAwQWW24hS/XYADD61gRmAHEtBDkKgnD8twsgno/WaAeXts9/ZgPRMk/yeorVQWfEagZMk 7092cD8GLSfd1pkbwQlcvmGKY4sXaCSxbhTWwA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10992) `protect data_block 3xwWy/6MRkRZeShS+gzVnyCgu6hmxBCku8OmzHxtEmPfQhdBrJUFZbsyilcsmXF9fxe07WZOuEfd 29eDCusqS6+GDkQa1fObrFpDTlGsg/zuZPKlOxbgj2ogFnMxnIt9mTUOm/CSQZjfBQ7ygkuzC1kz go/19r+E70BgDd6OKCBzXIRCp0cez9xRPQSjh1yxGHsClc7IdsshM0faul4EQA/ag7JF2KSbrLok 6YnmJGDv4hXHDHrLsFFY/30rBQCLhCg/qaAVz1+cPtdyW7EragmplLeub3E+p+eJb4zlzOOkWvAG Z5SZTyLO4Ejm5ES4fGVsTcQBFhtNPMEG5hw0VB19KLMUWHsKu8bGUNodY6Y0z5NsYsinFdE91iq1 /cPvmwm2PhyDe3gtcC9pLypkh97QMrLoNzTtq1Qf8wL+F2vn90/O5apLRI77OcuADzEy0nY5epJM M/bYrTUTKcUy/g4EwmWh5scQzJw10KWM0qq6nqrghllWPA3G6VPFrdlaGuAD5WIJPDI679eRujii gzlvUfeymzAwVdg3gb4vbchmvhQJVZNZeOi3sBDYUlHfvIjmt4HjIxOEMsMiWH693sAXG77TdwtJ 1V81is7HNRBLs4yCrKRnvfFim62Kwuse16KcgFM8kEe236kasxBZNNN+og/xvEnH+0ZTtDzYwo9b iJNVSMojNwGmIPlI6LazphL+KKfvcP/wLKHsuPnZNBINmcmg/GsqX0Votw3Wru7PE1Zb+TTy4bcN aTW1J7X8dI6uN02M5a9sShA44cj0Fiix3yN8b5s2PkYqBZt82GvG8jhmE7rWSPlArBhOOm3i4Fqi 4Zyqrs6ltxduZMW2/dVkaKdlwh8k88nkgKi5QFoog7oMTyNY3q1tfgXNhXr7mLl78g5nK4myZnzI vnOyJlbQhUnXfCarZHZytw9MM8sPXxAdoXjvHAAK2wkJ2GHgKz49gWzqsNZ19J481wT47knvDLHE aiCDmRHOQlYpK9HhlqxFo7axs2qJGxcHmkHcovR1ONiwyVcprw/1N8XYi3rfVRZfOjSWfY95XsFQ lxXtbeEGqDANg4yB7KJgR0ANNiAEs1X8awIFR5ajCZpqOs/pF0q/eOVr7XY9rnnD021dgRbiYS2h AmPnRcDtPgpgtugZMNQWP0tJ8Z9Q0bsA8HSZgKRSQadvXrq/eMP7+gtLq2T7UwomCe2qJnvJlD3A E32Dz2rsyjGGK0/ezJ/WL7+IYyu9kph815zvoGQ+iZ8t/qC/xVm+IafL55L3GYTzx8yC6oXB+fH8 NXvcefRwZMrySCSt/rqjD1WgJUzKL91KGnJva7+0SkI6kXar5pTtI2sETsYCHk/bFOuGDzDbUgQI a4KrLbJW24Ma+6aFpt+HXsbtzSrUAyE4c/eBhWPFXoXU+M96l2Dr/26D8Bef5ebAxrIkWG4mMfFk jwbMEMPW+DYrpfH0fsBJx8ayiTIlTbhO9ueahWxz1N0qzhgSiLTwNoi2VpenycOoSIwPsV8L6FUJ vblJddC1QfD3pMw5wg4pJu/YDy67JBPN2AnI83cqwnodTq2zunNXPnN1ijyLAGVeLh94XMLyO0IY PHUYL0illGfOuBHmCYnRGqt0To0kveHuSMHkzU4SqGcotTe47tyHES/BMECciUuP1keleaSWRAjc iloD1eLe8rO5PUvivYeLtf/YjhbCchPpHOHD0rKulgL5Sdjyw6UWvEuw0ZsCJCoJegte6JGoKh3F uzkirZGf1p4KAK/ctSxapm0WG5IkDXU3anDe4pN3xkwvwrQ38deRxpzhfLkDdU7vNhPPdPjmvVJi 8vHnBopyV4iO4VOdESYW0Ayd7lDxHHOCfpC+EXfdjxE1UsjALJE6ULQ1iHWDorMYaedIXvUuNubE U7GTmtNHVI7On1W/WRSgeTU3mwrb5c920Yt2AWNqrZ6TF1+nb8h9LMNJptfqgYK+AO3YmGx4mBj0 gXR64MzB30tw/A79uKIvPW0Rnl8osiGHQoHFhc4wnpM/HoOgmMbkQ9IDfULZzB51ztgi25zCBiZw dIVws2XOkOLFfbMlPyncpDljuOFWZljnwSzhTSkH1quh0xJtW6+Y1BtY5lok2s8z7UKZedUR31EC fAyqzDxcVImeTG4BubpgMbU+D5kXGuVXjJqacLWoi4Uu+raynocI5UJYftT612YJGuRPEwyF87lS kUNh+qqJMJWj0Q6lC/smi3HiyEUZcKNxXGS9kORdihN6bZ0e6hD4gBYUwFkSiV+PwcNhqGb1cP0R siWMsu8QjjZxF75+r32suVtgVO3bU780LeXnzdT6ptBXyw8djWwLrdBzotH9YVuqLRmusbPfbU8Y uOhtNgWkll/KO/OrW/56E8HomyYA1x03dshJtxmG+s2MDu1kQ1Vx9tpR589qQXCuTKrQCuNz8qqC PdGMfA4rk5WwR6Gw4mX9yrepLZIoa9PCsurMXXYNOrdjj6oBgivo6Wo7txbrOjAPJKR5n0/I3iaS Ig5HyyrCuQrM/9S410E7f6O3ImGcDdZROpyYLCil7w+lKJET0gMb9vnyYrTI1pNoZlykI/SLPhmx MkgsOKKFQSmlqT5cRivNL5Ab3XYbzXqrHuvBfJS6PaD4I//arhb/d+e6z01czmWU9iYMFbTeCx91 MnRFnkca5mGEONZB9dMd4QPiSzcmm4fh1zO54rNVqID5e7PxnCw0FJaruVopNjqU/Hw/bRlZ+b5Z U/gzICXgsVkJKbY+gzHmRmFT5BKmtfSptJcdKLNVpF8nmkWfzt8yOlhO+0Dwd2DG1K3gWnCgkMYn DKAJ50qh3quvsN2xfseUOgaNoW1DEunIGYW6fb7xzlUl9JerBXjBiXSBzamsKsB3Mf249Gfnu+HG K8jTCYblWdndtp4n27fnAtutKSGyPkJzRtaZV3MYiAgNsr6t8MHlLKTTvNacPM4oV1lM3pTUm0Q2 /k90p2NjfKT65VgWy8IIJmWyUF668HKrF2CVgQ5gz8Y36Ql3eh84YUELFC0c2oSElpWXcz/Em1Fq yexJ8chV7oPsPre+gLHOJHeUdE+CctwQc2UITimQTycnkOqD43P2CP5yxDbD8GxkvJrMUexQ48Y+ bUCYRLnT3/j2FJ2/QJZ1R2xkD/VLu73Fqjn3bwbEtq95XPP72Sg3qiHokgy94d72RtqDJTraz5sT 4vtUXN7FlWYWI9LoQLbZzygRpE6utMIqhi2CDInNl0L1bwZuLCngWvmr1tUhsLeUC+qdDtWRQ8hd e3aLwpq8uLuMiYhskmCtGJJEnZ5Ej5Lfn5n3AKtdZ9deTE9tFUku1WaKK41ZtHtFQfr1cc3paliP FbdfugUrDLK4mfz1u69K3wk401pR2dK0WciXKHyxWkLY4ukYHTHek4Q41mQjcJnRekjqRl9mfjhq 6z8GM/MuPhtS0NceSXYleQTxEEAKbZf4/7XmiZxdE7YCWlqAI/DxXhvQhD4QQp1MMj9Tc+rKBiwq vqO02xKwuX+HGmyxjNgzi2FXcf4z9/ucsmTesEDe+3N8Qof/uQzLknl0JPKHqKYb/UKNe74CZRAn GvvWzRxw6iDE6Z41CFIz8r1HfMw5+7ayB6Pthk1tBOAT7JhKPbKnH4g7gRO9gdfZSZEOVpq20/QJ DW/dAI5Tpo16Fa6K/t3Bx0G3PPRyoltO2YqB078eP0yWR8EMpOp24o3kkpY+Ovh3izdm3UyoBJma 2LnGsU/dubfq/cvn+WIAlNFWmJ72pymEfzf4ZKKnnACV2W0GsuOIcFSRsgnt99rfHMZV9ecRnvcF 63HHHz5uuSegxnL72dt5GsxdwZU6V0gDvnX2O6LA3VwPa3ElnwiMNPVQ8+QxU+Os65e7OfL9f6Ef EQe7HTamKn/7hfdUxw33wpwedNfexAATI5n/hq3Nup5GXo1yioPjm+sRdO1LV5VzXqp/d3QP+AaM QVo0P+cqq9k5JHOY4OY4CI9suhQgciFiZ95zwLcRziEq30HreqUc8vNHA+e6B9D8E0LYza2Y94mu cPOuIyZCzlbr6asFBvL5ZQKJfk6dhHUpLPFSr1lw4V+37t37DGziIPkXUjRcJ2Tacn1eYbttjAzo lRTDMu/vvD3dCsWBTJVN1/mW/eG8sakVKsJcv+BYoSF+mvLxh4ZyFn9WQTDUY5qHeG/gKzLXd3Ii VSHUcQkBeTzyBstW0noKHnsSLTyDuKKwzqTSFYtA8yEjj649J7/K3pWHTYSr7DT3KBbI1WLcHnUZ me4iOgvUw4Lkmr3Ej2mgmAxa0FlSUIiwJjHG7kyGYw0ICj+EaJsV5CVIjRZS5jj9zPTwgicmbsKG M/j5oTFyi/IEcBZv4hZk9XJnJ92p0RK40tq3ryHbpx/VK2hD45sW/wEvLkXg2so1A4yAfih16LBL oSPpWExW2VgltXuZ/9uZY1FmNNq40y/ikmC1Upjaj/ilenvKCeWIzZ3FARWFHxwymCnNZ1+Q7RkC +2XWBA43x1uQITfrVb2baFZ5aPGt77qz2E7kgu/80fDHhy6TpWXYkqtW2KTl3rycDClYtrO9wjAh EgrGT5HVuaU6F7L9y9p35bRWMzcv1wb/OVPlZQS+TCoPBFfm1qOL+Uwjib80Mtu1BDzFWV0Ll5XE hCHVUps572c+FT0XGJcKpCb3Zck10bQj6PwBxBEYA2fxroOz4YTiG056q1/aA2Qtdxt3X/+u3Fgv sztXHW04RxaRDPrY4gtmToFM53FDV4qQkA8bJyiXCJ5M46M3ExYFbb3y0ParGLb2Lm5Z9M+SO/+L 593jD26QFFKgMNzVEWaWqmAR6o+DRU53/ayvwrpPQTzAoAEpauWmoOsoICXhu9ILNIuzvZk0RFtM uc+l2Yhcy2HWUIjcb3/NDjwRdTeO80JDONRzuvgLzqzzqIyDboR9FQBGNxZxwsypQZiUrPnbs4VI f79WT/gwj6zMnRCzb1pwv8AiQqZf+/1SRB1bZTJZKtUvalFFXEV96urwbhOr4u+mgVoscY/dt8Y8 D42XpTrBTYLpfSQHIPlc5Jz/+nowPEbkIV3nQyCYYSEhbV1IYuUNENaqtiiLDJ7bjimfF08hrhwz pBZdan96sAE4fSydkDOceY5w64OgJ2rGowjI2b0S5hNjj2Fg3u/7xD4nrf4PjJquFt/qE0fsibYQ olfowJoimFBXU4DKa/LzgZCsmsWWQJtZq+51HyyLfYyk5NXCeZYfAELrMFfo6C0T0NK4z2R3QMdx ciFgKXu3XweCLXRzQxLT7I39u8hib8Nrs/Dd+zxuTcASFy1ZpznfwdvAU3GJAfy5DPHyyywEMnZk y56R6VOzEG1PHtMn/dn3iYILDpRQWL56qfD/TgK87DVBt4zj2AYMJ+QFBjs4R9StiBwOPTByKtz5 03l6NnkyXLYGo5lBGQXJ0qLU4ZXn2gxPfMp3GelnTEP6fG2XfOxROdE2+j1bt/q6gVe4b2a6/hNY gCvM9iOsvtDBSUJnFvEGaPtOtbaQUPZy7CKwXjH3TOfCh92oTipLGAEOL7CyoH1R6U+qBmf+H0vc k8b37faB/ZRbvek99Cht3ZmoPRKzR2bxhpga4VTEYsw87hvKAI5jioJvfVGfA67KT4jIHrbUiW47 Jn9adm/f1669p78argp6dWUVPaqAW+L9cz8NxspmdO9y+NExoZ5pV1D3gfm9ov6xL/E14bNkH/PQ YxB3fuyBNLJw3Jy7vvU9QGe/3AQVztV50W1gz4kmFWMHwTp3cPWY6PREAp2Wo489wI4SBMdWc4Qd xkh/MgloEPJpQ0McLLRRCs3zW5OINd2y5+Khy0+Xd9Ebbo5Q9d+bf37MOlv5QIQafxRfoWwQU7jb qO2XJgq+2M2ZsqAjArEQc1kPLSOEEsCDfvL96BBs9DxXahZmgz0yB4wefBwytrO/aaC1xEY3yXnW NzmPL4XbODc39MOPJKzjXtCfz3N+bZxNFND2KNHdkBQa21CWbYn4eHMqtOaSV/p+OyDRbMRN4UUr AtOESPi3EfTRIkCbLaWw6rtfnDhBFrkpECEZCFnyX5JvLtKgBCywxPHwnwlKnJdN/yR0UJZZk4rc BKtcyzVW9RhMPtqrSkkyM1OKymD+/WAhX+fEOA62QXVzdOLkkTGG+RCKA6u7JuXr6ZWB/riut2/A edYsu6c09zpK7pClFhQ+nK5iuiTh4cK5KhftVAayPjzPmArwAXiNMCmzQgzyPhIELT/Key+CgP9M 4ZGFIQyf8fdRcxuTeIRlH5AztGVO+C9cCvfzc71UF6IbP4yNtMGJcOsZtSHitafEylFMdJul/uJK prkLNhLPsRxVelN0RlQhFqr711tj+q0OUqRoHtcaWbaDio9OaxtT/oSDuC3FY2wDxFQ+djTywC1S mkxuFrwDb+hurQOQelKy4WhBit8bKd/3G5ICTLj5c+F+g4ULzdZwNUtwoQarO2H8p/ZoRAU1ZKT4 TzI/YVPOacBcdmcLJZCTyIwitp6VijwfL5WpPU13AQA9NSRttpgBZ0XYXzo7wjdV7TeKJlLhs3oz oByb1ztbZ58L4fmB5367gCuSUQRtwnhMCO/EHnBeZEEqQbrMhf3EKFvnekofYyTXNHf2fOQ5Z2mU qe7wC2MNC6suCzQplhf9slBeWS6YKESSBV65/OZL6tWdceajLim6XNLfPDE08WzmOlAJ/FHaaNZZ CEloFaKYoM8vdCEpFrpi1AO0Wci5qFTUQCSraMcayh36n8oiZRuJLH4o+jdxZBHVd4TJnw80fkfe E/o8LALRHeibXeIuunLtZXAxaQXvtug8849LUfqRNSjbYTawGgpw8ZjV2RRnmnhMcLZ29jjt8Iv1 BoiDN7ZVMcdnL/MCAWhgy0wk+3bVameP3dUlqRsgk15K5KCBWpmJQWAby8kWUBTd0Pe3IhtFU5J2 cRCuLbeH+o6CjYluNh59t8ydobLNzUbEzpBXMdlLd3KJcwWZ8G7yYLgCHK7mkOm0Jf4mEOcwmQY9 +U+UQ0Crqhr/mueEM9B07YF9gPWNMs55DChJfdlVPVc4+Qs2ziFdIBpFyY0O7ictXJ6bE88SLO3f sRJwDCVdCGvQfeUhdITE86PSz7IG4r/WT/UEbENCknPO/urK2e+HUR3ejgcs1OdNDRejQNN9hW2G zDEly0mF4D62yk6bg01uWgL4SjFhnMBkEdsvEHvAHGoYmqFttxvs2x8b8OEiwpAsQNN+LP+augQ5 TUI2OdPwJC8wFCWnxppujCeQTKvUrNjCVQnFgctEkx8V8R1qwLfSQibrvL3hEtTPMqcW8P4D+v2R NCvIKVIvxBkSB6TTSLimWB5mSHwmbp1CNOND4SCzOwRcwB0BRUtgJezDEDrUdzMCjkcXua2oYQGP MYkj+PV7O9AgFmLEw373Jgmct7pfPNV3/j0DxIw8CheFVxtBjqigax8NHoUfJuMjKPdNkggKuUKP ef0IneMO1RxnPEhGWm0YPrVx/3PHQdzoRtdn4wchWmh4Tab70p9ttuOEzlpLpY6YNX2/wo9OL1g6 fHe3G8ImR7BVqZfx1Trwn3FI4SDF9kRZUKEnbP7NTlwQbB1GalnTG2yzBfjtxFB1/bZekbGfxddE +lxm6h5IK7k6RKcHjHANa6DusaiEiD1rRZXp1hvNByGgbdWB4fpSf80hn2k9QWZYkpCqbWYhBi7w 4PBc3eW+GV/G53Rkj9+LJl67yKrCt+caEKVL2SzMF4rcUY4TpIsb+owodSzLOfTqSs2a9ptRdcQU zL9qXoMTzmGw0lVALRZNeyby85qYBqDpS4S7urN6aAWKDhVNA0oQCfyjbpHTJuVcdLsjujp3qsFW j664Y9w4dmqOI0TOTETmBUKB8UxYDDccg4uVMBH4YsGjAZcNUGIOkeozY+jNlnUX3HN03wgrIS5o epuuc/Rlhv9RdIugGb8zmMnYOjKoTWM6zq5lRLnls0UAvxRY6mg8GfSohUfJvMo+obf0ND2yy8p5 CJ7UonWfOcq/xr/bt3rDGQv8AmBmgv16mFN2qqQ1q94JwcVMuM8DjOUWoZ9801G2dK+1oXUkpwjV nSyRZc1urD90WuxMw1qRAOneyiHg1Qi71hgBp2tXyC03KMR3DrK7xqBM3etmb0TIh3BUZN5ryCkP lTFQp7P4I6iMtsUnu8mdZYw7mGdWGLsoUs8RPxrpomoNuXfzwsXohJutjsw0jfytkVl/cJZoiYLW fb9HGPzErVA0Hei8oj1fZjJYL2/56NfydMprVrDlZKj1nm3AkU31/Mk3Anfmm1IeHeKuUqxiNDQ6 hWWSEMQyUka/ocnDNy+l81fYCwlM0PA3P/FPhpZ5DwoVhZklrifVhZ1oJj02XPuAMkRaSswXxMeB FdPRf4BmpGMMIAcljsIj/tDDgah6P2ov0Y6IocKL+jibp/nKOrEbcW6FbcrBZKE/7CAeTSD+5coQ wa5m0sQ+sc38aXsTmXvc7fIYrukMIbfnGZE6LZAAyJN0KBVG9IDw6+mhGgEfiF+N2DWeArI4h9I3 p7Ufc69LNPQp8DsM/4N3xfEp+LGqjoCmA1eM6TNQ7/mSv/buCiKn/YnSwd2HYSOCnhulXeyHlEz8 pAVC5eMsXs3vz08xJsYnYNgrEGcjiMoCd7m56yVJj19eFEsarePXmpq4vx7ydd/gS9QRy86oVWtn MyQRaSMh644nyAsCn+I0QsnU2R4/BeROoZRNW9Dk5qnl+6JXjvcUsmAT9skhnuX0VbY/kw1IAbiX nuevhMxgswsEK2DZu2aSsuHWdmKUWXmytjMNfuJu3pfG5Y5+HSx5QOtr3To1H4zlSP+vaTWISGyF crjztCRVwCk7GtkIhZgT5X2Nv1Hecb9H7TY5CTtcftIkUN20qCSts5o/wktLWzlwxJU5jwHEbWTa A2DZkHzM4gfr7N5w1vUkKAfq+506fgIpHB89a0cO6lVUd6tseoUc1qYt9sWnmkxI8uq1DmFMKnMB 4qQLFU/1iJcLRZbpyCG3EkvUypCTAAXJ9zAOkuaDJuu7OQxaXFkzMkfMEM3XPC4DZ7Mtw3KvGB5Q R5RlvHGvUpH3T0+vVye+5vRNl2sUaJNrJGkiKVwTJLfz3srRyYPDzh79E5hKfZc5UXtlsUyEREmJ sjz3lDVdgHvtxL2vf1Hlra/926iE9t89t1b3/nkmfH0sRgJML8ZHL2qkRPmuVLA3R2UIvq75GdyI zb+KgNFfRYG8V+a1V7XSMbj/krtMtJR5MyOqi4ITjDa8pdBnXP9jTrZ0xVlTtHJyS/OBP8QUD2Pn 9W9650LPDttaxxBn/EdjE+Jeiz3uGtr0ntC+JX1j6mQBwzw72uofQ1I1jP/58lZTxom7uDlyLRwV qKFrIgumrpVbv+KUeJgxvz5NNFlNgKLWUnNcKVLv9pGxDoL51peK0sQLl7wHaVp4mbkZfCXNnRSt iclJH3dmoUu0EWAS+8xTEp2A6J/lQUNC7/oWlDqcueZqz+aHAMe/NdKdg3Z7V25lP0t4Ax23R9iJ By6U77DfI7PFsiIZYPLUYvEoS0JM7Hb09D55Gf9Ia+dqH0YNqlN5b6Oy36qHtL2xfspycW2At5uJ hg5/95VGDk1aF+SHmzyGcUnLAj0YvuP429gvyQveuSY1VbfL/amxMrbcgiyDc9rr2M15CIqn2yWJ iMOo1G3dI0N1R381uaOj86DiIdzQFAqLTPy7UqzHWpX3pgdcomSGnBSj3r635LYAGUwBIfq5w3HR MYfPvnQaFZ0JfXqitLn+7z3BhklKuTecWrwUec0UrRntEjFM5rX3KgQQRzPFLTubZ7mK4Q6Swapd oOaU2mlF/WdO9tHmX0sRe+Z62w34eZS/eIj13k/28f4lXeMXlf9pvVGmOtgEW52/awgb/gSi/stq 24mVFkFIfrBJUcFNjflHmvY8FwAObjXYKXRSY7u/CwJxsjhMheDRC4rsF4XjSgscw89Zrplc+xer IbeuBPCvZeRGvnXg3pHyssXez/FLVKePuSplxKdPtVzbJ973Iioz3n42MX5CP+svQt2/IKu65p0F a0EaDoVbGOgBlWw3XBT4PvZdhkSOjACOI9VL3JaqK+QaE5FXxU53F8QU8+k8v72k6pzbjbMRONKW 8fw6yw3fDiP2c55iswVNspuTQ7Ov7lAIrxzI7ShbvszGxYpujEfsc+aXS4CtRKmU0o5eEM19NXcN +Mcxgo4TLy9yPw4UBddqQj1syMZhgcYoGHb2NT2qwXRKwUK5va+VWpxG/8FpB0EKKUwO53EyhMqt 3kQwyZgvjGcpKK5dqtBs+nxLL2sYr2ZzRTDTdvUrPaXvSIYtszKj2MkZk6249eIBJJtkDhgF3iMF PW7tqHXBe3jzFtZ6H5NtYcM7cBiK1KpHWmL7CMjHG4rsHkdvQ0qAwG18k7Rnu/UxMGhFYvjQ97K4 m6unuzKRGniM1wRPNTkBCDWfK9U06AzZSouO2uXEja5IRrRk3r+jiuweLgW/HecoPnqyQQ5avNg1 3fhbPXoIXSVSjpKN+iF3RSPKLwlDwnO6r8X2q46tpjYMbyG+bqL1YXAmcV2vwbDDa/cknSEna584 O0Z0ChAi99dogN1apFPymRMmupwMF3RYfgTgM1nZWORy+NK7myRQDFO4DOpWXXFthb7g4v19U3Xr quuttDDMpL4V/VxIYxjPXH6iQl4WS/rtRmQfGuNEEug1hzeuFqNjKny9vps3luOeorHG4BJ6CRr1 KPuLJKbUC++pylnYVAt3ir6nPKWHH9VzH66vPMR+1ns+lk0VsCrvpJ51vipg7zO8dxdlZSbDDECM 5KtCDdDmbMZdPF1vn68CNVmi5EGFTZxpwEw0dsA33nwBek7HtJdq8lKDMMvtG2sPwuVbOjI/+f7c 1iBr66tCe7BgnM0OBWsfMpPnuVDZNK2aSOzl/Si6Uyh2GVCTC2q5QXE2DNaSJ+Uk/VefUJ1mfoc5 hEi2z15xkPA3tZLsbeVK49ztjFB4Dtdcz6OaRXa759McHuDQ8//XaH3dQwsiAUlPj9ocig3Eeu8k 1kfGq+YoMRju+8TholtH1K8vWsnEgvRjLyotdLwMpLT8uVzaPdVPoqSOFOoe7jcys5T8Oo/nHflR mWqcRcsG2kBKsyxJP8MPKIAwQfBzDO4YbcV7VB0NdeMQZz1BUqA2fDslUZ30NFecg53YjNko8ZSL 6xw/F5xJnuR7iShjwn6Q7zjify54OJxAChWWJHVZuXzoRC4MbwdGqG/PCFC8OMDJxlRTbmdih1Ii g1ouH1FxOx4VJqYvsUnX3AiVBLcFJtuDGP95QDqc4o5H5pUUlRYC/hOQKUwHNWmN9iQR6/luxV18 rGY6w1L3ap1RCxDYfQPlcZrqfS3TDyNBbBp4ZwzQLbr1SpUeiQZCVuGTOsMZ2Ms5b3/anJ/GFlO7 Gt8cIKPRsoAVsum7JL19VZCf2jz9bSXx3Wj6a2/QI61XYjAstxKRhuBWMHtN4l1lxMhTlVrpMjvk U7shasI4BniJkH3s/APOXaI58RZotDtX5o3qvLgqyXjt9hVhNO9ZiGhgO+SVdw/G8GyCV0gMTeiz j53y0wZqqUfg66AnnZhFQlcTyqermSHTx/TtHTvwCy21r+mm7OQStw00mMBOWRCdJX8rd4noJgOT dPX6+CyKt+ZuAQlxcpbnvLskcW455kEALlG3ySzBFlngWvQk0uT4+TSibR8RTBgjwzQexuyZjrcH IzyphsG9Qx0EgTH+mTSPoKfXGcp/8opQncen/5/HR/ZO4mEREdB3/Bxh2KHrek0uqjOj3xLSlpxO /XhHXAoc0Af2QkTGJ/fYSjw3qAbppn5dgMfWMYHwpuqoGfHMbIgt9RWxRvAE9SfNs6RYAtaw40be d+XUg5B8VYtBgJ2ns7MmHbPwfM6l3mNgL5/VCiRwOW3b7H/XKlRlX+x10bKFoWISlTOx108BNklT 8H7/EOKGQKxkkD7cg+xahtI3DGzr2icmHbjnnLlYMuexyPkchxv0oj2PrZAY614soEkKAn8eisYd uWVoi5R9nc9OKJ/pahi8++BBhW5hpd8/jZ7Z/Soaom9Ll0rfWd1Ll/zuWngq1sl536SQt8PbeEf0 jFiceAL7vGS683pzbEIVjIPHg93gvvWBIHtAHmgC0mq5Hz0eG/x0nKeNaFuaGJM4yz0LXOR/l1DY F4Qn5l2Ad1T63RgxIL3qTZ9//sIsujeOTQ2zHSqQcMsU7CrsPOcfYk6KfGemOnCOhxI26IlXgWV4 LTn/9+gJ20i1qJeh3XvuKA77NOJ/OxnpfUD2cFFlIu2FFXBmmEPJCVOPMReTESKnSDFrKTFWgMtZ lMO8xf3dn+XhJi4aJQozsmf0aZ5sFTgji5JMIHTSMCJt4nUH+J1rP0s7/Acg8VorEXP/Xw5SacR0 XRL0+xpE8ghbWF/YR8/DkEyFroA/MngnfXv8mr1HZ9o4Y/6P5CW2mIlGECuKYd5qKo3dCTNnwGEQ q34l73OKX3Yzx46P/2j7D7F0DkYy0/YWR6nOrsGgvU08XYSxqtnIbK9BujGKTsKVebcVRvCWte7j Hpe7gjIC3DcRWCWmS2BZxEttgoOBztBb0S/3WF//Nz2l2/mwOBdbtTWYUxBeF0pwJIlcKENCGTG+ dx6xQp8Qjh2FOw92Km0YXKk8mwyET3bGBek0KIcfsJ2PK8hjRqrWcW/fWxf3TfulkpARxw5DL3SP xDDwpKkakbhQKIBALt1gz2EP2+9gHZaqKX2lvzLkwSZWDGerwN/53SPy6ItpwzDM0icJSkGnvMRw MjlZV+UmKURuIZcWll6VC5F1ruRJPJzhssYQTfNSV9O3HPiiGx40AeK6XLQxrvq4xD9c9tVDHZuR iSjGPQUoT5GcEuRTuy6yfhzABkcyuE/scMy2mJP0Z48SwCPjpqM+UmyYW82yMTVEATwaSQ2Gb4SF D3vHiCpwxubJSkcj2hGtf0qfT53vCzOlcm9BjqIm1yhWPXZz20/QAnfDWXYdKnfIM9JMHx1TcgcA V7zz5ljVXOqQG84s0fBF1p9/XHJTVq6a8ylvOvzPuTv4F4rxkszD8KVNc2hBWCBj9X4icHDwTWYp L5RTJgSMNMnRDJ9WpawS0aGezcG/WZVpGuaA+m4Idntn2YgPKB7UT/LcCWP9+SN6Lwp/ho17RaDH ims+/QLZ9HKLiKU3r+JovLOScNarLjucxZ2CF2FfVejNTomfYinJkXcelk0dTR1/GLImP0sjK5cv IGgHDqH0UnpvgdRYKiLj6Hx6yf1QzJtzdyZCnxG5TfRXYU2Aypahuex+rGXKqTdpwmspgCLn8Ho1 /b7cMOCDFx0mP/nxVqrBWGpEneuYl1E8ekRDhUelgIaY4LYxs+/BDczRFC7Hekqove3vN24k3QLz f9dddRsMoOIRE8jMV+gEy/tBjRWYaQr4v6CmW+2WiCWVnKtpxytdQVVZfonaYcqivcJgg8hPBNzN NZB0ztjP4tePmXwfQEJUhtPh+ojVkQB3xFF/hUNP2IBUDhjfE1Aq1DdH8gw5UDekzTGHVL+Hxie4 C3EwnLLTF07iTmSAAr1HB/Luw54rmcL3rI3ZSG5qqI3kSvCIJyrszrgZ4FKuDxdiGYL3wHRNRdMn CdnoeTNKd2eJ7k4b7ZvqDv8WcKLrDaCgwZhKX91XSC9RTCcR+wuuJKuzoublG2tv5oX9Y9HW6cTN +KLm9b25rpe21DCDxTx9z20rVlS8Vv0Dhb8pDFNNQEeDeQXwTMYm3lA0aGZwLQ7KSd2h0UJXpE9Y SNcpw1X6gIK6X3Z9M3Uzb96xAokre8VwT6tdpmgaCFAExWbzlSwPWh22Nk4/1OcMSoxuT8Id3M4p nHAMlgIzrjGdlf9sOWHmgFh8dg95SOQc76y9TWVsgBek/7ykjuQh5WOFvVj3tSHNSmmiQtHpruFn myWGmVJJIS5tj7zTIywFH4Q0u48x1m4pm5opO/6yQs28pcQxgs+E6dzdt2fWhfb7vKENUP1vI0QZ 09jK5/Q75WKh+Qyg2Y6paSv7aATacjjfOx+C93Gc5e4YdI36jzL/pDsFi25TGkPI5mS9toQmM7I7 OATQ345THRx6XYy856ckwX/2+FFxlopQfcvfxuQy54Xw0+BpRgFWJSFRXQq67GmbA846EnK8AS+y Oxz+5SWkm4f5hrVmQtribqWXUFg10ysbR77D5abtLVChf/Ly5EF+H9k+2GfkzO4Gh5XvhEjfLHLn yNXBlTSKr8bV0rsHw/qaDFWZ2RoCYfLNobhyLxtcMRQhsyAnw9ZigKuJ6O4sH1gMt/83722uvNPI urr8ghOHpusWH8LipstrEUbDg7egWlayqVn3gA4gfBC2JOAkD8lx6E4du/RlmfrKiJMq0cPmB2PX sBp4CAPgoSZ+oN2D3yLVot2dtpTLao05HYLEN/3eIO+VPWojR8ePFABKDXtN0i0Y76LIV4qtXBMO dMfbUyE9L/x6/6g46VqGS2BFHr34NDgJNLzKhlEHq6EFo4M9uMYhaffjWOfrEB7yOEQzFKrSHTYM Q7jXaqvTiOncP68V4vz0Hq4ZEnsVTxQKXqzaYMsRWkJmy3gkO3ixq//cwTUccsS0nECmA6KbMZMx /IOMaD34+j1GDDDOPVygltsq1uC261aSzKvO6LBzQE+/24SC1NRpg3CBrLzh4nYq `protect end_protected
mit
d514794d3022a62cab591b9b65efb16e
0.93518
1.871608
false
false
false
false
Given-Jiang/Gray_Processing
Gray_Processing_dspbuilder/hdl/Gray_Processing_GN.vhd
2
8,011
-- Gray_Processing_GN.vhd -- Generated using ACDS version 13.1 162 at 2015.02.27.10:14:00 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity Gray_Processing_GN is port ( Avalon_ST_Source_valid : out std_logic; -- Avalon_ST_Source_valid.wire Avalon_ST_Source_data : out std_logic_vector(23 downto 0); -- Avalon_ST_Source_data.wire Avalon_ST_Source_startofpacket : out std_logic; -- Avalon_ST_Source_startofpacket.wire Avalon_ST_Sink_valid : in std_logic := '0'; -- Avalon_ST_Sink_valid.wire Clock : in std_logic := '0'; -- Clock.clk aclr : in std_logic := '0'; -- .reset_n Avalon_ST_Sink_endofpacket : in std_logic := '0'; -- Avalon_ST_Sink_endofpacket.wire Avalon_ST_Sink_ready : out std_logic; -- Avalon_ST_Sink_ready.wire Avalon_ST_Source_endofpacket : out std_logic; -- Avalon_ST_Source_endofpacket.wire Avalon_ST_Sink_data : in std_logic_vector(23 downto 0) := (others => '0'); -- Avalon_ST_Sink_data.wire Avalon_ST_Source_ready : in std_logic := '0'; -- Avalon_ST_Source_ready.wire Avalon_ST_Sink_startofpacket : in std_logic := '0' -- Avalon_ST_Sink_startofpacket.wire ); end entity Gray_Processing_GN; architecture rtl of Gray_Processing_GN is component alt_dspbuilder_clock_GNF343OQUJ is port ( aclr : in std_logic := 'X'; -- reset aclr_n : in std_logic := 'X'; -- reset_n aclr_out : out std_logic; -- reset clock : in std_logic := 'X'; -- clk clock_out : out std_logic -- clk ); end component alt_dspbuilder_clock_GNF343OQUJ; component alt_dspbuilder_port_GNOC3SGKQJ is port ( input : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(23 downto 0) -- wire ); end component alt_dspbuilder_port_GNOC3SGKQJ; component alt_dspbuilder_port_GN37ALZBS4 is port ( input : in std_logic := 'X'; -- wire output : out std_logic -- wire ); end component alt_dspbuilder_port_GN37ALZBS4; component Gray_Processing_GN_Gray_Processing_Gray_Processing_Module is port ( eop : in std_logic := 'X'; -- wire Clock : in std_logic := 'X'; -- clk aclr : in std_logic := 'X'; -- reset data_in : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire data_out : out std_logic_vector(23 downto 0); -- wire sop : in std_logic := 'X' -- wire ); end component Gray_Processing_GN_Gray_Processing_Gray_Processing_Module; signal avalon_st_sink_valid_0_output_wire : std_logic; -- Avalon_ST_Sink_valid_0:output -> Avalon_ST_Source_valid_0:input signal avalon_st_sink_startofpacket_0_output_wire : std_logic; -- Avalon_ST_Sink_startofpacket_0:output -> [Avalon_ST_Source_startofpacket_0:input, Gray_Processing_Gray_Processing_Module_0:sop] signal avalon_st_sink_endofpacket_0_output_wire : std_logic; -- Avalon_ST_Sink_endofpacket_0:output -> [Avalon_ST_Source_endofpacket_0:input, Gray_Processing_Gray_Processing_Module_0:eop] signal avalon_st_source_ready_0_output_wire : std_logic; -- Avalon_ST_Source_ready_0:output -> Avalon_ST_Sink_ready_0:input signal avalon_st_sink_data_0_output_wire : std_logic_vector(23 downto 0); -- Avalon_ST_Sink_data_0:output -> Gray_Processing_Gray_Processing_Module_0:data_in signal gray_processing_gray_processing_module_0_data_out_wire : std_logic_vector(23 downto 0); -- Gray_Processing_Gray_Processing_Module_0:data_out -> Avalon_ST_Source_data_0:input signal clock_0_clock_output_reset : std_logic; -- Clock_0:aclr_out -> Gray_Processing_Gray_Processing_Module_0:aclr signal clock_0_clock_output_clk : std_logic; -- Clock_0:clock_out -> Gray_Processing_Gray_Processing_Module_0:Clock begin clock_0 : component alt_dspbuilder_clock_GNF343OQUJ port map ( clock_out => clock_0_clock_output_clk, -- clock_output.clk aclr_out => clock_0_clock_output_reset, -- .reset clock => Clock, -- clock.clk aclr_n => aclr -- .reset_n ); avalon_st_sink_data_0 : component alt_dspbuilder_port_GNOC3SGKQJ port map ( input => Avalon_ST_Sink_data, -- input.wire output => avalon_st_sink_data_0_output_wire -- output.wire ); avalon_st_sink_endofpacket_0 : component alt_dspbuilder_port_GN37ALZBS4 port map ( input => Avalon_ST_Sink_endofpacket, -- input.wire output => avalon_st_sink_endofpacket_0_output_wire -- output.wire ); gray_processing_gray_processing_module_0 : component Gray_Processing_GN_Gray_Processing_Gray_Processing_Module port map ( eop => avalon_st_sink_endofpacket_0_output_wire, -- eop.wire Clock => clock_0_clock_output_clk, -- Clock.clk aclr => clock_0_clock_output_reset, -- .reset data_in => avalon_st_sink_data_0_output_wire, -- data_in.wire data_out => gray_processing_gray_processing_module_0_data_out_wire, -- data_out.wire sop => avalon_st_sink_startofpacket_0_output_wire -- sop.wire ); avalon_st_source_valid_0 : component alt_dspbuilder_port_GN37ALZBS4 port map ( input => avalon_st_sink_valid_0_output_wire, -- input.wire output => Avalon_ST_Source_valid -- output.wire ); avalon_st_sink_valid_0 : component alt_dspbuilder_port_GN37ALZBS4 port map ( input => Avalon_ST_Sink_valid, -- input.wire output => avalon_st_sink_valid_0_output_wire -- output.wire ); avalon_st_source_endofpacket_0 : component alt_dspbuilder_port_GN37ALZBS4 port map ( input => avalon_st_sink_endofpacket_0_output_wire, -- input.wire output => Avalon_ST_Source_endofpacket -- output.wire ); avalon_st_source_startofpacket_0 : component alt_dspbuilder_port_GN37ALZBS4 port map ( input => avalon_st_sink_startofpacket_0_output_wire, -- input.wire output => Avalon_ST_Source_startofpacket -- output.wire ); avalon_st_source_ready_0 : component alt_dspbuilder_port_GN37ALZBS4 port map ( input => Avalon_ST_Source_ready, -- input.wire output => avalon_st_source_ready_0_output_wire -- output.wire ); avalon_st_sink_ready_0 : component alt_dspbuilder_port_GN37ALZBS4 port map ( input => avalon_st_source_ready_0_output_wire, -- input.wire output => Avalon_ST_Sink_ready -- output.wire ); avalon_st_sink_startofpacket_0 : component alt_dspbuilder_port_GN37ALZBS4 port map ( input => Avalon_ST_Sink_startofpacket, -- input.wire output => avalon_st_sink_startofpacket_0_output_wire -- output.wire ); avalon_st_source_data_0 : component alt_dspbuilder_port_GNOC3SGKQJ port map ( input => gray_processing_gray_processing_module_0_data_out_wire, -- input.wire output => Avalon_ST_Source_data -- output.wire ); end architecture rtl; -- of Gray_Processing_GN
mit
36a0c3bb59863ba427982eea0ce092c0
0.569717
3.430835
false
false
false
false
timvideos/HDMI2USB-jahanzeb-firmware
ipcore_dir/bytefifo/simulation/bytefifo_pctrl.vhd
3
20,422
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: bytefifo_pctrl.vhd -- -- Description: -- Used for protocol control on write and read interface stimulus and status generation -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.all; USE IEEE.std_logic_arith.all; USE IEEE.std_logic_misc.all; LIBRARY work; USE work.bytefifo_pkg.ALL; ENTITY bytefifo_pctrl IS GENERIC( AXI_CHANNEL : STRING :="NONE"; C_APPLICATION_TYPE : INTEGER := 0; C_DIN_WIDTH : INTEGER := 0; C_DOUT_WIDTH : INTEGER := 0; C_WR_PNTR_WIDTH : INTEGER := 0; C_RD_PNTR_WIDTH : INTEGER := 0; C_CH_TYPE : INTEGER := 0; FREEZEON_ERROR : INTEGER := 0; TB_STOP_CNT : INTEGER := 2; TB_SEED : INTEGER := 2 ); PORT( RESET_WR : IN STD_LOGIC; RESET_RD : IN STD_LOGIC; WR_CLK : IN STD_LOGIC; RD_CLK : IN STD_LOGIC; FULL : IN STD_LOGIC; EMPTY : IN STD_LOGIC; ALMOST_FULL : IN STD_LOGIC; ALMOST_EMPTY : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); DOUT_CHK : IN STD_LOGIC; PRC_WR_EN : OUT STD_LOGIC; PRC_RD_EN : OUT STD_LOGIC; RESET_EN : OUT STD_LOGIC; SIM_DONE : OUT STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END ENTITY; ARCHITECTURE fg_pc_arch OF bytefifo_pctrl IS CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH); CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH,8); CONSTANT D_WIDTH_DIFF : INTEGER := log2roundup(C_DOUT_WIDTH/C_DIN_WIDTH); SIGNAL data_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); SIGNAL full_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); SIGNAL empty_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); SIGNAL status_i : STD_LOGIC_VECTOR(4 DOWNTO 0):= (OTHERS => '0'); SIGNAL status_d1_i : STD_LOGIC_VECTOR(4 DOWNTO 0):= (OTHERS => '0'); SIGNAL wr_en_gen : STD_LOGIC_VECTOR(7 DOWNTO 0):= (OTHERS => '0'); SIGNAL rd_en_gen : STD_LOGIC_VECTOR(7 DOWNTO 0):= (OTHERS => '0'); SIGNAL wr_cntr : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH-2 DOWNTO 0) := (OTHERS => '0'); SIGNAL full_as_timeout : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); SIGNAL full_ds_timeout : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); SIGNAL rd_cntr : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH-2 DOWNTO 0) := (OTHERS => '0'); SIGNAL empty_as_timeout : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); SIGNAL empty_ds_timeout : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH DOWNTO 0):= (OTHERS => '0'); SIGNAL wr_en_i : STD_LOGIC := '0'; SIGNAL rd_en_i : STD_LOGIC := '0'; SIGNAL state : STD_LOGIC := '0'; SIGNAL wr_control : STD_LOGIC := '0'; SIGNAL rd_control : STD_LOGIC := '0'; SIGNAL stop_on_err : STD_LOGIC := '0'; SIGNAL sim_stop_cntr : STD_LOGIC_VECTOR(7 DOWNTO 0):= conv_std_logic_vector(if_then_else(C_CH_TYPE=2,64,TB_STOP_CNT),8); SIGNAL sim_done_i : STD_LOGIC := '0'; SIGNAL reset_ex1 : STD_LOGIC := '0'; SIGNAL reset_ex2 : STD_LOGIC := '0'; SIGNAL reset_ex3 : STD_LOGIC := '0'; SIGNAL ae_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); SIGNAL af_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); SIGNAL rdw_gt_wrw : STD_LOGIC_VECTOR(D_WIDTH_DIFF-1 DOWNTO 0) := (OTHERS => '1'); SIGNAL wrw_gt_rdw : STD_LOGIC_VECTOR(D_WIDTH_DIFF-1 DOWNTO 0) := (OTHERS => '1'); SIGNAL rd_activ_cont : STD_LOGIC_VECTOR(25 downto 0):= (OTHERS => '0'); SIGNAL prc_we_i : STD_LOGIC := '0'; SIGNAL prc_re_i : STD_LOGIC := '0'; SIGNAL reset_en_i : STD_LOGIC := '0'; SIGNAL sim_done_d1 : STD_LOGIC := '0'; SIGNAL sim_done_wr1 : STD_LOGIC := '0'; SIGNAL sim_done_wr2 : STD_LOGIC := '0'; SIGNAL empty_d1 : STD_LOGIC := '0'; SIGNAL empty_wr_dom1 : STD_LOGIC := '0'; SIGNAL state_d1 : STD_LOGIC := '0'; SIGNAL state_rd_dom1 : STD_LOGIC := '0'; SIGNAL rd_en_d1 : STD_LOGIC := '0'; SIGNAL rd_en_wr1 : STD_LOGIC := '0'; SIGNAL wr_en_d1 : STD_LOGIC := '0'; SIGNAL wr_en_rd1 : STD_LOGIC := '0'; SIGNAL full_chk_d1 : STD_LOGIC := '0'; SIGNAL full_chk_rd1 : STD_LOGIC := '0'; SIGNAL empty_wr_dom2 : STD_LOGIC := '0'; SIGNAL state_rd_dom2 : STD_LOGIC := '0'; SIGNAL state_rd_dom3 : STD_LOGIC := '0'; SIGNAL rd_en_wr2 : STD_LOGIC := '0'; SIGNAL wr_en_rd2 : STD_LOGIC := '0'; SIGNAL full_chk_rd2 : STD_LOGIC := '0'; SIGNAL reset_en_d1 : STD_LOGIC := '0'; SIGNAL reset_en_rd1 : STD_LOGIC := '0'; SIGNAL reset_en_rd2 : STD_LOGIC := '0'; SIGNAL data_chk_wr_d1 : STD_LOGIC := '0'; SIGNAL data_chk_rd1 : STD_LOGIC := '0'; SIGNAL data_chk_rd2 : STD_LOGIC := '0'; SIGNAL full_d1 : STD_LOGIC := '0'; SIGNAL full_rd_dom1 : STD_LOGIC := '0'; SIGNAL full_rd_dom2 : STD_LOGIC := '0'; SIGNAL af_chk_d1 : STD_LOGIC := '0'; SIGNAL af_chk_rd1 : STD_LOGIC := '0'; SIGNAL af_chk_rd2 : STD_LOGIC := '0'; SIGNAL post_rst_dly_wr : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '1'); SIGNAL post_rst_dly_rd : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '1'); BEGIN status_i <= data_chk_i & full_chk_rd2 & empty_chk_i & af_chk_rd2 & ae_chk_i; STATUS <= status_d1_i & '0' & '0' & rd_activ_cont(rd_activ_cont'high); prc_we_i <= wr_en_i WHEN sim_done_wr2 = '0' ELSE '0'; prc_re_i <= rd_en_i WHEN sim_done_i = '0' ELSE '0'; SIM_DONE <= sim_done_i; rdw_gt_wrw <= (OTHERS => '1'); wrw_gt_rdw <= (OTHERS => '1'); PROCESS(RD_CLK) BEGIN IF (RD_CLK'event AND RD_CLK='1') THEN IF(prc_re_i = '1') THEN rd_activ_cont <= rd_activ_cont + "1"; END IF; END IF; END PROCESS; PROCESS(sim_done_i) BEGIN assert sim_done_i = '0' report "Simulation Complete for:" & AXI_CHANNEL severity note; END PROCESS; ----------------------------------------------------- -- SIM_DONE SIGNAL GENERATION ----------------------------------------------------- PROCESS (RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN --sim_done_i <= '0'; ELSIF(RD_CLK'event AND RD_CLK='1') THEN IF((OR_REDUCE(sim_stop_cntr) = '0' AND TB_STOP_CNT /= 0) OR stop_on_err = '1') THEN sim_done_i <= '1'; END IF; END IF; END PROCESS; -- TB Timeout/Stop fifo_tb_stop_run:IF(TB_STOP_CNT /= 0) GENERATE PROCESS (RD_CLK) BEGIN IF (RD_CLK'event AND RD_CLK='1') THEN IF(state_rd_dom2 = '0' AND state_rd_dom3 = '1') THEN sim_stop_cntr <= sim_stop_cntr - "1"; END IF; END IF; END PROCESS; END GENERATE fifo_tb_stop_run; -- Stop when error found PROCESS (RD_CLK) BEGIN IF (RD_CLK'event AND RD_CLK='1') THEN IF(sim_done_i = '0') THEN status_d1_i <= status_i OR status_d1_i; END IF; IF(FREEZEON_ERROR = 1 AND status_i /= "0") THEN stop_on_err <= '1'; END IF; END IF; END PROCESS; ----------------------------------------------------- ----------------------------------------------------- -- CHECKS FOR FIFO ----------------------------------------------------- -- Reset pulse extension require for FULL flags checks -- FULL flag may stay high for 3 clocks after reset is removed. PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN reset_ex1 <= '1'; reset_ex2 <= '1'; reset_ex3 <= '1'; ELSIF (WR_CLK'event AND WR_CLK='1') THEN reset_ex1 <= '0'; reset_ex2 <= reset_ex1; reset_ex3 <= reset_ex2; END IF; END PROCESS; PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN post_rst_dly_rd <= (OTHERS => '1'); ELSIF (RD_CLK'event AND RD_CLK='1') THEN post_rst_dly_rd <= post_rst_dly_rd-post_rst_dly_rd(4); END IF; END PROCESS; PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN post_rst_dly_wr <= (OTHERS => '1'); ELSIF (WR_CLK'event AND WR_CLK='1') THEN post_rst_dly_wr <= post_rst_dly_wr-post_rst_dly_wr(4); END IF; END PROCESS; -- FULL de-assert Counter PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN full_ds_timeout <= (OTHERS => '0'); ELSIF(WR_CLK'event AND WR_CLK='1') THEN IF(state = '1') THEN IF(rd_en_wr2 = '1' AND wr_en_i = '0' AND FULL = '1' AND AND_REDUCE(wrw_gt_rdw) = '1') THEN full_ds_timeout <= full_ds_timeout + '1'; END IF; ELSE full_ds_timeout <= (OTHERS => '0'); END IF; END IF; END PROCESS; -- EMPTY deassert counter PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN empty_ds_timeout <= (OTHERS => '0'); ELSIF(RD_CLK'event AND RD_CLK='1') THEN IF(state = '0') THEN IF(wr_en_rd2 = '1' AND rd_en_i = '0' AND EMPTY = '1' AND AND_REDUCE(rdw_gt_wrw) = '1') THEN empty_ds_timeout <= empty_ds_timeout + '1'; END IF; ELSE empty_ds_timeout <= (OTHERS => '0'); END IF; END IF; END PROCESS; -- Full check signal generation PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN full_chk_i <= '0'; ELSIF(WR_CLK'event AND WR_CLK='1') THEN IF(C_APPLICATION_TYPE = 1 AND (AXI_CHANNEL = "WACH" OR AXI_CHANNEL = "RACH" OR AXI_CHANNEL = "AXI4_Stream")) THEN full_chk_i <= '0'; ELSE full_chk_i <= AND_REDUCE(full_as_timeout) OR AND_REDUCE(full_ds_timeout); END IF; END IF; END PROCESS; -- Empty checks PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN empty_chk_i <= '0'; ELSIF(RD_CLK'event AND RD_CLK='1') THEN IF(C_APPLICATION_TYPE = 1 AND (AXI_CHANNEL = "WACH" OR AXI_CHANNEL = "RACH" OR AXI_CHANNEL = "AXI4_Stream")) THEN empty_chk_i <= '0'; ELSE empty_chk_i <= AND_REDUCE(empty_as_timeout) OR AND_REDUCE(empty_ds_timeout); END IF; END IF; END PROCESS; fifo_d_chk:IF(C_CH_TYPE /= 2) GENERATE PRC_WR_EN <= prc_we_i AFTER 50 ns; PRC_RD_EN <= prc_re_i AFTER 100 ns; data_chk_i <= dout_chk; END GENERATE fifo_d_chk; -- Almost full flag checks PROCESS(WR_CLK,reset_ex3) BEGIN IF(reset_ex3 = '1') THEN af_chk_i <= '0'; ELSIF (WR_CLK'event AND WR_CLK='1') THEN IF((FULL = '1' AND ALMOST_FULL = '0') OR (empty_wr_dom2 = '1' AND ALMOST_FULL = '1' AND C_WR_PNTR_WIDTH > 4)) THEN af_chk_i <= '1'; ELSE af_chk_i <= '0'; END IF; END IF; END PROCESS; -- Almost empty flag checks PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN ae_chk_i <= '0'; ELSIF (RD_CLK'event AND RD_CLK='1') THEN IF((EMPTY = '1' AND ALMOST_EMPTY = '0') OR (state = '1' AND full_rd_dom2 = '1' AND ALMOST_EMPTY = '1')) THEN ae_chk_i <= '1'; ELSE ae_chk_i <= '0'; END IF; END IF; END PROCESS; ----------------------------------------------------- ----------------------------------------------------- -- SYNCHRONIZERS B/W WRITE AND READ DOMAINS ----------------------------------------------------- PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN empty_wr_dom1 <= '1'; empty_wr_dom2 <= '1'; state_d1 <= '0'; wr_en_d1 <= '0'; rd_en_wr1 <= '0'; rd_en_wr2 <= '0'; full_chk_d1 <= '0'; af_chk_d1 <= '0'; full_d1 <= '0'; reset_en_d1 <= '0'; sim_done_wr1 <= '0'; sim_done_wr2 <= '0'; ELSIF (WR_CLK'event AND WR_CLK='1') THEN sim_done_wr1 <= sim_done_d1; sim_done_wr2 <= sim_done_wr1; reset_en_d1 <= reset_en_i; full_d1 <= FULL; state_d1 <= state; empty_wr_dom1 <= empty_d1; empty_wr_dom2 <= empty_wr_dom1; wr_en_d1 <= wr_en_i; rd_en_wr1 <= rd_en_d1; rd_en_wr2 <= rd_en_wr1; full_chk_d1 <= full_chk_i; af_chk_d1 <= af_chk_i; END IF; END PROCESS; PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN empty_d1 <= '1'; state_rd_dom1 <= '0'; state_rd_dom2 <= '0'; state_rd_dom3 <= '0'; wr_en_rd1 <= '0'; wr_en_rd2 <= '0'; rd_en_d1 <= '0'; full_chk_rd1 <= '0'; full_chk_rd2 <= '0'; af_chk_rd1 <= '0'; af_chk_rd2 <= '0'; full_rd_dom1 <= '0'; full_rd_dom2 <= '0'; reset_en_rd1 <= '0'; reset_en_rd2 <= '0'; sim_done_d1 <= '0'; ELSIF (RD_CLK'event AND RD_CLK='1') THEN sim_done_d1 <= sim_done_i; reset_en_rd1 <= reset_en_d1; reset_en_rd2 <= reset_en_rd1; empty_d1 <= EMPTY; rd_en_d1 <= rd_en_i; state_rd_dom1 <= state_d1; state_rd_dom2 <= state_rd_dom1; state_rd_dom3 <= state_rd_dom2; wr_en_rd1 <= wr_en_d1; wr_en_rd2 <= wr_en_rd1; full_chk_rd1 <= full_chk_d1; full_chk_rd2 <= full_chk_rd1; af_chk_rd1 <= af_chk_d1; af_chk_rd2 <= af_chk_rd1; full_rd_dom1 <= full_d1; full_rd_dom2 <= full_rd_dom1; END IF; END PROCESS; RESET_EN <= reset_en_rd2; data_fifo_en:IF(C_CH_TYPE /= 2) GENERATE ----------------------------------------------------- -- WR_EN GENERATION ----------------------------------------------------- gen_rand_wr_en:bytefifo_rng GENERIC MAP( WIDTH => 8, SEED => TB_SEED+1 ) PORT MAP( CLK => WR_CLK, RESET => RESET_WR, RANDOM_NUM => wr_en_gen, ENABLE => '1' ); PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN wr_en_i <= '0'; ELSIF(WR_CLK'event AND WR_CLK='1') THEN IF(state = '1') THEN wr_en_i <= wr_en_gen(0) AND wr_en_gen(7) AND wr_en_gen(2) AND wr_control; ELSE wr_en_i <= (wr_en_gen(3) OR wr_en_gen(4) OR wr_en_gen(2)) AND (NOT post_rst_dly_wr(4)); END IF; END IF; END PROCESS; ----------------------------------------------------- -- WR_EN CONTROL ----------------------------------------------------- PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN wr_cntr <= (OTHERS => '0'); wr_control <= '1'; full_as_timeout <= (OTHERS => '0'); ELSIF(WR_CLK'event AND WR_CLK='1') THEN IF(state = '1') THEN IF(wr_en_i = '1') THEN wr_cntr <= wr_cntr + "1"; END IF; full_as_timeout <= (OTHERS => '0'); ELSE wr_cntr <= (OTHERS => '0'); IF(rd_en_wr2 = '0') THEN IF(wr_en_i = '1') THEN full_as_timeout <= full_as_timeout + "1"; END IF; ELSE full_as_timeout <= (OTHERS => '0'); END IF; END IF; wr_control <= NOT wr_cntr(wr_cntr'high); END IF; END PROCESS; ----------------------------------------------------- -- RD_EN GENERATION ----------------------------------------------------- gen_rand_rd_en:bytefifo_rng GENERIC MAP( WIDTH => 8, SEED => TB_SEED ) PORT MAP( CLK => RD_CLK, RESET => RESET_RD, RANDOM_NUM => rd_en_gen, ENABLE => '1' ); PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN rd_en_i <= '0'; ELSIF(RD_CLK'event AND RD_CLK='1') THEN IF(state_rd_dom2 = '0') THEN rd_en_i <= rd_en_gen(1) AND rd_en_gen(5) AND rd_en_gen(3) AND rd_control AND (NOT post_rst_dly_rd(4)); ELSE rd_en_i <= rd_en_gen(0) OR rd_en_gen(6); END IF; END IF; END PROCESS; ----------------------------------------------------- -- RD_EN CONTROL ----------------------------------------------------- PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN rd_cntr <= (OTHERS => '0'); rd_control <= '1'; empty_as_timeout <= (OTHERS => '0'); ELSIF(RD_CLK'event AND RD_CLK='1') THEN IF(state_rd_dom2 = '0') THEN IF(rd_en_i = '1') THEN rd_cntr <= rd_cntr + "1"; END IF; empty_as_timeout <= (OTHERS => '0'); ELSE rd_cntr <= (OTHERS => '0'); IF(wr_en_rd2 = '0') THEN IF(rd_en_i = '1') THEN empty_as_timeout <= empty_as_timeout + "1"; END IF; ELSE empty_as_timeout <= (OTHERS => '0'); END IF; END IF; rd_control <= NOT rd_cntr(rd_cntr'high); END IF; END PROCESS; ----------------------------------------------------- -- STIMULUS CONTROL ----------------------------------------------------- PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN state <= '0'; reset_en_i <= '0'; ELSIF(WR_CLK'event AND WR_CLK='1') THEN CASE state IS WHEN '0' => IF(FULL = '1' AND empty_wr_dom2 = '0') THEN state <= '1'; reset_en_i <= '0'; END IF; WHEN '1' => IF(empty_wr_dom2 = '1' AND FULL = '0') THEN state <= '0'; reset_en_i <= '1'; END IF; WHEN OTHERS => state <= state; END CASE; END IF; END PROCESS; END GENERATE data_fifo_en; END ARCHITECTURE;
bsd-2-clause
6bb69e20c967890555cf52ee8c94feb1
0.508765
3.197933
false
false
false
false
timvideos/HDMI2USB-jahanzeb-firmware
ipcore_dir/rawUVCfifo/simulation/rawUVCfifo_dgen.vhd
3
4,535
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: rawUVCfifo_dgen.vhd -- -- Description: -- Used for write interface stimulus generation -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.all; USE IEEE.std_logic_arith.all; USE IEEE.std_logic_misc.all; LIBRARY work; USE work.rawUVCfifo_pkg.ALL; ENTITY rawUVCfifo_dgen IS GENERIC ( C_DIN_WIDTH : INTEGER := 32; C_DOUT_WIDTH : INTEGER := 32; C_CH_TYPE : INTEGER := 0; TB_SEED : INTEGER := 2 ); PORT ( RESET : IN STD_LOGIC; WR_CLK : IN STD_LOGIC; PRC_WR_EN : IN STD_LOGIC; FULL : IN STD_LOGIC; WR_EN : OUT STD_LOGIC; WR_DATA : OUT STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0) ); END ENTITY; ARCHITECTURE fg_dg_arch OF rawUVCfifo_dgen IS CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH); CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH,8); SIGNAL pr_w_en : STD_LOGIC := '0'; SIGNAL rand_num : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 DOWNTO 0); SIGNAL wr_data_i : STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); BEGIN WR_EN <= PRC_WR_EN ; WR_DATA <= wr_data_i AFTER 50 ns; ---------------------------------------------- -- Generation of DATA ---------------------------------------------- gen_stim:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE rd_gen_inst1:rawUVCfifo_rng GENERIC MAP( WIDTH => 8, SEED => TB_SEED+N ) PORT MAP( CLK => WR_CLK, RESET => RESET, RANDOM_NUM => rand_num(8*(N+1)-1 downto 8*N), ENABLE => pr_w_en ); END GENERATE; pr_w_en <= PRC_WR_EN AND NOT FULL; wr_data_i <= rand_num(C_DIN_WIDTH-1 DOWNTO 0); END ARCHITECTURE;
bsd-2-clause
c43094d14e7b3fc2e68f6859047b405d
0.601544
4.27427
false
false
false
false
timvideos/HDMI2USB-jahanzeb-firmware
ipcore_dir/rgbfifo/simulation/rgbfifo_pctrl.vhd
3
16,876
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: rgbfifo_pctrl.vhd -- -- Description: -- Used for protocol control on write and read interface stimulus and status generation -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.all; USE IEEE.std_logic_arith.all; USE IEEE.std_logic_misc.all; LIBRARY work; USE work.rgbfifo_pkg.ALL; ENTITY rgbfifo_pctrl IS GENERIC( AXI_CHANNEL : STRING :="NONE"; C_APPLICATION_TYPE : INTEGER := 0; C_DIN_WIDTH : INTEGER := 0; C_DOUT_WIDTH : INTEGER := 0; C_WR_PNTR_WIDTH : INTEGER := 0; C_RD_PNTR_WIDTH : INTEGER := 0; C_CH_TYPE : INTEGER := 0; FREEZEON_ERROR : INTEGER := 0; TB_STOP_CNT : INTEGER := 2; TB_SEED : INTEGER := 2 ); PORT( RESET_WR : IN STD_LOGIC; RESET_RD : IN STD_LOGIC; WR_CLK : IN STD_LOGIC; RD_CLK : IN STD_LOGIC; FULL : IN STD_LOGIC; EMPTY : IN STD_LOGIC; ALMOST_FULL : IN STD_LOGIC; ALMOST_EMPTY : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); DOUT_CHK : IN STD_LOGIC; PRC_WR_EN : OUT STD_LOGIC; PRC_RD_EN : OUT STD_LOGIC; RESET_EN : OUT STD_LOGIC; SIM_DONE : OUT STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END ENTITY; ARCHITECTURE fg_pc_arch OF rgbfifo_pctrl IS CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH); CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH,8); CONSTANT D_WIDTH_DIFF : INTEGER := log2roundup(C_DOUT_WIDTH/C_DIN_WIDTH); SIGNAL data_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); SIGNAL full_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); SIGNAL empty_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); SIGNAL status_i : STD_LOGIC_VECTOR(4 DOWNTO 0):= (OTHERS => '0'); SIGNAL status_d1_i : STD_LOGIC_VECTOR(4 DOWNTO 0):= (OTHERS => '0'); SIGNAL wr_en_gen : STD_LOGIC_VECTOR(7 DOWNTO 0):= (OTHERS => '0'); SIGNAL rd_en_gen : STD_LOGIC_VECTOR(7 DOWNTO 0):= (OTHERS => '0'); SIGNAL wr_cntr : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH-2 DOWNTO 0) := (OTHERS => '0'); SIGNAL full_as_timeout : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); SIGNAL full_ds_timeout : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); SIGNAL rd_cntr : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH-2 DOWNTO 0) := (OTHERS => '0'); SIGNAL empty_as_timeout : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); SIGNAL empty_ds_timeout : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH DOWNTO 0):= (OTHERS => '0'); SIGNAL wr_en_i : STD_LOGIC := '0'; SIGNAL rd_en_i : STD_LOGIC := '0'; SIGNAL state : STD_LOGIC := '0'; SIGNAL wr_control : STD_LOGIC := '0'; SIGNAL rd_control : STD_LOGIC := '0'; SIGNAL stop_on_err : STD_LOGIC := '0'; SIGNAL sim_stop_cntr : STD_LOGIC_VECTOR(7 DOWNTO 0):= conv_std_logic_vector(if_then_else(C_CH_TYPE=2,64,TB_STOP_CNT),8); SIGNAL sim_done_i : STD_LOGIC := '0'; SIGNAL reset_ex1 : STD_LOGIC := '0'; SIGNAL reset_ex2 : STD_LOGIC := '0'; SIGNAL reset_ex3 : STD_LOGIC := '0'; SIGNAL ae_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); SIGNAL af_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); SIGNAL rdw_gt_wrw : STD_LOGIC_VECTOR(D_WIDTH_DIFF-1 DOWNTO 0) := (OTHERS => '1'); SIGNAL wrw_gt_rdw : STD_LOGIC_VECTOR(D_WIDTH_DIFF-1 DOWNTO 0) := (OTHERS => '1'); SIGNAL rd_activ_cont : STD_LOGIC_VECTOR(25 downto 0):= (OTHERS => '0'); SIGNAL prc_we_i : STD_LOGIC := '0'; SIGNAL prc_re_i : STD_LOGIC := '0'; SIGNAL reset_en_i : STD_LOGIC := '0'; SIGNAL state_d1 : STD_LOGIC := '0'; SIGNAL post_rst_dly_wr : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '1'); SIGNAL post_rst_dly_rd : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '1'); BEGIN status_i <= data_chk_i & full_chk_i & empty_chk_i & af_chk_i & ae_chk_i; STATUS <= status_d1_i & '0' & '0' & rd_activ_cont(rd_activ_cont'high); prc_we_i <= wr_en_i WHEN sim_done_i = '0' ELSE '0'; prc_re_i <= rd_en_i WHEN sim_done_i = '0' ELSE '0'; SIM_DONE <= sim_done_i; rdw_gt_wrw <= (OTHERS => '1'); wrw_gt_rdw <= (OTHERS => '1'); PROCESS(RD_CLK) BEGIN IF (RD_CLK'event AND RD_CLK='1') THEN IF(prc_re_i = '1') THEN rd_activ_cont <= rd_activ_cont + "1"; END IF; END IF; END PROCESS; PROCESS(sim_done_i) BEGIN assert sim_done_i = '0' report "Simulation Complete for:" & AXI_CHANNEL severity note; END PROCESS; ----------------------------------------------------- -- SIM_DONE SIGNAL GENERATION ----------------------------------------------------- PROCESS (RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN --sim_done_i <= '0'; ELSIF(RD_CLK'event AND RD_CLK='1') THEN IF((OR_REDUCE(sim_stop_cntr) = '0' AND TB_STOP_CNT /= 0) OR stop_on_err = '1') THEN sim_done_i <= '1'; END IF; END IF; END PROCESS; -- TB Timeout/Stop fifo_tb_stop_run:IF(TB_STOP_CNT /= 0) GENERATE PROCESS (RD_CLK) BEGIN IF (RD_CLK'event AND RD_CLK='1') THEN IF(state = '0' AND state_d1 = '1') THEN sim_stop_cntr <= sim_stop_cntr - "1"; END IF; END IF; END PROCESS; END GENERATE fifo_tb_stop_run; -- Stop when error found PROCESS (RD_CLK) BEGIN IF (RD_CLK'event AND RD_CLK='1') THEN IF(sim_done_i = '0') THEN status_d1_i <= status_i OR status_d1_i; END IF; IF(FREEZEON_ERROR = 1 AND status_i /= "0") THEN stop_on_err <= '1'; END IF; END IF; END PROCESS; ----------------------------------------------------- ----------------------------------------------------- -- CHECKS FOR FIFO ----------------------------------------------------- -- Reset pulse extension require for FULL flags checks -- FULL flag may stay high for 3 clocks after reset is removed. PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN reset_ex1 <= '1'; reset_ex2 <= '1'; reset_ex3 <= '1'; ELSIF (WR_CLK'event AND WR_CLK='1') THEN reset_ex1 <= '0'; reset_ex2 <= reset_ex1; reset_ex3 <= reset_ex2; END IF; END PROCESS; PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN post_rst_dly_rd <= (OTHERS => '1'); ELSIF (RD_CLK'event AND RD_CLK='1') THEN post_rst_dly_rd <= post_rst_dly_rd-post_rst_dly_rd(4); END IF; END PROCESS; PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN post_rst_dly_wr <= (OTHERS => '1'); ELSIF (WR_CLK'event AND WR_CLK='1') THEN post_rst_dly_wr <= post_rst_dly_wr-post_rst_dly_wr(4); END IF; END PROCESS; -- FULL de-assert Counter PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN full_ds_timeout <= (OTHERS => '0'); ELSIF(WR_CLK'event AND WR_CLK='1') THEN IF(state = '1') THEN IF(rd_en_i = '1' AND wr_en_i = '0' AND FULL = '1' AND AND_REDUCE(wrw_gt_rdw) = '1') THEN full_ds_timeout <= full_ds_timeout + '1'; END IF; ELSE full_ds_timeout <= (OTHERS => '0'); END IF; END IF; END PROCESS; -- EMPTY deassert counter PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN empty_ds_timeout <= (OTHERS => '0'); ELSIF(RD_CLK'event AND RD_CLK='1') THEN IF(state = '0') THEN IF(wr_en_i = '1' AND rd_en_i = '0' AND EMPTY = '1' AND AND_REDUCE(rdw_gt_wrw) = '1') THEN empty_ds_timeout <= empty_ds_timeout + '1'; END IF; ELSE empty_ds_timeout <= (OTHERS => '0'); END IF; END IF; END PROCESS; -- Full check signal generation PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN full_chk_i <= '0'; ELSIF(WR_CLK'event AND WR_CLK='1') THEN IF(C_APPLICATION_TYPE = 1 AND (AXI_CHANNEL = "WACH" OR AXI_CHANNEL = "RACH" OR AXI_CHANNEL = "AXI4_Stream")) THEN full_chk_i <= '0'; ELSE full_chk_i <= AND_REDUCE(full_as_timeout) OR AND_REDUCE(full_ds_timeout); END IF; END IF; END PROCESS; -- Empty checks PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN empty_chk_i <= '0'; ELSIF(RD_CLK'event AND RD_CLK='1') THEN IF(C_APPLICATION_TYPE = 1 AND (AXI_CHANNEL = "WACH" OR AXI_CHANNEL = "RACH" OR AXI_CHANNEL = "AXI4_Stream")) THEN empty_chk_i <= '0'; ELSE empty_chk_i <= AND_REDUCE(empty_as_timeout) OR AND_REDUCE(empty_ds_timeout); END IF; END IF; END PROCESS; fifo_d_chk:IF(C_CH_TYPE /= 2) GENERATE PRC_WR_EN <= prc_we_i AFTER 100 ns; PRC_RD_EN <= prc_re_i AFTER 100 ns; data_chk_i <= dout_chk; END GENERATE fifo_d_chk; -- Almost full flag checks PROCESS(WR_CLK,reset_ex3) BEGIN IF(reset_ex3 = '1') THEN af_chk_i <= '0'; ELSIF (WR_CLK'event AND WR_CLK='1') THEN IF((FULL = '1' AND ALMOST_FULL = '0') OR (EMPTY = '1' AND ALMOST_FULL = '1' AND C_WR_PNTR_WIDTH > 4)) THEN af_chk_i <= '1'; ELSE af_chk_i <= '0'; END IF; END IF; END PROCESS; -- Almost empty flag checks PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN ae_chk_i <= '0'; ELSIF (RD_CLK'event AND RD_CLK='1') THEN IF((EMPTY = '1' AND ALMOST_EMPTY = '0') OR (state = '1' AND FULL = '1' AND ALMOST_EMPTY = '1')) THEN ae_chk_i <= '1'; ELSE ae_chk_i <= '0'; END IF; END IF; END PROCESS; ----------------------------------------------------- RESET_EN <= reset_en_i; PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN state_d1 <= '0'; ELSIF (RD_CLK'event AND RD_CLK='1') THEN state_d1 <= state; END IF; END PROCESS; data_fifo_en:IF(C_CH_TYPE /= 2) GENERATE ----------------------------------------------------- -- WR_EN GENERATION ----------------------------------------------------- gen_rand_wr_en:rgbfifo_rng GENERIC MAP( WIDTH => 8, SEED => TB_SEED+1 ) PORT MAP( CLK => WR_CLK, RESET => RESET_WR, RANDOM_NUM => wr_en_gen, ENABLE => '1' ); PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN wr_en_i <= '0'; ELSIF(WR_CLK'event AND WR_CLK='1') THEN IF(state = '1') THEN wr_en_i <= wr_en_gen(0) AND wr_en_gen(7) AND wr_en_gen(2) AND wr_control; ELSE wr_en_i <= (wr_en_gen(3) OR wr_en_gen(4) OR wr_en_gen(2)) AND (NOT post_rst_dly_wr(4)); END IF; END IF; END PROCESS; ----------------------------------------------------- -- WR_EN CONTROL ----------------------------------------------------- PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN wr_cntr <= (OTHERS => '0'); wr_control <= '1'; full_as_timeout <= (OTHERS => '0'); ELSIF(WR_CLK'event AND WR_CLK='1') THEN IF(state = '1') THEN IF(wr_en_i = '1') THEN wr_cntr <= wr_cntr + "1"; END IF; full_as_timeout <= (OTHERS => '0'); ELSE wr_cntr <= (OTHERS => '0'); IF(rd_en_i = '0') THEN IF(wr_en_i = '1') THEN full_as_timeout <= full_as_timeout + "1"; END IF; ELSE full_as_timeout <= (OTHERS => '0'); END IF; END IF; wr_control <= NOT wr_cntr(wr_cntr'high); END IF; END PROCESS; ----------------------------------------------------- -- RD_EN GENERATION ----------------------------------------------------- gen_rand_rd_en:rgbfifo_rng GENERIC MAP( WIDTH => 8, SEED => TB_SEED ) PORT MAP( CLK => RD_CLK, RESET => RESET_RD, RANDOM_NUM => rd_en_gen, ENABLE => '1' ); PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN rd_en_i <= '0'; ELSIF(RD_CLK'event AND RD_CLK='1') THEN IF(state = '0') THEN rd_en_i <= rd_en_gen(1) AND rd_en_gen(5) AND rd_en_gen(3) AND rd_control AND (NOT post_rst_dly_rd(4)); ELSE rd_en_i <= rd_en_gen(0) OR rd_en_gen(6); END IF; END IF; END PROCESS; ----------------------------------------------------- -- RD_EN CONTROL ----------------------------------------------------- PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN rd_cntr <= (OTHERS => '0'); rd_control <= '1'; empty_as_timeout <= (OTHERS => '0'); ELSIF(RD_CLK'event AND RD_CLK='1') THEN IF(state = '0') THEN IF(rd_en_i = '1') THEN rd_cntr <= rd_cntr + "1"; END IF; empty_as_timeout <= (OTHERS => '0'); ELSE rd_cntr <= (OTHERS => '0'); IF(wr_en_i = '0') THEN IF(rd_en_i = '1') THEN empty_as_timeout <= empty_as_timeout + "1"; END IF; ELSE empty_as_timeout <= (OTHERS => '0'); END IF; END IF; rd_control <= NOT rd_cntr(rd_cntr'high); END IF; END PROCESS; ----------------------------------------------------- -- STIMULUS CONTROL ----------------------------------------------------- PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN state <= '0'; reset_en_i <= '0'; ELSIF(WR_CLK'event AND WR_CLK='1') THEN CASE state IS WHEN '0' => IF(FULL = '1' AND EMPTY = '0') THEN state <= '1'; reset_en_i <= '0'; END IF; WHEN '1' => IF(EMPTY = '1' AND FULL = '0') THEN state <= '0'; reset_en_i <= '1'; END IF; WHEN OTHERS => state <= state; END CASE; END IF; END PROCESS; END GENERATE data_fifo_en; END ARCHITECTURE;
bsd-2-clause
a3f5d6b60a1297c6b5ecc828b212f2e0
0.520028
3.344431
false
false
false
false
shailcoolboy/Warp-Trinity
edk_user_repository/WARP/pcores/eeprom_v1_07_a/hdl/vhdl/eeprom.vhd
6
26,908
------------------------------------------------------------------------------ -- eeprom.vhd - entity/architecture pair ------------------------------------------------------------------------------ -- IMPORTANT: -- DO NOT MODIFY THIS FILE EXCEPT IN THE DESIGNATED SECTIONS. -- -- SEARCH FOR --USER TO DETERMINE WHERE CHANGES ARE ALLOWED. -- -- TYPICALLY, THE ONLY ACCEPTABLE CHANGES INVOLVE ADDING NEW -- PORTS AND GENERICS THAT GET PASSED THROUGH TO THE INSTANTIATION -- OF THE USER_LOGIC ENTITY. ------------------------------------------------------------------------------ -- -- *************************************************************************** -- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** Xilinx, Inc. ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" ** -- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND ** -- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, ** -- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, ** -- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION ** -- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, ** -- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE ** -- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY ** -- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE ** -- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR ** -- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF ** -- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ** -- ** FOR A PARTICULAR PURPOSE. ** -- ** ** -- *************************************************************************** -- ------------------------------------------------------------------------------ -- Filename: eeprom.vhd -- Version: 1.04.a -- Description: Top level design, instantiates library components and user logic. -- Date: Tue Jun 24 12:44:50 2008 (by Create and Import Peripheral Wizard) -- VHDL Standard: VHDL'93 ------------------------------------------------------------------------------ -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port: "*_i" -- device pins: "*_pin" -- ports: "- Names begin with Uppercase" -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC>" ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library proc_common_v2_00_a; use proc_common_v2_00_a.proc_common_pkg.all; use proc_common_v2_00_a.ipif_pkg.all; library plbv46_slave_single_v1_00_a; use plbv46_slave_single_v1_00_a.plbv46_slave_single; ------------------------------------------------------------------------------ -- Entity section ------------------------------------------------------------------------------ -- Definition of Generics: -- C_SPLB_AWIDTH -- PLBv46 slave: address bus width -- C_SPLB_DWIDTH -- PLBv46 slave: data bus width -- C_SPLB_NUM_MASTERS -- PLBv46 slave: Number of masters -- C_SPLB_MID_WIDTH -- PLBv46 slave: master ID bus width -- C_SPLB_NATIVE_DWIDTH -- PLBv46 slave: internal native data bus width -- C_SPLB_P2P -- PLBv46 slave: point to point interconnect scheme -- C_SPLB_SUPPORT_BURSTS -- PLBv46 slave: support bursts -- C_SPLB_SMALLEST_MASTER -- PLBv46 slave: width of the smallest master -- C_SPLB_CLK_PERIOD_PS -- PLBv46 slave: bus clock in picoseconds -- C_INCLUDE_DPHASE_TIMER -- PLBv46 slave: Data Phase Timer configuration; 0 = exclude timer, 1 = include timer -- C_FAMILY -- Xilinx FPGA family -- C_MEM0_BASEADDR -- User memory space 0 base address -- C_MEM0_HIGHADDR -- User memory space 0 high address -- -- Definition of Ports: -- SPLB_Clk -- PLB main bus clock -- SPLB_Rst -- PLB main bus reset -- PLB_ABus -- PLB address bus -- PLB_UABus -- PLB upper address bus -- PLB_PAValid -- PLB primary address valid indicator -- PLB_SAValid -- PLB secondary address valid indicator -- PLB_rdPrim -- PLB secondary to primary read request indicator -- PLB_wrPrim -- PLB secondary to primary write request indicator -- PLB_masterID -- PLB current master identifier -- PLB_abort -- PLB abort request indicator -- PLB_busLock -- PLB bus lock -- PLB_RNW -- PLB read/not write -- PLB_BE -- PLB byte enables -- PLB_MSize -- PLB master data bus size -- PLB_size -- PLB transfer size -- PLB_type -- PLB transfer type -- PLB_lockErr -- PLB lock error indicator -- PLB_wrDBus -- PLB write data bus -- PLB_wrBurst -- PLB burst write transfer indicator -- PLB_rdBurst -- PLB burst read transfer indicator -- PLB_wrPendReq -- PLB write pending bus request indicator -- PLB_rdPendReq -- PLB read pending bus request indicator -- PLB_wrPendPri -- PLB write pending request priority -- PLB_rdPendPri -- PLB read pending request priority -- PLB_reqPri -- PLB current request priority -- PLB_TAttribute -- PLB transfer attribute -- Sl_addrAck -- Slave address acknowledge -- Sl_SSize -- Slave data bus size -- Sl_wait -- Slave wait indicator -- Sl_rearbitrate -- Slave re-arbitrate bus indicator -- Sl_wrDAck -- Slave write data acknowledge -- Sl_wrComp -- Slave write transfer complete indicator -- Sl_wrBTerm -- Slave terminate write burst transfer -- Sl_rdDBus -- Slave read data bus -- Sl_rdWdAddr -- Slave read word address -- Sl_rdDAck -- Slave read data acknowledge -- Sl_rdComp -- Slave read transfer complete indicator -- Sl_rdBTerm -- Slave terminate read burst transfer -- Sl_MBusy -- Slave busy indicator -- Sl_MWrErr -- Slave write error indicator -- Sl_MRdErr -- Slave read error indicator -- Sl_MIRQ -- Slave interrupt indicator ------------------------------------------------------------------------------ entity eeprom is generic ( -- ADD USER GENERICS BELOW THIS LINE --------------- --USER generics added here -- ADD USER GENERICS ABOVE THIS LINE --------------- -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol parameters, do not add to or delete C_SPLB_AWIDTH : integer := 32; C_SPLB_DWIDTH : integer := 128; C_SPLB_NUM_MASTERS : integer := 8; C_SPLB_MID_WIDTH : integer := 3; C_SPLB_NATIVE_DWIDTH : integer := 32; C_SPLB_P2P : integer := 0; C_SPLB_SUPPORT_BURSTS : integer := 0; C_SPLB_SMALLEST_MASTER : integer := 32; C_SPLB_CLK_PERIOD_PS : integer := 10000; C_INCLUDE_DPHASE_TIMER : integer := 0; C_FAMILY : string := "virtex5"; C_MEM0_BASEADDR : std_logic_vector := X"FFFFFFFF"; C_MEM0_HIGHADDR : std_logic_vector := X"00000000" -- DO NOT EDIT ABOVE THIS LINE --------------------- ); port ( -- ADD USER PORTS BELOW THIS LINE ------------------ DQ0_T : out std_logic; DQ0_O : out std_logic; DQ0_I : in std_logic; DQ1_T : out std_logic; DQ1_O : out std_logic; DQ1_I : in std_logic; DQ2_T : out std_logic; DQ2_O : out std_logic; DQ2_I : in std_logic; DQ3_T : out std_logic; DQ3_O : out std_logic; DQ3_I : in std_logic; DQ4_T : out std_logic; DQ4_O : out std_logic; DQ4_I : in std_logic; DQ5_T : out std_logic; DQ5_O : out std_logic; DQ5_I : in std_logic; DQ6_T : out std_logic; DQ6_O : out std_logic; DQ6_I : in std_logic; DQ7_T : out std_logic; DQ7_O : out std_logic; DQ7_I : in std_logic; -- ADD USER PORTS ABOVE THIS LINE ------------------ -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol ports, do not add to or delete SPLB_Clk : in std_logic; SPLB_Rst : in std_logic; PLB_ABus : in std_logic_vector(0 to 31); PLB_UABus : in std_logic_vector(0 to 31); PLB_PAValid : in std_logic; PLB_SAValid : in std_logic; PLB_rdPrim : in std_logic; PLB_wrPrim : in std_logic; PLB_masterID : in std_logic_vector(0 to C_SPLB_MID_WIDTH-1); PLB_abort : in std_logic; PLB_busLock : in std_logic; PLB_RNW : in std_logic; PLB_BE : in std_logic_vector(0 to C_SPLB_DWIDTH/8-1); PLB_MSize : in std_logic_vector(0 to 1); PLB_size : in std_logic_vector(0 to 3); PLB_type : in std_logic_vector(0 to 2); PLB_lockErr : in std_logic; PLB_wrDBus : in std_logic_vector(0 to C_SPLB_DWIDTH-1); PLB_wrBurst : in std_logic; PLB_rdBurst : in std_logic; PLB_wrPendReq : in std_logic; PLB_rdPendReq : in std_logic; PLB_wrPendPri : in std_logic_vector(0 to 1); PLB_rdPendPri : in std_logic_vector(0 to 1); PLB_reqPri : in std_logic_vector(0 to 1); PLB_TAttribute : in std_logic_vector(0 to 15); Sl_addrAck : out std_logic; Sl_SSize : out std_logic_vector(0 to 1); Sl_wait : out std_logic; Sl_rearbitrate : out std_logic; Sl_wrDAck : out std_logic; Sl_wrComp : out std_logic; Sl_wrBTerm : out std_logic; Sl_rdDBus : out std_logic_vector(0 to C_SPLB_DWIDTH-1); Sl_rdWdAddr : out std_logic_vector(0 to 3); Sl_rdDAck : out std_logic; Sl_rdComp : out std_logic; Sl_rdBTerm : out std_logic; Sl_MBusy : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1); Sl_MWrErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1); Sl_MRdErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1); Sl_MIRQ : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1) -- DO NOT EDIT ABOVE THIS LINE --------------------- ); attribute SIGIS : string; attribute SIGIS of SPLB_Clk : signal is "CLK"; attribute SIGIS of SPLB_Rst : signal is "RST"; end entity eeprom; ------------------------------------------------------------------------------ -- Architecture section ------------------------------------------------------------------------------ architecture IMP of eeprom is ------------------------------------------ -- Array of base/high address pairs for each address range ------------------------------------------ constant ZERO_ADDR_PAD : std_logic_vector(0 to 31) := (others => '0'); constant IPIF_ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE := ( ZERO_ADDR_PAD & C_MEM0_BASEADDR, -- user logic memory space 0 base address ZERO_ADDR_PAD & C_MEM0_HIGHADDR -- user logic memory space 0 high address ); ------------------------------------------ -- Array of desired number of chip enables for each address range ------------------------------------------ constant USER_NUM_MEM : integer := 1; constant IPIF_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE := ( 0 => 1 -- number of ce for user logic memory space 0 (always 1 chip enable) ); ------------------------------------------ -- Ratio of bus clock to core clock (for use in dual clock systems) -- 1 = ratio is 1:1 -- 2 = ratio is 2:1 ------------------------------------------ constant IPIF_BUS2CORE_CLK_RATIO : integer := 1; ------------------------------------------ -- Width of the slave data bus (32 only) ------------------------------------------ constant USER_SLV_DWIDTH : integer := C_SPLB_NATIVE_DWIDTH; constant IPIF_SLV_DWIDTH : integer := C_SPLB_NATIVE_DWIDTH; ------------------------------------------ -- Width of the slave address bus (32 only) ------------------------------------------ constant USER_SLV_AWIDTH : integer := C_SPLB_AWIDTH; ------------------------------------------ -- Index for CS/CE ------------------------------------------ constant USER_MEM0_CS_INDEX : integer := 0; constant USER_CS_INDEX : integer := USER_MEM0_CS_INDEX; ------------------------------------------ -- IP Interconnect (IPIC) signal declarations ------------------------------------------ signal ipif_Bus2IP_Clk : std_logic; signal ipif_Bus2IP_Reset : std_logic; signal ipif_IP2Bus_Data : std_logic_vector(0 to IPIF_SLV_DWIDTH-1); signal ipif_IP2Bus_WrAck : std_logic; signal ipif_IP2Bus_RdAck : std_logic; signal ipif_IP2Bus_Error : std_logic; signal ipif_Bus2IP_Addr : std_logic_vector(0 to C_SPLB_AWIDTH-1); signal ipif_Bus2IP_Data : std_logic_vector(0 to IPIF_SLV_DWIDTH-1); signal ipif_Bus2IP_RNW : std_logic; signal ipif_Bus2IP_BE : std_logic_vector(0 to IPIF_SLV_DWIDTH/8-1); signal ipif_Bus2IP_CS : std_logic_vector(0 to ((IPIF_ARD_ADDR_RANGE_ARRAY'length)/2)-1); signal ipif_Bus2IP_RdCE : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1); signal ipif_Bus2IP_WrCE : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1); signal user_IP2Bus_Data : std_logic_vector(0 to USER_SLV_DWIDTH-1); signal user_IP2Bus_RdAck : std_logic; signal user_IP2Bus_WrAck : std_logic; signal user_IP2Bus_Error : std_logic; ------------------------------------------ -- Component declaration for verilog user logic ------------------------------------------ component user_logic is generic ( -- ADD USER GENERICS BELOW THIS LINE --------------- --USER generics added here -- ADD USER GENERICS ABOVE THIS LINE --------------- -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol parameters, do not add to or delete C_SLV_AWIDTH : integer := 32; C_SLV_DWIDTH : integer := 32; C_NUM_MEM : integer := 1 -- DO NOT EDIT ABOVE THIS LINE --------------------- ); port ( -- ADD USER PORTS BELOW THIS LINE ------------------ DQ0_T : out std_logic; DQ0_O : out std_logic; DQ0_I : in std_logic; DQ1_T : out std_logic; DQ1_O : out std_logic; DQ1_I : in std_logic; DQ2_T : out std_logic; DQ2_O : out std_logic; DQ2_I : in std_logic; DQ3_T : out std_logic; DQ3_O : out std_logic; DQ3_I : in std_logic; DQ4_T : out std_logic; DQ4_O : out std_logic; DQ4_I : in std_logic; DQ5_T : out std_logic; DQ5_O : out std_logic; DQ5_I : in std_logic; DQ6_T : out std_logic; DQ6_O : out std_logic; DQ6_I : in std_logic; DQ7_T : out std_logic; DQ7_O : out std_logic; DQ7_I : in std_logic; -- ADD USER PORTS ABOVE THIS LINE ------------------ -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol ports, do not add to or delete Bus2IP_Clk : in std_logic; Bus2IP_Reset : in std_logic; Bus2IP_Addr : in std_logic_vector(0 to C_SLV_AWIDTH-1); Bus2IP_CS : in std_logic_vector(0 to C_NUM_MEM-1); Bus2IP_RNW : in std_logic; Bus2IP_Data : in std_logic_vector(0 to C_SLV_DWIDTH-1); Bus2IP_BE : in std_logic_vector(0 to C_SLV_DWIDTH/8-1); IP2Bus_Data : out std_logic_vector(0 to C_SLV_DWIDTH-1); IP2Bus_RdAck : out std_logic; IP2Bus_WrAck : out std_logic; IP2Bus_Error : out std_logic -- DO NOT EDIT ABOVE THIS LINE --------------------- ); end component user_logic; begin ------------------------------------------ -- instantiate plbv46_slave_single ------------------------------------------ PLBV46_SLAVE_SINGLE_I : entity plbv46_slave_single_v1_00_a.plbv46_slave_single generic map ( C_ARD_ADDR_RANGE_ARRAY => IPIF_ARD_ADDR_RANGE_ARRAY, C_ARD_NUM_CE_ARRAY => IPIF_ARD_NUM_CE_ARRAY, C_SPLB_P2P => C_SPLB_P2P, C_BUS2CORE_CLK_RATIO => IPIF_BUS2CORE_CLK_RATIO, C_SPLB_MID_WIDTH => C_SPLB_MID_WIDTH, C_SPLB_NUM_MASTERS => C_SPLB_NUM_MASTERS, C_SPLB_AWIDTH => C_SPLB_AWIDTH, C_SPLB_DWIDTH => C_SPLB_DWIDTH, C_SIPIF_DWIDTH => IPIF_SLV_DWIDTH, C_INCLUDE_DPHASE_TIMER => C_INCLUDE_DPHASE_TIMER, C_FAMILY => C_FAMILY ) port map ( SPLB_Clk => SPLB_Clk, SPLB_Rst => SPLB_Rst, PLB_ABus => PLB_ABus, PLB_UABus => PLB_UABus, PLB_PAValid => PLB_PAValid, PLB_SAValid => PLB_SAValid, PLB_rdPrim => PLB_rdPrim, PLB_wrPrim => PLB_wrPrim, PLB_masterID => PLB_masterID, PLB_abort => PLB_abort, PLB_busLock => PLB_busLock, PLB_RNW => PLB_RNW, PLB_BE => PLB_BE, PLB_MSize => PLB_MSize, PLB_size => PLB_size, PLB_type => PLB_type, PLB_lockErr => PLB_lockErr, PLB_wrDBus => PLB_wrDBus, PLB_wrBurst => PLB_wrBurst, PLB_rdBurst => PLB_rdBurst, PLB_wrPendReq => PLB_wrPendReq, PLB_rdPendReq => PLB_rdPendReq, PLB_wrPendPri => PLB_wrPendPri, PLB_rdPendPri => PLB_rdPendPri, PLB_reqPri => PLB_reqPri, PLB_TAttribute => PLB_TAttribute, Sl_addrAck => Sl_addrAck, Sl_SSize => Sl_SSize, Sl_wait => Sl_wait, Sl_rearbitrate => Sl_rearbitrate, Sl_wrDAck => Sl_wrDAck, Sl_wrComp => Sl_wrComp, Sl_wrBTerm => Sl_wrBTerm, Sl_rdDBus => Sl_rdDBus, Sl_rdWdAddr => Sl_rdWdAddr, Sl_rdDAck => Sl_rdDAck, Sl_rdComp => Sl_rdComp, Sl_rdBTerm => Sl_rdBTerm, Sl_MBusy => Sl_MBusy, Sl_MWrErr => Sl_MWrErr, Sl_MRdErr => Sl_MRdErr, Sl_MIRQ => Sl_MIRQ, Bus2IP_Clk => ipif_Bus2IP_Clk, Bus2IP_Reset => ipif_Bus2IP_Reset, IP2Bus_Data => ipif_IP2Bus_Data, IP2Bus_WrAck => ipif_IP2Bus_WrAck, IP2Bus_RdAck => ipif_IP2Bus_RdAck, IP2Bus_Error => ipif_IP2Bus_Error, Bus2IP_Addr => ipif_Bus2IP_Addr, Bus2IP_Data => ipif_Bus2IP_Data, Bus2IP_RNW => ipif_Bus2IP_RNW, Bus2IP_BE => ipif_Bus2IP_BE, Bus2IP_CS => ipif_Bus2IP_CS, Bus2IP_RdCE => ipif_Bus2IP_RdCE, Bus2IP_WrCE => ipif_Bus2IP_WrCE ); ------------------------------------------ -- instantiate User Logic ------------------------------------------ USER_LOGIC_I : component user_logic generic map ( -- MAP USER GENERICS BELOW THIS LINE --------------- --USER generics mapped here -- MAP USER GENERICS ABOVE THIS LINE --------------- C_SLV_AWIDTH => USER_SLV_AWIDTH, C_SLV_DWIDTH => USER_SLV_DWIDTH, C_NUM_MEM => USER_NUM_MEM ) port map ( -- MAP USER PORTS BELOW THIS LINE ------------------ DQ0_T => DQ0_T, DQ0_O => DQ0_O, DQ0_I => DQ0_I, DQ1_T => DQ1_T, DQ1_O => DQ1_O, DQ1_I => DQ1_I, DQ2_T => DQ2_T, DQ2_O => DQ2_O, DQ2_I => DQ2_I, DQ3_T => DQ3_T, DQ3_O => DQ3_O, DQ3_I => DQ3_I, DQ4_T => DQ4_T, DQ4_O => DQ4_O, DQ4_I => DQ4_I, DQ5_T => DQ5_T, DQ5_O => DQ5_O, DQ5_I => DQ5_I, DQ6_T => DQ6_T, DQ6_O => DQ6_O, DQ6_I => DQ6_I, DQ7_T => DQ7_T, DQ7_O => DQ7_O, DQ7_I => DQ7_I, -- MAP USER PORTS ABOVE THIS LINE ------------------ Bus2IP_Clk => ipif_Bus2IP_Clk, Bus2IP_Reset => ipif_Bus2IP_Reset, Bus2IP_Addr => ipif_Bus2IP_Addr, Bus2IP_CS => ipif_Bus2IP_CS(USER_CS_INDEX to USER_CS_INDEX+USER_NUM_MEM-1), Bus2IP_RNW => ipif_Bus2IP_RNW, Bus2IP_Data => ipif_Bus2IP_Data, Bus2IP_BE => ipif_Bus2IP_BE, IP2Bus_Data => user_IP2Bus_Data, IP2Bus_RdAck => user_IP2Bus_RdAck, IP2Bus_WrAck => user_IP2Bus_WrAck, IP2Bus_Error => user_IP2Bus_Error ); ------------------------------------------ -- connect internal signals ------------------------------------------ ipif_IP2Bus_Data <= user_IP2Bus_Data; ipif_IP2Bus_WrAck <= user_IP2Bus_WrAck; ipif_IP2Bus_RdAck <= user_IP2Bus_RdAck; ipif_IP2Bus_Error <= user_IP2Bus_Error; end IMP;
bsd-2-clause
43bd8d761b1bc4f676bc76b521cc48c8
0.407462
4.573857
false
false
false
false
timvideos/HDMI2USB-jahanzeb-firmware
hdl/jpeg_encoder/design/QUANTIZER.vhd
5
7,248
-------------------------------------------------------------------------------- -- -- -- V H D L F I L E -- -- COPYRIGHT (C) 2006-2009 -- -- -- -------------------------------------------------------------------------------- -- -- -- Title : DIVIDER -- -- Design : DCT QUANTIZER -- -- Author : Michal Krepa -- -- -- -------------------------------------------------------------------------------- -- -- -- File : QUANTIZER.VHD -- -- Created : Sun Aug 27 2006 -- -- -- -------------------------------------------------------------------------------- -- -- -------------------------------------------------------------------------------- -- ////////////////////////////////////////////////////////////////////////////// -- /// Copyright (c) 2013, Jahanzeb Ahmad -- /// All rights reserved. -- /// -- /// Redistribution and use in source and binary forms, with or without modification, -- /// are permitted provided that the following conditions are met: -- /// -- /// * Redistributions of source code must retain the above copyright notice, -- /// this list of conditions and the following disclaimer. -- /// * Redistributions in binary form must reproduce the above copyright notice, -- /// this list of conditions and the following disclaimer in the documentation and/or -- /// other materials provided with the distribution. -- /// -- /// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY -- /// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES -- /// OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT -- /// SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- /// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT -- /// LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR -- /// PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, -- /// WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- /// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- /// POSSIBILITY OF SUCH DAMAGE. -- /// -- /// -- /// * http://opensource.org/licenses/MIT -- /// * http://copyfree.org/licenses/mit/license.txt -- /// -- ////////////////////////////////////////////////////////////////////////////// -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.All; use IEEE.NUMERIC_STD.all; entity quantizer is generic ( SIZE_C : INTEGER := 12; RAMQADDR_W : INTEGER := 7; RAMQDATA_W : INTEGER := 8 ); port ( rst : in STD_LOGIC; clk : in STD_LOGIC; di : in STD_LOGIC_VECTOR(SIZE_C-1 downto 0); divalid : in STD_LOGIC; qdata : in std_logic_vector(7 downto 0); qwaddr : in std_logic_vector(6 downto 0); qwren : in std_logic; cmp_idx : in unsigned(2 downto 0); do : out STD_LOGIC_VECTOR(SIZE_C-1 downto 0); dovalid : out STD_LOGIC ); end quantizer; architecture rtl of quantizer is constant INTERN_PIPE_C : INTEGER := 3; signal romaddr_s : UNSIGNED(RAMQADDR_W-2 downto 0):=(others => '0'); signal slv_romaddr_s : STD_LOGIC_VECTOR(RAMQADDR_W-1 downto 0):=(others => '0'); signal romdatao_s : STD_LOGIC_VECTOR(RAMQDATA_W-1 downto 0):=(others => '0'); signal divisor_s : STD_LOGIC_VECTOR(SIZE_C-1 downto 0):=(others => '0'); signal remainder_s : STD_LOGIC_VECTOR(SIZE_C-1 downto 0):=(others => '0'); signal do_s : STD_LOGIC_VECTOR(SIZE_C-1 downto 0):=(others => '0'); signal round_s : STD_LOGIC:='0'; signal di_d1 : std_logic_vector(SIZE_C-1 downto 0):=(others => '0'); signal pipeline_reg : STD_LOGIC_VECTOR(4 downto 0):=(others => '0'); signal sign_bit_pipe : std_logic_vector(SIZE_C+INTERN_PIPE_C+1-1 downto 0):=(others => '0'); signal do_rdiv : STD_LOGIC_VECTOR(SIZE_C-1 downto 0):=(others => '0'); signal table_select : std_logic:='0'; begin ---------------------------- -- RAMQ ---------------------------- U_RAMQ : entity work.RAMZ generic map ( RAMADDR_W => RAMQADDR_W, RAMDATA_W => RAMQDATA_W ) port map ( d => qdata, waddr => qwaddr, raddr => slv_romaddr_s, we => qwren, clk => CLK, q => romdatao_s ); divisor_s(RAMQDATA_W-1 downto 0) <= romdatao_s; divisor_s(SIZE_C-1 downto RAMQDATA_W) <= (others => '0'); r_divider : entity work.r_divider port map ( rst => rst, clk => clk, a => di_d1, d => romdatao_s, q => do_s ) ; do <= do_s; slv_romaddr_s <= table_select & STD_LOGIC_VECTOR(romaddr_s); ------------------------------ -- Quantization sub table select ------------------------------ process(clk) begin if clk = '1' and clk'event then if rst = '1' then table_select <= '0'; else -- luminance table select if cmp_idx < 2 then table_select <= '0'; -- chrominance table select else table_select <= '1'; end if; end if; end if; end process; ---------------------------- -- address incrementer ---------------------------- process(clk) begin if clk = '1' and clk'event then if rst = '1' then romaddr_s <= (others => '0'); pipeline_reg <= (OTHERS => '0'); di_d1 <= (OTHERS => '0'); sign_bit_pipe <= (others => '0'); else if divalid = '1' then romaddr_s <= romaddr_s + TO_UNSIGNED(1,romaddr_s'length); end if; pipeline_reg <= pipeline_reg(pipeline_reg'length-2 downto 0) & divalid; di_d1 <= di; sign_bit_pipe <= sign_bit_pipe(sign_bit_pipe'length-2 downto 0) & di(SIZE_C-1); end if; end if; end process; dovalid <= pipeline_reg(pipeline_reg'high); end rtl; --------------------------------------------------------------------------------
bsd-2-clause
616f375eb67e72a06533a1985159cd79
0.422599
4.604828
false
false
false
false
Given-Jiang/Gray_Processing
tb_Gray_Processing/hdl/Gray_Processing_GN.vhd
2
8,011
-- Gray_Processing_GN.vhd -- Generated using ACDS version 13.1 162 at 2015.02.12.11:11:33 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity Gray_Processing_GN is port ( Avalon_ST_Source_data : out std_logic_vector(23 downto 0); -- Avalon_ST_Source_data.wire Avalon_ST_Source_startofpacket : out std_logic; -- Avalon_ST_Source_startofpacket.wire Avalon_ST_Source_valid : out std_logic; -- Avalon_ST_Source_valid.wire Avalon_ST_Sink_valid : in std_logic := '0'; -- Avalon_ST_Sink_valid.wire Avalon_ST_Sink_startofpacket : in std_logic := '0'; -- Avalon_ST_Sink_startofpacket.wire Avalon_ST_Sink_ready : out std_logic; -- Avalon_ST_Sink_ready.wire Avalon_ST_Sink_data : in std_logic_vector(23 downto 0) := (others => '0'); -- Avalon_ST_Sink_data.wire Avalon_ST_Sink_endofpacket : in std_logic := '0'; -- Avalon_ST_Sink_endofpacket.wire Avalon_ST_Source_ready : in std_logic := '0'; -- Avalon_ST_Source_ready.wire Clock : in std_logic := '0'; -- Clock.clk aclr : in std_logic := '0'; -- .reset_n Avalon_ST_Source_endofpacket : out std_logic -- Avalon_ST_Source_endofpacket.wire ); end entity Gray_Processing_GN; architecture rtl of Gray_Processing_GN is component alt_dspbuilder_clock_GNF343OQUJ is port ( aclr : in std_logic := 'X'; -- reset aclr_n : in std_logic := 'X'; -- reset_n aclr_out : out std_logic; -- reset clock : in std_logic := 'X'; -- clk clock_out : out std_logic -- clk ); end component alt_dspbuilder_clock_GNF343OQUJ; component alt_dspbuilder_port_GNOC3SGKQJ is port ( input : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(23 downto 0) -- wire ); end component alt_dspbuilder_port_GNOC3SGKQJ; component alt_dspbuilder_port_GN37ALZBS4 is port ( input : in std_logic := 'X'; -- wire output : out std_logic -- wire ); end component alt_dspbuilder_port_GN37ALZBS4; component Gray_Processing_GN_Gray_Processing_Gray_Processing_Module is port ( data_out : out std_logic_vector(23 downto 0); -- wire sop : in std_logic := 'X'; -- wire eop : in std_logic := 'X'; -- wire Clock : in std_logic := 'X'; -- clk aclr : in std_logic := 'X'; -- reset data_in : in std_logic_vector(23 downto 0) := (others => 'X') -- wire ); end component Gray_Processing_GN_Gray_Processing_Gray_Processing_Module; signal avalon_st_sink_valid_0_output_wire : std_logic; -- Avalon_ST_Sink_valid_0:output -> Avalon_ST_Source_valid_0:input signal avalon_st_sink_startofpacket_0_output_wire : std_logic; -- Avalon_ST_Sink_startofpacket_0:output -> [Avalon_ST_Source_startofpacket_0:input, Gray_Processing_Gray_Processing_Module_0:sop] signal avalon_st_sink_endofpacket_0_output_wire : std_logic; -- Avalon_ST_Sink_endofpacket_0:output -> [Avalon_ST_Source_endofpacket_0:input, Gray_Processing_Gray_Processing_Module_0:eop] signal avalon_st_source_ready_0_output_wire : std_logic; -- Avalon_ST_Source_ready_0:output -> Avalon_ST_Sink_ready_0:input signal avalon_st_sink_data_0_output_wire : std_logic_vector(23 downto 0); -- Avalon_ST_Sink_data_0:output -> Gray_Processing_Gray_Processing_Module_0:data_in signal gray_processing_gray_processing_module_0_data_out_wire : std_logic_vector(23 downto 0); -- Gray_Processing_Gray_Processing_Module_0:data_out -> Avalon_ST_Source_data_0:input signal clock_0_clock_output_reset : std_logic; -- Clock_0:aclr_out -> Gray_Processing_Gray_Processing_Module_0:aclr signal clock_0_clock_output_clk : std_logic; -- Clock_0:clock_out -> Gray_Processing_Gray_Processing_Module_0:Clock begin clock_0 : component alt_dspbuilder_clock_GNF343OQUJ port map ( clock_out => clock_0_clock_output_clk, -- clock_output.clk aclr_out => clock_0_clock_output_reset, -- .reset clock => Clock, -- clock.clk aclr_n => aclr -- .reset_n ); avalon_st_sink_data_0 : component alt_dspbuilder_port_GNOC3SGKQJ port map ( input => Avalon_ST_Sink_data, -- input.wire output => avalon_st_sink_data_0_output_wire -- output.wire ); avalon_st_sink_endofpacket_0 : component alt_dspbuilder_port_GN37ALZBS4 port map ( input => Avalon_ST_Sink_endofpacket, -- input.wire output => avalon_st_sink_endofpacket_0_output_wire -- output.wire ); gray_processing_gray_processing_module_0 : component Gray_Processing_GN_Gray_Processing_Gray_Processing_Module port map ( data_out => gray_processing_gray_processing_module_0_data_out_wire, -- data_out.wire sop => avalon_st_sink_startofpacket_0_output_wire, -- sop.wire eop => avalon_st_sink_endofpacket_0_output_wire, -- eop.wire Clock => clock_0_clock_output_clk, -- Clock.clk aclr => clock_0_clock_output_reset, -- .reset data_in => avalon_st_sink_data_0_output_wire -- data_in.wire ); avalon_st_source_valid_0 : component alt_dspbuilder_port_GN37ALZBS4 port map ( input => avalon_st_sink_valid_0_output_wire, -- input.wire output => Avalon_ST_Source_valid -- output.wire ); avalon_st_sink_valid_0 : component alt_dspbuilder_port_GN37ALZBS4 port map ( input => Avalon_ST_Sink_valid, -- input.wire output => avalon_st_sink_valid_0_output_wire -- output.wire ); avalon_st_source_endofpacket_0 : component alt_dspbuilder_port_GN37ALZBS4 port map ( input => avalon_st_sink_endofpacket_0_output_wire, -- input.wire output => Avalon_ST_Source_endofpacket -- output.wire ); avalon_st_source_startofpacket_0 : component alt_dspbuilder_port_GN37ALZBS4 port map ( input => avalon_st_sink_startofpacket_0_output_wire, -- input.wire output => Avalon_ST_Source_startofpacket -- output.wire ); avalon_st_source_ready_0 : component alt_dspbuilder_port_GN37ALZBS4 port map ( input => Avalon_ST_Source_ready, -- input.wire output => avalon_st_source_ready_0_output_wire -- output.wire ); avalon_st_sink_ready_0 : component alt_dspbuilder_port_GN37ALZBS4 port map ( input => avalon_st_source_ready_0_output_wire, -- input.wire output => Avalon_ST_Sink_ready -- output.wire ); avalon_st_sink_startofpacket_0 : component alt_dspbuilder_port_GN37ALZBS4 port map ( input => Avalon_ST_Sink_startofpacket, -- input.wire output => avalon_st_sink_startofpacket_0_output_wire -- output.wire ); avalon_st_source_data_0 : component alt_dspbuilder_port_GNOC3SGKQJ port map ( input => gray_processing_gray_processing_module_0_data_out_wire, -- input.wire output => Avalon_ST_Source_data -- output.wire ); end architecture rtl; -- of Gray_Processing_GN
mit
4eccd2d85ec962bbe49d5515bad7e4af
0.569717
3.430835
false
false
false
false
shailcoolboy/Warp-Trinity
edk_user_repository/WARP/pcores/linkport_v1_00_a/hdl/vhdl/tx_ll.vhd
4
8,910
-- -- Project: Aurora Module Generator version 2.4 -- -- Date: $Date: 2005/11/07 21:30:56 $ -- Tag: $Name: i+IP+98818 $ -- File: $RCSfile: tx_ll_vhd.ejava,v $ -- Rev: $Revision: 1.1.2.4 $ -- -- Company: Xilinx -- Contributors: R. K. Awalt, B. L. Woodard, N. Gulstone -- -- Disclaimer: XILINX IS PROVIDING THIS DESIGN, CODE, OR -- INFORMATION "AS IS" SOLELY FOR USE IN DEVELOPING -- PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS -- ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, -- APPLICATION OR STANDARD, XILINX IS MAKING NO -- REPRESENTATION THAT THIS IMPLEMENTATION IS FREE -- FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE -- RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY -- REQUIRE FOR YOUR IMPLEMENTATION. XILINX -- EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH -- RESPECT TO THE ADEQUACY OF THE IMPLEMENTATION, -- INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE -- FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES -- OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE. -- -- (c) Copyright 2004 Xilinx, Inc. -- All rights reserved. -- -- -- TX_LL -- -- Author: Nigel Gulstone -- Xilinx - Embedded Networking System Engineering Group -- -- Description: The TX_LL module converts user data from the LocalLink interface -- to Aurora Data, then sends it to the Aurora Channel for transmission. -- It also handles NFC and UFC messages. -- -- This module supports 1 2-byte lane designs -- -- This module supports Immediate Mode Native Flow Control -- library IEEE; use IEEE.STD_LOGIC_1164.all; entity TX_LL is port ( -- LocalLink PDU Interface TX_D : in std_logic_vector(0 to 15); TX_REM : in std_logic; TX_SRC_RDY_N : in std_logic; TX_SOF_N : in std_logic; TX_EOF_N : in std_logic; TX_DST_RDY_N : out std_logic; -- NFC Interface NFC_REQ_N : in std_logic; NFC_NB : in std_logic_vector(0 to 3); NFC_ACK_N : out std_logic; -- Clock Compensation Interface WARN_CC : in std_logic; DO_CC : in std_logic; -- Global Logic Interface CHANNEL_UP : in std_logic; -- Aurora Lane Interface GEN_SCP : out std_logic; GEN_ECP : out std_logic; GEN_SNF : out std_logic; FC_NB : out std_logic_vector(0 to 3); TX_PE_DATA_V : out std_logic; GEN_PAD : out std_logic; TX_PE_DATA : out std_logic_vector(0 to 15); GEN_CC : out std_logic; -- RX_LL Interface TX_WAIT : in std_logic; DECREMENT_NFC : out std_logic; -- System Interface USER_CLK : in std_logic ); end TX_LL; architecture MAPPED of TX_LL is -- External Register Declarations -- signal TX_DST_RDY_N_Buffer : std_logic; signal NFC_ACK_N_Buffer : std_logic; signal GEN_SCP_Buffer : std_logic; signal GEN_ECP_Buffer : std_logic; signal GEN_SNF_Buffer : std_logic; signal FC_NB_Buffer : std_logic_vector(0 to 3); signal TX_PE_DATA_V_Buffer : std_logic; signal GEN_PAD_Buffer : std_logic; signal TX_PE_DATA_Buffer : std_logic_vector(0 to 15); signal GEN_CC_Buffer : std_logic; signal DECREMENT_NFC_Buffer : std_logic; -- Wire Declarations -- signal halt_c_i : std_logic; signal tx_dst_rdy_n_i : std_logic; -- Component Declarations -- component TX_LL_DATAPATH port ( -- LocalLink PDU Interface TX_D : in std_logic_vector(0 to 15); TX_REM : in std_logic; TX_SRC_RDY_N : in std_logic; TX_SOF_N : in std_logic; TX_EOF_N : in std_logic; -- Aurora Lane Interface TX_PE_DATA_V : out std_logic; GEN_PAD : out std_logic; TX_PE_DATA : out std_logic_vector(0 to 15); -- TX_LL Control Module Interface HALT_C : in std_logic; TX_DST_RDY_N : in std_logic; -- System Interface CHANNEL_UP : in std_logic; USER_CLK : in std_logic ); end component; component TX_LL_CONTROL port ( -- LocalLink PDU Interface TX_SRC_RDY_N : in std_logic; TX_SOF_N : in std_logic; TX_EOF_N : in std_logic; TX_REM : in std_logic; TX_DST_RDY_N : out std_logic; -- NFC Interface NFC_REQ_N : in std_logic; NFC_NB : in std_logic_vector(0 to 3); NFC_ACK_N : out std_logic; -- Clock Compensation Interface WARN_CC : in std_logic; DO_CC : in std_logic; -- Global Logic Interface CHANNEL_UP : in std_logic; -- TX_LL Control Module Interface HALT_C : out std_logic; -- Aurora Lane Interface GEN_SCP : out std_logic; GEN_ECP : out std_logic; GEN_SNF : out std_logic; FC_NB : out std_logic_vector(0 to 3); GEN_CC : out std_logic; -- RX_LL Interface TX_WAIT : in std_logic; DECREMENT_NFC : out std_logic; -- System Interface USER_CLK : in std_logic ); end component; begin TX_DST_RDY_N <= TX_DST_RDY_N_Buffer; NFC_ACK_N <= NFC_ACK_N_Buffer; GEN_SCP <= GEN_SCP_Buffer; GEN_ECP <= GEN_ECP_Buffer; GEN_SNF <= GEN_SNF_Buffer; FC_NB <= FC_NB_Buffer; TX_PE_DATA_V <= TX_PE_DATA_V_Buffer; GEN_PAD <= GEN_PAD_Buffer; TX_PE_DATA <= TX_PE_DATA_Buffer; GEN_CC <= GEN_CC_Buffer; DECREMENT_NFC <= DECREMENT_NFC_Buffer; -- Main Body of Code -- -- TX_DST_RDY_N is generated by TX_LL_CONTROL and used by TX_LL_DATAPATH and -- external modules to regulate incoming pdu data signals. TX_DST_RDY_N_Buffer <= tx_dst_rdy_n_i; -- TX_LL_Datapath module tx_ll_datapath_i : TX_LL_DATAPATH port map ( -- LocalLink PDU Interface TX_D => TX_D, TX_REM => TX_REM, TX_SRC_RDY_N => TX_SRC_RDY_N, TX_SOF_N => TX_SOF_N, TX_EOF_N => TX_EOF_N, -- Aurora Lane Interface TX_PE_DATA_V => TX_PE_DATA_V_Buffer, GEN_PAD => GEN_PAD_Buffer, TX_PE_DATA => TX_PE_DATA_Buffer, -- TX_LL Control Module Interface HALT_C => halt_c_i, TX_DST_RDY_N => tx_dst_rdy_n_i, -- System Interface CHANNEL_UP => CHANNEL_UP, USER_CLK => USER_CLK ); -- TX_LL_Control module tx_ll_control_i : TX_LL_CONTROL port map ( -- LocalLink PDU Interface TX_SRC_RDY_N => TX_SRC_RDY_N, TX_SOF_N => TX_SOF_N, TX_EOF_N => TX_EOF_N, TX_REM => TX_REM, TX_DST_RDY_N => tx_dst_rdy_n_i, -- NFC Interface NFC_REQ_N => NFC_REQ_N, NFC_NB => NFC_NB, NFC_ACK_N => NFC_ACK_N_Buffer, -- Clock Compensation Interface WARN_CC => WARN_CC, DO_CC => DO_CC, -- Global Logic Interface CHANNEL_UP => CHANNEL_UP, -- TX_LL Control Module Interface HALT_C => halt_c_i, -- Aurora Lane Interface GEN_SCP => GEN_SCP_Buffer, GEN_ECP => GEN_ECP_Buffer, GEN_SNF => GEN_SNF_Buffer, FC_NB => FC_NB_Buffer, GEN_CC => GEN_CC_Buffer, -- RX_LL Interface TX_WAIT => TX_WAIT, DECREMENT_NFC => DECREMENT_NFC_Buffer, -- System Interface USER_CLK => USER_CLK ); end MAPPED;
bsd-2-clause
4e75571adc39c93ac4db6070b606cadf
0.482716
3.860485
false
false
false
false
shailcoolboy/Warp-Trinity
PlatformSupport/Deprecated/pcores/radio_controller_v1_01_a/hdl/vhdl/radio_controller.vhd
2
25,787
------------------------------------------------------------------------------ -- radio_controller.vhd - entity/architecture pair ------------------------------------------------------------------------------ -- IMPORTANT: -- DO NOT MODIFY THIS FILE EXCEPT IN THE DESIGNATED SECTIONS. -- -- SEARCH FOR --USER TO DETERMINE WHERE CHANGES ARE ALLOWED. -- -- TYPICALLY, THE ONLY ACCEPTABLE CHANGES INVOLVE ADDING NEW -- PORTS AND GENERICS THAT GET PASSED THROUGH TO THE INSTANTIATION -- OF THE USER_LOGIC ENTITY. ------------------------------------------------------------------------------ -- -- *************************************************************************** -- ** Copyright (c) 1995-2005 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** Xilinx, Inc. ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" ** -- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND ** -- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, ** -- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, ** -- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION ** -- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, ** -- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE ** -- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY ** -- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE ** -- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR ** -- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF ** -- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ** -- ** FOR A PARTICULAR PURPOSE. ** -- ** ** -- ** YOU MAY COPY AND MODIFY THESE FILES FOR YOUR OWN INTERNAL USE SOLELY ** -- ** WITH XILINX PROGRAMMABLE LOGIC DEVICES AND XILINX EDK SYSTEM OR ** -- ** CREATE IP MODULES SOLELY FOR XILINX PROGRAMMABLE LOGIC DEVICES AND ** -- ** XILINX EDK SYSTEM. NO RIGHTS ARE GRANTED TO DISTRIBUTE ANY FILES ** -- ** UNLESS THEY ARE DISTRIBUTED IN XILINX PROGRAMMABLE LOGIC DEVICES. ** -- ** ** -- *************************************************************************** -- ------------------------------------------------------------------------------ -- Filename: radio_controller.vhd -- Version: 1.01.a -- Description: Top level design, instantiates IPIF and user logic. -- Date: Thu Jul 07 16:33:45 2005 (by Create and Import Peripheral Wizard) -- VHDL Standard: VHDL'93 ------------------------------------------------------------------------------ -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port: "*_i" -- device pins: "*_pin" -- ports: "- Names begin with Uppercase" -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC>" ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library proc_common_v2_00_a; use proc_common_v2_00_a.proc_common_pkg.all; use proc_common_v2_00_a.ipif_pkg.all; library opb_ipif_v3_01_a; use opb_ipif_v3_01_a.all; library radio_controller_v1_01_a; use radio_controller_v1_01_a.all; ------------------------------------------------------------------------------ -- Entity section ------------------------------------------------------------------------------ -- Definition of Generics: -- C_BASEADDR -- User logic base address -- C_HIGHADDR -- User logic high address -- C_OPB_AWIDTH -- OPB address bus width -- C_OPB_DWIDTH -- OPB data bus width -- C_FAMILY -- Target FPGA architecture -- -- Definition of Ports: -- OPB_Clk -- OPB Clock -- OPB_Rst -- OPB Reset -- Sl_DBus -- Slave data bus -- Sl_errAck -- Slave error acknowledge -- Sl_retry -- Slave retry -- Sl_toutSup -- Slave timeout suppress -- Sl_xferAck -- Slave transfer acknowledge -- OPB_ABus -- OPB address bus -- OPB_BE -- OPB byte enable -- OPB_DBus -- OPB data bus -- OPB_RNW -- OPB read/not write -- OPB_select -- OPB select -- OPB_seqAddr -- OPB sequential address ------------------------------------------------------------------------------ entity radio_controller is generic ( -- ADD USER GENERICS BELOW THIS LINE --------------- --USER generics added here -- ADD USER GENERICS ABOVE THIS LINE --------------- -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol parameters, do not add to or delete C_BASEADDR : std_logic_vector := X"00000000"; C_HIGHADDR : std_logic_vector := X"0000FFFF"; C_OPB_AWIDTH : integer := 32; C_OPB_DWIDTH : integer := 32; C_FAMILY : string := "virtex2p" -- DO NOT EDIT ABOVE THIS LINE --------------------- ); port ( -- ADD USER PORTS BELOW THIS LINE ------------------ --USER ports added here radio1_shdn : out std_logic; radio1_txen : out std_logic; radio1_rxen : out std_logic; radio1_rxhp : out std_logic; radio1_ld : in std_logic; radio1_24pa : out std_logic; radio1_5pa : out std_logic; radio1_antsw : out std_logic_vector(0 to 1); radio1_led : out std_logic_vector(0 to 2); radio2_shdn : out std_logic; radio2_txen : out std_logic; radio2_rxen : out std_logic; radio2_rxhp : out std_logic; radio2_ld : in std_logic; radio2_24pa : out std_logic; radio2_5pa : out std_logic; radio2_antsw : out std_logic_vector(0 to 1); radio2_led : out std_logic_vector(0 to 2); radio3_shdn : out std_logic; radio3_txen : out std_logic; radio3_rxen : out std_logic; radio3_rxhp : out std_logic; radio3_ld : in std_logic; radio3_24pa : out std_logic; radio3_5pa : out std_logic; radio3_antsw : out std_logic_vector(0 to 1); radio3_led : out std_logic_vector(0 to 2); radio4_shdn : out std_logic; radio4_txen : out std_logic; radio4_rxen : out std_logic; radio4_rxhp : out std_logic; radio4_ld : in std_logic; radio4_24pa : out std_logic; radio4_5pa : out std_logic; radio4_antsw : out std_logic_vector(0 to 1); radio4_led : out std_logic_vector(0 to 2); -- ADD USER PORTS ABOVE THIS LINE ------------------ -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol ports, do not add to or delete OPB_Clk : in std_logic; OPB_Rst : in std_logic; Sl_DBus : out std_logic_vector(0 to C_OPB_DWIDTH-1); Sl_errAck : out std_logic; Sl_retry : out std_logic; Sl_toutSup : out std_logic; Sl_xferAck : out std_logic; OPB_ABus : in std_logic_vector(0 to C_OPB_AWIDTH-1); OPB_BE : in std_logic_vector(0 to C_OPB_DWIDTH/8-1); OPB_DBus : in std_logic_vector(0 to C_OPB_DWIDTH-1); OPB_RNW : in std_logic; OPB_select : in std_logic; OPB_seqAddr : in std_logic -- DO NOT EDIT ABOVE THIS LINE --------------------- ); attribute SIGIS : string; attribute SIGIS of OPB_Clk : signal is "Clk"; attribute SIGIS of OPB_Rst : signal is "Rst"; end entity radio_controller; ------------------------------------------------------------------------------ -- Architecture section ------------------------------------------------------------------------------ architecture IMP of radio_controller is ------------------------------------------ -- Constant: array of address range identifiers ------------------------------------------ constant ARD_ID_ARRAY : INTEGER_ARRAY_TYPE := ( 0 => USER_00 -- user logic S/W register address space ); ------------------------------------------ -- Constant: array of address pairs for each address range ------------------------------------------ constant ZERO_ADDR_PAD : std_logic_vector(0 to 64-C_OPB_AWIDTH-1) := (others => '0'); constant USER_BASEADDR : std_logic_vector := C_BASEADDR; constant USER_HIGHADDR : std_logic_vector := C_HIGHADDR; constant ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE := ( ZERO_ADDR_PAD & USER_BASEADDR, -- user logic base address ZERO_ADDR_PAD & USER_HIGHADDR -- user logic high address ); ------------------------------------------ -- Constant: array of data widths for each target address range ------------------------------------------ constant USER_DWIDTH : integer := 32; constant ARD_DWIDTH_ARRAY : INTEGER_ARRAY_TYPE := ( 0 => USER_DWIDTH -- user logic data width ); ------------------------------------------ -- Constant: array of desired number of chip enables for each address range ------------------------------------------ constant USER_NUM_CE : integer := 4; constant ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE := ( 0 => pad_power2(USER_NUM_CE) -- user logic number of CEs ); ------------------------------------------ -- Constant: array of unique properties for each address range ------------------------------------------ constant ARD_DEPENDENT_PROPS_ARRAY : DEPENDENT_PROPS_ARRAY_TYPE := ( 0 => (others => 0) -- user logic slave space dependent properties (none defined) ); ------------------------------------------ -- Constant: pipeline mode -- 1 = include OPB-In pipeline registers -- 2 = include IP pipeline registers -- 3 = include OPB-In and IP pipeline registers -- 4 = include OPB-Out pipeline registers -- 5 = include OPB-In and OPB-Out pipeline registers -- 6 = include IP and OPB-Out pipeline registers -- 7 = include OPB-In, IP, and OPB-Out pipeline registers -- Note: -- only mode 4, 5, 7 are supported for this release ------------------------------------------ constant PIPELINE_MODEL : integer := 5; ------------------------------------------ -- Constant: user core ID code ------------------------------------------ constant DEV_BLK_ID : integer := 0; ------------------------------------------ -- Constant: enable MIR/Reset register ------------------------------------------ constant DEV_MIR_ENABLE : integer := 0; ------------------------------------------ -- Constant: array of IP interrupt mode -- 1 = Active-high interrupt condition -- 2 = Active-low interrupt condition -- 3 = Active-high pulse interrupt event -- 4 = Active-low pulse interrupt event -- 5 = Positive-edge interrupt event -- 6 = Negative-edge interrupt event ------------------------------------------ constant IP_INTR_MODE_ARRAY : INTEGER_ARRAY_TYPE := ( 0 => 0 -- not used ); ------------------------------------------ -- Constant: enable device burst ------------------------------------------ constant DEV_BURST_ENABLE : integer := 0; ------------------------------------------ -- Constant: include address counter for burst transfers ------------------------------------------ constant INCLUDE_ADDR_CNTR : integer := 0; ------------------------------------------ -- Constant: include write buffer that decouples OPB and IPIC write transactions ------------------------------------------ constant INCLUDE_WR_BUF : integer := 0; ------------------------------------------ -- Constant: index for CS/CE ------------------------------------------ constant USER00_CS_INDEX : integer := get_id_index(ARD_ID_ARRAY, USER_00); constant USER00_CE_INDEX : integer := calc_start_ce_index(ARD_NUM_CE_ARRAY, USER00_CS_INDEX); ------------------------------------------ -- IP Interconnect (IPIC) signal declarations -- do not delete -- prefix 'i' stands for IPIF while prefix 'u' stands for user logic -- typically user logic will be hooked up to IPIF directly via i<sig> -- unless signal slicing and muxing are needed via u<sig> ------------------------------------------ signal iBus2IP_RdCE : std_logic_vector(0 to calc_num_ce(ARD_NUM_CE_ARRAY)-1); signal iBus2IP_WrCE : std_logic_vector(0 to calc_num_ce(ARD_NUM_CE_ARRAY)-1); signal iBus2IP_Data : std_logic_vector(0 to C_OPB_DWIDTH-1); signal iBus2IP_BE : std_logic_vector(0 to C_OPB_DWIDTH/8-1); signal iIP2Bus_Data : std_logic_vector(0 to C_OPB_DWIDTH-1) := (others => '0'); signal iIP2Bus_Ack : std_logic := '0'; signal iIP2Bus_Error : std_logic := '0'; signal iIP2Bus_Retry : std_logic := '0'; signal iIP2Bus_ToutSup : std_logic := '0'; signal ZERO_IP2Bus_PostedWrInh : std_logic_vector(0 to ARD_ID_ARRAY'length-1) := (others => '0'); -- work around for XST not taking (others => '0') in port mapping signal ZERO_IP2RFIFO_Data : std_logic_vector(0 to ARD_DWIDTH_ARRAY(get_id_index_iboe(ARD_ID_ARRAY, IPIF_RDFIFO_DATA))-1) := (others => '0'); -- work around for XST not taking (others => '0') in port mapping signal ZERO_WFIFO2IP_Data : std_logic_vector(0 to ARD_DWIDTH_ARRAY(get_id_index_iboe(ARD_ID_ARRAY, IPIF_WRFIFO_DATA))-1) := (others => '0'); -- work around for XST not taking (others => '0') in port mapping signal ZERO_IP2Bus_IntrEvent : std_logic_vector(0 to IP_INTR_MODE_ARRAY'length-1) := (others => '0'); -- work around for XST not taking (others => '0') in port mapping signal iBus2IP_Clk : std_logic; signal iBus2IP_Reset : std_logic; signal uBus2IP_Data : std_logic_vector(0 to USER_DWIDTH-1); signal uBus2IP_BE : std_logic_vector(0 to USER_DWIDTH/8-1); signal uBus2IP_RdCE : std_logic_vector(0 to USER_NUM_CE-1); signal uBus2IP_WrCE : std_logic_vector(0 to USER_NUM_CE-1); signal uIP2Bus_Data : std_logic_vector(0 to USER_DWIDTH-1); ------------------------------------------ -- Component declaration for verilog user logic ------------------------------------------ component user_logic is generic ( -- ADD USER GENERICS BELOW THIS LINE --------------- --USER generics added here -- ADD USER GENERICS ABOVE THIS LINE --------------- -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol parameters, do not add to or delete C_DWIDTH : integer := 32; C_NUM_CE : integer := 4 -- DO NOT EDIT ABOVE THIS LINE --------------------- ); port ( -- ADD USER PORTS BELOW THIS LINE ------------------ --USER ports added here Radio1_SHDN : out std_logic; Radio1_TxEn : out std_logic; Radio1_RxEn : out std_logic; Radio1_RxHP : out std_logic; Radio1_LD : in std_logic; Radio1_24PA : out std_logic; Radio1_5PA : out std_logic; Radio1_ANTSW : out std_logic_vector(0 to 1); Radio1_LED : out std_logic_vector(0 to 2); Radio2_SHDN : out std_logic; Radio2_TxEn : out std_logic; Radio2_RxEn : out std_logic; Radio2_RxHP : out std_logic; Radio2_LD : in std_logic; Radio2_24PA : out std_logic; Radio2_5PA : out std_logic; Radio2_ANTSW : out std_logic_vector(0 to 1); Radio2_LED : out std_logic_vector(0 to 2); Radio3_SHDN : out std_logic; Radio3_TxEn : out std_logic; Radio3_RxEn : out std_logic; Radio3_RxHP : out std_logic; Radio3_LD : in std_logic; Radio3_24PA : out std_logic; Radio3_5PA : out std_logic; Radio3_ANTSW : out std_logic_vector(0 to 1); Radio3_LED : out std_logic_vector(0 to 2); Radio4_SHDN : out std_logic; Radio4_TxEn : out std_logic; Radio4_RxEn : out std_logic; Radio4_RxHP : out std_logic; Radio4_LD : in std_logic; Radio4_24PA : out std_logic; Radio4_5PA : out std_logic; Radio4_ANTSW : out std_logic_vector(0 to 1); Radio4_LED : out std_logic_vector(0 to 2); -- ADD USER PORTS ABOVE THIS LINE ------------------ -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol ports, do not add to or delete Bus2IP_Clk : in std_logic; Bus2IP_Reset : in std_logic; Bus2IP_Data : in std_logic_vector(0 to C_DWIDTH-1); Bus2IP_BE : in std_logic_vector(0 to C_DWIDTH/8-1); Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_CE-1); Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_CE-1); IP2Bus_Data : out std_logic_vector(0 to C_DWIDTH-1); IP2Bus_Ack : out std_logic; IP2Bus_Retry : out std_logic; IP2Bus_Error : out std_logic; IP2Bus_ToutSup : out std_logic -- DO NOT EDIT ABOVE THIS LINE --------------------- ); end component user_logic; begin ------------------------------------------ -- instantiate the OPB IPIF ------------------------------------------ OPB_IPIF_I : entity opb_ipif_v3_01_a.opb_ipif generic map ( C_ARD_ID_ARRAY => ARD_ID_ARRAY, C_ARD_ADDR_RANGE_ARRAY => ARD_ADDR_RANGE_ARRAY, C_ARD_DWIDTH_ARRAY => ARD_DWIDTH_ARRAY, C_ARD_NUM_CE_ARRAY => ARD_NUM_CE_ARRAY, C_ARD_DEPENDENT_PROPS_ARRAY => ARD_DEPENDENT_PROPS_ARRAY, C_PIPELINE_MODEL => PIPELINE_MODEL, C_DEV_BLK_ID => DEV_BLK_ID, C_DEV_MIR_ENABLE => DEV_MIR_ENABLE, C_OPB_AWIDTH => C_OPB_AWIDTH, C_OPB_DWIDTH => C_OPB_DWIDTH, C_FAMILY => C_FAMILY, C_IP_INTR_MODE_ARRAY => IP_INTR_MODE_ARRAY, C_DEV_BURST_ENABLE => DEV_BURST_ENABLE, C_INCLUDE_ADDR_CNTR => INCLUDE_ADDR_CNTR, C_INCLUDE_WR_BUF => INCLUDE_WR_BUF ) port map ( OPB_select => OPB_select, OPB_DBus => OPB_DBus, OPB_ABus => OPB_ABus, OPB_BE => OPB_BE, OPB_RNW => OPB_RNW, OPB_seqAddr => OPB_seqAddr, Sln_DBus => Sl_DBus, Sln_xferAck => Sl_xferAck, Sln_errAck => Sl_errAck, Sln_retry => Sl_retry, Sln_toutSup => Sl_toutSup, Bus2IP_CS => open, Bus2IP_CE => open, Bus2IP_RdCE => iBus2IP_RdCE, Bus2IP_WrCE => iBus2IP_WrCE, Bus2IP_Data => iBus2IP_Data, Bus2IP_Addr => open, Bus2IP_AddrValid => open, Bus2IP_BE => iBus2IP_BE, Bus2IP_RNW => open, Bus2IP_Burst => open, IP2Bus_Data => iIP2Bus_Data, IP2Bus_Ack => iIP2Bus_Ack, IP2Bus_AddrAck => '0', IP2Bus_Error => iIP2Bus_Error, IP2Bus_Retry => iIP2Bus_Retry, IP2Bus_ToutSup => iIP2Bus_ToutSup, IP2Bus_PostedWrInh => ZERO_IP2Bus_PostedWrInh, IP2RFIFO_Data => ZERO_IP2RFIFO_Data, IP2RFIFO_WrMark => '0', IP2RFIFO_WrRelease => '0', IP2RFIFO_WrReq => '0', IP2RFIFO_WrRestore => '0', RFIFO2IP_AlmostFull => open, RFIFO2IP_Full => open, RFIFO2IP_Vacancy => open, RFIFO2IP_WrAck => open, IP2WFIFO_RdMark => '0', IP2WFIFO_RdRelease => '0', IP2WFIFO_RdReq => '0', IP2WFIFO_RdRestore => '0', WFIFO2IP_AlmostEmpty => open, WFIFO2IP_Data => ZERO_WFIFO2IP_Data, WFIFO2IP_Empty => open, WFIFO2IP_Occupancy => open, WFIFO2IP_RdAck => open, IP2Bus_IntrEvent => ZERO_IP2Bus_IntrEvent, IP2INTC_Irpt => open, Freeze => '0', Bus2IP_Freeze => open, OPB_Clk => OPB_Clk, Bus2IP_Clk => iBus2IP_Clk, IP2Bus_Clk => '0', Reset => OPB_Rst, Bus2IP_Reset => iBus2IP_Reset ); ------------------------------------------ -- instantiate the User Logic ------------------------------------------ USER_LOGIC_I : component user_logic generic map ( -- MAP USER GENERICS BELOW THIS LINE --------------- --USER generics mapped here -- MAP USER GENERICS ABOVE THIS LINE --------------- C_DWIDTH => USER_DWIDTH, C_NUM_CE => USER_NUM_CE ) port map ( -- MAP USER PORTS BELOW THIS LINE ------------------ --USER ports mapped here Radio1_SHDN => radio1_shdn, Radio1_TxEn => radio1_txen, Radio1_RxEn => radio1_rxen, Radio1_RxHP => radio1_rxhp, Radio1_LD => radio1_ld, Radio1_24PA => radio1_24pa, Radio1_5PA => radio1_5pa, Radio1_ANTSW => radio1_antsw, Radio1_LED => radio1_led, Radio2_SHDN => radio2_shdn, Radio2_TxEn => radio2_txen, Radio2_RxEn => radio2_rxen, Radio2_RxHP => radio2_rxhp, Radio2_LD => radio2_ld, Radio2_24PA => radio2_24pa, Radio2_5PA => radio2_5pa, Radio2_ANTSW => radio2_antsw, Radio2_LED => radio2_led, Radio3_SHDN => radio3_shdn, Radio3_TxEn => radio3_txen, Radio3_RxEn => radio3_rxen, Radio3_RxHP => radio3_rxhp, Radio3_LD => radio3_ld, Radio3_24PA => radio3_24pa, Radio3_5PA => radio3_5pa, Radio3_ANTSW => radio3_antsw, Radio3_LED => radio3_led, Radio4_SHDN => radio4_shdn, Radio4_TxEn => radio4_txen, Radio4_RxEn => radio4_rxen, Radio4_RxHP => radio4_rxhp, Radio4_LD => radio4_ld, Radio4_24PA => radio4_24pa, Radio4_5PA => radio4_5pa, Radio4_ANTSW => radio4_antsw, Radio4_LED => radio4_led, -- MAP USER PORTS ABOVE THIS LINE ------------------ Bus2IP_Clk => iBus2IP_Clk, Bus2IP_Reset => iBus2IP_Reset, Bus2IP_Data => uBus2IP_Data, Bus2IP_BE => uBus2IP_BE, Bus2IP_RdCE => uBus2IP_RdCE, Bus2IP_WrCE => uBus2IP_WrCE, IP2Bus_Data => uIP2Bus_Data, IP2Bus_Ack => iIP2Bus_Ack, IP2Bus_Retry => iIP2Bus_Retry, IP2Bus_Error => iIP2Bus_Error, IP2Bus_ToutSup => iIP2Bus_ToutSup ); ------------------------------------------ -- hooking up signal slicing ------------------------------------------ uBus2IP_BE <= iBus2IP_BE(0 to USER_DWIDTH/8-1); uBus2IP_Data <= iBus2IP_Data(0 to USER_DWIDTH-1); uBus2IP_RdCE <= iBus2IP_RdCE(USER00_CE_INDEX to USER00_CE_INDEX+USER_NUM_CE-1); uBus2IP_WrCE <= iBus2IP_WrCE(USER00_CE_INDEX to USER00_CE_INDEX+USER_NUM_CE-1); iIP2Bus_Data(0 to USER_DWIDTH-1) <= uIP2Bus_Data; end IMP;
bsd-2-clause
9867f7c39f624e2547152ffa6dd9cb19
0.464575
4.031739
false
false
false
false
fpgaminer/Open-Source-FPGA-Bitcoin-Miner
projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/blk_mem_gen_v8_0/blk_mem_gen_v8_0_synth.vhd
9
160,200
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block iGPsLtgTSI7pVMrKgneAoT8YveNtFrw/lJAnluLChzkCGz//BJJKatN6NzsyMG9Nv0I/0aGD7eLP UTWrPIqKYg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block gO8L9EDBtZCXByM8Hhy0TWVYSBPubEqLehyupsUZEQJRC+IVk1SYdw2SEX/wui/FN//K7qGgGLMB KE9XV4F61jzlo4vrHP6CCQPb00WFGc3DlmwN1GzdPIdHEF2uJ/Nt8PDiabU6YCLiwEah8Ij46d3J tPfCU5Lgxo1eSkTyG8o= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block wDCq8tOvpXJ01noWmu235TI4m0Wm381/kg/8RtROJ5GJtNd3HKH7+G5/KXfN+eFwVAakA4ujB8hT 3HeQO7OyP2vHnEbRTwVtuPTTYHGRX06M9djjlYHStmkQ2/L2XdK6YRaVbHS8bYEnRk6SDHrkNlOE e2TYXzG5KpclxcysQATnloKFv9eI1JbyfFsTpRNVESueqfuZBtEdkwmqOKUmwQEboKnh9Z1llo+I sd7ZTgPceh3cVIRjsjrBWf61YwoJQRQKr4es6HTrEshW+pm+YxyHdVOrUh5cWaO8KXNUpJXCCxtv wPHQqoAUT3uMqn3MzQ2/NJrQet1xonEUjwt9Vw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block t2YH2LZ+ZhPFIEC2hM2ZSIBILNr8/dwboZaX2HBYpCANtYE1Zd0ToFQOIgVjkm6oqOywlGEnSol1 s3i0T1BqGKni2JoTXQVkOFidukask1QxO5gXZi0ODLMfIBx2ho4SEFFKmuhFEe/khBqB8PjyKEmN VkVbLDJIW8e5aqMGnEo= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block nrE6nneqtrxQtPfQ9dvV/j50AUj1beeQszJZuQy8iqiEK8E2UTI+vv3F+dsA6cOQuNkas2dF1AIY yxsvLkteaqpZFs93q57NeIDahAYvkbMsDFCuxjwmdnZWYj7NpDb/0Jq3X7eDhCxKVLiZMispYguz vJWH1IaohxHE4bTpfPFo6dJIenplADJZl6B/sYPaMlYuOgL3HibMNh85OidZ0dVL37nAzFQKJ8ep U0dS8Gwu5N2ZEA9z08gYVQPzMviQMA+2Qf0RFZaJe6voE55l+FPCjaMRJTlwD6iQNnQQfW3+VVZ8 N6yhlR9dHA1rYK8RS1VVMi5orKYEv7pXwfKvsQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 116848) `protect data_block Shy1H7WBblgNwZKEhZ8gi7mSSu4OB0a4ZKoRAxopAcJp8upg2GJ4uhFLw0NvnTfUyhconUPsNCuU R9pzXkYP4SAqMc5wyxlf8n9LghYRf0KOEsQ4zT38MfL9ClCV/PknOpy4ZG4I9gEnaNpny/kLNSn7 STd1tDmpLATg4xq76pXkjHlIpxwXxdbG2CxEoHGXL10Ktjvdls20s0+QEyZUAzwZyxMMojXL5lSm VOVy9oDV8575zLcX4nvzaM/sANVC4Eo/P6g4qpVhOxV71RmOlk5WspT2NtL7siBcmcCIXz9VMEAk 7f+gE8wEY+lEyBGqLvbX684rIWdSI5N8t89dkXY6q2jhEermxP7ipyBALleBuxn//h5g56Ks61mJ S9bWyVhO/WzJzSyykpjzxSjsK4P7EMzFruOkuVdctPx+b0HE0tM6rZzHdZ2fGz2qyCXSygNbgQPt 2WvjA6dnZHYulikyi34Cf5jo6F9Y4bE9FGOmx67s0fLv4IuWi8oeVkzBBKRSpGml6GqF5Li6dh2N t4UKIFR3auJv39B+FIYasiM5H5UEZsUaCT+2iIS8bzIDwGCNgXvNdUbMki1CRfzKB5/1gtUSVXpU 3ENuCQBht3BU8VK4+gYmoQ4HaBKOVlwXcpRtkfuIXUuSi53gP5JuNYsajIhThSjDWEXLMq3S9vUj kWHhMUjiDzHZ60Jsu1ROXbAY5VR/dC2MvgN9331I5kTJHSpLzKm1punIv5QuRWZ9sY9YAwUkJtG6 tU0gU60HmdMeWvnRhZb1HNZiwHZuqE4ruwRw87PdNiJYottnyeQYog71ka9uv5+MVNrZ1glxMYP1 SvY4BFzftIkSoe1Ma5Ptx4V0We9DYOMPXdIPSX84WwE72OiVbZTdCLCXcKQpwoSh+LdWlhKpzCT2 JvmS7bs6Z/bV9dYJfzl1h1DZMWyGcCn3oA5UPQqUT+SyNAbXe8obU7cciIHKQ8VlcY6x3LuscQkf QzBtwd3kSpWxAXwQL8UAaBiZ0gmJe34uY1z4zOKxMQaw3xoXcyC8/aAVjyY+FF0JolS81ilo8rxx 5MESr5ki72qNPY2lBC4bb9eYeuxbXBF9frFI1N6mZ4WnedFfD5LekSyzy/rAsTBlg+sOutLupDDC qAkGL79K07fkHcmTRBt90difLRFQ++WXa4asm16q3jl/fq1zBMY46+g5soWF0h7UMqZd2fC9zksf z5GIf89TJD6c973xv3I4hTvC6Wu4J9BnsFkH494p468li5o0fYTqt3r4GKhJLS2xswQsKxslFHBT sQ0FU0Z4Dn+VB76+SrsQDHfrx5Po86Kp40aXOIfJIwhJsN9+wyr4kkaYuParKI8I03ch02D8ntWx TtPLeixFOCcKQ6yH5WmDDNhw4IDlalSxSrQecpP+KtNsuWVLS2+qQ/HefXl5rgODAFo52tBdPJj7 PS329RhIjg71Unod2GwwJCsBg5emdTNYazBFhRBo7MYTxBGjGGBeEK4UdaDlOZPiBPRBi7UpveoZ Pd3xmnwoONqYxf0u/D8RxrdRBY2wcnQLFZO1qdNDmMbhmrMc9Mdw5G8hXizrPdFjqWUTg25VXXHC LG+6ietuKX9HN/vjurE9Z/fWEvIedZClB9CYRfSxmAd4Owx+/s1ciUXtvm1H8DWaxZlxTixIr2bd mYPmtdDOTgx19Rwfh0oaHxFC1Yktx1ux+ZO0dAsE6CqaoGy0b2D4D0YN1IwB4/9F7dZdTmWMN8w4 gDuv7mD0H00BuKLkAjEgu7/MaU6ze5n4/6XM8AxSuD6F5XDHZZvBCLVvWywgb4rQqXyCcxtIWIQY ZGz0B1ZKJJ5OV0cWsn8NIz0TYoSDNciGl/VfzZqcpsQIRFoRlDs6ZomdIpqbbi0Ei843U3I6tzLh 2LXlhs8aQTGCrlhTbFKJl+713IMhVa3H6Hde+gH8JeliusWSz/Kz3PtVqPZEYbHI1LMd9bJq3xGa E+ldAFE0OWKDX3eVn6sQP5ViBeWKpCnpzixWMmJ/Dp5+b2YU6fibkjL5i6PuVflyfOkw/4ZiS3xQ cPCrSHzM4r8J079sr/lnVbWLnfjAkKyeUbYbOB7mn9Uke7xNhuvY4cY7sECfNzIOAmTnjHA8eGBF xwoeQJ5wlaAOPnTw7hvN1rX9IFHvWJAnyTamZEY/EJVt4IsTHetqpXqVU+bwRyRwjvoUsygdLycB 0EO/FcL69h202HYAVz6t8kLnrI0ze5gFTWuCGUXvFfRUGPx/j6TVXIMXem/aYh96QQccCRYYR61c 8EE11N+XYthKKWmR62jvYphDn87KXO6QjudprHbZ3AFOpHT7JLNGdMevDl/LSL0Z4fp0bhDLNhcH hAeH6sLw/V7ObrDiHV5dpsjdR+VYY9F6bhClyVp/dYh+mqnb+m4/HZoN8c42c8l+wH3AJ5GemOkn svAkDnNKSyC6LHfsVzOwaLHIrTpNHfgNvngfr/Dj8UZGHqHejH80CKvPBA4f690aRvXh5YdtwwV2 kfugdCzhf4OLoitTPf0cOWha3zohK3mDbL94dQ2+/x94vRu8AS9yIhj3VAxHtNX150RZMibIapE/ EG4SjIzsM1LKtLIEJbIQjIb4fTSLJglCu9E0jbBw2yT4CUwLwaCsq4rvrLsWsK4BbJt3Xik47xCj FyKD3fgbwibnJf4m4qcc4ZXcbSGoq2tAmaaY6//wm2jKESWbYNx2/7x6cIE1j8gkI52mZNqwxnIn u3E/lf1zp0OjNGPQPPDgAxOBkt+XBvEHzR9MP9EV0Rar16N/uCc1WPL/o2P0H6rGuwkhmaxhX+2u 9FlZPnfXpd0AzY2Fn8rzTlnnw+2Dit9xL0L/lsV6SoHBI9XhPWB0ZdzAZ1DQpbXXSObDzUUqyict DRHJMxru7YvTsdLEY7KUY2NXGUVv/Mw8S8etOO/l3ctryDvXjLfKG4f9HTz2Vk/ni5lHVjEjoHrp enfkkFybyVtjoIA8NO66aInsWiRzm1xhFEyqdWmTMgLxzq7NNiiM2APrNhzp+YMQuid61R757T3I sye6OpLSifhtk2Krw94AU2a3UbL1uxM1AuvEViThAD8SX7by8k++IO/cl2DE0XarJ1tB773nA9zH xhgn8Q2dmWbbmv+a8+Ka+BYH634F7Di6iasQgL1iWt6s2MDqIZlKmRXdjzQOSys6dfzjwB5/IqNV BmWsq1S2/seNUBB1DC+88KSzVJAucP/iF2KYw5NhzAFoyvGwmluiwSO7RZowo34rzq8dvXJNloec Gg08JOUw8KM9B4C8gaSyZJHwPu6STRSIUC+TYtkCfLQIq3KdKuNViJTCmZMI1403EJvNuB+K8atp JophBVV2Z0/iVqhy7+vg1kFcTIyC6MHw7NFCFlMLtPUGQS/axbJJpADJXOoDSMYmVzs834A4GKgU 73fTYfje4Nk78mDdzIHl5L0lpfFl7uOelpjJlH+E0UeRV2Edl1Mgofg+i7krznwehRTmfmoiljIs glvZXk9YGvJNTUFkUEgReTJR+hY6NMjMvFgcc7RkvUh0NMuU9/bgvDz4l8LhHmpWFz4Ju93vyZCc 7U6mxP4FllOwzsqyyPWQShum3h3WRYRNBrfXEe4PYnhlUbQx+GrC65NvA+JUh8oqEy8HHnWz64Yh mLUDTJl6hmnsInLX0W0DkHg9BpGo9RmpDarrJZoQX3baqIiUikEwyYQZOrsKwTeMngceqCirEVmY 4s7qpRa+ax6jzYDIbgEyktj9VAXqxl6wki7ufz2PaOGSmwXd8M231VsFbT/93oimzidHo+XIymRv Np2RYiJzcgXfwXkbn26UfkLahyesJhMlNmJpWm0643vk7ynlC6FLjyedOUSUCXSFWLsJJVIloBRx kwQ5d4xy6KFMA7w4rIXDsgWoG/GTg0OEN4s/bLyz44LzMEwV/6NGEKqucaLl/FNvH3a8NIG+rXKO vzbsLZUd6fCTH8mE3VWiLeEYzRUZdRVG5GIFsErOi6JeP24G+8G/IG7XaD/wPAxIzwX19IS66Ero 9ajOdBGK6TkhWn6ZErkcepe3iEfi1ZlBlN1jdKekyNVB1iPRz9C2PltEx+3JbfT5S4IArwLRuGS5 cLpmQhtcHvhXkwNr7x9/YhXdmpCvbd55arl8NV87j0xzXikh+6xXphMtj+teURpUtNH7hsfqV2Qk 2RgCNZg5TE/ajJRLk5Ze1Wpd/y61KLCn7tx5ZgowHV60eMQNXPIZ5g7PfvMu2EiBCyYWOR52lz3o qqH1fGzRL/VtdwG8WNGXlOazgWO6RQmytP1BAzYbTsxu8djhSxGuRQ4jNJaDFXFi2hxK2CwIGreh YMIlfGRqf6VvO7waPpXyBNaUP5S0BvKcXq38sThi7h7LrjSHhDoilrncW+PxLN5wxs71jIrMx2HB T808OvBDqz9tT1b91Jy6refNpe/+51tk3tkw6QmNRaU73zrjkKbBBPXzke8Nt9sL8P91aTONnt+8 akr1B5FZMa9PfzFQl1FJuhIUNjYG0aLjIR/JVqvkgEhp4NLe5aM+QBhdb6RT4tWOy+PeFp4pFnXx Twfqm/K4lMVbTCh+An0t5S4IZ5e4V71mFzFN4u+RYUMSW/hFIQRWM5BV4+TYP6LDb0t0+rhCNWYp SmtAWwgucfJtwOqI9Hvsg3EsAPOjFjUV9BGMmr7U/JYe3qgpoqX0Hy2j9u0oM26L+T1tnwvLyMIZ 1G+v2P7kr2DGsV/Mtq9y+0w2uehHp/9cJzBDEQfxSVzKsTWzjwjGcC2mxFLk///PD/2gxZzxY4+/ gZ4vWj4JtjKPLPGtueuiGd47cZYSB61rFPNBeQUY3U7A67p1W12acOOITT5RVGoSaxqhtP6MOVQZ 4wXLFq6Vyk2nqdlezmjAOQ8l7i/cFX66bYSGRlNKXaaywZ0aVaWS1dcGLGSlJqsbf+/oFTYN09IY 7SiaMrxKU6wRjnk+zqn52MlNAXiUyhwQGFDeu3nhcK7cMoXs/svceNsxGFu4jeVwi6jSoBiNyG2F XExR5I9Fqc2OSitmNyiocGA9J/Cr+jNOzcUlPZDiR4LDxt2g7X5P/1l/ryCx9fmcVFEY3XMe7pSr Xjr28ArAoMFChcFQ+xGug7SK1/Am+SpNfta3xVz6oGywgYPeQ5wgkzjMeLf9vsJgxWCabLoD8PPm jbCfxYae7w8rFebtRNWE8HrIxxhpz1VbY8nMOJkwrnkf543rkTDteUQ8htAuu64KwU45tVfYOV8b +f9DzTGRrb3IQCHk5QuN37T//L+LaCXqGoEkFjX32LOkJ7ZPIUCW7gPSc8Us4YPPugk/NerjyA2Z +1+R4+nN2sIy078aqrBJEfPZWvOSBzVUETdWsXUNphVjXalDg5D9Sgh7C16042yBRdIE+iYvNa1X xoU5N3KGSkKwG4eilsvHFtQmEIOJgcZZztfPCrRX/5lxPbfyLiYiqyg2PaEjRO2pLHkcIVWs/iPN cNbTTsXsYEetI8sCVP7ZsHpTqgNKLobpTmRGnHdh85QzbOMLcrsCHJw2WWYuLGcN/dCixm3Iaw/r UvD3chsGiOZ9TBVCnwZmi/UAIVRDrVoWOtIIL/SkNfAKynHe/yYVzh9/v52uvmY+c1D8hHnY9OD3 5oLwyYqWVw4U9gQA0V+5WQo/zP/5FTbTgVHdvOnHfhYK1keayx9CUwwGRsScawITCPj7N/4lBTG2 MD+OPwzBXaJsTlmu6A1X0dZq0O6+DCqy0kzSWNc7CvPzhJFl8VC2dJ4eZoyuRPJaqvYQe9PoQAFK tKqpjT0HMUqJJmOe32Y8DLuDVydW5n51hx0c8TvVVfQO0yNY1CLwJrYdf9DQnUY9kJKoxK7VoFeN +Sb/R0Uxt+hWN0yHwnyPTnZ+J2yKCG9kcE26yk9AefJHotvat52MhpjhQ/xAx2HNM3M8SLNTG/+O 0ajDPSNSgfvvbE0bVXnLSolInNfCFZliYFfq+AaD7FTFNbSzvl0S0skRJ+W6CKJ+VaJ9wnL7pDPS 8uljdK6tuyKYfj9IxsOmHrpk8jCg8B8xjU/0PHYOgXOmbFqfaF8inHcOx2FSaLsQrgVGCelBhd54 ORZIfGzlbsvkTY6Cnynh7Qhz5h4LYxWmxkuRW44P6YoXSxFjOSkOBQHdRS2MTbNHN5hNEWKp/Q4f jUg7v+yxtran8WE4IglNDNI+1yCqnAhrvZu18+TTBk7VKkUdN6IEp5IrW5kYykfqLw4mTxoT2r9s CfCbw3KV+bEodLYbHRnLsm7VCA+JhM+VfbUSg5Xqg6vvbVQGeXfVMDE+gQegggPwaQP1ZD4Ai1cJ zrhHqYyUaMMbTaUMqHEAImchfBUKZgW+ovn6Hliui81nKEPLuambQIKwMPwNRWgeD2AFHnAHWghP AGrmKY8CTimsw+5abPNmLia+UOxIGzhqJF7SnH3SRzqIy0I+5/H0s55WdG7xfY+1hqUpPUFzitMw YuI5Y1R41bkzsPQimSsS4oJ/r4BT2IJ+D+Pr6480E0GjAOQP8UOe7DYZ5pWLpvwBtgw0NEexCqXF +3HCTMIqUaqn7hsHVOV9Uu3U0GsNp59A2CfOZne02olR/tOM/rD5CVAw4DbLc0bxw1aV0Pae1lef PVmCA5uQfqYQwk3JHmsr85Acl/AUfhTO3TkCPqFbpbJ+pe6+QWO6lTHgG5otQql7OeMbaU0WI2Gu XDihPk5hGHF8nNXO0GButBWiJPKipkXsa+9lmNC9wAnQjv+C36ZNCmA8yCx08VwX92oHQWoAOYN6 xA3SnwVAsHy0Qb/nZauO44MiNsBQkMZZwxXijMNK3hiNyUBLaV2pTNXs4gv52EqIn6V/JXjoabAX AmYQIgZ1u2kY20/s/uNSq0QcHxfqsqwIBYUoTWJIXprkwrV9UoE+4+lv3vz34tydu485v9zl5Wjy WXVXcZL0hd2H89u56W676Z+VDA+sJcVN+wb5Py9Rc03CD2H44ROdid9FcAgzwX40DzuBFdOehCxX bWC1MmCnhLOnYpizq0p551WkA7qX/ui1v3s2D7cURC3fPBRor5LvVrN756jhmNIS/+3qkAVjRD1b KBg22Z2NlnGxGpm8NfjkHFj0ebdi0JHsD5q0Lv77gegdnSDAbFDee+p4eBYZ+8iSqcLfLJc/7K3E aRU4ezHj4AOKkneYxHLSmk0v1lPoPD9DxTvzXIoWNc9TOB+O5ZpB6S/zWHGAHX0g7uydEge/cp3W I2q9u/thPAHu08yRxTpI3ZmCq/VJm5Y74EprPX3IwARcmzQmlEbwaly+NMoZnqLGAlBmX2S29q+T ClhrqSKZUHqw+gPaMZmXNs2z0RHPihCAddAkmaHKvPkQi0ykog0cq7QqObY0JtrN7kgTatHmq84r JZuNPevvXns/8vk7kRuGcacAUrIEx4vkONagXWSy4Erexm8raqcH6wNQOyR9SxQ73oKLrFMlWgng 8AEjO+miJrnXmeI9uVX+rS0Eup7HDhsOUHfGEHb8Nedvcr8bIreBCTywn1tuB/ZE8bJxyi5NWpIf 7Ir7rGAoRl/07eWEzB1l9K1073067mBPCB/quCib/5AD8pHaFNjIELURnAstHXx9o1dmociXAfK1 FS2tJdxmOIxK22Ksu7ZwU47C1fdjtQGUCh9So+gCeEDi2RL2mq9SQ9W4WiqpiTqUaq+LrX/igSo5 dBhxpKgjXULUEZzGIxtcVA8VtSy3LnWgDYCnunCTishzlHonMULJgz1bUHCbbWTt5BiJm96VB3xn IJBVW4uN0nhXVq14jCyPrAnLcFMsrwNOL5sMu5mJ0Ehcm1RBy3Lw+JxTn9mfuUtbzEeIgQnVLMGV sdW2s7YVaC9j6fX7ng7mygldS6IG8wdo/VUD/Q1lEplZI3a/RsWbG5Q81ULChJafJSQ25TViy3M9 7HBcyhNrkBcIaKn6V5MJp9SLjVMzBf1Kw6ZnYOxH5x72rgY4WCvbnidh1+4CLG9QGe3SH7yawhS0 s2KCucRXa4IwSB3JpRhC10HybMnREHTDKF4mIOxq4G/YuxLB3HrXMTZPFC3sLsTJuR7oK8rQEZuX qNZ6+xv60IvnZPXYlyBmghN1SgRZwpbas0OMDEYHlCS8WxKyhld5itPbCcALdxiRnQLuZZH4BDVy KA0M2kGA9NiCKicywmeOtF1Sr9nyULOJmdLahtpK8HaKOgg+f7mXDer7uKtdzWX62TJNpilpYMJ6 TSD2vGeQSVME/n6x9qa1QSGkLRKYLk8eU78s3Janam0E3ddfnOea54Vi6I8Snf2sn6bgRYAw4d9l rM5FPYITBnN5ziKbBIIeeLoifPpIW7GmH7s/xSn3wkUTVpJpysmKyGfS+sHaDfDsQKRxUYiJhOd6 VtvD/Ala7TuIMOBB7VtCa+A3yOuXyZL/jYZfx0AqVb1OZtNTQsHRl5eLIDkH/CDfVjn4Xdsm/ylR WDEOq6KP52wuoavUr3KjTCoLUqmuKQgV7DteJL59I6skF0A5oXID4vdSNtH8QdgnvfWxSogsXZDa 7UgcasAnL7RzZbnbBzR+23QDaWmyM4o0vL2IfijOK/sIvZa3lnFcFgK9YUH0ZtHTW/DofasZl3ZF PIeDL2BJFEkrFvI18hbwVUHHck8oZHWdl39C3MZcZxdjIabsATAC1QRcxqTIbHxex13NiPHQbhdx bk7j98n7ioxvVbX8TjMvO30IZne7FKOhYmu3mvGMLYZHmgWd7drHgKZzqcHFdqguLIqQua20uiA3 gbF8BDMV5xEcGBc+hx3ofDLSmuM1BglewiIrjwppipJV61Y8A3mhDOPApZUokveC7CpUs9k/8h/x MlmzVfzB5Re39sOcfWsWp+giCaXQRMmQPtza2oQdXibe0bLPjoLOeYPEb0cMf1hynU42zjlTcdVH baL8soRRmNmwWg1r0x/ULP+wh/XuzrEL3EqWVe3JK0LLxWEEirg8NGDoCqAWd5vQg5IKj75TnsNC UzDg28VpqAE4WOorLgnOuNUe1rNrohZ73mFXg7DuFrm0H68EHq0+3tBcADn0cAJsNPiHiXeWlfpD j7Qzpq46Pp7ZfSolO5z7Cz2GZpcB4i9NYB7/JEGha2ZG0MZ72TAe1i3/LKNvl13MVh5FsCmUfs3Z VsdWVk5s33N5g9QpPBgGvgjw0gtFGLytlg+H3D4UrufghZsp5bq+6MWlJAMbyC3MBEhkkaPhdAyJ hAB8tGsgMyet2nA0J8y1qQwFchPJKJOipgFqeiBdLn3tqqcCAqf3BNodhCuWpKjlSbhJL7wshoRM 2EjRmmt5SVmZ73zu1/NTFmQT8k4o/LHgcbETP8UdV2s7PswuxHYiLjCEONAIwoxGL43B1H6CDFS+ +/0U5FWALva08ROs2inPnSlnWIwklnrJws7bEqCY15Htd5KPW17SuB3dM1jN+GVSc8X7eHOL2NQD HodLRh2kcx0h86OXjj3s5QmfnWO8Hi8J4n0/38EFafvrDKoRh9P2GWiTLS0dNZL5YXovzs498kut T9qQPvEie3DiDHdx4xIbbmWmuTwTzWTZQ6DIAlDshPhIgO14gx/brfHipjdxb4f76H9wHqpR1Sp+ yayVS45ZmjHiFTiQMxFyZZOY1Zpk0O3NqpNnIXno8ibv1c2329IJLZ/1FcQ0GVuTEHqq0Ezmp7hw SgYdBx6iOx3o+gRsb4l03m9mYSnh2vRJ24QhvYAyQzqGpv+mUTeJWnP+ii9GQNGRGxU6PRtCCnvY 9RxXw4eUaU4VsClemF1OiKGQCbaafgKlhmRpgYACcYREZdikMI/19PKneFo1/fFmRavnFw/kDlF4 KQDzpiXSxz/5hpmo2R/5TF7O100mIdR3lOd855T5TJ4xBBSiWBu7jVOy++2dokjlqsAhnh7tAwYC HgpDnEdBaBX5OB7+4GTD2Ay+vodOyq78OFmKeoQuPzWYLR1OqZqxDfN10nSB0IRk99l7K4nvRZiw 3T/TU5q4o3j52mFp6KlHOxRnWwOKdiKFb9rTEmyYfT9RCoG/0OQowNNhpLq+0QLsguA+0FtUWrJ+ BCgqr7wjWW2eQlvSZ+uUqXoBGDbqW+5qwsMStzmD8WD8mUgPb0bo7R2F1PgYHpuZ7sHlZmNVAgF8 4M5vyuePpTwDyajt6oPfHGj+p+dcjVdxNNvB3LJiYyMMSYA8KP116k3loBPo+q6a6aH3NBqByZON 9KmBUk6pNTzk4lRkYVFpW6V+11Xvqwv/6rwPPu3Kws2/lVCDBaaTeZtr8vriLbedUPoJgs/ch42Y 70zFupZ4cvzLbm9JM9/4rgvXkuigVuV0VnVLINWo9GBt1gDwD7ingCE6wxjmHwBHkrmDaFEKMe2q iFNbMeYUhnNCGMIX7bY65h+QlniH5PUudw6FtZU13Wljj/eYFoqOszr9HzYcz9GiHtlpDJxSGBSu /qFAC8/QvVqoXhi48DUiq4Qzl+T52HC79vvkBSimec0NXzaKjJth/4ad1fcVVySGpd4K3YGVuGVW Uo+o9R19vwlQQx6sZ9Q6wR6Wk5gSIu9yiCJS2m+Hfry7yz3kl1oorM4CCgC4ToUNgY1Qry/VVUsI m4BnlU92tVv1LVrQfAcySlcOiXYokTEOm4nEa3NaEYGQMm6T4G5SMk+WrlrnN3hwH1Qa/bqt19z7 CVJQ/OdWFNb0SQnUU3AklIX8kHwsf1dQaJG2xvdsFRupqQwrXnOtM857SGmnKsRBeQg8GQUvu2mu C8MiIkhUlvGye1Rd3kAtJzrKcTuaM+lDBNuTQyfv/mDDaxqiZCFKb4DeqtoFsMeL+Em420Wd148w sRTEsqOBuPbKxdv2+EM+GSVkdDEAcY+YIz735xhIqAZZjVB/wLoj9OI9y81ZaL2m+qQoFO455S64 hhHYd9Ar5QX67J01sEkFWQ0YM30y6uU76bYU178eSZWmvQwZTcMcyHc1d+wXJvGmeDYHsrX4ujC7 lpoHYO41Z8i/eOA70uoJRQ1tWrTTsktJczIC0pEYlDnJkLO13MfS17Wk7+G3sq+I6JBi1CN511uI mjKXTi0f1pLd8NH1ee6RyHMxHuwpwQ9Elp/Bngc7UZ2LN0VhtL09HkWtm8jihL8XXE/PxuQPhW7H 08Z+evo03SzfLhvV3dPGyqQZJkRQO9BjsDxtUVDkR3H5WwwJLE8aU9omYhmyMn2IVNtOtKiFWYlY R75iz+ME+lQ/+7D7svXNNKvVw/rTUJFbBFHL+XK7tmjrz7zKEFrAhh55tPkErMIFEpTVkgkaElOO nnQycP9laAQPzsrJXDN1aI34wPQYAw76HeT5ahorKtJBQxY5HIvuZ0grmtpgx2UF2usg4kzAe8dn QiVqQRFLaex/svSF1bqHcfpcZtZK7WsyNyyeOD1XOqWGmk7PtoC6+zRRt/C+w5x/ybT3o7FKFkYr +/a8NJy9j91+f+FjsLdYojNr+T0sLnBwmRG25Z54tOtbvdg6ayBV4iEb1LKH2+NzpRC/shukDVBm f9sSUk2CoUhJ+M4k3WCDRo5NUbsUarJ7scrlzTeI/u+s1DyAUvNp4hUhUbqUWd1l2E9PQyQovWa3 KS0cGjJk91y7qHerF0ODsEEwbDZfBa1SJPuQP5c8//vq28wcBJiETGrXOrYpWR8P6Swc4/Hr2uz4 /fe65yCMxCU0zIwZZ2W/YwmU0aVwWqyd94ZiSY9R42jLP9I5ou5j7LX4V7vlXCGWrr3GfEi4XnJA 5xVVeW54ji63he3iU81mH2ggM1Rjn52kVbcWAX0oNa8TUPN5k9PkB0F/bd+iMM/hIsH4AVABTYz4 EjXaTjW7noa1W2kjJ8koePugZY0KHJwIHkr8AH3tOW46EvIiuoigaaJVLTaFayNwUtyh8stUtIzo AkB+1/m6G18EgYWZWeOWgTk861hQGV6GZ7AqAufzVCBqb8oeZHJcLyuHFmWLn+shtigy8bDwENAx rE+RlHKM3cJcWoDcJqrFB0mZq3Mmi73Ev0je/TwQSTxH/sZp5cx+0yRAIksNsyMharZpB9Z54iqV GQfaLMpuY4nZtxDVLF648Igf0JjTIYIg8h+RBiKRw5EbbYVjxAQd/Uqg72MnMJafr471IKiVkg4u Km7zykR05TX1BpWuu2RzTc+loAmh9LJ8Wd58uBPluXa/kdTGEZjliOOTob8l8QRtSyr1r4DlnaBB 6mT1gf3PnMwGfRE3LVMjf6Ba6oJskjvGe7BX/AX09ywtAv+nzVaeR4gOs9Gig4vzllQ41VpRqVtC NXv5Jq17f/yZBVwIcrkGuXLNZdTl3KgX8kL9I0o6TS1Qoli+lHL6RIYMoEAnm9+Db03WzJ5O33QB m9FH7RM6EA8NI81aQWoPTsVqrrua0nRGuxBqVi95AXWN/EVQi6IhvPhlcfOHJbphDOomgnBcmdic IaR2ybg8/Z1qMQ9uZ085sgfZI2/zBXFiLB4V/KEIo2v5v4xyxp7HMwMheIDth5RlV8XtXId+1vVD wMzxogqRbSFcQlDRlXp3aINfZm5UC6U5vrlTgTwsfuyM+5KtwG1PMn7M9UuHhj5eHfshE6eH7mOu CUR5Ft60dGft9tEJHXyIwETx8NsAQvp2DfWnmItZ9jMMzbcMEge4eiCPnWbG9LnWaWIubDtILPrF p5N5xUlYJujnt9DhJA0ucPcjzRP2uxF11i2gOpn34FINWbJm9L1dVf3YuKR6vXkHveY3kUr+syW3 6Fmr8aC/ZekuQm0pGKCAofphiLl2xh2XQ5sJkstioOXcT7IQvt/f/BPE3IydmuIqiaJ4SkRmgYNc ITj5kvBTIwP01QCPI4Y391CBZW75qC21HNxL7OVZCSF6/VJUCOU7to6M243hb9RSJnK0S+Pu+D6E keEeGb6hU1fBfKRCh/OVtfpCb/P4pUchAUxed0peyc1OoZv6yHLTp7oApteHeP+of9s98XiQxu/o CkqDexgLPJU7Jyi/h8/Mj3X3VpRp/CNq0W2ZiBl1PVpZIMo893aP22Ql+QwP4hUrAtSril3wupHq jez9HvBFwToxw9I7NdEFJcACMYs9m2YfIlVWmAMHXhUjNvyeVR8WqQUlqPktYqCiKwDZSvZQP6rl rMpGzJjpHS2apsmBxvxbqfGb9b7Jh2lYIj6yB/k0bfmEOOwO/MXA8Gi72HjXS0oB3OwHlRYIbOvt 3b19K8aBBcc5BnvvmgNAOCyHD9SJDi4+eZNNJH+QqUWEHnpD5LdhT7S35DBUfkUAycgYaz37XXpY 2qwFw+VAwyfup3Mc4XErzdTm2zQzoml3OxTcqXgfEvBuF8Fy+TFnI/LmkX1PUavQsogBD0KWgrxi msuehbdJfcD/UFhabApmRSmy0qlwLipyzAcaY33i73oRZtmRSB8sEfOJhU6Mirs+CxN1dm6gduXR aLuS0/rQYOzAjfrOyCj/F1krF58bQlUbKqZ4uCe6K9TytmJMB4GO8brgtViJ9Fj5NnBhbZc/IXqB E3rvvsNZjIzwNNdcIhRvb4Kt5QTCIk4kea55JMGZzo7ZgIaEhYumnOYsFlPRbxpc0zLSrxpS6wbg 1TNEeTVlsV+2r6mF1TTg4JSi/3DieidbeBTPyju/+TA4shRuDcXRWQkEg2dgdPhZhi3zm+/F+XFG lFuRc8v6UJnmKGgZLdVcISxM1XOeCf/AQ/oB/ArR09UoHaywn0ktvsdDccafpcSh88GhcIBN3inT qtFkiwIvqpd8RwyMgtAso4OPa2rqRlmz6gIf31VAcd4yJJADNl/sLOkaFMtL1zoG8BB4SqT5BC7K NGMdJbcwTIXrRRVB0UYrg7xXMdh/q2VfHSluE6zMdYDFt7Ol9YhyuVwuGltr0XqvxVqGpeu9CDxE xd8h0Gbi5u7Gh4O95F/+KzutLE3rf/qHC5aVNEyQLojbt9pZ8zWsME21YXCOWd375eSUaw88fiWt rYXNeJm1xmrPblnvzsLJ6lhajERgMbGFqNYEX6Vo98CupEq29qIvxwYED9Q/X4Xb8FA5c+Fa5g7A BUztjDN8ynE3JKx52HbvMLaaUs9ErOh+UHJ4uBsvgL4WjvC/X40fMGId0dmbUq30W8jVPAri8/yX pVqbXNcya/GkLe/FElQYHbmVAgzes/PI7geP8NglqWpRvUbg6bNf1gYweDmL1bAjpDiYkovwMZLY C+ICEBnXD+w+nnf2dvZGyGFQJrDa6ktwxMIbJbFBCa9KAZD0ax4xxDCBICnTyE4SD7gWzV096xgB Ta4FWEOJPb90YUnrglCjO/oUCXDNJmL9Ggk6aMj83FTSvBseEpUO1XvHcdq6SUciX8JrTzLaPykR PxFSa0wE483nmYsleW4euQkf0dY9WhQh+zg6Icw1h/9O12c/fBsXqSSPUe0MSNnUtIieNuUqeRJO GG6JYWBkLedYSrovOceH7luIffssK/yxWYDBYVKIyTHZ1BYpHaxjPPQSDLbiAOQc+FXcN2myW9KV 5tIBCnZFNG/WYBm5F4eaRANxq5AURC5CXAR7cQ6+HnrF3MgA8d7yvwyxgtQ7TDFqKD/il4REI0X4 V0kKixtkSly+7s0RtAAd6T9S3A3SbaHWagReUg/z5IBsNu6U+CcSU8GCbI04vZxQJaM1B9Edph8U t4jibprbbXgg7iokJroNsLV8x+J9TUTIprJwJXhFmh4pI1xaH5TPkp8pGNqRimzogvpUgz0CmDlw x71SI0dZnM1MhYBTG6wBaYPzaBv2EiVgoBjxo/0evpdddwCuydpYAfQ9e7XHeFeLkU4xMe/bZbCc qZZeS2s7EZ+7IfuP+/KgTCIDhLa/VooHnF3OHHVnLNo9+nmUNGUIz4oMcM3TNL4B+VAhSJGquW7n 8+w8WfglwqR3jfpu2I6CfKSD26ZZnKHh/jZ1fjwlMlkQzw4OTm8uHIkLovrKY2JLiGYmutrHQaLj ES91kV2ne6D+tGdmQoagZgwg6bbykrr2EgDm9Bl5vuvcKVTGgueZPgkGHSl7sf2hXd4apWmPKpOA sV99Ufwo6d0Iag1HpQVFc6PbcthgeMyjsXLilylr1iJsCfcKzaz4qfoPhCrjmDCp25zkTqxIy7d5 swsZufs91BYAAjQciHA0AFKZXla5E1zOaaiDJrDtlCR79Vgqhtj6mrYInoP+qAA0mhY0/Qo3hZ2r BWyW7Zz8MZJSq0TgnyAc43BSFYwr3+J9Ua+3oqz1Tvz9JQzqtOXhFAp38IqiwJUpTmD9QHoumeGH dweagup2SDRsEh+aV3Nymx1qqWpmqag/4sUwFgArAgSGpFjf0MLBdOw2uhBbvoZhHCoXm9Pbybvr vD+6uh30U6AqsVNQ0XXlO5GnIKaE8PWoJg4/2Vrho3Y3HO8FebHAn56Vvn3IbiClxTWe8MelpxH8 nnpxjePWPeDqBfX2Fy/CXBy2mRtRrZzUFItSBhYlQ51KITa9frQRFglh0t6/BOVPJWQjXCWwr7Bs WqmiLOXjIL19KTVmJlWaq4nZvA4cg7D81syk4O9qaltkTcSdeKo6+JacUXgyuNW1S+4NFS2Pz6FP uWG3l0BJShIqccb0S2vFlrWPjU5g7EkXPEWl6C/0IDfPG2nWcDs2TK4upSc4g9uo+nAGPPL8sLJN yV8tXu8i0AFUFxve0K3fsaN0AkdgvjmLTN5XseJL1o7kNJtQ8HT5imAKNFAmK3ADcsH3RdqRSlhN soFK54L0IaIkYBqv7P8Qsce08OIwrx9DdzuOW0j4woIelasAl/8n7fNAPhXaSKj9AtyiW9MiL8n6 e2h/FJP3V3S3MGlIr8LI88ThPRWa6AZkW6a/D+FxG33QQEq0MJrp+6g1uhmRUvRC3jg3mpdQ8eMX ORy7kPjRt/FuFSVjQVBfQwdcAEdiMEmEw9jYz6JLC1ioXhMcJRzduMsL8is5j7inVBjUouOWUK+Q q6Ujjp1iRfxVKWkn3nf2tELFnKmayJf8Sj4mKTR+9B6NTkemiXn2V/AZDt4+1Q/Lt84/LumpxDfX gUgP50D1vkEq6Gp5Eja8VW0A8q/LTOZjJv7Eb13tNdIAXvsY5zfu75pwbaUkjylpe7NelfGlQm9A dOJ46sujtXmIcVp69h0VBAftWObPfzWaVyKY+3o4i+u/cg55byuK/4VMmyDLUkzLUdNo3+FaJEE+ 54av/Q3NZACM4UxqoyJlcvaGRv0ZNoaRnbp+mv99CNkb0XAHEDVws/RJ9rXCzjAoAAOeFQaHdELx xKKurKDKDXcZGltNbqvGdLv8KCNSLd8i8DgsWSfbg9WwkWJaepO17Y1VPQgMd30lzakmfSwJP+LT EKS1T0ZGXyiiE/cJBEz95hGpNBPyo7TJ7hIRPmkvSKl4FfqBv/hjxUZgc44lNhDovEsUahaf109L DWGl+pNx++ipf0UASYojGIZBnqqxankfEnedIisci/bjZ3WQsvEB+RzEAl54vIutdSoSLOJ1kHmu PGVuzx2RQtNsnljMIt0VU6RS5fCuCCl0v+4Zg8N5uY3Qnf/tADxkJviaJXK7elxt/gvZyc2eujur uUivATg/iqravYmF/IizpkLK8vuN7quMC9rjreAEzo4u5j6lk7EJOKfkC4+w9IcQfeG+oxo5WUOH reTX0Outb9/sN7OwuNgOS3VkG+2MFR3c4gKeQr2wdd9cRVl0cq2x5MF2ZuxEbsTRDYIJgtz/aqvl SWwm4KLe9R3cuGVq1F5xDyyNEsPipu+cd10VNwQUrM3hVB77DkhjnOgIqtgiA6VpPsCsM2/PYna7 obY8qnlr1HmUvOEQqX1imHgi6Tpn9Z7xRunACfbyFZiqDblgT0k8KycgUW/qUFss49IMRtpMMM1F LRf4ERp2u3wn5VxX9TleZNs1+OGIv0agu1wpJ53pfjnxLpXuK78bY0FiCaN40KknIpecJz4WSgML 7dJ4xrlTUH4NU9WNSzyKBe1EMqp7CpXof3VXUtITRRzLuXP1jS5B7s9EgjkBhXWvyU8B7jvHozdT VcbVhbGDkat0YknfzX4vPRGLUSSk8uDFDksnhtB77KiEFURmbuonScUOFXY10MLesb2ZLr+9tMZg JS/m34lJQjWhO7TuyHsA3Nazd9PNQuCZc/wRAac8A0X97HPOk8ilkxvtFddeOm4ChBOGAHI+I0vw 1OZ8sFG+GP9Mbw0Tqx0YyjI9nNw0V+BEE9QL7FRreEimy+grbD3+uWjD4wdlLq3DElzC1f3W5d74 IOIV92qJovvs2baYr4GeMPw/M3LyPf+E53J5o7Ys/WRiQXNDSUsY4vEGS5lUixXwXEMgu9IyRLMn 94lFFWJ1cJ+58UOoMOYADzzEWluAbK2YXAl1G711SIUi4c4PXnp+D5oVNMkGOV81XFSD5VS5Xvdl w7jpIltH+ZHWsCNQlB2XR6bpmXS+ZUO6Poak6Fjcz5U4b7Gl7fseYtBCzSdjZyx6D6DaOLHDshLp Rr1V+KxMe6BrT/o1UaYT5K9dxwtZuCuODzrfKpXmeIFhw5ktMcntgna9F/bhaSif/htuoMY7apdD rbmFONporYm9mHTasljhd2KGzt0LVGV4lnO3/7b8mXd7udX09IxSJeosOgvk70PsiQEyXszuv7ZC s6mpJmMB2XJZFfi0WiM/CStlKtmY2XRnQqXHbijuOZp/ki1QOqowb2MTQIqSaUJaQxYrSAnWzwUP 0rmnB3DZAtzYm/anNTUNAOM9TkNQZb4qoFlieiVhaRRxY5Cst+QoM4IhuD6UP8jEvrzPfRWFQeus n8eIHHBuax624mwaqP1/Detcgb9XUGHvvBXQ7p1Ym/mLN77IBBS2InchNqEBO92Hdw1FjuF2XUqC O/Zq38AIzpoqmiLngIsfn7JwnCN867sWPPH7bigAATjteBc8MgFLg85VEAWtBiSqEssQ6TJPL2x3 QBmdUBXbqPQI5nbzimeFlNXi0Hk2RjnzwCXsaL9zcKnhITsCYFu02XKOhd9X5lQgo3SZXPZ3ouox SVT4ov2Ur6cllULaxiwi2qGCfPkRyEpk6oqHIPeb9OrGFB1DnpkA9XnfOmXITExin8EFkWlqA+2B QPvxVnrGgLeHxkVUzobc+8CNNzCIn4b/tN5FsM866aTsouDmxPKkpLLKxCBCHUEIxc9oQGUtIjfd 1bhqlwM6usCg0SzFCLIZtRn4bq5rVn7g74iufcvPWJUbBBs2yjdeazqJvxwO8rm6ZAhWP4+qyQs7 OYPDnanEv1cSF+vLX5sNpanWnbIuNGu+leKfYA8diRjU9xzcKFs9xUvbItSs/O6bedCQSn/OQf2u cMEHLEQVolWmuao1b/9S1MJ0/dvIJePglBmqT45sVMgz57A68q0WssAzf6N5Tnm+kC927SBsgQi5 imXVJLZhICOn4BMDLSU4pKC92FmeFp6ToVcC8P1bZvFYMtQ/ilPUsUx32xarflC+YFBnk5P013Ui SQYFog+NRgLMidMp8oqgjP6eNt+JKKY3HRuwqwg+/BZFNhVDPe7vG35fdSFXV/sfykQEHSirlKV9 h6apPjGH/cxUUTnEw117Pqu8CkMpawiDFpI+PXih2jeaL0DahrEu1sJ6Ulkg4NXEpIEwRWEELQkN 6BkhWKIpmAe26uHtQz+3G8mRgXZfulsl6g/o26trWOwHxe73EUJDym/UGrYhWTarSKfJKhIyRE1a mX4dNiimP2TGk0GdYC8fQvzmYztPidBMRUvc62MIdGvEUWdXTGETjWCEDRlMYkeAGFIahQKeMfzf 8yt4aC97qKNiVNV/g3NpL0JPl3iLqeS3LL5Z23UvlyOzVZNB1n0dFXGaNALE2VT1G/0i/drFdVYD iTY3wmZ2LgzQE9iaV02/Gl9ig4qlJgvYtIOdD5JqGTqm+MhVuVID2t2gUxByf2cLjfNq/nU2D9fC GQyGqwy5daBTCDEhZ+XYk5+YjSnTFbXOaQwVnY2n/4Wk241KyxFm7q/V0i5iT3YBjm0hnsf0Mu1v +lWJth0uyxxDz1OLZqc7tV8wEX3TEc+w/5mu9l5EsT6NGPIueZLoxeeYbLCxnjSNOPaOA2Cb2kNN IC1bFwC4o/gAIdVYbIL2LSHiLCWwcTk6c7iX/PHBgpKxNdw5Sl/uV0K9V2nUQ3wz6r4dwiusYtfs JG3IhRE4ehBtu2NRBL4QINdpti4gLM0XfH6lu0uVHpChRege1ZZ1u2K8xD7B72dwlzO3Lksv7Juq rc2C5D79XArvLsB6FB0VXWdzk4s75ckYc5CzHpyJeKdnKeZF9BDDPk1BY8mw23pOC+Wma8coYRwq gvuV6ucwH8FSXcjBSPbTcbr7gwgpxMNHCzhAvLctXs2/c9R0nI6z1z5LS75FCadX72Jz3KmwDI/h o6fUKtwfZBbpRMW7kUtbLY/4B/tEotLtlYwaZwsGIv2gkeI7k1Pd5UljVAqxo24SPOP3h9C5xxjb nY1xmn4bQwVEpCHYw+QEfAPZaEXgKdFAg4oy8rqAYOooiJLitqgWU0aFwjHmQ1pddx0QAjjf00Od 1p0hb3m5bSm8KNN0mhIOMwgzUpPsYwCKw4bHfU1XLP4SvRH/eeI9mJIHpH1zH/dsgw/e6rAvYaQC aPTwWg3ggv4Y8+4upon4mGeMs/4/re9G8ywyw8XwGEJr3+UQShq9fNA20nQCM1rYgG9r/gnHJcJ8 Q07jcFTMPCWlkdEjPCkW1HA0Aba43E1vIFc7nDV5GLxwYh6RaQ5/03Wi2ERf/ekJ7Y4sIA0DqNvz iaaDkGrdf8JfAdeutMIpglZASvSEa9njFIfm8CMTrm5ZF02xUVIvKPzB3+3TzYo4hERgGTH3eZsc LYK7R+VTE42fL5hdb+6Tt6T8zWlV0uHhjftPw8dCqOF6aGUhlSf+FoL6XO1WLtT4Tb29V1ikP1Qp NnxOE7VD2TzkOpZRPduzswcLAAygrT6P2HZps4J53jNjZUNQex2aOAlMbThbtcCwQ3l0ecBb5Hmw 8CjZaL19dP01FCdGJXsDH0ZL06DMlWGUn4UQSAiqtpAWwUA6z9UuVBaxgPzQdZrHysHZehbfzEPL MbnKLZ3oDZCnvCI3Y6OIOIWMln33DRFXg8m/aYs89jfWJfD1fmIMZiC4qHcl6WGniMJcsshiQ4Lx GApGXxEp0VQIEqglxUME/tnaIYLDVBZBfCUg47iccbYDKvtjb1lon6NVcrUle++d6Kad/E/w+nif WgBZ0L+HfPNNdHt822YxQrwvPCorURH6eqDQDV3F22+JshciAUULU22WVaSKkq9t6zdXwx/FyETJ qLMA4PHCHy+IKdRCV4GhVO0hE3JTa+xxn46DDYoxV+zaYzUiGlJObeZzXysTtZg8hRyKotQxRk+2 jY9F79kS9Tgbt3Fipbh1wSFcM+9cUYL+JZW8EVxH0bPqxL2xH0LqHr4upR7xJt71UiCC4S+K215J 09cewzB6WWv6oci576dr19j8RITsItuu/NB7AyKAMR2HZx2Eshh5OrT5DCHikDHNA2rlXwNaMJXT Y7z8guZ5Iy1xGkqZtJUcMPCXOVEtxtN9Z4TNlLJKITJCTn3E/f4IoI92tcgToqQ6XcprpaIIf5yc r19kUHnaTSb0lHbSfwX9HBTKEBxobKnnqPjLgTd7vbVbqAnaDfGGyTyEqFH7sQNc4nA+ZeoXK8iu heoB0vNUXSNvKF+UP/QDyjlxrL0FBtp005HXpyxHkiEHpv/Mf7N8+35kPZOwCHOnXDA+pNxaxo4e uXqE9fNypUMy587cjdh1TUbKbEO08IUfKc03rTQpxXfgHF54MOli8WxFdHgIHaLo3pp1oiwOJYws iPMpjBXO1XSdVQlusSbck9BvAgrLikHqTpm4K54dkU+JcVoLxKlu11qn1PMmgbb6D3c4jmJUTt2S iwFuEqquxcAR8E5jqgGzovaNw7BQSoQjMsdBnSO6Je6hT6Kq+kvrjCARjt+G9Hgznv40m4JE6LN5 KO2OhxoWmcaM34wKZoUh75LiQdrixxknaNb1LcB+gXbE0JSSEsgP5IBJ4ei8cHTDuF1d10icaCoK 7v+P1vFsXb+ro1xugMBBzZ+uQzN4lDZILOUK4H//iZZyRD824kWztikc1p22/aikUAmf2IdmmBnF ecv1fkyA8hNFFAe+xMbRKMqAH66Ug/E8p6+G9A1Mr74VF+Hh7/6+f5X9ya+tbHToLuGXsFMBxI2p 4r4wDcQL/mkkB2fN7HDZPdKlEh+bz0YhC6Eg31FbHnL7KN/bdcTYNQ63ENREcB8NO+3kD1U9mxmY C83UNkRgWTuG5sa2qBPQgwNhsez0SePSMtGMBXxwdv4053KTXBWEF5hin79RrQ5NMc75EkSmfT1h FJuWA6Tj9WBt6sNW8ZXE/s+X44BpxsyPBMbfCU/nNsUSbHcqC5faF85ZTMN88JF7zegTuD8LiMA0 VO2hPt00h3dIVVQzSQuhgIriNJSORsgDmEG8wz4PmlPZEi7lglqctUJHOpNEi/LFcpI832zqdwvU T6uW0EqZ9APcPR7pxtXEoo/rrcYMZ9eVKlOOHrWP+y+aV3ztXwZFEuU5AN/Vk6uI8il2XB9ex0/1 azeuPUw8w85BSU+NnPJCFTPuUVTmvb/ZR6vzWOc+oo9CqVU0fsAFLAs/k2bLhpOKphuUQEboVDqW Xj+aYLjEWLpQtmqMAMIwk7NTtUecuvXSXPF/twscQoXn0LtIRLxwCeu6rEY51lAO6lNnkd0ryal+ KQLCwUN4nAtiuDJIlTMNe+TUjK8wDkJ47GXi4yFj13d9omUsWW+/dqUJCKwpOUT6NyrscDDc61ZB 3hpPTobsubJ6WccdajZsK2CnupAVZw2ppK2/zYV6QJEpE0GYEvuxthvK0HrpzdpEaPKVcK77ymZN 7p52H+VVEWGWI9DlKklrgeAdopWRrQfMCFN4WqcjFIe8S56Sfobmr8JxQF2ptxhtJF7bNw2fvILO zwmDqEPke2uBFbY/w2UCDu3DSSJR8dekvrz5FFoFvecRGGyntLUgh4iQAYNR1/lT8z8B7/+d7qBy 5h7urlk7F5FnrYxj3SjSl98Kqbnv4R7y9n75cQTypsBHcWxQ2tyjA+M022vA7z/hF0KatsRW/nYn LdkLOE/rDki155/o7wHa6EYbk6t68niKqsgcW10OhuwBtQeu8t/qltc6cJzQx0UEl3+n5ocNTJmj V8VBpDvkmWT9kqD2I5nXmJ9YSFAH3v2sfY3INFHYn6bGfNfojK4DUjph3tmHARDqdcco9bFwtF07 jUo2tl+LfHblIyWaIyluz+dgHxpFzu3r4ZNizqxCi67uAsvXVSddANJk1lSRb9DY4gjlLRp1OIV3 QCbhO/XJ4Ge1teeJT5ktoR/Pr5fR4Ew0qbN/4qPDPmljn9k7uFMxyPWvCqJr3JMirCMGZMHCUpeg Us3sigNWeBB462p6XgyXYHSkjbnDm53ztXISQB7mYaBQwQmWT+aGLn+uPbM+lVGtFYr5v3WR26Ry DEBszTyH9+VYPXsfUjlwVYoZ0l3MT3JshanAB7swhomAGEaKywpjwaTMolgv+rjGqk6eExTuErTW w8xzqXMn2/OQUN3X5Kmnt30C66smjfzM/Ypv7COUxZ/urlLmHz2fwWUz3gbilj63JGgchrriv6Wl uio2qwzcS6+M239H30O8fB1iroVrgUItQiD7W5ggBu9rY1TvIaPfG8nd40XTAMVen+/C2fsDqzOo Y7E5O75U3mgIbVkc9zczvdwGmE9j5bCSUUr6eZ/EDs0184DpD+VBXB94Me1wOsEGDVn7EdVWBEr5 35FsAB6gS5VNhr5xtCTwpTZ1ywKWBTYenHmFVDPNBtvOmRK9GFI3/9OVJjbb+yDDWtAqK4bFONXv YCJdvKq8kP1X0YbY+5z2/hm64ekBZ8qHaSbCjDq60pXVzmeLpu/Fv2F2a34Zk0IeraRFEbhq0fWT eUXCVCWVSg5s1y94Vt3/oWHIF7ycsoNo4+m72NoJzVoxkb69FFiICG6RR5C+0zJxRkVqAZ/O1R+q xBn05tzQ5RSKtTPkdlQ+R3NWv4k0376Mi94Z/jyZmwNGpd9mwsnJO0xSF0AN6QVq574hfVQyaTOg EthjEjhPPECYk1wiEFXEMZM5I67ptauyxFWBqeFZees/v1oR1iHy/9SC5GLzJGq+8B9Iy4/VlzKL wgOe5CXiFmMb554HBosPpln/RNjcQpmXopg32JVekhegIqjZVpI5B1uziUT56weofnAtzVnfNnCh zLK2BDjNreGQFKmUL0e5N48iamDA2hhFXCAlKFi6Iwhdy4ol1IcWB532x9exf6CB3CzFKc+Lo050 KsQTUngu2p2h9ZsIcSGo2DbVsYRd3PBJVwjYCOwJ876qol5xbiEnI6Ladw518h2YIDjbo7rAIW6q 2KVXqatpsSaM9RLHfIfoDkn7GMTqbr75icZah+DhQPYBgs/ql2p7YvZ9l1RuLVYrxRpmf4g+NqD4 ye8miGkznxUokhHFdkmpkCxIgq6Slx9JkjgHafb3vwp9hhBu3AZPvS6ewWl5GFRud884eSYoiZUF mJcukqy5VibP+tvZRVX9Dmd1SpY5iMMt7xjCJRhX6yJM7HLZkowpwdVhvsWMdx80LoD9NsyXAEQD 2XnV+rp/woalZ2ICkkGC86bd83PsR+C4wH89KzW+p5tFPmh9swXtwqpfJSjTL5twzGh6aYhwo0yM lWpKrUMJoQBNpWVY9LGI51IEfXbjPeqZJSXRR1jtlrbpqDcan50i0vNfkUXrX3TjR2nyssKrXVUW 3AJolqhua0sVZw7uDBDIOIFuadI8uaRRcIsuoYIrTwX3RNXZ0JzuzVZUSMti+O7Jv+Y2fSCnjxdy RIE+WWFs5DSFn+ytVYOHrxuYxa3PK8t+r2UYMfNmph9F2f5S7d6os9WNwLs8+VYHFeJ28FoLzlzm vEOPeH9nhWH89TA9Q7pFbP7rrE8Y11xnZv6x2Xi544uqMla6MST4Zg17GCsttkCyvnOQhFfsi4VR +fO1Z5vkLrEnRbBtHIhvppYIFv3+lfpoe5OimWJFxC7779qM7FPh4ZC6nT0/4U9oyG0ggAtvigEw y2pj4v6i8xan0QTFKUupHr9jGsMcOeJAs5Du7jqihlAWXrvcxgNieotWS9v+wcbDLPxqboHhUCPg 2g2YsV5+Q4NCy5E8MQcwRyTTmN/Cv30HylXPwdrGI9vYNTS6SWhOeCRz2dyJeKJXzhhDUAliF6Py D1Va8GRHmh/xJGaX8q+16IMEyLFikQaX4GQJNUTt1BGOdpJb8lSDOLPnPQpoCUYHou8y2G3/UpLD 9IX064kSlfULEtuHbatMB2CnrKAiJrOgpWnBcofLgdgLlKOrPBA73A46aiSWcYsZK5x/B+WaZnOW blR6vx/lQKMyvGyNilQbgpZVbiYvDR0CA2TH6jR97rGHHBd/DEpWmMqAO48VnsGkRS7EUe4TfUt7 uIbRumHhhjo4C26tq5gujlIWYzKeKFuVu5LBGwG6anqPHqSiRgZNouGIha8KVqCnFr070UHnv68C rA/Nc+B02BJ3eWCDQVY81wmHCJQI44MMTGNbB4ktKV/EaQJm2ZnYG0Nj3HcAuBJzCxR1v2ZheTGb QfdCOn/FdxsX9H0TI2PkvHYbvD3jbKoxhuYgIju4Aogql8LRZQjcF8+E7WI2qf/5kNUG2PKk2l6J TXGS4Tz/QCxA7n2IN1Awmp6JJXzc85YcaFH4KZB5UhVDv/SZ39aldQetX0BzIazoN0uzQ0Or/S/u /a0ErXmF9hwFOcktc3pNg1OdGcegW2DPhMCu51fHiEaiWyoV9EOl5DKA8f+pE5u51skOxBNIa1D5 bgaWYIyjqgiX7JcMtA/d0yGcncBdOqLTA+5NntJcpBhWmkcl+6kGXHW/CjJPiXuBfYhsjDR1PkB7 7/kyMTTG5TOiLbXOAxGp8nu3fNCjx1idQNlEsmdsp+9LLh/+otPckRk4sN/REIiTVPG1CrUrzcwE Pot1n/ZaOq1ealYmyIOCiMMoMfZe5xZwfl2PloQN/tSzmCpl12T7TaWo7gYIe8bsyzU/VNXARQ+T tKjA8rVvXIeqPhNm8KOi1hGapzfc+8ExzItHjs6PwovQyO3MyQtmZPz5D8Y03V35Rz126KCDuByL GABGOsoqMTlVBY2W9ySGW7ekEuxIvlEMWzV4oZ0JOGN78TZUNyxI80Qlgoq2ivInd+qykJl8xla5 cr7Qi+YqVzwzWa034upQB4lH4ZqRTC03Gcbk6V9HdYsZNsVZotePEpLcyrPvRM/EzKSFSICqtiq1 /Xai45qhPYkJZ/woTTKQ5zPahu4Eci9XGB9DSGRN7VPiKP4PDIq+/VHXuCSe6AlYPubIoMp/OnBJ H0mxopPwuP1wHIcaie9bqpkLrCcbzLkDxZeD0t5sy286Xhq9zm0ThxaOmodqHkSVX4HT87N6wB0+ 2UI4ELl62+9Pw4XfHUdarmDvg4n3vD26wIZWkafvvoTAZRXh8zo2Dh9p6vXztoANSBiMoXQKjU5K 7Hk/RrIWkQeyIEnjP/d6PHBQnhdijmJDNsXDag0peoMEDX9d5FH/8h05KDScALdGQ4UzM6BqKmsr B/iIyhR5g+++pqoW3b5OiSmkL3+pGuU9Ka3XF8e4XaMckn/Akqx5eLcZNKtpiCIQiwNdlle9xZ6w qJRCHDh7PqQx8Eg5JfQigNMxq0teoPftO3LEkncobmczf3ZuLX2YpSH3It+ySZrvp/a9T99MDGKi DM37Ld84hdq5q7/8sTFlpGO/LFaoB1kcx/xxxg/5D2Fypey8Yhd1aQXKROoKkLz2BSWfwSSR+NuR /cpKRen790bIuIVFw0HYK9oQJzHTRU9eJU9+0/oz1PVkyIPVHrX3LZ5YSGwFb4AaC8Gz6vNsHMcT Dw1QOmIQrcEBQVM41SxK1dCYLB1hFUjBoOvJTl88zM+LiTpcUQqXKCGB5DOM9ZNHo0oVT32d02SK Ml4IcHkJL3NpaoNNtDUyO90xpuCxx3fePUsn09fxZHFKBGuGeXDzdRXDuITOuGLeoNNc8JdGs28l iTWOKfjEkk9uZryyGm+iOIBj5rv7+EGbFENCX1IdtVyGVvc7uPpl5ZQjB4a1SBa50W/9ylpFEv+V BQ5UmqWlD6LcaGU60Z0pggdW3xYkuJdMoroGJwqUXXoNrws5SoEUMrDCHcCD4BbmdJSzGzZEHndg DEnGFUcPXG7aIvI9GR3oA641wNaRd95FoYxBDAvHhF65bBGmz6AnzR3XOpwQxv9OSKac4/PIdozz yEWBgghenrvefiPD+nDgNDLGKpc8LzHt+IUWHp6qUXDAwKXPsA+xiKuhm0Wqijz+rm3A/n9Dn9bI z3KsisrPc95m9TCRrQpwJ3/6+lUToQBjfvakWtRPmY9fkm39WhpisHlNRSqXjqOxmjyKpvtWCxsI kAgrt4tEULGOg2zYkfq/fPZrtoyGDsLY4ZWYsvBvq/S0SYNnBpzZzSfUnjlpNfgbvusWLbn2dKgX 8MILoVTsMTtfxu53PcuNv813ohhBSHReFREOv3TPP9qV35v4WT7fSkfgJ25yLKdyIjJPtdm0j+s1 I9HHOqhXZmVz/PugY+XQGsx8f1mm02IBO/KYmiKtV6g6+jZwbauL5VYXFIuQipe0f6sW9uq3ljd4 cffhs6pevmOJmsx0w1mOnT5DhOAhxG8diRCTa/j44sq2gf6e1kzhIV5LrPopJd7K3F8QQ0J1KGVf asSKRpavX1gsKRRsrKzjvf23+Z+2Hh9FNoQGUHNEmXHSZM+qu75II91//Bj6shHf5P4V1jTIWaZc zl0IT9HK7JSLTmtCbGiqGce47k8B9V9Ye0PMOUqW/4ngBxLeBQxUOTD5pbSEquBPGH0jhmsv6+SE ezrWCfLhkDp4CBzkEjllDojv59TULv47AuTxenihdwf4T0REKiKArAnpL/A2h9HFHr8o6hAT+CKY ZgpSI1rePyRbe2WxjUtd3n+YhdoJvOOsWwAA5o7L3tMNFBHnDAEt9BSGhHnbAkcQNmxydXKgOH4a cAqFbjRs0xgDPPvOosguCLI1uxwvmxb3QbwHPZUFs594XXSO26vwwCBjdsJYlwaM1MbiNkkdLBjI 6Bo3w23lh/bja51jY3rVg+gmO8SITKZAspW6Wdoge13pAk5EzVjsdeAimkiQw3GVoIYFmzuB7iTC +C3tWNMD38+7F6xRopo4xKdwzu7CN9vbcGMzcagwJb+GGx7z/eR5BG1l6fep0TwPtFDXp3Gji/KJ kK/cG+FGVfbCJNZMrjGFueCUb7PHKEXhcN/M3peeKwaYHoiQUiVoNcU/DZweTdYFNDaXLOQErHBL g5R8ehGE1YnE22sdfPO+JUP0YlNUQmaXMOuVTovJuuw7SBHQOYZEMNscfdPhJNDayEIR3y9mRpSx TLns5PgIDEtcCnhZd8XhkdIYHrfgsnUUPQRKdMUs7F1dZ3xi0EfDkKT5xGxnl1FoiBrHmgzusu+O x+o8pAYN0yMiYBLOxs6byHeiEKjrApeSRfwoV1gNsYi1n+Iizfqmgiurz7buIRiqpiWyome5hHAr 3EJPcEU21PWolOZsmYue+W4sCfWu6b55oGqP+YDhCcgEDPJeiV6FYiDnq9Q9fPcJQmvD1fJd+1yS 1wekJ7U8Oz+kiZ/8Jfywma2nKU2LxcVT9Cenq5DKz90+OmKEmNUDoc7MjdtjR4QdxsFTbrD8VsuN LOhSTyqz/O9w7uBP0r7iFw4WwSKkBS8JwGSHDrvyyvDnpUIJfqqcbFVidjPWsQfksn6n6T2TWHwJ ZIXve9+4QjGOJ80H73VQj7Ly+dHH6sjR4ye1NoAQnjFRhXCadofxOuapZcSanJl4+spLOVZ8c6Yn kR0cvajZEoMKap8l5wxgcvY2CtZL2krCjV3i7a+xG0I5fB/xQsPkfleL3AG1eoNAD83GnJKCdwYT NaUfQO6GfvQXCkdo9KDObB7ZEvDILXDgxf3DpGhQ3e+5WC0plPDRaS0Nw89z77i5JvZkyeV71nQC qPrh9kbhbSjLWrtADyPnDPa0s9BoyZgUgkEzpZ+MNs0ddaO/mzHQwJxYpceDeQsBnzyhTBdu8eRg wiiEPEN2UM5wm9eSIfGF4MI9XHLW0XYlpcMbctKfllACYPOjx83+O8JtNaosGOSFglDu050GZqd9 T/+UFvz76gNglIwZfulAjcVpjTn59HFW6Z6grfpGPI+LGO/8P3ZnJJxjrmXFBOr1iBfiPjGMRo53 4SbZdNQnfdP7Y6e7ZgFpiPCTkyO2uJ4Map1ZKB76xlQCeov/WNSAArGy6tAZXUDk36KRd0WkFMEi ZWsc2u6MWyWBKqSinXzrSW34wf7nqKt+0EboygWiDMLKvUF0uo5sEw8QAyJMjbcXAP20afaQI2eN StT9e8/5zNMxuEevN/eKvwVkLRKozg6+JytN+mqYGcBYz9+mbi5CbZ8hS1zin1NXoFSD1mGGGORm TvIXP8lhx8MLu4OdfGa8+tUC4ivBV0XdNmXRqnXzvtoOm8UWYEqK223lD4QYnAQ7dVxAiMoHJTCG 6PS/q8rbxdyyHE4WISlpiRzZlFefUkiYHkQ9potMgC4aD3hroAbDki5RlmR+ivfY8XKEoVCTl0p3 LMIBHfY6+H+Tfx2dnW+xAACpuqbgx4bIQII0fjis0vZXTR2Sd4Lzl4meuDjCoJ9KdbVopmj/00lb XvF1MprkxaD7Re4c3A9QemK+q/EbG7ouDROAdiF2s50RtMsJWGDDVgIM2B1fz+x78sT2tRiW09Xs 0ABXX8lD5DdFNtkrXHYhbNvJ3fHcVDGeGLQj9n6/PryiOtSORtJkAkbL/g2h3hdPN+gKV21Ui+D6 dLHH65vhMOJDogRWmMumiCgznL+Ns8rEhptUu6saF1fYZI14UrvnwkqvMNIugY/ANhmr6vk5IGQs jC6Wc0JjmBymR7JPwfV1z312PD9tFFfyLHR2fytDLqybrytRfapojQfzZRgsO+gNUJfqrQwXw10h /P+UVIOyVlpKBZl4FEK5Tg9aLXE3h+dJCW1wJz4Xe2wk5TIs4SiNjy6msw3eIMfBrJN6bSSlNGPw GQHsmrgOL9nHvl4+x+CXDrNA7UhAjZE+tvn6bKkCY8UqBrDD53Em2eCF+FbESeV6hwz4Org42QnV P9pMDEWk1YDr2dYorJGrYHDy3RCiir1dtm/QwXwHfIRIWTONYtRn08vB59HspM4hFM1IweCp9hSe FBrauWXCfgidaEIoo8X3/T9Kle6KZ0aUJC3gsVlhIqHs4PILCYZvX7QeJEL6GgekbfPnrKDSMQKI SFnp6B9jXKYrxHKzihtHzZ0oOIBS1lVnr5AvRlW3e0AH8B+9ZjvCPCWDxlBduNzEdlzjMXynzO9f ult4jXcjeRXLFPWSlCpIa6CV0L+83v8WzVyQJMAps/rEoCAITVvjZr1ntL0Px6Ydyu9G95GXHvxD yT2HYL6cmLA+weaM4Hnrpr2ruZ/APBcXn08D8YXaaz3q4DO7b17VYAPBHjee8m+WFAsi7SDg62JS b0zjfVdoGH5lb6fY/l6ERtyExXp4NAfySnrfLnHQ3L6xX8GVwrxrmBYDpRyRIQsOf46fR8KSTJ1j DjuNzq2tkL15yDGZdD2BciYMedCvcoKN3F9uPIFxJTFJRAmCQz5V2PYBRq61NR6/FSskYUOzBG/7 7E04/9WppkFAYL1i5j3JzH9x5zokvrksb2tk3FYAqmTZcXI1FQvP50LhTJOi9KY+st0y+iO5WWif xEnrozg0J/AmtGnK5G5tiWEAHfXJtMAG5KHP00yasZmTJcNl+BYor4dqaiestuyDudBJVh8GZyK5 5nrYA77dfw9Ixg7KCKLyDVAKMoPyOiKgBpvJO9UBOGUJ1OXX8EK3UlbJESVMqBdmr3NWFItQG7ev w5mfgWztoqZn4M39E564sTuPHqX6GGQgviwX3K2dLV0iLMhstryiunog2S68ogLHc6drQSzebGEV 3BnE7TRpTUVSjuvhqAPhgByFmSzqSfAGCdouzpz5bTlxPL2AVTgysF5Liil7Y13OKHKSi1j0ntU/ p3Wua6n7KGoMZmPWohVwAsC4RbpB5uzpBdV9K8rXTg7hfWC7crokq1wm4NaOebrdmAuRekiKf4oN nwmp5dEKKLUzsRz2aQkZBbYUAH678M9XNVU0rfCM/eAMl+tBeB+RTOJWTZIfh9af2/ZSJca80OuX 5JzbShfFDa93kK0hKL6+i8QoUGqipoGBF1kO/69DhRM+OjEpcrXBQWh0e7sG4TWUJS31UeNQUWe3 8GyKwtPPTSPCO0y+FIeG2mS4aMKeI0zdwL0ovPSTLm75TTkkV+Nh8hRJWS+NkiuGM2KrFqr8xVs5 8Jhm7wi4woh270HKPjPf17Rkqd5xaTBqW6Y897vZdzu11zBRCELEni0KuC0exRz2lfxfxKXshCJZ lqDI0+J8XkxaL0G0IZVR0pMIzAG2Cz5Inl64ivsyfjDXF6m/M+y2L+EXG+/fcX9rbC9hX8KIn8NH XzN5IPe4aBr2fVl4zFjuGg2ur1PgDZXrTfi2odCO3ZzvZ7m7Ru3FuqMqaNQk+IN2YRWk3lVOgeHL QNktsv2BrwdxvXw8o+UEd2t/bmBewLMQiw6tqKjFrDftMj/aQUdtdpo1UpWFnXzsgmuFekE8iUUA EAbzEJ8NtCbS1m3OOvox8fdnXPGuA1Ou7BjLwasopK9hDjpaEkR0RawhxlJSjOTmmUb6taoaR3MQ ehH+LvND4PDCYl9tD6UjqzkZDCJpFBC3aFXwxA9aNd0U9K7Th7grZYA6iyp4i+DDSCaTkKTO0XHq kTMNom8S3ZaANQ8mBuOjIH1775Z4rJU6kMmhw/gO4yxsRJjOvqigRaE/jzKfGcVct/XRHzjV3c6u 97ge6GuTOk/ykwytubHgtGwfZe7u1DoddiYOasK4nwlUJ46MxdnDbUYg7m9dMyXfHrF7pswoqlea ThgRyWVFvg3LEfQEUdz2T5Gn4+7bkSPHt9o2qWdFTMEeVm7FPdc8U7Zx85OlCr3fEszljRmmFh9k 3ZOHjvKBluO4wR1VBxIBG66RbwM69ZQH9muwVLcxHJeEysbsqcz7Etz+2UtRXhDOw9AqFC8PBYD4 kxl0gqSaIZPdwkNmH1YTrZAygBLBt94eUVbp93+kPkjkobBJUM2Ek/v1DTl8rO1EvtBnluOda4cn p9/iTtdjXXgCXw9JnHZJZ9M05EYrrFmjhqiqkA8Iu4GqnJyZON9CLcdrHXQwsr/I3HY80VDSIfQo I4wZfhPFRbEwyyI2bMHK3oiDfwaoeGZc9Wapq/SKbiE+ujNg8tgjBfRADzfIV21aupWPvRXEHTYt YDd0zxp7LujU33C0dABlD6Ic13viy0NiyZQgvOj2S7W6dJFqoEu0B7KLG1Gdu2o03g+YAr6nYSnW q4hBH5xudjSSKjKmVx2SV/0G8X87BiIEr37Zf/LvBtVjG9I09Tterd+PfuYef2CKSY4p/oPNcSn2 4p17Dtt+SM3St+TnhfXScyrXCayTy48lrT+qsIoUO8mycqPfGBoAP/+ETzIINCwdz4ZeL2ySjHls Da8XSA38BiOVrkNSvMCLuV2WjGBOEy9+JxptzGoUyyFK3TxCXViM4z566+0Adg4e78AGKj+3R5Ja 3AZv+19Jo8jfn3zIMnHin7J0z2K6I6GUYJsWCMYlzuiJjIXwS4pG0HxVwYta/GR94Ta1GWIBEED1 4wMdLkVW3ZolTgcLHh7+bynSYiQ5hXJCQci5KjSaDgZXKIRYAS6RsjR1XVP7Cp8P1JGzEBEpkoMo onDckWW/b/8ob3JpxaFKV31dtNT4Dlx6wsZpikTGJgutEV90Z4KHny32cQRNIb6LgWxqeHGai3cG ip+SnAncd4F6XcwxoU8JAoTBai6kCo0XNw8ZXRpQrc4fa1zfATrfYfjs4I3aNgcuz3Huj5lm+vTi yaJAb6rlZILk/RiVvlcjZHpaeq5SZSvHJNdwxEdkwOGunvEV0PDM8LnLJALVU3Fezgb/Hbz9TOsy PkbOofRDkRHf7pihIi9TolHhuvj3/7sqWEiMxAY0zoLRSMIIraFxr4DM5Ok3gA+z1iBovKLpedA2 gRayQ7C2FvPXKY71S6kM6li2CXI3gMm7rXHYjCJL6VdNZua3JCKYaRMCQZylwIKlQA1o+YxBXi4J 6IfA3A7KajoSRu84cedna/qBupdCHb6KHARfTWKLP9YFhIqTKvhbjxIJYMvX9AtEEr4lNGYFZtnX 9RZDiKeZha8qd/mSyzgRtpqYPj0+HROL4UmPwvhokqul1TEd6K7rWkoP+e1GYKwjGD41TGZnDLnQ laxgS+S+6Vd64dlktLs93ATI1bQSvJo21NqzHV1H/jZWNoRT0LFIMdwBz2smxiEuq19eJl4ZUj80 jUTo7rbQeIrUzxH3fqciqrYkoZpY54LE6M11k/CdIQZcVSZS/uObfkLut0tkosnaFwP7PxiOPH6s cvL7mjeu6zVyHtqz8CiGQJdHw5KbeGjUhnm+raCE+aNCWcsaAnTTHeiS0AreJN5Z1F1Fr/vSBPql d5uMJPm4SKO1ngP7FJYEgNYFRgxHxyQifj4S/pGTUypx0acO5u0qdYq6RLOzcipKOwsfRKBwrXPY qMmO9dNVS8D3O3qO2kfqNd0MR1D4FGsAMNLDpUaZi/Kog4sWpEpxDS3ier5+3/rFT1ledrCGd4Xz avRNQlfEHa2fjFP6SY8XaUWlm0oVneue/RjN46B15y+r4HEdOdyZXcgThU1gD9oTpYdGm0+vR6LH swCa2fThQbbm8f+LXo0vceXZFYDCMj0ix5n1v+6smlN2QuxOpwVV0drZGCtFESou+EszAsIeWU6y 4n4J5ym801UEoqXS0z3DEmHDamNXuwnajIQNTucrGkztK51f8HGr/0Fq5ssDltjRSPYJlsjOZKGm Bl4hJHX8Q2ekNPWY0J+etQVfdPEkA8+v/4PK2QCSCUMC6DLklnWjjwbgEcCuVb6XTRO87fFLeT8w YWF5CIrl/RToaPRXZ33fb1CYWf31dxHt+6YLqv24O/H6XLSx/uaRrLO05akRAyJZgFmgzRXIiED/ 1GJ8y1uLwAfotyNNjbSsWBP1PUwJ7rqQrSeX6l9RNmclLJZAgRI9Q4eCK5pdQ5ajNgggfo2Bskjb 2GvvoGyXuH0I69CvOakfT1VmrmGpHdcXxP3GzbM77o1dErZ/ONWtLonqJyp0b4N47ZZmNRD8yvPd 5s8IIHCQ7gkfLxsN02oOrFeV12vJy0Vvt3Kpa9fc0dpE/pP7+x513uz7KB9bQptKcSjFA/+kwhWq /+GlFWS1wZ3aL3aXOL/txtMXrL2OxxE2kiup28mvCIwULKzkfmLUQHB7nOGaq2j29V9UjkT7Hzwj iztLcWdjkeTzTKqc+3S5iCMk/j6W3QNn3pbf2czfL7PQiddLCXCAtCnyqm7JZ2FbAinSATylUG2L rQ3Es0V77IQXMIb4BbqkjMjdPalIudrTPN/miPdsRH2CrPrSFXEQsj8SlGjeQxkTQyrIw5U9P3YN yoc3KIBOvElSwygHaLLzlvsmlphdH+Yc2QjvLsFbnHSmLVnYdJqpaOD1sGUjbGaBibmEQp1PkR/I tXJAtpPRuSmYQIj3JikvJ3myMP3eSrnlwEYKudhJZY5k/HYUSz3Jgn9i1Gx8Pp/fZzp2Kli2/B6B vmOB6sw3rYN103wvcpQEr4O1ktsCxjRCY+JcBIXn62xP4hpoQDjUA8rlQrXnyQnmQkMxWNeJlRyh uDC9sm5Qn1apVKOaEx6viNdo6lCklhOIqbDhHMRUVhcIId1nljZV5ZDwzR/DasuZqSPbNRjDd+5j ADqGh4Im8t6TeOTbZAtT3MN4QQms/BVhTqXdLel5ysotRnpvgqNABK4GDoA14G+u1clRnfFfuSOL bkZ0qnBZqn5D1/Da6e3hRqJK9WKFC0ftV8j9wrYZ1KbqAkb0tKxWpMHFdLg9vAPInE0p7ev51G5s oXDRIsdbIRYPXeQUsMqT2IdvgMltRZhtZ5NchiE411ohH/8ELpg7XHTy35MitFTvB+HkFEyWQBop obWkr1V6hoEbRb5gVPMFpnN/9RrnYAHBIOg+3Jkh9+SXPX1qLNXPB47DQHz91uwEryAHfZ/bv8yk x27SngJmYY+xvUVwya2lXjorsk88fABLeu4A/mbsI/sSyY12qj8KWiAmZkdb7xDEz68xnfg6IPlX lqjsRKgiLzPk9Im1i62d2rXOeGOfpDgw7dqPUcVkYosOzLruHI9HVfEPY724ooAbsNGealg/l3Jp NTA1042WxVTm9jSMFZsGSkBubBynVCAY833VVwy/nQ+MdqtXBxgcGAfy5QtsoernXhfXgwST/Fix 5GQ2LqwMIfNlZ/D8aHmS0k/zGRGdt1j4HkKetsFESPiiqT7dIEKpOcCVrISP6IHyuHFzEMGBNKmy O5DvZg8VQF5Fnr95LqowKz3dO8BTJhij36MdnlnSHzO9o+hsLWw8zCODjoca6GrqRAmqh4OWzNvD DSYWYe/v1JSqNh4ZuRazQiVcgioEIsHaFfFTon5S0PQ7tDGsttQtnUgOvdKjiqJ20LFDHOzQ9/jz jIlXAJl4EA2iNpH4o9u1g0aGxXOnTKdmn3HuXfLmU7EZMD61DbjKClHyUm6KZ9dN5MHEK56fYQb7 bnL3Kc0tNVp0enpUKtlXB+ebJOO3PctSWed6gnz4fEhh61RPADlXmDKkL2p/QG5IMsKmKFGHu+iL d+geaO4mAWNMpj645KfJlbHIBE6+4Ag5ejvmhN/bgihazUDzVRIJ6U0VaQ/lfn7ZJYxR1BiAWj85 0wUfv61xmODYddEf1u0s66FNFsxzY7VNCskN5rnggsO1KLcsPsiw+dw1EYbMlyl+XWRsxvv6zeoW Q45APy/GRq+/lQzvMbzBUrVoCdNKDIS3k6DF1gvKa1JbCij+ElfSLOV9RlTCf1qrTYLFxhB4pK9+ gLywoTb9uEszkdcWtx91efH9awPXQqUwQ105C3QGNVPJSmKWbZJRKz0e0sGXaeXQ2Fv+DAp7Qv17 2j/QWlmPRZzndWIbyPbeahoa+lkhPhx2lwQ6KEGlYSM/vMCbPwJLZ7FF9v5pZkzbGZK7dThfnNPR OpPKkHgyjqTNgRiP+KV6K1n/LTWK1ndteFtG0nt0CNgqN2Hrm2LxvfiJfjakufz8MuHqPMghom/Z IbXXs85b0VFpqzXwmAtb3B02Ha+kuMFhr2oL76CWb8qiRPBoRjpeCgNQpJX/FVg7wa0DnPG1RPIU 8oixNPJX1rRfls934OO9kIZLAXCOEKIYmahK/Hb085aMvUg5DFX4oIZWWMXmvSUvQM/vdznV2zUH ikT1F2bJ/7vAaHLx4ZpnMVzLL4Z8tatv/8XVr5h0/JBpW3ftD3VUJB8QICyJsV4Y9aY4VQpQeQ+j asGhf4ApcRIEZIUMZxhEmyF8iYxdWl6Pn7MOajoDfjeqnli8FaYpjOdVwSMRIvU6C2fRDi6Z5nAi vhtjlBC4XLShQRbPzbmc9DdRz++gRQAwyObLDoNFTV5Fi/o3p2LxUrT4GpGqxgcxkWk2vy84y2lB ZsQuypnEMjo/kaYpmm6SySoidsbhdRF3tTmBXjPzBYxaSHFAWYlDu3DGBGb6Q5igkY1eQphnsuUY nq8WPf8ncZjHxPgH3axpAY5v/77RI2dPdpq8QkCPZJpQpIHv3x1v069tMDib5IkVqKlpFB5l7lhh M5KlERRDN3Qul/Fnl8dmU3V96Cn5j0gondsSVkJiDJggqIxlg9aQiG0gvTVLRhda6+TiHNuqw3W5 pILkuDQfzM2X8VrKAQszjCHEq2GFPHNFXyo57Fm0yAe2C1rVDXjvX5FmZMUfLeIqPxz2Qm5Vkr96 fgHxOXJYHLE0q1apqhD6WHjcvXLLPHx8h/3S2Qtvdk/oq6PmnqrQX6nZ87D2P6o2TD2km31Qz5qU Zp4OzGgo2OqYm0qtbO5m05yyMy6kooFurfrVNPThGOr0pVRMKS/xQlBCd5UYRZhnXzwyTHkS++1z SKNNMFrgAJZR4bt9fVkhwiykzJC2QHIVMkUZtKBJA8BWw7gWo/OYXOVlOnkTj5JVttCY2Xw/ZshB 9ZepfaQy8ERXixZyN3uCT5Qe4emFrtlSKzk/9POpYbPHXOlXF+diH+hLkuwJuFSvGD0x84hXX2xg NMjWajO1b8dGUD/L0KWaneAn93IeVM6p/552CJaZrWGD/qjjDrFbuddXEmnmpBqlGiLTmMlar7fD XJVvFcp4SDZM63Hhn58rp2IXGO7m4IFw3AjfGuZJjlAuEHDhTlSwZoLY9lcTfAkJ+bcr/wgWSnAs z3ZyNP0l9nPnviRbqJKIMXJ5tEctXQHb2aDRNbyFgSEB8YRbuopJ0aLEjtK+5CjrKCSsr55lUMoj s87SE4thxyScoWU8E/s+d7RTnmJZ+JIOdJeCbitB7Wu1T0OqNCiXX85a5T2vRecHsgyY9kV2YfSd nnvyQkq2fW+yVr//u2bLFBeRABMeipysLxexxDmee4tZ5mc6RaQ6c4ZyAjv3pAaEcOlmpR+Z9GN7 HJ5JtJeKWK9igj1iHAB3EEQR7PYKl1WXZKyw9k9lBdLqZWEKiuOQudfU77sLNEISGKmaOotjxJqu Bt9x9wQ03mTnEM8Btn86sr6SsehXSa/YvnTzME7O5bkSS4XAiTTFxT8c3tr8LX2LubPyuoASeP8D WG9gYgFioK9SWnYh2bacYM+lP4OmClG2Vc33JOweBYBJ5qKdxB6drtib1j2g/EE55I1KKdR4Ygrx 9jwj6oOWNXuGughmjlLOS3CL9wTtVB4EMXsv31VAfNkRnsOR9LqkwrZivVhyJnCiRsIgejbf/Jok tiQXwKU/TkClApRbG4PxsssvvUeH4NXZV4+VtiBM7qChIfdKwjnAAU67dzTonNX4U+wSJGFEcApp AMzs3Mu+uoBT7/NOW/Nkqn/o0Dit+739eaIWOuM8RGXEfM1UGcBIiB4y0vhMsny1mkKhkmPO25a1 UF2/85J7ODaItmXvqqZLjK8Z65TTMVoLtOA95K8M9V9tr51ViTD6Z8g9tsMVo/PlYN0/+M4PVSR+ KUmicG/f0iCwBp/7Jl/VoGvQvVmesSFcfSfYUVNxEagBYbhdN86jMYvqSIQ13z3TQWSiuaNxKOza JIiFq/FOTzPWzpsLz6EqXFP/NBP/ilU4ObVSn2io8HUhn/IwgrWRWHNp7eB+B5yUqsQhJVPW0E2E R5V7FO7Q9YEP4LTqxTbjrqNzTGVUYfLm0fBv+y1kM9QluxMroKh8S5omztje48no0Q2EmMw9sFhK 5aKRhcjR2OmptiZpV3ZSrSS8aStDW9ffQYIxoIRRQGz//E66zIL0Dc+a6EXgt7hDV0v7/3WYLDoL tI3ZRTzHAVcjFGk1gK/vCcxxjgXmy3oOtlo+gRC0Uf/rIX7XMLqItpqg21MWjo2eyAtqe3gXfCbA fa6G7VpcenURuXSbwhgQnutydLRq2QvcfTWz+DbaeG/Sqy6nEfUIWOGRU8Hxve4j73ice1Ec7nIn 2wEKskAobFi8kPSrFwnXoM6ykBxK3Bn658zkgAA19rSA/s+fQCWMoTHgZ3v8koA+72msHgHgreIJ EIq9hfo8Cu+8sVnV8j/coPpVBudNmVHPNBF6HdXCLE4JXA1hdbqDvql7ZBZaOhn0nk8U031LPfT0 41vtauBaPtdRc/GpUJDz21yikzRDVJH9kxkOHVk6MvfH/kl+PnhpwGn28TiWvwL1sDCsy0P07Efj WxVd6v0NgQlPaJfqeNBlkZAAYLWinztNB1b+jxzCuKj8cFLoxQaBWibqTd2LiqENBmEcH3wxrNYk GgDAu4VnwRrULg6bRUk44aJ3mTUKosXAgiDuygsRkmx3AiT5jlcWLDMjsMQ7PhX6CYUimdsV/DjX D9XbCOROCGS9ZDOvv7lMUDSWz+AasARXDejgXj9aCggx4QKPHp6Ruk93eXrGE0+FGjcmquUz9imy 0xGvuf0jGw0JudyIcptcUZWoUDinuL2jQe2Y5/J1QxHpsa48WDww3fCZtOA7NvCW8jubs6EyQnk1 jDlQxuYYZRNglBX1+5VsmTheiBLdGgurVxjaHGz6EBlKGEIii83wOSPidguag2kdTAR/0S61V90W WGG743eOtNJjY+vtumTcU7GJoC2dAm/dCJcjwg361uD64KFANIG+3ohKp8of7fltwkpL7pjvsgcg ToUOYIPRES4Artnjf660z8aG6sV3SB7LIsY6bAnkgdx9jBRbYsK7kecJIjyw++T0OGQSlpWMG+oU uYQk3IqyUkm/q+ilXcwpToH+hQUTq+gJ+tDb4a6B8qQ9SZjv6A03gZ5YzgppVmz9YpvzpAanQVE1 GGK6MPzN3cVkTVzTmOuGzLWv7+v51ypPdtsTzaJNQJF4/hy/Xrix1pwxv3rDFhCJrHxQLoNJ247/ S6eZU7M0vz59lReHyTGUap6OvUK/ydcoZXClEw8Ggi54iQOgRDHycQ+mD28olmsaV8Gfa9LzAPjW EAydniF0tCLUJz+XrWkV5V5fbYlHfcP/UFdVIaGwSbTO3G7qiY+Yo6zdMa/8rsm+fhEBuqxYx4ov EuwtSqS/hbgechFhXFieuzRbF7M8Me/+nhleaTHVX5MXnabo+JaZdlZVkXx8BedpiPmbH8tDSYen CGXdLqsIW+ep+bJ11FDTY1bLT5NMSDbTHag+6TNwzHpQb3rbzfHX83/6lytjknZPS8bIgWiyRpad UA2aqe04JxaNqB4RLMAm3KcxBq2zqpZUO2v/m5gPNeI/qcfBszn6vzKZ2yL6b/o08en4Qvm3q33B 5IIOCJPMIqzVyFXFh93shq9ztxGo8rzIR8oGQmKNBQiFZbkr6h5tdCpKmxKZy/CuyGzspYkMw2cK /AtGUqGZO7aOlFE4GecFfQReG6UqkkLiL9lP5hP64UWpHlLMc+lKVX4xbqaGV0oY8OaK84ghv65h N3OMCa7hqoFeeH69iCnPZQIpYU8JMKay20f9AZEEo80I28x4UmVmoa6tBQQkB3opF8R9SIn1IPJ3 rQ2uFGD3I4FE3TmxdvJPVoLNLlnqn1LCLn3m3dyM08F7KM3UmquIxLP7OLyJ2cH9DRfG43tDOV2N JNRpCp7H2e1qpvlew4g/Z6OZACVQNbDtaS++Ahozb/Qlo/6t0pghCrDlwMqT/6u2zMbEZQyhcCms MamYdopc2I/C5ojoPzdzNQCWOOtywK3k/ODKq7BezL+VUc8nRqXBXwqL6aN+gxu8K9c8zOoZZITA ZO5k5drZ/48wN1ZQ2DK+phoqUQ5lZRcOdsd1532XxWbTWEH8vdDqRYPhoKV04RjEY2/azTwa2EcD n3koiq7xyB1g+gGSeMtWastjq7zyw62TYbvjZn64whonQuMLDGArdFzcPDcfPEPgOFpXDj/OYDAc EpTQi7d0VKch9XZCtbrmGe6b1BGRQpYhg/pgvyGseRfBXPQRz8BVF1gYXISHcD1/zyJ8m1OZiXED Rev0kZPDe4idw1Lt/PKUiRMpHCKG9OLzMFCERraI+I3J+vhfdCLj5DOLvJWkAxuRw5uzTwn2xGP3 enCKSlAFhZDiacJNMeTosHXq1MdvzrZMpzblQ3/qqPrBNWkvXR0tbbMnRavk1ZJ9vb9JugfcgK1l AksHA0Qpk6s3fwCvR9h8Cn29acHnGuT3kgeGYVOLz28XukKH0pOmUvdVVFwuhMiMgjTxtUSSfvWC dTFig8lCzG0TKKk283fZnpzdx9e+0kg8MwSs2bYEGmYgqWU7ybif4Kngr5Qoa0b7MW8HF/xjlkeD RYo0eq6msMxrkXPIgKVVbl+13FAz7j8FI9zpbKjqBVM0fUPluCm6iDV4fUiYhfdFVDsCufCxg1Fg H2BvRKHvpxPIEI4GuLUND/jBM4w6SoCnx5WJUY8lU2mQaHkY1h+JgOCdj4ksxwHT8+WwpQFmrIqx kzNp5XQojeJcQF5z1eT9iPBN/egty7FTxn9LKV/FshFoR+BYMesbTGZV/VE8DK5bw6MTDYiDTySZ D2RTzebiye5DDSU9hdw62oFTJccF2ZjARQqZuHQR8DbFlcfFwM10FUIrjLSBNaWYhHn8cz8t9Fso +Wl3Ronzylq203V+VXTu90fGNysLEOOc4yrC+xolTnLvYXB9iZIqrT26gXLdqASIg1Jg+2krJKGp Fzf/j7rFz2YsZYoCnq1ZkEFgBJjaeqW2OQYT4IPuOqnr+WYvw2OxmoGdJ64R9q9APz9PHq4CKKz7 a9pDaLhDRv6fpRuX/BXNcP0HuUOh8Gw3NhVZ963r08Dxo5OLGbWBftWeoaeRF2Se3GlhQyZtCRSW qa/k7vlcLn8Hydzpg4CWC9Pikm6znXzZz67EnsseGXOPQcE8qHlYayapjwWNtmJ2ddUgUl2gbqPq aGPBTi7CJZNj9gCzlBDkEwaZUEdvMTZMO0LNRdv9t6O0ulcon7wae9I4LLKMgrowyVkPKaodiyRA P1L65QRhLeE0Cy+BTk+EulLcFTismfgUfLdCc25Bh5bGnb8tyAzfTFiQEPhdGRQfyAJKI7qu41Jq C7pxHgP/7peHO1Ljkx5kDehVdlKVFioYlpLm6oX1HR8lhKOpzf8yz2dzCKgNwF4MGBDrk8qrHYjg NvViQaAzJB1y2VZG1axN7nsRT8zc+vicaZ/WbBa3ekFiWkAYb+saFl77tkjIf95lsP0xD2J47COt JmKbDFeoDjmO91xQs0mYK78MIgRak94IJsJsIqPeQPLmSqORMBxx4fZjjoyQwEwWVJPmrtfwVAKc WRr0VfZxeRPx+uGZSR9XS5RD4VjrpKrN9jEMt0NWFS5o5tzkDzX9KmOxzw6n8KfhnjOpTLJDcXwi RpIGmdU8fng+4sqHS2qeRV3qGUqTDIqjk+IW/AJN2nxdEu2lwluPRXbMrVApu34BwxeicPmJBPxG krmYeaCyrLRIQAnxARMQW7yIhdps3xxwKgLTwIBavQCL9p74oStMVYV1BD38yMITSrRoTs5bTLsj rTKNlQBR90m0kIkqkhcQED6q3iStRX3Lsj1NwYAl6v060KXKm7EIvhx7LziTOexoAwepx0J/gB3R avNSGU1FAMuDqX0adYR7UJdwtzCoLGzRAr52VUUcWG5n8CTIUmz1jevKd18vkVV04Zn5yTAwP4dQ HqSKSNKI5ihKE96nnreUaHiSv/ZxmQo4liAccq7ETa+1+cT2L0QZRoooD1zL/rn4Og/UkDuMHAMp 2wzIn+GlKaITgc01iaPJdOj8H8IxJyIh/Z3PJWqzol3q+KYnIYj5Hruyiu8d032xSNv/QW/XqVAU 1gQoIcINn8U33WyAvxzRFPNhii8gt8rFvOodtz7UPHiaU/8Q4NYsmu/szYBLJ09YzfNi8QPiSq6A +rjTHg8Ih84QYZFmB86Is4Vhwo2+up6+XcsskpZQCbsDKVUTLr/DHndEDNnDxQ/M0uW98ol8Omna l4WSOQZhvxV516fM6nkya0J6PmYCfYEvqC8FlIk14E0bWeraKiFaZRADvD687qE+Xutj2J4J85To 61AxIXihgX/OjRYgRGoWul7f5u0F8V6CJaGzKZZIQV2895U5zkyrZgZYML5WihCzE/hD9PMZUxB6 gInF0QKqrRDZoQrtmE9HN/OKqcue4gCIruho39Dcw6oBa2oym1vImHbKk4EjbWFMTn9n7YiihkRZ 8sRY5pmMthjIyxdImiYjnJQBG+w737MdAf1dtAeEasqsZb+KFRdlbuU+GQNIX21meei0K8Efqfrn TMwoMrDCiHQy2XJj11CJ+sNsvGa14sl8adn7aNQFTj5zv8NQC6N2LSO2vToaXRHMTVkwCL6zUg8y dtVURduG+hD59SDgHo2tPgZIgwryh3fv82bAH/bFH3rNceujn9y+/Ay24oc+1lZpydLM+pvLKaUf 1tejxkDFFuDW39Gy3/iUL9lNIt90ut2NUus+iuVn+S5sntNIlb/gU0XsrLTvEbbuwPGc6FyRQ3IC 3OF9ANy5ZAGNM1pdB5WIt3caC8mb8z6IE2ZP205rNr543Qt+iCOO2RrlWaJXE0y57TE7Hd6mZfAW FwqxcHdAtMahOwT6CElFy3HPDlVBpvQpHU7x80JRNK58warLGogr3p/p4rYZGdbciIBw91bsT4jf DzAPSb54nijHkj7YPjn4yst7X17SWqXhnlss7XP/+z3d8xp6gE2jji+AB3y15LGDGkqnThccjOSE oGe17eh2YvVoWVKzPws7mmJg6+voop7rhkHltb5sEozNvH2NQyi4puPLm6sKw1GORrelp/puvkHL k2fmXmG4kELsi6k9/Q+rZJcaHLYT5Gvs1xCTJHco5uEER5nZmfGN6Hx83OfCiSDXVVGhEhgealZv 8tgXRu9f10hDXAVSGtMDo6QjXMS+PhRvx1WZcEymXcm2UUDK4366PfuJNQmytVPhXP5xUfKkUNdM slr0j6xeYgev9M4rA8g/se/G2fCQAq6GkVCS1noXuoWKngKOyh3qzO+xRTL/9wtUqtyKBJ9nsMfk QxRohz2/KvzDSoBAJW18v13cTLxf0FqOe/2kVOgLVqxogUDh+nWJeqRb3u3gonYLqLAiN79xk6Dg dTVVPegRfgBM7r1Phx2wZkHqOCTNr8+RNBJTH3jf9e40FR5xpyKAMhh5xVDHhXFdvVq3xD0jXd6X SPXbFSY2dzA8jHSbrcMV3AkyC1yq2Rb59U/XSlt2dIz10qIgsrxcRpT+QwV0M3m6lizrntWaLucx KZpMNAYew47bM8fGujAk4TGobIYjWImMwzz11PsZzlvUVQXcQNx5J5ZDwjkeK2Cf94wPKDHg5l3U Uk0T9mEETCkdFGubB/s6gYMEm3p3EpsPPCE5YSNOEODErDpvu+UGCzcV+lDmQOhSMiBm1IKuYXnv Z1dee9NaKFMyCMk+98C5TLZuWld2HCGpc4aCm4Gcc71S6840ilZerEuJp+T1GK+vGnQZQFVKRNDi 2kWFCKB7+Igpoje0ae6fgOKpcVYwxNZU9hj2KVj102f0ToYFMGgcYHt+k7NmT64PbwgZgJ5BLOxD UMJKRIwgOU9mjfoECqAw7FBq+16MyxZVqPJVRJqHPllhmwiJ+/Cj50knCVx9y8m10UpXnCvha58t Z1Llo2kxgsErxmXXtssoShW6i8CA7l262Ip6eLQO+Rlgo+Kr0MEPzqLqypLXBnFj9p0JjO6D/+WD 5uEYHN068h62qJzMUeOWrPKpTLw2MhjpFDReRsdBNvTMwhRAdU1QA9DD9K4SGeFBsNn8+cpgi4rI I+8fUHuFrNdleOGFg/jlHTV+CdMDSphbZuKebQwbiEyNMUN5rnY2hthYJJY9YP7NEg7hXbMCumz7 ECSCY5eeNKoKALT4PGonv6O2Sl7Nkx7Urd8J2Ncku8QqYm06iF2NyOP76HzLOuw618a9WM3Wvzhv OVA+DcpbvBI5bOaTVLgMMeoZCykvNGTyG7qfPoFhPAnxwxQ6kU0jpsub2/+eY0B41Wgdg/ALBj5K wG/8UTg5iOXDvpyIuXoXgNtkp7ODgU14ZKxoyrM+RRBTUBErlMKjGRcOS11YTLScm5ew3xmMkr1d Qo3d84e2miu+a2YZUEbdHYeWEtbioP7HNO5UkxwcWISWNhMEwvDrwEbILzzhwqS5WbLEm/r6CWXo XtzeY/P86QLGoSSYJBnuucSVUEPQZJLTKWzyyXJl+7a/q7p5tKa4A3w4ZpBjskBVyo5VbMn6l0sY TGnJx2xCo/QDNqlSp51uFIP6q0O6R4e+7Vh3kSJZhVQrOrrqRILEKS7HDTWam0YL/OrOgNYWKUDr 4WVJA6Am4dZm49jtCctBFgnV0gTNoiZvBZJEg1D/jc9qFeMMYyHNywtk7UYXEBRMFylFFDlsemoc nM1pTDCh/mHOYqSdWI+gj6HumsgBAQVUlZnfYldXFvJ7qrpBRGZOGBXaFzSf2GxQTJKK5gNrI3Sz TF3ryfpLIILREgs6x9GUrxz0NQkgiY6ZzvE3uEjh9Ru4X1URBvQabyIiine8+IyfKvBd7v7m2GVl YWdN6UkXWdcaxqzxQQAMBScq1OXXYhZL6OkojbOlq9rtyPRKMDYWKQguvIb+DOIh+lf/9t2HejA0 FaE9JdMsTOZmaMeS/gAXKgPVaWUC3HhhhJsFAQjNwV8Gc1g2pQYFLwIgA3Hb7VsEU78X9FFPMKPq kSGdSvtmkI8ZPxTWTA1pmIKEJaAV9XR4RIYexI4lgOWNHN5YDKATH82wyGtIXYWGaXYZovkVUiUi TRnakqn+C2nyrIIx3TXnaOjXpAGiqNG71Cewr9+7KNdruj6/UY+QFSK6Lf5Z5MPlUdST7kXWWWvm Nz/gysayR7+fp95LfWGGPc4gVxIKI7Dc8+4VIbyMA9JLJnhKp4jlLEgPiB9TN3D06c2AeUvDyP/N KjgP6dB5OknH1x1DQ9+vW9yWKqb7r49chNsSQXlJXfWDbmk6hv1QknEcDH+u7FVCqO0LKugCHSFF FhHhKEUm034eh/xjzpR/DPG7F2hVip4/RMauZW9gyMtv2TouU4247+Oel3PSL2/4g2Hbe9r41zDH b5lvYyP6d27r4U0gsHrBvfgy/Y8OHkPoJTYL0vdOYXe89SIIVKQ6w2r+clzS3Y3uHbq26XC6vJIU tVKcxnvxGJsIGhIWfsBaMVgcb0Fv5C75q3gbi3vEY6AyC6QexohMXjBAVHSSkHG+UUzJirD8ofuD Fvlumbrj4DwD2pyzd93edvylux6fixp3Ws3qns4QwVISc/WOb08/cb/sYq5gwrvHSAeLMG0hyMSD qbUpd5ubpetigfDX5UIYkkwhC6gwTG5m2eJr366VatvexwIwFC+2gVH+1nAAkSsl4mMiGlSjLodg 7NfC+poH/+Hxna8knVPUD87vCj+fJmP3+SzDb92382KA3upQh1G5LDhPmGdXyUF0UBtFlPcB1hpq wCsTNW4Y4plL62wdDkUCverecgt2WrH9iV5KxFDMxQn57Muh+KI3Y3n8zPxR8kSin0WU/v//pCCz 5lKOnUL03cOT0zNV9delLLgFOPvCgHZnA/gZMbvg5KsMWAwG6r+bH0MffOhDxmlKFME8+318pGH+ u3d2PIxH+SOoVqpQQed+gHSVXdXxbVhNSy06hN3GLJqjtmk0dS9zwmekwu/DTOkLx7AJHlJJMYIe W5YvqNFmOrt6fKiFCNkseHpHWEJxRRrCRX7TA3RaJCk+i1uc58VZQ+WpErsciBb2SSzADm0aezUx iNHPP6wkjyWXulBTj0sXiRyFXlXz/S9FHzGNQfSjDX7yaOewO195N1KkNjWtXdS4j554Iofc394r Jk5c31gIPGRLGdFUFlnlGeX1NzBFtom1kOF06LfjjGFN7pOMkOojqHBdebuxI5NjzmhJ3V1NLdF0 EVbObLU2Wtoh7DNb0agXkqlK2n7wOnOhRcPP9bt5LQBa2LEJExderwxz3RM3M+4MMHk5kBWowBXd SuLjgutNiaeM6E7h0v/+y+I+Nvz87S6IcMWFLQgaqvlJuTYv1J6KzTfjeV98WkyBikkBVnyK0D1x gU7m9YJ9T0Kitoiw1vtkr5X114ueuYXCaDzBg6d1jJ2HNPd3nBZfDeGTexr+VabK/CuFOr3W25Ms C+x+MQ1iOUY/e8yZYSc7FcOkGkFHbczE+q/JEDSkrkU9yOBtVza70sULWQpvCMKGnCIFaQuYcHgr deqAdsUYlDbq2J37hFHOmEmxR769Pic68OKF0of8WrNAKkAAugn6ReiKkrsINjzF3a3VTp7VlHsr 8O25S4KJDbNVRRrnte9K9yPyyzXdQ3hgqxcbZjW37a9/NKdm7BjdIThUgNQOjyX17jtMuiq0bM7Y Z92Lr2UQCHMKuensWzjpZmaehxrUOfltvdvJYLb7PrvyKbcba62a6DhJUwLVfz0AlHELDycRHwXq Co2YXYnNfifXwlP+45aVAjse9O1VgBpLWXmJbO6//uld7cvS8q9UqXea8ABxQze3aWRr5JMtG5OV aDxcYEt/P+DWjRQaL1ogycx+vB+2utGR5qqsReu6Cet0wxSxewiI9fzUEeICDg+/VZKWpmtiri4Z bqVgKhdpdq/R8r8j0tQxwzTqrwfkE1vC0l8Vz2cYLWpGE8hpPYE5oKq6X6+Irlo8I1ovxjQg80Tb xNAJmZ2Wgp9T0cJjC/RnkAW0QTEhsYWtH6XvKFTWpEBZPv/FHKAX4Bude3OO18zYKdR8DmNqLycr JP6ho6ydw/KgZiIhXvVtx3fm00JaBVbyNbb9eGX8bVp4LHEonbzU8H0scasx80rjNc2D9Z+OZo47 s3lRIiIXUbPlya790dhwjn5QHn4IKg71PXIydA60RozKUNpQIwXEbYcnNBycCZ0azZPUNnw4VD6O 3EG/qtw5hQD9F27zzZEU51d1JJcxM0t46qyreTalCyn+YNAlz+twzIuftckfyNiS1+hUj7VZzaFr R9hDCyQNAz86rZ7104rea2D8Cmh5UxygCJhLRM4EKNULcsF02wngTOwR2vjJuu2Vu3MHfs6b+WqS FjcsKruKoPQbeSb+8crOv0xbnamUvb6Anc4XROILd0P7H6ZxWoZtODa3Kh7pcYGljxuKMVtjx0ht za/Wf/AI9Wr59wVyhXqm2eqpQpZugvWQfakqameW6uKJSuoBRoWKaWimoKaSpLlOraApwj1Hae6D qvQRgco4JoJonrNS/Uh1xtNxAXStiQtlrXCgQOU2LNwPR/prR/44WI8tLhgs9oY7Mnn3mrOzRVi6 mVMXyk4kDksoYbtkR8EG6XWMCXnmo5Rp61ZqrHyRYacTqATmq0JhdC+pgnOAok52GwAts4QVAjgb vxe9cLU98S8Iyei8kE/u8J34gtDCWli2KUuSr1B82x49cjN/fwejFraZTpySmErYZu/xhwDwd6H3 2h2hmKPsu6yv7xfo2CtRKB+CtqQD5zcoc2a5y0GzMWfJRc0hQe/zWL57GjtRarxhq3OVzWLDIc8o mVkQMdtfdzY/wdOxDK+HQwcOJbNf4FIitHGukL9gt+nXX6Rg3SDr14mNssPYQ67pkDU5OikH3nx1 l7ZL9pG9n4aG6Ct6Ug9pViVqxp6bp0GTq4F7catj22OnPCjJ31gA0TaN5QnDY3DbPISRJxa37j0k IWOUy2w0d3vQrShnkQKMnzTNX9uE6yMqvG9EVxdfwH82TpCCxBnQVV5B4tzr4kJZxi9Ar4iyiiff vIcVP9rQxVZOwo+pYEFIji6eSVXOJ3ydGqkt/z5c4DeGjArtX29g6dVYUSMEmRJ5NJuLNwQdmaRr UTqm1e0+rsizXH0hpTVf+nIFwsZ84Ui5NNtyrbOhup3Ylzw3s9HPxGo7npnKOQfWudpuPEt2X1WL WIx9jCfs6c7Pk7gN+DtRNjFN4znFZ6iww72p/5y73pbJj9PYoHiw6DJuOuFK9hrzPn6ytMvjiVs0 Jis6k4RxTmfHQdIKnrghJvp+XYL57Y5YQqbsrvDDMk2Xpgu7lyZBhcVmhO1nN2n2U0BHLNNCIqdH yneIr5kZAqZ6IGo2HHoNySMct9wqWacQYWvyMRyvEFPH/mRQa4yWq5cr4quIk3FWhQwd75D9LzRm kWFREBuK69LN/ne6P9606xqDQmbOgS05TPhrk40YVyKhcW0C7TR+aM7mKlh+U57gGik/OVGwvt0/ mrdPOIGTJcpQGUVHQyxwIYO+VZUiQV+w72Ly56lTMdLgHQuNykBJ6P9DSKQsuJKpgTk231UspIDR P992XwwnqNQZeje5OqreBRm6ABz8bcZt6Uc5CNhalgQC0ZrjnVv7vBVV6D6V0t3ZgbZPgNK9OQMA ngWWmo36yMtXaWgRiRYz9AIBqAgaaMewb2ZhcjFqlWgedJFKyu+gBAQhQ/UCFP9E4yWDiWmQMadX vZw8xkf3wuP4pmvad3xwyjIXlkl8aBsZv+woRqKv1s+28zuAQufCGcT6aseIugU8ivuRrieFdxS8 L6HJj69LteXc1MdmN0tYMHxON/TYnniqm0H0uiccY77thFZahTmhPyo+Saw7rQJuJDxNJpZr7Op1 F2abxfnuL8RgIbipds+bg2sPZf4FEWfg2VU+NpXHit6guH35Bq6RUEarnOMHuWXZzJloMBtfVMh4 qFa1re5+Vm55/EwYR6Nd6QKnoXALZJ2VrWa4wy2nce0uRAu1qfVnEB5DjNTBR4dG5GM/T1bp/Qmk sAlMjZ/yfctiKQ/U3FaSlSv90SlicS/17kCyD67lSR8cC6k+2M9lGcMBlc+q4FP3kuHCdzpPwqv5 6z5C6avBIZF+KT5jbeLzT9NzzHmnPZvRlwHWPy45xJwPm62k14TnfqjlYoKKxO7KuMzDnBE/HS5Q qARU2jpgDSr3Hq06iZRg4pVPjGjtA8luQ7hyX06qMTkkFGhpcc2c7gvarMTc2Qrrs0cEfFqg5JNG WaXK/duZxwX8a/MNQusT5ESAAZe43QC/YgsFiCwLykw6Oa5Po0rEoenqLdNiMh2RcxKwnkNgydH8 gIpscK4Qpmz/YrXbKza2BFNIVXEN1PPDkdK7cmM5st0Llrf3BN2z5PT/JFNuS4Yu+0tRSDOOPFoK sj+RbWGRkojyaIVvDYev8Ba8twp19IEnvfwwGJ8nLnS14kZp1TMGu8lZP2r8bRHqdTMqOV7Lsj2e 4jdTOFQ6xDOxkMNywyZ40JC3EZvrna35rsO8qVqLKBxoEEqUBUl9jyzQulnpgSy03YUwcokPZzaM Dl5Pa3rTv1O4LPThEW7xs4W999eVDLzyhzMzGu4QiVcD2Z4Rfn9LdOV4UuelYKyjsji84/FcJAxk Cb6CbsEyD1gp+b7HIN+aU1j0J9NeFJd5sgvXlKuztkp4Hh8AyOvFSJmJoi0UrXXdddpEHa0bUuH2 q4dQI+jCFdKpiF6xGxe+9Rd4Ct2smsaGimWZprR4ZreM3pEPLSyYtJaZ6qyiNIgdmwEXeOYXigaa RYn/+qLyQFpESBmy8Gi7hoCrNF9XmRrI+W5SFlaylpXD+Jt/ztWuS3OTk6B3TEbwrLp/pNo+iopd Re0xyCG/PsmKhflNBhuuKHQqZIsSNlggqAeMSLRmTH5/TtIbewlah8O9fMckTgZSVvAgSvHhusZT l5kWzKehWjY/rWQdfOdsFP1p94CUxn1MqXRz0KeZX994fcaHuBQepZay8qb+PvrXTwKHjKd6bEM/ ieKDuUvBS1Oe11wLUKMWo+TQudH+YMqCYOC/g+wOrJ7fmyrzXZpD+an92+Xd7SJI0vhbKzW4M+JB 1MER5sAH/KZ0BGV6dJ5pAgx5h3P9abrAAWNNoPGmJ+wnCjwggoR962MHSkY1PSdytjtPYOeGWsCq nRSbTTHTc8nGodU5/AN8mV4oYHJi62ITDYFKKLi7LycTBK1ZDz2vzU5EomHojj8W9zYi7fpJcusH 2J+NTNOf8TYOgZRKpei0xD41aCbAPMHrPI3ZBIod/eN0dgDrslvhHhe/t/Ml2+biv5ysDo2VvpPX oMVkjjjcYsJfEwoaog1RGQXD7ZjZVtdgcQH/SmMLoH4thLPjlTEWJeEJFkKylJ+TTYm65gtEUCS4 NVrI9lcYlyvaZuYKBx6/fs6gE9Ctk3+xyu7Bi56wlUtjpYBygxLsa6nA25btYtuVgSxWHazY0Xf7 BzdmWkCoyhJg3enHHOQiJoqO2CqQR8Z2xwERzTedteeP/TYMSbIbf/GBkPLpU1E7pPbVLB2iADIG DHpsKHwZl6faVl/0lZAmGy+BP5cCj5JGblXXasp7d01+fcr3Cbabjv1LO4iTCzKUwblpH/WsM1/R mtCP7igXcyRPvgcaBjs52zUO89E4BNanHEMrZDJM/q0QESRV/T4obSIYtPU+OQ0kTyYGdCi83MQG ChRKn9soYWkhcfuGgUW5Vys7I4YgbKfPktV+bh6uonuHG1C8mRYMe1RzgRjmvcgv39U1ccIU+9pg SR/yMX8FmakucP/LyOYE3zmque8a4vzBARh3m0hFOVz1IkFdQUZ4om1m/ez52nd2kWg5jHZRhgVG jWpx2hKtvNUPRdmAZ1OJg5ptAI9LR9YMEdXTxzvSSaEC0bvvELctm8H6YOts8FXFOPTmrKQwICqi kbzO20KkWRg8GtthA1jPjhIesutg89BCMRBSluI2ZV4KA3OA8eTHp6o7EPuCyXyIAi3PphqHWlWw 7NCDIZOpgPVK+SkdWOlvIYWV6aWR02y7r/EomkeOBohcaSfZ6DGM0IesAYt3ryCXeT84RDov/skc vP9SPsaXCbq8lrP/LczRIyQ6+NERa40IULeag9DUKQFNUWaQmq/HZSEAP364vhZo+MeKE9P21WR7 jXIY0N8nhpt4EUOWKaDUoygAccPDz1atbz9qSuV9WsuGw26BQZuB7MH7zUqIHkIy8boGNPKd3Vfb BfXYa9KdbNAGQDIQgq0dnYxQzjAfut4OeXqkdzrSp5MFPHD0ANByNOCgD/PoVT4HvpftZ2lBbTH5 9RkIjzLKccdmFro10coBU5828X/bJJfIPBXrd0t+NdK+u65daySOo5pCkD2WB5ArP8AeqlA2ONfq QYP9WTibuN9oxIMLWQ+pkJqtEa5DwKRV7CcYEAGDyLNFvD1FRlEwExT6ENtJdalGaimmutVv5YS9 V+Tqt14Aat5br51GDvw/mffHtiXKr0hbSDIqmLzjp4fUgFHI+z2aasxAssNxrPS4bQtpuQTGn4/L MsbrOtmxozU9OouSfDWnNCc/LQkn0QZUBbjRpUHaPtQPRPXCwTETZ0MamVQ7Upf8QMvdjQO5H+pm wr1c3+LMFgfC9NVaqFw0tJuEvDscx4PEtQQZW5JMbo6jfTEIn0xkD/t3BQ22EawswGvXyXE1tpD4 heDTjm9oDnHrP5NbkmaiioQahP6l/HP6em7xpYIX86U1AcZSc1cev0ukTgZrbhDZ/O4cRXRCuWIJ Yug8sfwQrzZjVWmDm5/jEUCACI1ogs8kdPjmdP8Vn54BX/Fr5PXpg1g+pvdrUQDtX2kRwPjKpaxf XueYvB2YafMeIwKGIA++mZHiHv1VVRaJ5GvMMiPRnxtrD426HQRuiBMGdotqL12sMFttZLRF+f+m G5TRFYcejJnHnJ3uFCC6Bi4esxPesYVrkzMCv1XP3z292H/UidkQaVrbzC8Z1rjvIAC1EV5v6T2G XEVRAn1ii1mi9PT4Sh8DjcAVXxg1ytrVF2CFLJVsOD7N6mOadYPGOFgohRVAaGvMNHjOTID3a5t0 qLc/7Sp+MqGvE5Y8p/zuQVyVXFlZSfxRvERwbyAvoifiel4GgErc2/e738I5vjSD8kkMGSn5WZKx brY6D7nZisBTkoBzFRQM5nWsNbcbAFlhfsxRAbxVr2LPunaXRMVSe64nyG6NIDKn5304SVyPk61J h7Cq/OS5txluLKV2uufoR2pqapgzinLqTsY7kvcpl6OYGcHrzZ5RP/u525zWIHU05VRi5ZIAJHzo RvgLHdyKyJlByzwYlWCoUs1r/xdlaTL6g3noTyM8JaaWouZDTbwsHnBQf/i6TRGqMkqGin8G9Yq6 jAImNO9XffLQk+SYJmqs5Ef7PpJCM2cQFY1+/LTyCgmn/c2PG25G6IWK3oC2hDlXk/7I+o3jhX8S JX0MYYtfHmzrDu14pG+0H56S/G/jxbGpfDizaPUB9E36OlMvd9D76K+qDh6R38BA97QVCV3unKjx BW2tHnK5DBYpAsD9kD6ni99SwenqHUXQfq2vQZI18u8yDjDDaameQAL1/sAxNBvw0uWEJDqM5Jok DnI2zQlwduZkqjL6t84VQd8iqq06KvpxmoweLJ24fsbFfPPgYM9z7cXSHVBYXdYLEDF6D2uP9urT 1Wjs06ZtQ1pFicPPOjkMmttjE5y/ZUMsA+W+x1Jkx30Gbc3N2gp9tps+Dt1PLBNqX41+XlcK7oE4 tOpsJICxx3Vui4f0UVU1Fj1h8qYz1p3ZX11RVnlu4w+Y1SmZIlZyzfDwp4S9Mq0n0pQWhtr8R678 kDe/46P6Uukw1MUPttOiT7nXouC51C8C34gX2j/1MqLnroRhDG93+Y/7Vlcsj1gPfISdLMctT53y RuzqJhABTwX9Paswy6FGQ2dh4IAG+8p8KQbC7OqNaPTaNcmErZMhAXQ0fdJtaUNWhH1us91RLCTM 2BVX56lfkkhc8GwpCczizDm0OhbPXDk+wWW0i2H5wdq9jh8OU9A4BloBeeQ2AlBjK94+U3zzbPfU PG7hB18kDFJijdP/izzEZxKMKX9Wtr4NmesQ78L9xWqlRjd/U3WWtTaYvloye6lqlXH0kutCXtET zrBBxJm37dcrz8RxO3XlCrMPllAQIqg7DfM5aJQP+WKeIwbVfDhuBqJtY5oOB75pDdM2m0uiNndd WK3w6BfurJRCRBBu3Ty5pgIa8AMMOiMhciv5z4/baOmBQhkTPkNDwCA++sUnq2xOUQPq3uVj6uk6 ucDwuS12e9/G0znlUoxTH0RqheeimMKzcyeb9KUQ6DxJD7+y7r5jiauTGt7MYjJOgIPTNj85gZ8J A5SLqu7YFsWcq9zfUmTep+AsX1B7fWCF1HlX3BDRf/IsmzdXxcGw7BqVNpRfFbpuVLdh2AXAYMP4 tad7gnL1WxmvUhsh1CmtaT2SRo9BGHE2JoYw2WDez2iSLNqKTyPk7NiafVEB0i+VSwnOvN/l043f 8YJncDElSW4jx2DH7vGZcg+aqRCttT8AyzxJl+umbgptefZxfBcDXznyeuzoYMH/4EBAjUqVAPDd 0Df/zqoJBGRIv5o5NAHCqNhtcorT0CoOgREolomgBDN/1lpkDi/zI8mdFQ0wJW8xRJTm8J6WXxPq T8xCEEIBGOQhpGXyTUT5tHobRZonX46Elx5MsWyzhtFC2dhs0AgQKIgpNxotIlsucb0N+JL+ylK5 xnKeNmrBa38d9MIu0shfNye+UR4XBRZUhflV++3wW8VxlC6ZvU1xCQWrEEVV8RRw0HTBVjNNXtun UMxY+uNloZzPTvWriO54QvhMgNpsgHt8FC1PuQjiRCKi8D7NXlmfgrYJxEGGAvtGUnaUZYWj1ORO E9kxpSlW4i876hCzci9CQIjYUgf3JAX+wqpbELxuKUN7U3A/sgLP3NQ5omFc2YNI3pkrtWoMLzgG anjGB9EpH91rhM5an8Lhva6hbu3VNPTinf4RHL+5h+KqUGxSbO366KlVpct7R5j0yHVHjp+qyX2p 4I+uzsAHNQMsLT+HeF0x3Y/cZW4L9k7ct/4xPlZBqilkCOJeMHKSMtA2aZV/A6tIoCsHJXURuQiB TfGQf0+h1dHxptTg+M6mUdJO8oHQz4kxeBYY+xTC94ApdvKavhpXGdAwtSeze/4CDbkjAt8+cXYx ssPnrLP0IxUlbD8jyEBfxv9Jg8GrRQqUAqSJ8cBS0uuQJb5dgLkAFQWej9a9sXjWhHj/JZJqVVx6 7hphTtu9i8vPTHNyvfA1Wlgyed//LNipvkffqWbpZ0ZHLprjjq4+cI1pGb0JA/XQES7tkWQr5Gus FRtl893Gv2WCmNSKlmTXLuDz8HdIcKTjZfRYUTIV0p74sIcNVC0pAZxU0uOWWGu+w9C926f5D8wT g7On/J1BieHve71l/O5CwNrtNfoxSkuayzPqs0miY28lMk0ngNoM+I8YxPDdNEuJ5VSOYbblzW35 8UwkTk86Gnl6SgXYQ5nEPWfyetn8BLyeKFBHvR/Bz88GWjWDbTACpWloCGWmArYMvqD7ReLsaxHy lXS11cG8KpW1/KFGQfniYmM8Dmbx+7C7f5nRhTNCkQGN0TK8LjZcNTHaNPZPVKJmgmBUJWlMC/LW QfxeKTFa4MzCC9vVI7h5klj1ovNU2EX2wAnYVzy2ttAeUY9535/9UkpqfA2APJn4HOgEh1/K8dXs 9CrNieyp1SO/pwob5CFhrVdbQyzkmBf2Am/hLGptEv7aMgvtNxycuXWRJ16E2yeUXqZ9LKl6qRUp peMNG1qHgPhTl2QtpSQN1NoR4EowIDoHYm48ryxcNSusbdU1qgE5ZifusfeLQHGKZQmuzlwvIeNQ wWmWKd8OHJ90wSIFnnQcY/ViHoeR2f5UPfGlqpJp/L6LzQWXTnR9ZCzlSr8t92JBiS21SySHnUGx 2TCYrzSFVgynWKlU5BvZzrObdtmJd83jKFki/3I6A+bYx9587fjKBJaIjSbxKe5tR0JfcQtd4Lmg EObIr4P9dusTQi60IgDPE1GZ6p0ZVIctHdWisKZX8P9mjPq8Eu6KmfCIZy2UHx0lU9w4CMdYXEf3 F+QOO0ZPPPE6qRfDWt/y1bkddztkXduTZr5jmpqiBI86OUQtINoK4xmnA10pgpCWsKey9CLnpQOL +pOgggUKi9T1WmJPpARNhPm/IUtHxqm5IqSGkNF13rUDCF2WAUviiddqc5xwJMuoLVp7EuAKvthB nbwWAm0Lk/LE7MxHx/IwnZ6RCe94f4XLJ88BKaZoqn0QyU1ZapXRGX+4Bt8wwdBGEELRbwnpP0nB UjVpdKwQRFwYAYP1LeVkCvTNaSBAjybM3l0DqhYgwsW860hPKEe5iJo31q20nGmPeitKFdIASxLl tdHsBAzJ2q2DTX4ZWPZIs8B3fL2quAp3K4VSyeGC4eN5cu4M/COrKfIeNF19/GrZQZqyZL9mO8bD 5Y/zjzhuXCiyEAzXjGDIwKMWHJ65bMMFugCaOJKqxBJbtO+/z3XCdbkHWIEf0LoOcSIT9IFceckS 5yI1uIUENgTwbAXZ1HQYqalK2Fr2MGA8uJJpm/NkE+o+tZY5ADEG68QrgcJ+PYV9SeTXOmxNAREl 7n3dtWctB1SHBfB0sYEv1c59Gu44Gz98dwlXYdylFyqOXhTMCnBzVTdzmRp3wIJePQfp3Z5zfc8q VG/kgV2UAVTiB+pavYZQVaqWBX22dOBXo77wsKIBeutP//1Ov2yJX9k1t0+kcu5CBGa4ON8byIOX g9Tz+E5rfFnu3Av6GNshU9uY4ezE7IxH2spIZ/uga0mj5iKsYXdNIdeBjQ/4lCdBvsxH4jEvRAe6 bauEGyvUVxauu2evis/u0PP5ZSTbb8Lf5uV/QI6lIgv8ownh0JLsaX83dZzGzJWBsLmLdYE5jCAa BoopqKQII68Zl4ELqjng+N9/97jkbVt/OK23nDcaWnO5wtm5VXXb4HNmqMI5qI+lNvbOTb0l9e6T 8tQCELS5qnI3lAfAUu5rgdTHuuxBUjXfWtm/4dgiwt6CYoePnwLT64Q8okri6sbeM5gnMk5haYd0 s+C0dnWZbFVU7v/3IsNFJJrTLXKU1GHr6KkHpkas50b5ewxQyFmB+mc0xs3c2/r0PWJJT6DkTape BXQawAczgNJXhDs6FWYg3UXaFH9yP8y0Za/nSrSlRwMisre48jPl7qR1l3V+erH2NA9ZcSWnnikr E+K+3vTuzYr1Ff7f4X0Ob1l9BlnwMLWGGQi1fjcXElx1GOOzBLDwEwJHZEJR9Wi6uK7MfW4owYg3 QlL0AkqJkbp+Yv/DUZ8HeZQEbRyHbV1xoK4YzFAUAxKPWJn6RK3XJt0TMJqoat+UXzNKafBvJ1XZ BSPQIZ5JTb75qKjKQ46Jj7ZEqb8MWbJjfgPz46jAucXtiuTBI/QhruQ8LVxW4aPEAWOhoaid0xRh mFpy1NpgN+K1k/C8Fw53PIL9hcemFV9kHAamyBTPWD//AhoJWffdBWaTj7oVkp7xsosRwfQFqkEu eM1Tu17FtgDMLCZD94vRYcBGzKAbt8T8uH4LEi9WWMel8F+JrKHXIkJzerf8ZIXrqlDZUhNaVpif XXH3GRx1+ZbA83YqqBbUnutiRlXiJev9PjkPJ7xbqQBXS7+uk8BuI4eCt1nLGgmypiJhoXAJTnpd uh1KBdw/J6OlgXtKcGmtMDRfKuucJSfRin7KLjdFsArSgQ+ZWeU4CTMPVrOVf6Sup5qo/wYh8IBK 0x5/JNahtMK5iKr/5/Kq4qUrkYDhIamLZWf1PN3wZvMVnd65lON8ekijUfRMtPDxn47NSjSk4rE4 wDISYAUfRmi7xkVLQTHtNiY0BDWd7S3bYjFnYxw9BhIYV1kOMSFZWN8lWTxqyB0vlWewAdj58T5V kXgmTMzn7IfZBIdY6/p5jJ/1WUNWBaAzgUGcs2ECTJ1pfukd2chrQ3laYwa0fWwh/oUrO/ip4kd+ JbUNlfylp1a8lnXSzDb8JpazinEHQ8Cq9xLXkCuOvl4c2fppAlEwTXkgHQNlLO+p71QXmWZ3YrDE PMRDpCaNoJDqioc8W/LWU3irM92Fq7FMUxLemdaoh+OZR1c6qbMIvkvcQh4d9Mxb9q4K5klGSPbJ rlPoSh3VIHjzjTqLcOK6LzeztsAGiSKqoGKSn6nhyQBUoNbOh47O/krvZQ/ljh2HFLj1qOPmLDTV xb4g1EAbmtIXEOXWy10NiwxCozkDRqak3xbUEJ4/AtsKyScGlT4NVaFAg9AX9WZIy2EOMEMb8x9L 1aqloBKvkkhSHA3gVsE4h1Acegy8bnD970uw0Q7ZuClxzOWylnViuPHjrpThjnftRl5ZNP08z8JK c/wzr22XnK2MhVlFQpKbc86tB+igXk6kP3irW27e84xKE2BiN9erPyu8BdNq6PIVSR+ltyNhCege 5E/EANy9tZ9Gghgmn0at3+PmYaJIse6RbASmHT4dLTQ1DqUiPpFvOEBl9xjLsoGT26RSsmwJxmkx fk/ZMTlSx3JIRvbaaHdIZIBEWaVJddTCS7n8fG/JQ25y1dWc5B5LfSNrLgpxA2jfRYt2hYfDUh/Z D5nzixaTCHvWmmtP6oZQP4UcJf/HReI8cDHmyrYrvPIX+qfEZVoHHUiqit+TcPHuuEX6IXz5gTHb lmHOHLJvn/6/l8nm7X2j6sP4tyEOgKXvHmycGl6mC1Fm3TU1ztGkO6TGWyfUSDk6/3wCuWLMoxKF BX/Euq0/3N6KU7vkNEdKT2DMCB3i01NrvhcRsrJk/wG1s7+Ha6S+5AofZHX29XUfXGZoBsc+1Ba0 W8l4hpcA8gvBQbENFrCd2jI3hNAndSmxayUGPaDyEFVcO7xNo3pPvYjffvRCRaQy+RvmgpjVJ1WX QSH13lTCBtNUMjopj1ilCCQ9JTeN+jMHy2AwufpZwWxiHh0s8AYHIQDNQlQADT9UrrozX4M9O0Do HeJEnmzyPMMuyjRHev+9bzJ0ydiVHDTFR8Wa/5MzsTIFyahNU5G0Dz2LYjo/mJDUPkyEfgcU5i1D AOI5vCGqHaJ7wY+bF7fYsbrpmEZTfqhrdeytcGIfbFg/bHSfCkIhcbyvxDORkesrBdfonVKO0pM1 QSc9x4p83sXhpb1m6YU4cjZSyyB61k5/+Si1XduLQI3x82Rg7hgFQND+GpvObrNqz6Ub5eOErwzC jO+njAGQPYUO5kx398yugMU4sCQdUsjiiO0eCu6PsNB8hC8ElKbvw0lhW+h3mH8nmNE4QuemoqoW chMDeow5GgE0Hnjx69yjeGzyw7LPhPUbWvaZNPYYuf75da0/YvCZRZNE8ymIvTJuT74v45Y0NPF7 N/lq2/eHHtzjIk9cz2JyBhGDLaIu/rKHR+P/kWNvOr3oO+qEZI2YlCu9xhgdODy4ynGkvHlZ38sG zixlp8J1b0XuMYDf3LcY8G8qzruVf5INqcgHto3bG1a2+hPfEqzwStaEop6KpuaWuA1HL1JQqjIX lBpEAe+KNWaXINLxxDLJJR0IQmvfua9cA+iVS6xP0xFErOmws7NVbRu0olYE1O2h2vg9rRmAaIYE Ilo1HmWePG6xKJ+gXUh2ihG2RfSkBWslBugpADzupilHZoTjMxysavXzK2HXihfh+WW6yt+4LCTP Rf2ADwxWVINbk/wSuYHHZLb3i6HXvZQoKnYw/3QkTOrYt3pAIsHauZtXxt7noaB59/dL9NbUR3mE ewynDd5KW0FMza9tq2++QG0vE6gxY5z+QlUAbhWQFRe4L363O4zsIZwBl7DAxK9ty7Bwrx7inXNk USmrhCqw//yCrea0NTyXi7VmzqFi8PlSIzWjxeWBSdDmP4KAh42rqLZbVGOdhTuPkVv60nQG4dOL Ay2C3XDAqNzAvYR1z2kE2cpqe0T2zGcv6EQBXIMVnlv7tPyCQyEUgDRte/P+z1HISsrI92dOALKM YYCQv+7f1twNTm5sBTLRqYHmI8iN5ZVRdtlt54q0/9TpBPc3SqSUBFsE1bYl/uDVpD6eVrJ7LBpi MMLfjj8P4elvslhXLv4otuenX60LRRuZc4K+HZvGNYTvo4RLj5JP+ZpKXnqYX8UnqB/VueNp+Zza tyVZWT5gPVBSnaAEAWIDG85r7iYoQbDH8yWCJX1/W7tCF/J8t9F5hSTg86ynJnifSB5p94vofccj 6ekaBf2ERsWQjGZhfVgVx5JCDy7TDCQ/btlsvI+QmvFKB1WmtSY75RTL6t9Euh7/bt4EpYzbVWSR MMk1QvlL4+ejPnHTCreCdrMxDOL1RdwfHe2c/wQfg2OJiFFMV7P6eX+/m2oCgthmTC/BrB9lSJUs jRTat9h1UWWrHw9eBwxvlAgdLbO1IbmVFN3UW/9Nv2F4bh+IN/8X5cK5v+zgoVEmmgcKm7NR8RxA RRebTj/cVBGudk7VwWqjwECJ25lxApTWIHr3mr3+LVaXyN225LWNLepDkpH3w0Q5rZ+RNqSXL5t+ fGeKwsB8a8+X9YoKV7Mmon7TK7iPJLpZJcp2T23FezomvlSmXD+T1EwddyUpe4leuqwB0S+AxGj+ D+lI/5jL5zzDeDP+392v4s4xpzfLBfh62jQc9m2ivzjOl7VOYpSHtnNdmUtRNyn9brYWr31HkUc7 L65TjYshgHr71S2eYsOqNNkD24OXX47KLPrvdrNSzrFcTSnZk2ZQD9R81/2jBdtbEwPpF1noDU2D MQUKNv6nU4B5bObwFWIeWn2w2nkApw1HAFsaEDHjznHHj/P3lC0cbj1BOGIVMuuu7yBCwXhG5gLT HPVuyax40zntcce5vgjQWLDWXzOUHaUId1VFWMg/etosoWSH7+PVqX4p2JK1MNd715XySNPp5ATT gkV0iV8L8OmsdZQUQwHUdFWYWSLbCKLlayO0pqcTvLOCCvy1k8UHT2I4VF48hv6fMeWwstiCjo6X EU3/Gg2FyoPwAcPRbWrBL2EYjq/nzY/z9gX0OVrc8oMmb+ZWZBioKxe8gB2CwppYD3VFfiK75u+m OU4J/YiqfYawGMfOx/jg7W9DTlBPlp0MQhFEQ3Ody0kNdA3olATTE/tBSQSGS1kStCVZuy3XlRP1 IiUBQVvn/tXAv8UTOrBaBR/NvbhCfTm5xmilDMDf/JDf5E8uIqEtu5Hdo34hK98EUFvKbuDmHhCo X9FsW3RpN9cDJXFmAj/4h0D2v1bNJmWlwCfbjjVYRM5tXGeuWl4zFAS4HHGgGsFjGOgmD9daWFsq YQd1/mO8xgS5898ItxicasgGdSLeIFBb3qzU5RTo/7basv8UMGEFdAzGAGHAOat60RJp3d2ZBxQq OvUzjTlfaWjPVlXx9EbB00HmKAcehypPRgUxPxib9+Uf49853MQEvc+pD//cD5F8upDYw/J87fpE ZuR3YyaspZ7JOGYakQ5K4zXglgi5NRqMm5Cpj43HBd+rz8LE4U6DtA98sK8E0Ev4PzaOCzvfZIf4 L5SHO89N2pv726Hgoci1jzW/Ii6aomGtG84J7N11xUL2lsRSd+Jrkhh7JjxJ5Di4C3FzOOmVeiMz FYENe6kHrmwHAqP4iiAjoiOCGcapWq2y0o/RnX1YYw8PnS4XHsnQd73/LwmTgl53R7DVhKslCxXT LYmlYhcyR1wyKMW+FmKUJMHh6X5IB1p9U5WGdOyGw1WWIF7qwhKZ5/YXp1zDNp2g1BqzHCVjRYEh BtFZktPEFNkHSZ9X7DLv1xWw38p2wBgRMKDcaRS+jNx95ktXA7XCFG/xxTVrPxX7XZ9jjF+lI4c3 BpPD8mDzCrtEUL/bi5ibTOq8vsjeskbXgOlgkwD0NKgeBSLzoIfMQAXaTrEkrkHr9n3vHL2Yp+X/ umL+t3ZZuilYvBMiyIXHuZ+QLeGqP56GU+xt0swxm9BxYzS5lI7XLpQ8GNM6IoXzUcm59TN2cUBi kBclv8GbQUIo7Vy2rEaJB2RoZdAv9WAwu8GtvHQT3R5mtFzBYrSKAMs3/eEwa/hRbivX14b2kSQj rKF+e/xie0etNbN1WzP2eY5CV/D2wpdMomrDSJBvbnGnNDxeHr7qjRb0dnWh02lIe4kvr2bIipUb JVjpfKPLA7Boe9eKISksuKAa47Zpn+x/W3eGT3JPXesyXl8mfoQv3pNtFCH45WGaQbZiS/zbz16G I1ShtZbtcqomxEH5c30N/XhT+Ol2VieYfXNinVM1hUvRcCDbvahxjorYXgS/ltkGJ0+a5nAP3qvZ 4HAhCN68pEQiqnq0P3PrLMggO7v6YGJzU6knfTrLjKFr/J7UQaQaqGNZOQwV4xLbXsRkkGS1IfwD wtZo6pL+81u5dKLK9t87YSvdoG6KTNEekT2yojJR/YU7BbAA+8LKaXDu6aUgYNB9v6Ph4jqumFIe MZPStGtnri7Oz8lCmr1CT9O3LG14o7lHOI3e1uC1lZtprw4eb9TNhAtmiFtkq97Zbx7MB51w2N+l Wcb7t2FT4CrWTo0kZG8lbwfDLez3OvXlDKkV9PqtKAfklEYKsIC2bz9poMQKrixlT3cJjFxfW0ix VaodK9284tp7SQtfP8ha5ZKXo7p3wweKErElVVwvPVU3aNP5XGi7AlMqwXNQARAsV9PiQktyGPex vxJ65WYe252UI57Pv4ryEbh5l+NszeFQRsV1M6nx7Cx+wJGyElDtJzDQhOLX1ZzvNsLnXakpNwb/ GKXI6E8SbkonkfRpJX369cstoTrwLDj1bLJ05ooGSC7+jsrySZkX1gHKuJG8FVMvTym0JeOFFP4N UU+fwlhuS5us1YQWsyBkbJxNhpSvhrKH9j6FkiQx3ENiE87J5ZN50vcET8+ayt0zWX/JreDeXj84 12/S6chNzSlWgr9DFKRszcjipm2XZ6EaZx/4b+wwOt3UWbdph+n/jMeRCDyJKiYftJ4uOyZKDWii qzO1+8iQzYnFAP8DIqfXypmwkGHnahMK+LCmuzy01O+UOKtHwnr709n2dX3BVrrLdSEGxOshB3IW 4Q/0/bug+epp50r2jxVBHMfjs+OcoKMN/NTGpnFl+Comi5bIyPjxkdwQZc4LWW6dE+BbTpsNpd28 70AlFomIF2fDECzmzf1QjlH7M0975lQT29yAhjl7O6bSzDBPzoxsYTcbl5LLKC5pRQGu3fNjv63L VA5HVFQDt9MOuPz+T8StZ5deCTsBgPFV9LagWyD1T7MwuGIeCDUkqxClBN0/AWABVTI93ecHslaS ARsdiOnimcTGANYuilOiGx8uYfKK90iF6dznqwuYF0Bpj2HI1j9kIT7WrFkqBzpswQAYP+6WPbzZ +9scpTVLdCDt7j1kvraLW+UyyIyvMq9Jq0gbOG9OHSpT01nbe6Egq7ngWmckXtHtBdrONe4+N9Ay Y+YeCgRUiE6bjSk5KsoLwy0qPNwl1hdn+cUSsAuH4Al+IabTlgMwj8D6ovA4GXlPu1uoY781sQCN /E19I/KUk205KRrEEFEzSMsN0tjy55utxoFgv1l9Tisp28Ys0HGQMA/5+34RoW3AxmteRy3X1Li+ od1ct2TAd44YYig4o4bA8+LmEmN1gQSnHBS4Qa7j50xhWRaWFqRwk7DqgCKQaADkq6CZBm2cD4Qb ziIzHjG7HgSgJ/X0g9DIEyX6JQA40tJVSxvtQiG3JtQLZ/A61kaVIHIMWiPYz8BpJssyz6AQmfiR 8dj1LOJMSygIdE2MlwpYvpKMLWlKGVdtce2BqId6chzTiUbVhL8GLIKNApu8cvkNL06SwmZc3dzz GTfYXCc6/JKXgycnhJvLd4rc1WdkiGI+5wHypGafU6x6v87ZGbO/H8W6mX/mQfYUgAtNrAFfTxWr FW70w+/Y0TPbg9lcDebR0UePnq6/eileocdeNy7UQAODWqnmy2yHfIi+stQuggPoK+rkU95z1/fW 1Ngk/Rauo4xNaXNx+6vNpZnfSr77zl1C6WQ2zRxrjmvHJp1WGJwPntTIJxQjDNBQLQU/MUHUoR4d +U15/VRNn+gtxbmBzWoN01GOCSp5OH2bSWvC64ucDKP/70vIseMQ8qPwFFDz/ucn06CgunHhRuqm Z2aixDYvEjDrV6GBKwOR6A6JxhIBVznn9KUOP/4Sm0x6OpxEy21zSF7J4HsqBnH+XvACIw2pBsB4 ibcWolgozR7sB/bbf4/o4o57JnbeKlttO8wWRSQUZj5lPC/kHzUaHfc2igCGW6vwuUr9T0X0kPzM MzHkbJQqWZ8TKPRryX5Vb/7CgqNgh3/Ya9Pn9dOzeYCg1fTpFqoc+wgggTyHJiebU32dpyRM7+B4 6pn41+izU4WlC7qKj2TT3UtT3Te9aFmmzEfVzY+J/iH/25egxDvrxA9YA6BeMTcH2Fh10j0k47Jx VOu5/O1AgmwqqRA0fXnImcFSlw5RuKbFW5/O603pdSaY80qTdrXPR/R44hyBhmreKUaRropqDbJ8 f5/wbRj5YCg267sTOee1vTNYbcg09Sw9uFGpuIzYixTqFO+JTLbLI1olAVsaa6RYdmmRsqIyyDIh MgEVBkNKyP4sbxW6mP+ysVwEhQLQu1PNod4DQEJ7tXVhYFECDHsChvFjyJaPf2ou9rfv/SlZwMfJ YBR/FWcu0S7Brh1w6ZlzJbvQUwXyvbudW8mjs8lB0ywIba83m+u+e5/B4LlMae/vGCCU6zrviuk1 AsWBsUMUhdtkmgrDgnLtrfvDIMomO+BSfRPGFi52Cly09c3yrZ4ohZcaekIG1HC6vMrIjIj8f/0q NZjUvhNwCKBWJz/oI0mHKBJXsPEJjTE+IzcBwiSUr0ovPCaItPWh9gc+oy0/VgFRaY8ms4CZYODF 1QpQpA4aTxdufepe5iACnGZdJb+wXn+iqv+4GuMNpctmdPirTjnyoX6EDZSDBbicLCDYR7Efjy5Z G+bhUeCurEKFupi7qRDtVmuC+zPrJePKx36SL4mtBE7JYmlmIOuDZ6bjj1Xhk7Ww/Z3f1dCbb+rX 6TxCksYK4Ny64rYJFKZ9V5D6zRuJZtHGs6v5PbZQMSu/awUrj01sUlGQsaNKoJEL5UxTb5LpIh3z WKsYwl37z6CsFvKpRMtU8pYPUS8P47YBss771rx3Ao7xkyMqC6Qv9IqKkiRY/IR+gUVgJyR+tExg VTdxZxreJD1W85nbqHAeQDduLaG9+Ux8hgu+ItuUVL4ejUNgjaunEPonh5SoxnljOULSWCwCs/Wb cO0MgONd1B4tsgIMa0L1dzThSgeJYzCx2jBqW06zv2HGHS//tclLYV5rcnPXa/tjSq689ABj5gBj BEHF6lYo1Erp7QFrS4nzWnd0m0tzSSWtRzd9lZp203mZ2rEEBC9iT1JF5E5RHth9D2vJbf4zfJ7r e/ak6ffvVtkEbXf2IgmvdyFaU0UwCe6UgXUqxoBphUpUS1xkgcwbkdRNeAG+bIyxlOvEuB1wDdfK TaoXrnESFaTpHfyInqjmRB3Zpq243tt46l0DfUblZkFyAlTK92e9CRP9NfLWXKcseyZV8Pl5XKCU DpoyrMtTx2cLU4j3pO9aG5PSJ1/feG+pSvFN2p/wjCXDFhaRnBhKCFP26nCMtryt1nR5hpI4tq+t 0nrPulCmy72OF1gWwz5NRMnJz57kwmg64jlwrqf0ON2K/Rl7QbvExEjtyShIo3xvxitR59QdZuiZ 7DewGvQ5wb4EQxCwwBhvbmzpnZGCMwAGXtRfcH4+7CkGbJiFuBRJRUVxMgOrJ6bq2zBoy2fTCHx0 lmQBdO2KjmeWypnt/p+afMtUDr82odGd5yszH/0HAYSu9Db8hFnzDKIJ1u8LJKGNKL6Cz7dFP6ag imU5K9TNE2FcZeaMKscnh5vpXCPqztQWt3w7/qxS36nTuwGuYM2egvxe0v6BCVi1BU7BZnU5e1ML LGlKvVPGH9E/f3pZQU+Dy5XN05IkF9HBaxFAvnnaMa/FG2l+Pmj9g3hB/fP28gPXSzyj8kFUZgPB YF0Vrx9SSkLodCCZUKf3Lq9HTX8quYrvMj21JwMHqppnOIIFnph14LLUu7Yjqt7Dx4nBA65OCnpP ogi3vNAaTVMCmgJP5jyIjO/qeA5P8gdxMYbghsnWDJLdr1uQXFZTOi7iUIeG4CM06jixMp+dEEMX GuhjRUcHLlTt+lMW0O1vIX6dAapjj9uNP+k2YPKtQieMJJvcouPWPORDMcBvCxySqJzIfS9+8gfc kaABmrjEb3YdWohflqVsf8fKVeX1QhTE8HRZvDaXM7HVkQ3YRg9/AaygGEkSUesEXlDp+JFW0s1s mIKcDAJbCLf/trUaTLaL6/whoyRLe8GiS3LjfterQbNyS/KLver64OxZOWMrrVMde913VGpMjIF+ rUOCUQRMdg2Y/s/ko/dvyCsyIwLl3EPrnSBSkQAiQjdIUB3NqiP2+xE4SlX6TkkxxRh+2SbbCWG4 +uOMbaqI3Erk4s7GopmyF42kwxFTZGUvBrIhCi6MQTQBKOg7Un5ntbT7ENe7Cm3VOZBcSJcEJXKm PWFmZ/YUYtX+5Lzfn9HXVCmoT7Wa2ToHDB5cUMVSULM5Koa+pdzocNgEadw77Lb10329abSdW6dW jaMkbL2pgxcQGYBoW4sNCPJh8cs579zXRjTh+vbhQzX26bQK7YeyWfBq/ulhLq9NerJP7BeNSQG3 X+aY2Xo/+GMBZ2IcT5tH6I6H0CtYUCIwvbTp6fZ5KKx0hYDZemMQofofmVRp5cA+cMtW0JI8VCVR ElEX4yat4q+ZdDozpSxnk3aWA0s6NjlPg4lx+R6X2QP7hudP6yc6zZo3t2Fl0vUF974gxtERdQDx MOIm1FJy+IPEEXQ/3uVWai3hnX3m5bsWExWxkaDcV8byBrZQCzkXmq+KUPGkxrLxj9EsQn963oRZ dRSu1DTAi0qsKDDr7AaTLcNp4qksPT5muE/YkL+kCBgYSSiNNUxuNtV8QJIg4+4WcI2lS7zK0Q5P XC3qhifLTinbbRH07ZVB9j7UqvB/eBr5Ll72ThbIlUVDV7UOtmAFzIETUH+8yrb35eP3QTkJpHW7 QyTm9W84HhwdH5cpgjy3QLh3BfMnFX5oTrHgXUCAssQnxjocwAGh1X1RQjpLSWv698bnhVao1QVG ASaWQZJgRe3JRC+FtuCXRvRlYoWEZog/x0SgqoiNsSf2gte7e7czBnPa2XY53M4u9qiU+PSgbGkR We+ZDQyYFM1aY9blYjNURX2WCM4pApI9IWdZKKPbnN7gg7EkJy9SVnYo5LZI1Rgl6TNjOSNP3LCB 7yaoHefGKmsc+jqMy/uEiN0FPsxzUdHW9nLY/RITA7Otnhe0sEIKg1H7Q8ys860irK6Sgj3ecSzN N/gWJwCL4txHvaresetbANtSAj0OWEP7+Dso2tFkyHSEoi/59nraR/EuO9m5HzEDiLf69bc0zeeG 6piEO9f9oY8nxSqxdnPQ/DT9SFH82Ui52vPDZ/lal6n23M+ZWI7PS2/wsyNbpPSSCpUCotxBZf25 MMFf5Y9akgrREOo3TiAjVOSBOIiUZvlcqYRGj0ckn32hQCTYrxFwBfu/e5K2Lj+STcAz9aulkUIT leLOmRe+kjMm2P2fSj+ZY72LkpLnKYkxGsVjyjMFzFloxbOd8NtP3rwf20P9umRrlHRf6IU1vY49 bmUnRmIzummHEKqhCI8fEACQsy2adeRoi5nA7FqRLjyshfqM7EbBi7ica3jkAoe2FwuZ+31yga30 fhdwhuRW6bt8VIGiT4xvSDARiJpYd+PsMoJaQf5IkQQv/6kves1zs+R0FT7NbczWq5CDC1x5XlvC vJL6s1457/Uh/8YNi+qsUfRrsQKksyvK9ToLZnHyIYrLKSTebYuafII0hL1YkVoigYgq2KhH5Wdh BtuEuD3rLINxnPEUdsuY9yXqmjft5bwrWDq3Agc6fRreEPhmM8N36L5DoAdb4hgA59osjc1i+ujC pwQ7JXEaUy4q9recWgau9mEHnOyOTDowFc0PzpsA47tbMwIIcowFSvCFJS1nt15jX7D/6bmvLemN XtRUllbDSKJV7CNv4NxriXxqJ+7Phl8FCx4ChWdp6VRlm35IaB0vMoWdjIVn/wM6G5ON7A2mzjrq kjjlV3txXMOEpiifsIY8flFZXrWfRPtdXSf+ivO/WH61o5Fl7vnJrLa2Ig04s7NLo+XfxV1fnGRW Fol8PZXTSYKLIzFXU7YJUoEb0wB1tXdY7NP3MYuA3hxE2XT4EtzfZdsyliS7fyKmUXpcpYagToZf fTym7YtDGAVQT1kpHMmXJmxaQ+/JQHn4KBhra5qA9+s/O+51zJmwYRs/8vYTLx86EcV3cYl++fGH o+8zxM/zFKllHOJJ/YRYEip7ZjImtx+Rjl0zyK4MpYW5gfXLVQL5TR2aP3cL7t8odnO+BBMLFIJX VT6a+7m4cpBGv4WJQAA026vNiHkmYHzYiupzUOaEZ2/8Uh1nSL8RLc44Jn6cJfVJzui8VjzZOlIl JRdN/Gw9wKWtp0QRzWaSKxi3an+lgz4AWt4i/eR1RpomnKYE+dxfpNfa5wj86nk8kSqOoupWFLPQ ZHKC5W0l85ut0rG9VV+sf/3qz0JybPtnGVH6zg1jVlrL1/qxRUyBYmpY7qKjncRTdTAszMLwngKd aUL/1dVbESaQhcDdAThMgI5Li13hzWA/zSyqMdZvyxW5sNnb3uIsca3i/cyqEzt4jUAfemW6Wmxi 4LVaCg2lbDlkLz2CYnSvR31FJr5VOmecbjPp7Q0v36DU5fy1Hdvwsjy+KMeqUeGVTgbwX0F3+/Y9 qj4UiwYBGSdyFKpZBJuOt1/JCDFDVrEQv9IlRFmdbJkn3SzpYUTkgg2zHLlktgh/+SJx5IvRUS9b LphnqssmEKQB8IP+78bJXGmlrLOd3Th3cXD+PDPyU81hguq9r1F6C2b9zNmc0PQFUu/LerVY4DwM rU/hkf7yqVoSHKwe7xJRsjH4hMfQxNgRW7PyBvECAb59ZBHypsDLSjaWxGZhYp008iEEYGVnbcY3 dQfm6MIX/JHRHSnDFYyRhXL6IqZtll/mZ94qOerADbId/HNePE5jADWRRQvUHfYIuOdiaCOc+U6p dxk5Y45RaENCnmZkXXkcYKyExEoJ1LA9zeipC/eJhBkgpn9Lbcsj5Eg+bDLkb+O+0iSgA3hDL+Zo 07UPBoRJXOtKcTzCoG2pp7mZHRYaj1qqmb21hKlW3vbJkHEpNZlH18+SqjbP+qAmZqYbQ4Nr4Xw3 Lwi/L9134/CPqXLmGoU7KQgjSC5obGoi+P50883l+seWTiw/J1u2c81Ib1jfAhpPIhg9pZaXYgWT lSDBtuSaArwh4tMxGtynVJFftZKwb3CocjeJrLVkpgCT4bGEpZWcNiNV2aRKQCMiJeFrhpcv5Y5E wFEh8AEaUM3nOJXi1fKI4CeRyn4Nc74BuoNOalIkMZPYXxeS/SZCM4PUlnPEXJiLPAMPsBBWkoMP w7zVK9iVLSjqkiEI+TojGp11zikj+8tOreVKP/EDSVUn8TSuBxTRtANUFHqJ1a+AyZ903GtxoS7g UfuHkTfuN+n+rTnpCwq+QWUYB1Vn5vZRE/+NXn1rCg3FsdLbAdP2ZrN2i8FkWd4wysd+9RvmCVF0 nu5o8qRONTBH8ePhjPjGKWxh1IZKhbGYC5AcQy+bLfO12Q0F7HbZDGL8AE5u1X/4uGp5UPKT8/Jg iL5q/FwTUlwM3hmS6A/6vJVMtqiVg60QeCL+VThuxgbskODugaJsVTMv9kGasNTYPWezGSuauOhQ esPCdoCj8RopXD4cbPAjLW1kgMn4eHGrhWhXDM7qLI6/IlVTfSA0xKpXEsLm30eR5nkU5YjLQwJp mpShgc4+86l3b09jlnzu5S2HEiGNFyuydTRQ3BuDoT4qbAQk/yBr/ajjXJbVTpMnhUNsOENE0V8E v2Sr4JgW4ge6XxJq9Pn3Vlh7D7XxwFZhB/dxzyuwJyJz6c3Y3vLgpe2G8syT5RkAydrjKYzgdpgt wUC0PMW1+T1DLe3UhGXTgphnOOed8dSaOH9mwROKNqlqQwYxNSXvkfdBCj8+V5yM7flOlAIGTXcw CN0ZliNb9kW5ZBiQQAUGEyntLxrCuqegDVKcbS8kOnmllMAw221pzGMJFpvDaMiffdo91Aor1otC fKBpTpsV+7RWtF/PO89BrLaBoTCYczIZ6D7VCzMLguo3W5/pStrxfSnj2VI/8hP524QKE5KJdnM5 me7s5e4Y6cY3/Pbu9l5pxj3qnCZPzy+ctCknol3VWYl69c3vTEZgPPGV8vBTsOo4Y0hn8vuotPUt yWzNOBYpyabqVOvHV0RFrcprO8pKBVRgNPFVF3NEJJWN59JuNL0z0O5146Lf5UxLHYcn/D8tPjyJ 6s81Ym5oh+b7nlRaaeheJiwX5V6DAyP5WTm+pWHSgd961YcQdvD2Ub74nrwceFikdFqjj8B2oksk qnsVX0dCewkRZVj40BvouOHCBCSwgcqthbU/Z/7YFVIy9jOmFRFY2XG8dtNBSQxiqoLx2CsfHo0V rwC5TfQiwh7duSDW6ClXMLDShCU2YcNJWvI6ixxNL7URJ8QOX0o9bIgy4RVES9RD2z9zx2ayYFoe XCCSh8fJCD8T+fxdRY/sFfz03Kzp+DqV+j10qG3L/B4CVb4fEzGEVD+V6IGpZmRiMZXtMoDmrnUE hXLCwU8G+AmkGa0VLpZXZgFCqtyP7xoR/kNMPvJ3g2OELp8X3lzpu8b/NZHebFnjAfkqs+YCJV59 4qgHAL4taOmlCnZNwpG8xjbvSsgzwKqvQZTyA1MIsmLjK2Bcohspf6HbPcFSXH1RroWR8h1WK0mA kSBuPnhUxRT36e+cFFQQhb7TPfAlnfVUgCyqIh1EOkfb9mqi1RVB+5xKvctZgcg1OKY8SLFP6iIY dNY4uuXMn8AEGFR9jHpXjyUZPcPBp8++vhpWUz7PJZFkW3d9Cp3lOiqM4zpy/FHMwYqqttAleJ9/ Nwe7+yxuU0l30fPwZ+vV0RDrES4IHSR2VDbgTLgWzsGxTiYaiPmft95zL/NT9+FpRK1pSHuusP6G LIwW1GBHb7wSYg0YjjMZm38R3CumbWc1wTAxJjdTq4XVUIJrtClCJylm9jm9oRC3amViL78LZtgs Idl1sUEwqGFNjafFsQcTueUA6SMncP7fLVgaATOlCw1yLzXEUaGVrhDvMNaMzOVHrojjUvFnvOFD D2sscF8yLY6cwBY8coBUuHxqD1plshojuOyv9g+tIcEBRxwG7RdqK9Ry2RFFv1CPl+SHZA3FriyM 7TKXOtyvJbBrWlqUCANhZm9MjiGuZrrpefT7P7wyUu6cxvISnY6nUkZyRlRB9FDXFPNhuxD65y+9 /D7/pqthvmpePJ5cGQhWFsOnv+DebOSwq4vuGT3bD1hULj2ePz9jB+pj+dBDKUUa8xrvnyk96fbT JFODxuSJ8wSzQbtkxZjsAIuLObMLPgwZh15L6b7FiwK9py2uGmGztKI1oyM0ZO7xd52OKP5EGUPl XHqSmQAe1HhEt497pyCw/XihAFX4zXpoZectk/sJ89c7v9bLpeJPGYUV9wkMLIDysFzKRb7KXuvk ofKDW3o2eIqVAPRdlPvltAVH199cRCvEi5ANLWugrJDVDeTeJ0UE2wEhrMtA2QCDRUYyKB0rtBm9 l2chRhqs1MlZq20kCSMSGN3o8ilOmKO6Ie3K1ofCaugAr52Rl7L1mKDBjevu2mkxajjCzUmt6jbj CULQqbo+CWdFFGrjaAKFGCqCzK2dbnXsyzBnqcJjQkp4XN01uRtFKs7mysjJKonRCYtlGD91c8Xx /bNbsj/IGhL6ucywX32jzmeSLs5HjFuj5wE0MMlRDcadp4HMj5ZkzkCAX6Xxxpu7sZ0gYqLXKXlZ WkZhxQiR27UG6Xfx2W79EazxDnwp6Rmr62up3BPPBY+NFTKonAVPoQjHVylDje4trl4KZwNcGFOn ZGCRekVFy1C3Awtw15zAD8Fw3h4tMWrxbrvyuHFuRJWJaFySR/nySviIgwIZrRGM2K38N8jiv7k9 fcxJMTkKG5cokyzymDgs97O2qMbMQaDGKJ44U8tKNFfnxxQ9offrltwm8ZAi3aTRKvcc3HobzXsT lzCgQmmHoq1P/E6NY07/sjIlr24OMbr/l4w34+36h81Vin7H1M4KLfWTqCaoI62GpsdKpL5TmiCH 1h81KZifAhBY33uTdSXL4KClWQ0FgOS+mqRKaQoZ56etDW+K2tEfC6WlnYdUh5EfWLXzoaBoCLXV O1U95FmaT3PVtW/21zjVOkLA8TbyRXL/PQklMLjkwIj/+ztH7/grxIvtfD5eLPmTKM879ZNp+Hzo 61TnluQXLNgGxj66Z0PKMzjJWKE9oEH97PQsV1UXbXev4RIvoflqWpeAFoW503DQM1JbNHdwHwS0 qimQlAny7F8v/t5y9SfNPU+13BSBLS782mHGhnsnGT0DMcBG/4SX9e/L//64UjxoBjNHuUiWj0Dn VUoG2yQMMr5MuISAQ+L703ibeERXssCyWL7BSxSaOboLC57w2m1sWSCyoZH9MzWxbWZ3KPbx6NBd Ek4eLopvYLRGybUCIlMyxIPY4qtiC/qc2jcowEGLSr4R6QoOv1lZ3IsoOlEIbLKRJ5MHvxjHrBFl lJrNT+rSUFcIxR5e/xCeoVOzm8t1pluwzAgEZRXWHwV7tM22N2hrg18q6FtVxDAEVYsmHv2E9eFQ OB+vYxTr0K2NIrZcYDiisDeLDyUL3E3sxFCwolhAasmD8DhDkAySSgbQAHSWWCN6hToUjZmyfIPA NhzD+bvOSYWShYqGaKknnsMQ7qlOLGgZAvO5mBYMdiUII3LsS/+J83meBekgqh4Qjm3GiJXHwyZQ v4jmeVebrQNiHySexKjcLs8CBocGtSj8WIkD+NMCc45IKoyhUbM9JgO1IKCNBhUKzPneQskneoTX zu43F7zySR5EfF63HlJbiJ/JnWuqxwglrbp4X6xLQWTT0jnreel9U7DuNC7dpxE6I6YrPZ534RmX yYa2PnKTZ3ExUxDyMFjPoPHgCYAviZ1M8iyaacAXPuG7axXg5iPoB/g16tqyNnQOfdxNyXefVlcW 5nTgyx5Iq5JujccacCN8cD3fRPH5a6TfRWtdT3qUPNKR5QcT0PRJsT1ruNdxb6oDwwkNd03f72N0 5A2g/1pF/YxBBalcP0ZTZkVq9iG9p0Nu7GpnrY93EsRb8sRsCJV2R8bBdumVrvOtANlHKEJJDFUc pReUta1Kd++07cBBT0IyNOkUmzZeQq4e4aOng3qgRBM6W1/3tfQyGZ2hYoivXPs0oqnSunrXLHOV v+W7PBkst/P4hnRnb/taFa1xcM7tYvglRKcOgvueQQ37RLLssuFy3fxEZPdNNOIjkz0BQaKoHVOm p0fEl94tYJ9rmpOF29R8pYpbPvdLPdqgRnuZHpj4S1fvtW1sTK2gqYQERQpu61EtGLqIyuzXhln+ +wwbvMER1Bqa0AOWr0RQzC5udRltzjONlhX0kl6Bf4heZSmJmH1qDou5jJZwcOViyvugAl3kawL9 JPAroeVOK22KxU2xKvtiHXEJdi4RdUl8CgLqv83jA4gD1OxfXPwId9aJngZNTAwCss8jsBjT3ZLB N1QWfijdKD0Sry1e0SGHZL30tS1puq3VnGAbEnJ5DeMWiGWdktHz/nMVtvRyi5GgP4BHeqaN5OZR Ndz6B+enVJyIjafZgu2RnX3zbOFuHNYXZa+AhEtjqNP3WMeKKi1nn2wjwiy4v0CMwt1KQn80cSC7 msf3AJVxmNrxoBW4rqAZJpaDcOl3GPGrIw7IhWvNTZBxDjgE1uvwFrlotkYdzyDR7i7RbgRJMINL KMSiyOCL9GASRYIID0vpzV3xLdv11SfushRlNNoaUHKfWTOND2/e3lnZICSmaQuWcE7/bLoZec6W 0UJfVV4veUDO7+A/q2YtjVUlmiH34+FbfP0l/+irUjzTB179q+K7i2XarLhmO5bOr7EoOUhQch6O sY6JABkOiYWr0/H/tH39BxLyR5uQlzp6ryhYqMq4/5hp+uAI95HLNilrHeoxgbZIVgdn64XdB6jO d/1UM9rj+7eEXIhR4zMtW21Nb0H4zOc3pFlm7+Nl24uM907pVT9j4YMYVI47IABedc1+sC9fLxWQ Aw29VEsCc2Gp5T51L7jVPmT78XMShIsj8c22aInpIbUt7bqAQy8bWkcRbfnCMpcJdd6N2aU7xAGK R/ESEp794tq0zq55saYgJPi3mb/iIIAwfQOTiLjmlzJ5PDNQ2XKEY04n535f6AB0Y68wJUfWl+gr zmkkAWiyhR3Wp+HHXrEylfjJoRXJCPjOU315QYyliBqhJCXDhsiMrxyeWc2TtNWixqFx6ohtza32 voFfL0zirJQrQ0tElAHskqGA2G5VV2KGw3nsDvvIaRahrQ7mHIZ4C1tO/fOPoN/U+V/EPnsMtuHR UJdRq0XCYlPLQ7ZEeYG4uCMvrBtbenf6rBK3zmP1vMpOHnuaTUEFqEQlir61tRs3BcjJK5dkuY7Q 6JYXMKuyIVcKwcY0p05n4S0nm3a3Zi4TZzVGkhKAx+MW+KOYKAXtkjZNVCK6+GX6dtEMPLlTBxQk 2mLFLISvgeDX9WMlVKytcQJ3dNr4empFTiylKxJTblxIuSCSBBwSdtmaIf+f0tZW1Dr02/T7MU1X gy+waFzrNk9pg6TDE7/HVEJMv+WeRi/cPqkKLJrGE3pI81XKQdkWnK/pYl141LXZ/v18xIKn/DwP BMBPCzEa5FqKXfRjg89VKwG3fUJNL4Nt1TuDHvjN1YBaGNhvRy+OaSa32fkPDeYz2GIUQBZObbec BgZNvKtRzqOJf4tscCFnTwl42PK+U3kz+WqDt6wMFfDO/XR7IE9Lc8EbA2w/OgiBUjRI8keXvB1t 4VGr81xR0EiBYLGa62Zne8PJZCWH8ctzcbofm876VEkMImtvrOAxjGe8ULM9j7GH4dPrLbMIk7lG EftmfZtz9GuRZ+HUR7sSa0gYSA2yRc1v7ucTvHuJSOgIsbiZtEnsaB2fHRI7p43m/Dfq3tPCe89d 3ev/IHXAbR3Yp6YgNVMNL3BV/+avX2YYQzPexHXyCQzpcRBuBfyJ65cEinBhs7CM5OUQMu08ZP0t 3mqTnpoj+ZqWbeBqf5N66DghS8stVNj1pJIW98vSENu9ve0k3t8XaregBxzaaXmIhP1YrQsVUPrp 3yVq4Nsj9SIzP1wmtpIvAxWh0VQBxzYNQrp8nlnzYxbkEt5YmP1RDSVEeWpwW6CFxs83/LwUMSJj FPEkG21vza13C4fpbkr3+zxrZ/qcCfYOtcBnZkyVt/IlLbShEAWDbjtB+JA7EXUzpytaxVT1236C Xb307acYnaBF6cGtdI6MyiCMZLzsSHXM+bHl3Ym6AqjqIWs3LhSn2vsTZl8M6K5f1QsVhJAiUWfE 9lkFVNjvP2VSTl/5o9IgUOhQfAdxpKwgkAkq6+lU/r2PZH0jD+QE5Cn7Zw3mUhCJzDbREwvljPRD anTd0Yf5DzZhU72aJJgug7yGLfiJ7sZTVODNW+o0AmObPNV+47GQeDc81FVx9UYNgf9W+e9tCv6w /lU+HHioarpnby75UAVuCIzL/9PLXnqzWguifkXpmo4gv4iSChDCxsaS1ZnSer13mv3vOZ5d77hb koYKNWdds/hvI7eX5EQ/TUTFLVP1CL0CPJZBKMyLTpgXFS02GvzMqSwM/HFW65rsddxLIglumMGL TLSaoiG/E81nyBY1DIFtbFfVMOsvXoPhOWqiNDyf6HHEOvgr+YXEWJpevYGTpzs48M5ow03Z8sYJ oqR4xujbcia47K7kCIWqV3GtRS3kPPeTFM1z5UKmiLdzSBmuRApzLMTJlz3ZPSTSW5t92gtaFejH zSo6f8CdQJuBvBuJFF6wSCAoPEW+ls6vqfeBemgl6rMrVSdkrO6drW7385GlwiCsnMOHtCWzwVXz 9sEU/wCXJPsYJo0dumNBpr9y3MfFeByUZVx5HiUuS5VmX2AIInedrHBBc9mCafS8Fi6q8G5rTcie KyG2FCa2pM726bYyNCV0l8Y6Y+hKcUlHkoPpz7W9PO2hdz0k6h4k+iyFyODQNf4jqCZ4gQ5DxP3x Sb+B+uzckpc0YhxkXurUNSs9tLtQ8o7YvbXRa83kbIScPTOuOqim17GOWowpxljVFbjAPJdAyoDM sMik+wnfFxYEPW+ENSz5I0RmykIUR28JwbU84cA82uU86CYwg5idKckuCbVjeBzLEH32I04jGPnv K0qM+Mk+LEvxmFWoTybZNeGo7FxcpfKcaN80rg/XbSmyKgAyaCZT1ULNoHTqCVm5Lolb0E01kaRF WXRc1xiP0CWx33aPvKKk2ZwVIyvccCn3bsByDrnW7XWvKQ1vDWF5DyDXRGTOQ2H2AUxD7HpdC2CN /KTSLNeFPKqgMfE8JcdQ95AcEZlXIimlT7uB2P8zH8Uipxh0ORXiuL1VDn3sLL9vF25WErfCa+4f XUjmO4P+CGBtQe5XQPGeNM3exvq3lkBUxEYIptAHJJHndrqJhb6TOF6q7FljGgI9S81R4UcOge+3 7FYdSjw0Bn981MVg3HkPWIKpHHbS0UBwbgl4XTMatjA5FRyyJHlb5aF47H7WOGd7iYqFnWOv1Y3l o3pQhJsOQ0xFw5zbBqeLOe1q2HYDev6rWY8+mNBPiUBZGj2M02441IS0oIwles37acnVorueAH7k 7TVF8dXYpicy7vhbFcKAd+xueKYf26Ft9SJGAFmj4nXmhfqdQ3QXrVX1Xb67LhQPL+tsD31UIWOl BYwhci1Ajr6wSi/faRZSE9u++848hJWUBE3Rpqtk0FIv8W4nNMqF7jk6Al28LuJBDQbscK2mAg3b fRCWqv35b7RA7XWy6Hsx5ZneyEf+LYh/gXVqv6BJciT6PRvtYe4IEt39EZXBXiYM71O4FyfaDi2t O9Kmrp4hjH7cjd8TlNrt3H1uCGSQCyxXNyfE7TsawCavlEsXDWEMn0RdDY8WTOKuJJTga0Q13QY4 VjhmuOytBKOgx/jRZZXpwrI1AoFJmB0FFk6LB0oAZCDzfiTSiAOQih6VSXL8wKzrPAQT2cHjjGwD 5Jg5W5ApM6vx6ixZVX/bNP6ve+rEuUIV8hC8FCxSSpib9xArLKut4Q+zPlMk1N0v1WbDRTwRrFME DMYXxvc6GDf5e5lMA+pPAn4rlzP7SpYPYBKMWUx16xzsEAjy3AaLkjPb/F9q9NTRSK3EWlrTQGm4 uADvFL0cG6hxlRjJNRZ8DEt4HdK/gvH33V4oiFEDHslXuaaeAdoBjMTuqQBoa6B6zB+R6GKKm5Bv +94fSS3u0RM6Iq9OqggRWOghQT6YQnk1Ir4TbuougTwtLjkq7ftNNYxslAdVOSCfBrpOBG6qLiIR JMEXM/MJngqFpOoWvfUIH66MEOHvahLREUAYXfnLo0k8yg7LqfIaw0DliMdlopmqdFMMAbkIHz8d IurYLDyBU95Dq7Y5hK16JNhN359QlBc8boadqbAA4csj+mRm3Laagis1Et7nThxW6oBWuWPK9AER xieVJ9RY3EjbA3icq39FPhQcjIplXJpXD6Ofv6C6AvSrN3VQ3RbfQwwXQHCS5SHpuW0wzx+y22lk 0kvyC5HIXkC/SBu8dI9BRgsBUXu2PSqa/zL0v1dtTbKCRsEd3fTRvNK5zzRcCM8vvwkNsZUjh457 vlDeekVmQU+E2wvjJ2m/80uAPnnIzj8Bq4RoCoQ5JXxE1JDeOT+B+ufr/3MjPC3ddJd1C1buVBEY bRgKnxkOOnhEBik2/wqY8t5Efu40m7BYLo5n9JXNdgWJ0QDDfKk4nFyJiKvB2GA0grCbPnaS/EVb J20TVOVz4PtWLLaFxxt94jJkRmF6CXibClciiLIxFo5A0qN1tdo1pmVLOK0wKJomWIZ9O56S1Po4 2Tl76ZPAlTnpbcl4+tbvNVjIMRP+kTofR/2L1vw/uWDJmaE/xmWuRIyQ8tmbYKRZPkl2ju9zd36t unRu1ZZKNXETXZqNkNLSQV/RMAyBvm5jRooeBJcgN9tFxYyVD4sz3YVKk3Ub23cQV/GEqNGgMLLE k3Jy+7u5250m4imdkoIk6ue25O3OTwNq3uBJxbBUvPdz8qOt/bQ1dopOiToBQyOsRTH+QmGx5MPZ vgDDQAZaYTTjDNBVIQos+w3A0EW0CN05wJ/GXaVYYFMwco/WaGV+TPiyIgXVNJgfqNPvwJKbVHb9 2aDs8KXBkBZIBOcqJO4lF30Bv3GYzluYUnaibnmg3+8DMLlU7Fs+qb1ypBBd0jImXvjCSVOQUfm9 khd5DecXCMLhjEcDtFUd3k4Pime8Hf8OkN7SyUri5PNVTDia65rhmrdJuqF4z++XrwEqH6NFqDCV V3ByZxNgMoXw5yPnSribBEi9EBjWRmPIWUCOrMMRt1s8jbk2o1LqyBNqaesO3qTY0IqgoTx9MltH 6HrwEHoSBs6YSpXwK6xgof5ZYdT0uRv3WezNnEZBefV61txO/B7mEXAsQMp2MbnIIv5DkSsS2QLb IvGNBz9UV3o47t0eDWTLuQ17Lr20/a13Y1oaoZmyo7s23hTlJweSpxqTF0h3ifCHNfHQQir/5FkO z6USsoGReL9CO/WKzjNZIOYbFTv98ARVTtylRII96tXybvfg0RMmmFMJBzgr15LbGyNNHlrwSArb y8jeWdtKOc9Rn4+crGYPeL46VdBzei5z4s2KWCpeIg1Z8z0kg1zlINoCambv08ptNqpRYJU6Nl5c IdEdeTt5eAhG7bySW/xUrRmrE93zdT8ya0XxrQ2e2P9nwMHb8uNbhLQmnqGGI4hq6RPjF4fEea4L 4yiembXcAPPFDzYP2IvcCtvbzwuC+YZ0PI52huaISd9Gqxrlk6TrqerFa8YuDf/AAyEtFqK9rczg JSqeDlszxNBUXndFTgu8b+GIIGZYtbrjqjGZBRBlKGFZBeRHx3yu5p6MatG0XyX23KFiUt/XT4l/ qwFYTGxIU4p6lezIxkBEwtLtXMmPWnKO2WciYRmRPUiIUBsK7HnU48BNnTPrcGgIkuYjKgwhrd4I m9Y0sWS8+SkiwhawQuOplc8cLS5QNR2Ft0WNrXRdT5/IOg2F74QvmpKybfd80IkFCZrKJFDAS3SV WGGk2Qq9e4q1HgbnTAgrG9khhzLE+QKdMpAjCE/MaZrosafb49ViGPSv0X3P738fCduqQMAFH/n2 8xZYharsbH7itq724cH3PAjFMhi4WYzIgSd89GTmS7WZxu38cSdguCbhlbHXug/+xDXkD+eHUoeO 45Nv9HpotYgNKRvV0XaHtTzi0IqHi6RDrSV/3csFzIDn7eitwX6vwivEN183FtK3M2jpDWOy4G4w wvBBihaKy6YWbD65wFGA7fbn9I3TxUiNq0ir1CaU6SlJ2wHyrOr1111fUn7V+TsuMkj6/Y4PcsNp T3viIQYNY/QCVBDha0uQm6CVFisJRZzsGOAC+53SGMr/NzA/n4FxxjLC7yMtdEsOvvyykNos9FJ8 SCgqwEjuFILV9vaH3j1Z4ifFmdvd06LmQTpJy5IWt77B0EV4qkN9EMalxH0enUQuK+vWFFLoBPEx cwwzw1jqvWNzw9EOo83uNJQQJAv/hU5qhXD61cUF9M9q4JR0GapDEeJxryKAIcVl6Pnaw1rziRyc FM+UOgMt/Or7L2miRaqGjaMgEMWd8WTKHQIGLEVAZle4iaEYrE8EIjtFaIDJEmQY5gShxfnjSZ/A yUV+D4LwkolU0DR68REw3bmpOuBm+pimwnRbjP19Uy+LgKyAOg3ED8WEszEhQ1uxP9PwlPHeaDoH 70EOXDfr+4T9soVhXNbQEA61aIt1vEz0/KPreZCiOXSG3rSXywqV5nUXmtE/IKHiwykf7i2AWX1C gaTsGD3L3ng9kplWYowN+esZ7pdAi/YWOkfrVcY5Kx1ngqFfL/JwI+cvsxSCha8yq3ruMYbyypZx 8V0QPaYUrhsUs8mk6Jrt3mVrxtFq68GtXHhpZvnSKJEtkc7mGrajaNZXXUDsy1Xfcg/1UE4QpP92 BDHCFjy2ngq+zUrNYAzSZ8rvKe+FLWQY3RILgK7yWqW3SvVtRuSAg5AVulcbPxl7lGdwFB7zNBsa P8f2om0GQKVZqy9H4RfsRRrA5NdlWZEyxuNb7WW45YETg+rVBMVhCITYozTXwljXC3jLPSMMFsjs yKFoN6H0cPJEzhBW2gY3W49KSnprAaSpv6PCPafVoo9yonQV2fpEGOIBSD2HricH6VncWz1SDsjp mKJ9e+Nem01sSWHBqtfnnbAJ+DL0tksX1cewWuvVIWPnkekJJE7AkGXxCS6QdArtXp34jvOgX3l9 Np6tB7AKtw4JMAhBnzhhwm8iwZOOOgmmlULmO66JALiIpp+CpRMB2mwX472Hpy8jNW3nHBHS5b+L 2QFZz4jvyWoV9UFK5JvA+khYbRl9ge4HtDaJTXr57bBvcf+v7vfhQdS5ejdE+MN0hfL7l9ggoTPP 7jf07Z9JqC5jYs2pZbKTGNULNlEtkSGV2tPCF5l0pQYPQjncnW3S/Q9PrNx6jU5aBq+jLezZvEGe jcPDI/B40+RDfUjGoXoqyFHdXnqo2YO4CXY2pUiSUEUmwPbaU+bHjMfnmXy1V9Joj6c8t831yBWH P4lR10gokNoq4wX13J7bajCRlon/M1vmcyAZlLwVajcNB8bFXTzpHvm222kDiNqTQ4qZVbkySFIP pKWyD9cYytpYip9S5Z1/rqikx5JDflE3ddbat9+jqB5Z6bSQSoYnau/HdOpTEtpmlnzx8hOBM7Jq h1S9a4RdJWJqozI3jIfR/acHdlELrbvlxavPpucgd9Uq6NAaRCXqiDZw/GtPRi7hUbsNWYVWVVeF epAMg07QZz55ouRsC7GcwMwMxYEdQJtgJvWnSjk0d+oYQi0TyIBRL2tMR1Cd2WWx1jB/pWcGRBEp gi0EhIgtwm3uTUFmX9pulonbtrY0MntV1n3D4kEPEvzPmeBqb2N5qSV9wDZiES6463XSLnpZKyUi 5QlpQGe1p9OIXm+wnAOefnVjHJL9ZfUPLvYUXddAn6nA2hEtUpotA0v0jWhF4SrV5QiX1fIpE9Sz qSc7XLAAoHJiNTiEdTDMlhj4fkLQ3AqI+uwVFGDyawQm51BWnJDmCxZ8+KWL1eIDZdIaa7msP4AJ IZoHdjWGy8QQkTYfbnBcZeoHMJ3szSH1CyofKSv8PT0VVYaUI0DcnXc0kubhW7UUIuZpSNYr8aTd +2H1LxRo8vHgk2ge4Aw9kHQbdS39ZtrUqzw9adOsJgCs9UIY8fItdEcHsSlQJtUxqGg28tccsUSp 6jSoFhxodta4SQvsa5aI3rGh/Vw6J8/1eGF+r2C7aYhDdZ9sftpClrWHBlHNPx7n8aF2pW1AVvM5 5pEB+R0Bas70e2M/aZy4dmPT6t4bnKrZKyZcX1GaZcAvvE0U/ezS5kSF3YFKP1Uf5bFACIYNmY9B Hmi5+hzMt46Mnxz7B9NhjbGiZA7C73Ah+2UPYAITKr+mymLL46SRQHN/M2hQ5RTJviYLQfwOd78w rreiZvAJMiHSjQJaxFccfyGXbOzZJS8HYPYy1/JbmYfW/Tx2wRC0+PT9/NoITl1xDf94zCEzsJC9 TTYc/++XiFFcbTibqYUbUOKHMiVRgyy9pJ+ZiyM84Wkwc+MxmlyL3/mzpjUNJXGXMJQHRiU7nUhd Ig4Fq7AWLU/44QSQ/LTAFcVK8G0zXaPpEQ4WsZt+meTa0AaYTah3FbQap3Ntd6Xo7dxY1a2AEf4A Yp5TizuFkyMW7Pxc5EB+fwkJYcmCkLRbNXKozWCa+4fjunFdMkIJ44cG7peYsj81yRWbyp3vLIM+ KleflgSq1J1CLxmbBY8cg7P8mK19G0WS0WPk2YxbB3nhlCM9ky9I+8+CwmO9yNcVelibzxLiz5lg Lp2yHs57WbUU0B1BcZBOTOubrlA9HP3yFGvmhurw0y0REXW3ifa60ncIrO1FN7uS4Wg+1zAIFR5C UrsJgQmM+/2V0uuOrcbHti6E//PhaviC/7VXhm/7Ws6cV/hVm+A61kA+oYNonxNhJEUEDv5LDODk a9w42EAnizX5FNo/V7GrY5+bCt8ywnXGn6z6+cxdIQQ97ZRGkzktsnkrH8hRFpDi2XR5FmJtbUgl +tVcFmyo7JTjrJx7pJIgObz4FpoAX+gkcaByNZ9YznGdaNh4IF1SN5N0GaiqheOmXgELnLkeCQR4 DNQBSTgwHsX0G03AJO09rhW57SqE1jcuhZZg+Oe4//YDyrh8y+ac8bbkx8k+tmNetqFtNg8cALly 2r+MpFQNsNex+DkPHVnn/JIDR/Vfu9XHNv5AvZvFwkusmSiPEpECoW/vgho64ix+D/LuTFbqb2jp Ulv6lFl2JTr4oSCoIaeZWaIQqMR8fmEOJGXgdjZw59quvUabrHhX+wPxdvr4h4qF97GeKx+2vm5v AzQpqlTe8r08esOiihX10y9euJIpAkz9fndxoUFFNJRDsrc5/wBSQIbTxkfmvLnip8J/icvf2UOt gm1ZX603sCpH6LBtEfJ2NsIpfhOuFXsK66ATsZU79j0N6HYD60HwRE72Wl/dIdQ5/6DyJ4A69kys rCtk7bodX+pY/IPuQSfmUAYEozDAPxC63jdlQ0aIrvmuvI9j4qhIUDNZyCY+UVHQWzf1C49XCYuL 6u8O5Uo9MKlLfIblXnP8ncZfkyDZTHW5xy3SAwAjeTvbze9a/uTOi3I5YjsjttAowZZPpRzXbvx6 GkwqpdJkn4ONNuO08Z2rhSDhZSJIxEZ8pEuSleuHq6w2y6AmApd3CZqFaGEvMyMmM43v8PZSnn8x IMKquuLAo8/uWizPfWCXuLLWuW2HwIqL4NYIh9tlN/8jcW9Dgz3vaDuWskIjcaD+MbvtkzHD7ciz ZqCqJvX3h/KPF7TmXe2ugvsQL8IbBIhZv4mWEmUg+wgkvNp/pNk/OKzUWsRaKqfVandnRXaTidk7 QXS2K94hqkZl7Bv97m3inYtP8bIa+XCdgvIng4Lzw2Gl+qIY0Odg3mY6L3aEfiEEtGmkvw2hRmyV 4BpzY6lCe57ScplWfs2QZLSNxL8AKg2xR7gPIL3RbDfHPxfN2Gf8eRwDzA0OlndgQkY/nxKj0otV lN/TV4O5a38lyaIDt5K+F3tij69oWyiQQbfoes9NuAAXF35SY5jLA3FF8UIdqhTQRMnrtMZsgMtT 1bFrEeNNpktS2iYAaU6yW6Q0VTS45LSarg/WWbG6Mbyu5y7u9iuzcnjCCZIg6G5frHwbvDpJ+i4l i6HxtqHcDC1PqxA+yoziqc72y3LOvlHpsah9NxxumXYH8QY4A3ko+k1hZlnqovXQSPNmERICMStk 2VgF+VwHSEUQqQAG03vajDWUGqiHVAf1vdm7g+lzX03pQzYJDSSHq64fbNZiM8IYoOJE1/EFqrEK 8xMQi28UxWjkqHlP8sQeKDhHAwj/dQpzQTiEOkMEmg3O1j8e0FN1f9SEk9SEqtpkUf0ElRC/cUd0 lDPgSP4ju1Vy0DlmQ6jIctHY3aEPB6iJ+2LF/nBmdbUgS30tMB9/WMh/BtqmiupJmJR0DMe9ta4F OKe6U+P5ncMAru9BZxLNX+RNxQGrK6tBwCvpSbeP9R4jNOZOS7k3bhw4wYE4wtRhY0Ghd17NXCGv 7AWmua716WHeAijOsItBN4NzlO/xN7th0BXEUdbCyNyjJCPHNRacxnPDepywidnL8VpsbSRZtZ8G GhVwUWYHPuHkBwWTWcgNW1m/zopRdtYuS281Nvw7R8EH7tu0KbHrE509TQXkqOpAumvGpUlVZGHM 4/UG3Lk75XFbBdRXNycomKnMLXStpMqJuz/Dk9G4LG5pQO/WPMZog2x9jve9QiXG5+MwKpPuZj6w HQMnqLoE288axBkiN5IE/qOd7RhycQdeWTQU3q/Ge1wgob9HvRAnVoDg8gcHyJTOjY91CgJIxIrD pKinipV9K7LRodsWvq5UENjlkzs88xW3OPz1yT1xQ15T/Nu6Oy69VkIqVs57v3IIy07/S/kumPql myLl8vmtV4i/+UFZcvXVoX3wgtPyjITfkDHeeb86ex7rosMG6rYJTqmWlac+dMbLohk/HSH7HyNA R4Y0Y58TMEXV32sYuhRnoL/Vg2AiFmaVF4kKsKak0WsJ3ABEjpC2l/GI9SbXvBJmUbw/xA0N19hF VHAfUsG+fKQ2k7KGNsczisEMBNwWXnXiL1LmnWLp50CO/fn9rT30wM5ndj8cNudjlk6DcHMf/hhQ +8PyTHpOJ3vCpI83p32dx+vU+U4ZiscGH7SrsZZpOmTn2vXcK/QzXhMxqvARV0FpoJ6574mTu8KM 3G7iCTw88kabG7MZSLVAP896xGvGBynK7UB7GBUTGvAtgdf9qmGzJX7pIek96DnvFkJ4TgWfls+o QZh9UQv+zmbwMuW9rvl+OtmBAxqBfsjC3/Ou7EOvqyErW3R8Twvz2EQWRrUjTqycYIT9p406UsON Aeppj2qHGdwyMFJkH514DLAoQveodDHnaxwYC3LfOuPaAfKZsr+wRNmiSCp68YL7IOenqhr5D+rj Luo/NPVPhXP21ma1qSf33d9HzKiPTFxCaBo/c5RuwaE1jofGpUq9EDeeMA6ItJix1NoDbkxAL9SV gzb8JA7KJJFEJ4Cc/9rEEvQaS+Aqg3KJKahhnJhJmwagzQ/9GLTCKxUHpj3n5au5+e9bVMzYe7Fn /GOM8sXBPTelh742cqohrs2Hq9/1yCkpRegVD3eC6vmoOeW77n5DTJXfMsc388xJ/maJpNYtNZEH MiDahDByDu3xrzeE67c9zDHzxOoa2i7uE/z+Cz6rNII2axE8Udfols3d4K+E2qxfImEiXI1LFM1u iYS+gz8O/+FTqkUQfgBCdX3AUzjMjhRZUsZ1L5LBiMERqcHiBY+1tnaZwBpnfOmxwQ6mun7g2n/q 6Ew1rmLviRSDLpAmAJaQDnWLfzFIhU1Bb0WSvFSg0SWPTwao94AY1GGTMBCdJq44nwbdelvHeufr qBP/rw8bDVHS5VgDBQDi6f7J/d9JyQraTi/Q26zsIHzAtm1PmaJ+hqYW1hpfTG2vvAnkbGRz4908 7SSTND3u/1N0dPI/NQlhhv3d3CsGhKF0oHxcysLW7SfGyS83nIpmd/qrbz8d8EVGNPaYqsSDsQga 5ZFISJglwfvz2CeYwftNngwpmM4XDXk1pxD1HCBu+mQx25xBN7KA7ljzf9+YReDTD8dPHOMTrliH XYjm/RBi3MZr/g88c7e1htHUu9lfBuLaGZ0arCCwVthkyrsjWX8Q2I36XG265aS67Ku1qBV24ozC +rZa3X7qRUjLpZdQnMC18BlsACpnObNJMV2HDg95ocHUxwd84Q440gd2wYLkjcNdccSpfu0XsG9L 9I6YAZhzooigHjPqV1u22Ph4EmJ8bf8WlIsadiggGHwXNBRbqOvNwkdgCd5aE8S7AmBJwzaXEjnE mjxJlaV6luH40vQ6WkQ87Hyu5bFrIRULWOvThI+8PtZSbt1lmXrnrMZE8c28Nrq1pb+OPfXpm7FC 4NOZYniF4GmCvfBPOhOLimzlsw3+xjzssUEtaNhEgk2ILkQT9HmCAEMSgP6DwJcpspZjCk0+DmJw DyTqhkZveuRPc1MculqcqS4b+cVLjF9aadjamukQ0HARjw4ANpxZKkVHOiVDYK5q8sKHbOqxRbxs tudp1HGUYGWV3NWTndvsY9fjZQ2DRqOsT5Lb8pTQEdc4vYsjCYvI1jyuF6KKmVV2GXzqVg1IBy1C 4Bb2/Cuw9DXyYBL383ZH+1HjVTjG6Z6IO8rEaDB0eD4Sk4UUJMfbPxT6HC5NLW8tpgU1kqiOmcb3 8TDR21iGpakKIuVhB+nUFk6vRUOowhwnv+lXZQ2eCuuYkzbtFKpCFjlBL6rJEDB349Fj7m3PSc9e ppeP/ffMPAn1IpfsKCjg0dzX/Di2BI62bLo+qYxLPr7mpfB4i/LiD3CA2UsMHrOZ8bITuZgrGGRx QChMV9KUWJ4bI8zoCDTr5VtyoMBEiecSL1v12bBdSKzulrejwsYXw1f1xByoVO63OzrueFAcGKfv SykB4XN4MisKkVLoC1gI3WSythYEdwn8QvFtP4FAynGZUvj+bk/0HkTIZCUYslqqkJUrQYRfsOE1 NRSQYEap1lEh+BKP9t2AtwCLVRjR3gBv+S+4c1rERbUt5ktdV2cGA8aZLs6U6OzZr4SxFN1t71k7 7Mt4H4haUiR/8lFl5kTnrcXMzOj2KYTvF8j77ZYucED3qtrmG1eAys+YBlG/80ay78IL3/YTajIi q2qGq3RHx+8+4kXD1gOrnCKdupAbiRz1a3Q7keanwLtE+xtee072KEBNNKfmYiPYDq3eDjhjI7QK nr+ykWe5fnLd8V1Xd9r3aFDOgr3NCCG3USTygETOWotLuXG9umYu9uxkwVkJiBY/aTz9oSyP7Ctg qurCNeYgRjkN7wmPTkPSfO4U9Oy/75McHUEYTSyF6Sv+5nu4di1vvlihAf0Wty1EOzFEaOA6CMAi bvHknAZMGbXJqfhbYVKldW3GRFb+I/LHQ0i+MLeWz1vCyole3y8oZjoZl2zInprs1HHnMIa4zrWo dOOCxQdFQFpVnrjKQtYR3nZzc1AWO5Ba6nHpkw8L8054sTSH3Zd1Uxe2xnS1b7hgJEda0z8He3OV YQwdjU/T9TyCoAP6gJeqCXZKiUcDqBD4Y1Ugs9YFE5epNCJ0UvKAfYezjbnb1JnaJLrYaNSTksep KXU+s9xvAebxxvBMN5OB/+m86cl7CAODBQ3ORWv8dEo6kezzbSGnepDsWtJmlJQHyrxLkyPM0zy2 yK5WP+tVL3dCZDwAuJY3lcdNxG8XkOR9tflRQmGVVyHwLnypx0OLPbVYKuOWXiXwLog/HxZOYOc4 v5JnmnTfHc7eKA2bcaWam6ofmfsysvVrfitAhBr8JCpCJBHjXlj0l/DmR+T6cppGwXKNtZupWFMJ wMFWi+He2uSuLTmaXZvY2CnJHg0zbliuWN105/nX6YhxdL59ZpN/9uLddYwWBjFTTAuJjQyJmKVe X+64KOq7JhwEr35xXtR+GixxwOmtKidL1soGcWmHcFG+yqhi8l96bhVbHCrt8A84rBZ3FJfN3enL /ZL6+GfSbFlxXggknX16tu5yIwPEVXye5wBbFYHCcTcVnjsW8r661XgwCyI5KOObzKjviwZPC6Iq LMVUZQQEN4gYJBFwllHI5WYVFU/3x8AO8tsTIdzS8Y2E3rAzc1ezyy6I/PHxsZii7sPkwig8XTXL CjNJkYM3cOtcG/3/PN2bdLGgfSdo/USts4oDILHWUqRfEC2lPEPSxY0GBoyxvUkGZEJjMKJ0RbQM yEItIDpQPBEFuwuKKbN0bTDxz8/FfKzxPRwbm+gUQaAE2PRpMzhX3+ZFk012n/lnTfzMFs50ZZ4+ btwlsQRxwrgdtaWQGrM0daizQh3n2PvBxMsbqnJp9DaJataj8r59RusuKqpsA4OBqUwu/Z93UNUP 8SMI+c/21ePMioKor2aJGRI9mjOGZKJeuf/yqBRLgaLQYbkkCpXNgll5KbtCicsrs4Yo6wPSTo5I CCK378elS3zCKeRZvKMH5Lz8SCenbViGbdsee4JTjuwPmQfWfImB1svzlCEMOXjHkZ3cDyDCHoOi rAPuPsi6lIE6ClcWzKBtADMtQp0ESAGB4sZVGOyLecuDck9G+19shtZ6+jLxlUZBum8QvUii/XaA PwSM+mmOEc8EWXsxr28nxuL7GEntf/p9yIPMRQ7mHwLiq1acsxpTcORU1xucX1KhtGHKX4QMIHw0 bMgkmQgIWq33LdOJCHtewAZZidstxMaV4i3pa83QCU0gKuRmwqGsmzGDYgnJGaC4Si7oQwvpCmyd CDvMne3A867a0nHcTqNXYqRfNlYOxKe/bI5C3ABK/fUpM7Yv9W4tqFhtAt2GxKN6biVQ0bIsGXpS kQ0LHooRdfmBMBuJ6xqTU+FLUbIRG4z+j2VYlKBhLnJnG5ztwWexxDTGvOf6eQv2emqgvF7tJ+jT N2IccKBpdmuSc5h64OlPileyRnRyJLwy4IwR8HgwulV7LU/bMEYob9KWnnBhSMsYbBjP2I2bZXhi RqMsfj5bDnCGsYjsIq8UIU3iO7/7lSvxC90xOaMf6xxG/+TWWY2abgT4rW6wfmtoUIz0TVU4NiGw DoJCJVLb4v4e6aVftDt87tebgDZKk8qSVT+ZoWE3f+mz2+M1DIoC7ackkFCl0nDPAcMKrli/CSMQ saE+Sap9iYhpKzXHdSUdrvSQecY2L0FXrlYDbYNdaLcZQEHnwuo8ZeutxFCYD3vI5mzVZh4DWumk V7/1OPZfz64WN4+rGA+YHofSloUZbyPama6YU+ZIWoFhjevdFo5GHfvvzp/tYpF8/WlGiX+PTtot aFKO8SfjrOSwW1hh5fIj/qgRgGMzufD3VU7fiZ39CVQ1k9RQe11XbcBlV+TQN66HpPBI3Dnv26de sRkoIAVyBmz9vtFDrdb37equjx2PaFtMsnQtfSQ1O0x/kQWCLROSK0TcfY8vUwKuPSTPwJAl+YPI LXfwS9Cmso0H2JCDESeD+1wrgx7C7bNN+bCDKMZH53Yqr0EbFgHVakWvE1WxTYg47nlno4MjAmUs c82yYn54b3tkEDIlo9K9GuZdAA0srU45gZJ6hIyF5h9gG9d7bCaSAgfdF1TeINXU/rG0bMxojRsW KD2mpDdNUpn89n2ehUjGOC7Ct3gJKAJGbRO521g0rhHdrutBDQ8694cZzCmXBLhGP9ku4r62LYpZ k0vCdxE6ale+9iKzMboTZPTtDUZfetUWr3rNrKRygU4E9jp7sML++ff23z507TJSMf0IeS/7iKoI WamxfaHioz+nePlq3p7V4PailP3h9NBw0iUGkT010OOOprCR1WmWEZLym0EuFFCKWGG78taGy7D7 lC1N2ITcgj594h0y1FDBGYV9lCuuCI57MJGYtOrtgSrFAyE47i2qJUZBuNzbPDWI96RMVcKx2bhk dUx0KPPLj2k0BUwHKwSiR02P3PawC63FY0Dc/r245Hb4xfbN3U9K4fT4rEFCrcd3WxQZiDlsKB77 fuuPs65UXUcGZ85Zu81nJ2Jp2w7+SxbWS4Lz5rtdZv0+tOEgach05/ChiUJ5As8VTcFQbw2NysXo 9uvoh2mYBh1/zBn+ooHf5A1fExlkCCFOZiTvt4ClrLE1T/E1wxeK3NmDeXweiiAeoi7REv85pu7v PVJAuxi1LvOpkXk2CfE30oLSjSMYlxj6Czu+oXccDHT9X5phmjm4IKxP7F5oMMrYwwIANqeSQLlL 9R+oaYoJ3OflanNwJnJBwFU005qTxTKj2amk6MS+0ZQYh2HCl86J848vhcY914LKs+77jGljCDMA 0lCFbmqxN5gt0mpxd9ec3741MQnZiRljbrSafWLLBjJ3+yv+v5u+l1z+H5L8pkV22Se97ZXyDNFS 2tXPem3bIsWJVO0VDjA2wpFD0qDvngwxJO9xJtoZHUCbpcpxzGik7oe5NG6p0e+iFJkiyOsZlpLj UpU3W7sGFvfYHTCMhaoa+71/30Akqig204gsHHDgEIm5eFGzBzzbhQgTG0AVddZxiUtn3GxpZq6R kXH/h9qXLqcm5I9qRQ1xflKNcV8sEPVl8jZzWW7Aaz74PcxQEYpXLetHCDGvcYHmsy7H53BLR243 Nj7Cqvz7cJt9TZKO3KyZCrpn6XRRGj645PdqSZ5uEPRISfF2Xswszga0uuHHv5wMrREVpr20l4ls q2gL+WxiCYtfrGgtW/xTDggFJQ8ns9cFRkJ0LVNN7JuMJPSWl46SepkifFust7pnslglmn0d/cwk esVZGBDO3QaCjaFeqYMPJneuUDZFFENvDKEPcmWIejJYnJby1/W/Exs63spo0gy0grLMiUUPgvtL mol5cibTmStIcjxfJcdKYCANbWx9dxkKsSn2MFK60pDEDefjuVCPNGM8jxYhB5hO3x4KnMjNgQzY 4kiOrIkOx2sIBxUTqor+PRIwW6szo70iToNrlYAJz5HnAl1ph4xF+xkrVgTMLP1jI6O0gIUN1idh 4ReieqV/CspUcy4oFiqZh6TBLMw8fl5/mFz5A4PROL1K3r/tOvptvJz/q/9Ik6YXVn3YWeeNH0or +Iwl97ep0J7TbdacET4Tkd/gIghJcLBfiLeFBigEduLh6UzMWsGoGPIDR7b0YH+QOm5b5lSu3wkk UItxgvQeHVMgu95LpFWUwkpITfH6o7iOjNffp8KvYOjHN6ZUpZ8Fx6n2ZDuwalgy9bTXI3c0PH9f 8O+GbS2sfH2xyEjXIxoaUCInRaHsrSgc3paDJz5cXsJWTErMuCanKyjcgAEm2b55y779F5OdVhPo goJheWvynhubEfSfbpMfDd1uzgLdNLYEVgZqcZPOxakIV6Kw88wGZxj6cbxxIq7+u1e2Nyv+CJL2 ixYKNSMqsIF2L8asVXb5jMTDlD2P2jOND9SfJ3WLq+9wWsXOKMpmKArhcIpoDK5BMB7jnaxDZLQQ tbyeVkXpcXlw6Gn1/aol0Vasa6PisrJOVGGddCEAjwSjL1tfTGMm9AvHIagSUk2hneos8nMMdxvm Be3mOrt0kb3sKe7WbGsNJfeh0Ajp3FGL5O9EroqCaa9Yrbxbr+VpaNFq/5pqbsWVNUj6oJm6hQ27 iCa/EQ/OF+GW+3RKU0WCZJF1RJfM1v6V/n4iFTkdtlu0Jyo2w0Tc0vpxLAtel3osjtzy8oD2XWAx NhC0OSMVCO1ROxMXXxC1eXdhrDxPCgUcK5NGL5fyF6ZRlZ1RjWnmQwudLImfBVuXUnACxqqLuA+L Lk7DGNxqom1SaUag36+04LFAMAfEOBKM2ftuPJv3rL811LV1xFYEdvrhJEMHs6f/Ab00JwMKrnvv d5z9JFL5c+benAoZBahSpyrdKmO2EjKzbWzmEl/3TaQmT74KKPdIMAwyccxQplZvDDExR7QYbzBd fnzaE64INpxzpKvQ9WyobzGzOjFx+fqb3E+KfH01e05xFF9Vpix8rN1iTc7wIHAW47xTs32791LD ZsYaGNEOHrhbVJ0PV/RC8Zg3xTy3kzHdZUbTdMJ8gWG0+CpYExzBddZ+yyQOiJ6NphpW1PvG/adI JyhzEte/uOlA+qRXdFuh6IZtPZg+SOk9+UhYqFupin1n0329BcCzVga+4fHzuNlwbunIHylr9kea aBofrXm2DHsgW1aW9fqhgTNFx73e+RBytl/z6VbAcBpL9NCLnQVL28bB/pJCV9oDU9KvCSB1f/7+ Tn4qye0CrFb2n3uykL1zXYC60wBYAcm65FkkDiHOwkk8WPRweeSgd6SjZrwcDRAsunOLWzTLSiDs 255aEQQFfu++pSQ8pWx309jIXnK80v3DZHGSEIdFqr+bJbtWMjJH4+EJ6Brv50eBfbisurxxWv27 M65iexmEg3+eHzHEAmp5S2ZI5H6/kLkiy7Lri7oN0YIIVbvcg7nnglUSJ4Zbq4O6cQNeT8TcWs8X QhWg25zEp7FyWSRI1XZCXhYX8n+xni0VV315K7yA9iFTnklntblWy/UXyPahd3sgZtLySeaENRJx ZDKxL5FgBTQS2uZ5ij7CKVpS6TxjxZvcpzlXI9jza/iCaT+N1p76bS9LHA1n6VUxPKShCFvPHEjC GAK9T91kbNuWcVoXVfhiCCXESgmZH+8miHbdMawhQHUGVdmEa6ISwRX2gEZYgvdQA/vHEyvBjfT1 QoYvG8vgUsMkl7Eo2GaqX4NinQoCoZULyOKiJAbxt5VdBFTJ9WPtoY147gU7lPIqD1Pkr66nxNvE 5Frx3gRUUYGBONSxTYUm0u7AU/S/gsIX3qaKGfBrNHsZ/d0C0j5khOw8w1DZSbKHFryM9+6g7mMp 0fsOKcRGvyY2Jk681fwfMwEXV1G0gTzX7MVnDNymt2LQpqXWLsdr2a+frfxA21sfCK3H9IpuMpnU d3TOCXAQM48mTSSnVnV2st1B7ktk02KYoNMpvAUxikT9ooV6/qt1l22CQRZRQ+zU/VTRnCTY1jv1 xhCGA6G7+/CuEV5B49ggBfPv1nj9PHFLuvNs8x8t0+67oBsZlbEd0QFH0PEmKfUf9wdVGYwKWEcg LI71OhP63G3QbQzIEsFBsVCvc8XQ8TbKixKXPyE3o9gZCectD/5d/Lnh0OLJuFI363yW2JHjT6FM /qp7vJnkhfkWJu2sDtt+puOmeVfK5kdrwF+VAf8F/yH1VtKLQxB+JxdKFBj+yvFElr6qst8vJdtm 5IBdZJsnOMB8aeQ458H7l3gx4R/lXUlDs5Ya7FRXFR1PZ+WnPnCUiKCK0zQjo95ApgOzH+ZOBx/9 sTwyeZl4LZZYdr2rKwCERDIRF1mXvfHG+M2F6mHuM2ic1qOH/C4VbauAF0nY5jl9ou7Z8a0VFp24 3WeeeHNFugjQV6+m/Mk1g+wiXpu34ea+E30k6TvEbjox3PWYwQ3Gp/zZzfO5FlVH8bZmTA6EmYAs 7u5TfX6j3Sqzd4SXVFVjuiA5TpA7wnjvOf+rpZGGMp48whxjOWTpqA9g3IeUQnSuyCasbL01o/ry rDwt6dWX1RfmuG70hpR4BJyGHxjPSQcr/SWpa7RC74xlSTRNgNJbE/pLjImHdGlPUK2GzXkZxf2L lHu7LNiGAP0YTETcQCeQH9tcI4AsY0k4k1x6VLc1IGV+oomhuMuzn4liyrf8PphpEFmxI65HqNJC 8ZayDHXjRzvtyZHq2Rejogvp/Gkr9WSqI8n+35PW3WF+e7CMMA2ZtJ9VmlIuHiJT+ln7I34ep0Hl 02bpw97t4a3F30+Ensw/Bsr+5IDqec+pcJcEEGoCumTWdTnHhmj5uweyeUy7d4IMdxM2yAfdVUSG yzKgfuz4pcMhzPmgcxLCZKAGStKA1+eNF87ffqOpraMIDjX+XWaaH7wJc7H2TXHn8yPtZ7wRFjA+ a9q6ZXkLCufdNlVDSIj1HFLw6fRXW/DhMPj2NWqOAqtbHCwI8x+33LwAj/HOYMFTwFIescvqkuWA 9oxdoHgNyYoMkdj4mijYFCeOUQsODneaEIdjT2M2BxZDTap9UgYc0fQ2YdqSkXFRNC0mTwhTPTQj GrJOWLYZ2O9xuKLnC0FVtbA9H8g8xB1NDJseNDed3x49Mrbyl4gQmzixFm6rSnb0o9GXPoZoxxVD 5FcMn3tRcjzM+B0EqkZqDZIJiJguf9a9ThAtO7V36q16CBsXV2NpF3yX8kz6MbdAqO+6yFyFWBCz 7uVYW/W0wU6XdEkTVKOSVfK0Dz/koIoClNiiIBZPLCMsNqVxeZvMunOzqwahUK4qALFXn5AXClFW FzLXzbR+FfeNp1Vk7Gv600RQu2HiJePKjoQkEE+vQ3EowfmDHsVthkDP/QWqXBVyL4Mn7rcs9Kda x8Z0UhMW+oJl9Q4liEXGJ8bsCyjnPFyerdlZZsjYmkrb2zkniuzUSc7qjH18i1bBcw9RPvFSpw0M va9cp4oEQ2y+Np7dh7Jk7PQpFvDNwD2I39Nd3RQG6OAu019YJAj5o6XFKzccihjALfTTKRHSkOMx +1dgpB9laKfob/b59vFSi/3qceludJ+k2TVWnJE1iXHgtFNFs6j1nTMxi2s5lyddOOIAoVI3b5pP tFRCBXE1QNRH95eVhp+8gMRgcxTrv4nMT67TTnsTZSCkE+lLoL9yoG+vwjTa8ppcOJW4ufrvdgBN hs8WJKkfi7lfA3/MZUvfwWojamYoKFrcgXFgsexDxmQ5PA8vaUgHd+weVEQNOFsgpQmJmMzwsy+O FoqPwhn+6NsMVAReol9MG7ATVZccxiEaWv1GBKJB75YmxIQ1bRwGHoa/Z/9+o5TY3gp7DnSK1OWf NlurVO56Shh9t3dmqEJoTGohKMw4j933q8fXOYMCubZKC7zPmxSNRWNVrOfzdUNegWG7RAxcolOp Gch767WjLCPBQK4wwUOsctiDd1+aQ2a0yBfelUN8jbzLFNXU9RxS/E+08rtHXqiFkM6Q1FRMpjB+ 35x1v1adG3kDDqyAlQdATkvtqA20m9dhtQVgUFTmmxwQmv+UyPMvhVrv20FUqyXoTyXIFlNUS1kl pO8YKGAcMMMm/acocotsuc+udV5dou9aS5GNffJYZdg2McjvBoAa6U5vKZRzQGvP15eaLu6HWDOM SaPm8/Zne5fimo0oRmVGbi4842SwLQFDFl7podVloMrVapk2JvBLuO9H7x0dEhqBwCcOCL4Ve3Kv KEGLCo7OS/ZxFPmCmVZSuIbdPoE3BSPS2S7+cHFcLuH+GxvdcsuL7hmxkggj1XYJW3HHzicXipBs U7tFBvcXi0tTEubJiEc6VqZre8g4Jdk9NARSSnEjCqX/uMniE9GN1WGWzR801xKatYJpJ7fkB324 k5TrmH3mPZwICrM/oe3TjQfa7dRfRnr3GMEUwSEPguxd492pV3oXSzLpzZpoCkIGevCWL3bIWSev +DZWzDOkfHb5lg+PBCRkC4XXdREx6xNx0qnlUHjepYXisPmdROfsZnjISUf+qnfwiIkTIC3PtQCb SrkM+DjpCW0GnI5gNchKIricKcETqG1Jr6YCRQ5uLBAQo2vd8pkHwYIS2c0y5XtkbkefuIIwIwd0 WVcknju7olbxt09BExs27/tYD5Ajv2DqlppdnWCQYK2TfoqydXPg7fesGTAW4wgo1riqOMlg8Z+7 QJNkBX9VR6CCVQGipmKk39b4hmTmHc9yom0kV7JBmJnPQmrtJlwgDOyl77hm0TU8+eKaEo6AqNqv 6h/fHk9ZfX+534BucufjKaUma0XlazlkFhRT6kYX5EeIT2AdLXmnacZ+TWZgzuzrl+CF6IeLzV0O b4DyMBiA45d0cPL0XJGzweg/2Ra7nJzGrQB7qhhF5/Hme5njQG/HKXaQE506yjdKpjdxJWu9rTJN A9No75q7knP2bQ5wyd0nD/22LpC62+2tWh3REGe7A05qUIYvOoOhzpL2orvINKq3PaTBzOaPITxA ynQ3Nv5ZC/7/XJ6XeaEFsMlMfljKfta4xBrwH1/6Fcnm/q0wlGfy3g2oFsheZs2uofCoB0Qaw3TE if4jOoxReMcWNOKsebUbPBNe5/QEQiM/Gbwx6ICXYKMNDTTZhNB8SH+yupArQO15AYVsf64eCtYH E02Gkh7H1qorjehE345l+5vwhdCzKLDpBe/yOTGt95MqljSZVdpi4r3B3gyROsXbuUY7jxC128uK dt9Lffq1CTFZbzn9sDFgIFsDMoZ0XcdtaohGMuJUAjHTeuaHKgucK6L1KNFj8RnVFViNLvzr66wm c23Ci+4T5qA8l5ov/EnhxdKnmFCjnmUcGEAySJI7a/iUjIAYT2zopjQ3eRX56ssMnq0kg5bdEzjZ DakR0RscOBuTFmxcG83IJ+QEV8bKnhcZ/cG1KRc69Tjd/2lWnvc50socYbzpZ7vpNcq04ItE77mN vvY0Xjnvu+CNxEXGZ9lE/8wUsmrBDT2TKSgCYT+pBh64w7RGeq9nutN7UKI2tJFBGkvmvgNY1Isf hEbgqqG5MeoLPts7xtS62tMf9e0O08sFk8T6EQv8eX+474h4+4vbCuWDTYrd7k2q74Ief/xGCa0t Sv5D6DUA8L+e2+VAOU67SQxghCgs4aPmXvcFBeEsI4ZkzZXL4ZzQNAReeBxq1WH/Xm/9DCgQpIqR FJn6n5L/uAY0NqJiAxk5k71zEdbagt0j6C87HQ1WauYNODkjKIplb+5x0H8WGbXf4sJIooRcrSrf hxEkS+ZCL6jQvXz3znKQEJDfMmeUr72fHmnExuJlimt6BoR93pR70tmSIbmhcdBn0fSY9Jwb9FHC z3Bor2Ys/xuZrkZ0082R8SWaMiyo/+9aKBsHK7rfJ7RE7ABHDMW2dreSByespTw6D8MNV0XHtD0r Fa/C+1AUEEJba1pxfJLADik2N7Qtbb6YfvY2QFWrziuRDjkQLKd9J/3k6Rx/6e3FBZ91yyhY4ZDN r2tETFVUDgtqpp3NVGAOlMJsMJ7HogSm1ztglLyqqh8eYDJvj5wvIWYeiPmVP/vl0Jo/hnZPeZqF hiFnbo/SxtmR0Atgh+Xi6+RHNpYWiyNNJU5PGuTQCfOKCXiXaq2l3rNPTvdlxEix8nXYwRnn0N/K CDm7jvVvPQE8I4tfB1tiNgpS37VY03Uk1lYVvjk+P92oGhhM2FttHqKiA3Jp3GFgbeWaEvhmgWpo PtHWCmqDkL7aywLekxClQr36Lsxola84ZZb0Gsd5Jo5TOB2AHvHHkn1XXuicbf4S5ZcZ0bJeSzjy t5+MYzQebYSWKtXogopUN5ZyeiD1HlCEJO5c60f115HEX3oQyu4Xnc9qefBqlhDmV8uYO177XTc1 8vgwNC9/AnmSnPbGaxBK65n88ltdSGj9HgE7SxQHGUGI2nFgqonEO+3zLEOldi+/8gNNIsDP+3JI yD91lYfhceA5SUP/J40zxf6oPGYb4yN5AxfvyK7f9cFdzLYUt+dFX7l25bZe4djsuk7WyUMuPIZE 6n3fuYQqVhEEbqolSroQcZgYB4AweL090/Q3BW0ZnMy/DycCn2F9uGL2A/0kg8Mvi51mj13WMe5P 2G7AIq7VZpHTM3Oj1kPoQC+eBOCNfG/wC6PsUE+4+28/4MnvYE8XCIh0dBR7BZxgNJhg7anMGIf1 oPvYMPkrlWU69jSsfkYwE//YNfSCWUwDQMYhDWeEP64ZqfSRuuha+jcvFLCFdcbesN0zboDdZTbP MVjSoSzKz09jFV+1bmgwpttx86PHIZKylzgvHt5cz9CZvUoH4tvivQNiVQAnPYn6kIu0sMBQxoB9 rcVs12rS8ie7sTrGW9sJPhGJZKwM9LQbVYDAao8Mieirah383bxXvGmqAr8pNFP6r8DRlf+Z+vv6 LSTgPLtLoyRqetgG4vMughBop9sJkoK0y4SorU3H4BM78oozmMLnOSgnsSYG0jS538oDq/7DU1ls QPvvlz9aMBlB2uYuXlP/pgqzkLwZYyjLJ4jcWugtY88v5ddgXVoedzbVuVEZF5N9M2syfTqDT8YU t1Yq32Ipqss4H18zgH2ArX4gIswDv3us2z4BBRapcm/sYhoBiVLdiTGAPKIEV3ra4m8rSrRQtQ5r A0gmMAN0biRZ+RP8sofZcrS705R71eNmgBlf4SBOOMAOkN8RHbKyzlrDkknAUEpwsY/wT5S4nbuI fC/U8fEe1HOSyqEeRL4jA9rym1nKY2YX3xuLPvs8HGsosbSRyLkQi6C4pYknMrwtpyFyXZCQuoZ8 QkzSQLmoPDJI3cFLiuRFO/UjWI+Bk0tH1p3KMlzZOt4rPBdAFGl3Us26a6Kma4yxTfDbq/P797Nv F/X7WFKfu4/VlZkBTCXr24tdEtMUYxgyJMfYOnTeeCZtB8+gLOTpgSGtJBo9uQEH0a51YJW3ZR3M kAIXXsHhhoOdrOBDzhRYLo0IQT3e5UFN3zwNSizeBKr5hhv8Kdw2DCugofe5lVbXt/k4Lf7NiZpV 2TvMkN+m0+6gVxho7hMvHPYt7KvfLGpf1PZZ8UZZRihSf1lk4ngeYGSKcq3TtcMimG3oaZnKWIgB UCY4jGK8hKVGV9xhBQP5YIXxnEQPxMM5sl5RAcqVtmER/VkXFvt9CFrtMSUeazWq2ga6ajdyFOQk nwI58qzN3my+kuXOwmE9zgYdjhbnX1I5jW/sH0vQK/2WP6GTik9+9Ll065DX/gMYtmTXGkYVVJh7 Mzk5VUCm/b/gVEU3jtj5n2NcGfPamFaUIB+9voHZe2gH7xrO96LEs1BR2lxtaDGZDUFBhWQGL5ym AeuGDUoe9qG0JOkTJAYrzZYGrGOSrZuwAaRgoZHMVBhmmRnHoT5Jtm4ogJU2fFPvA+15qYKjeQYC ikBNeeTEnhbnF2K7S0mSvXyuno8TzrsmbhaeSr80Bz5Hl1ve3VFpJJ35anpfzxPaokRGawKTT+8G o04vx3n0W7y8wzgbqx+/khIU25PhKVgsgT1CowVvvIrfl+F9I6WoJqrxrAh5jql/XruRVuVSUmLa vs1mj12P6UVOB6vS54gNwUtKrETMB9Lj/69RdCoWaqIJpucijh03Z3z81N6k6LNbZT73GsHH5c3W +qWUKAWDKht+EBuhS2sy1VSr/nyFBC7zGc7/Xlxc5d2wMS92FCvz4Yp0VsoDhduZoFGAML+wtJ6T VlWmPM4nHeEslWxFx52LTQTclA0A/tLfzwBA+wvTf+5+UCMA7asCbsEbb1PgwBkHH3xGok7a55Qu nXn4Zi34VWOFtwZ+VCwWMciEXJpk+Nq/F6jU55zqTvI84PvJiszISSKtwGu8S1YIeZY2q5HhfZo1 5wpDgOfI4tsaXV+pqKlPA21tsWL3RSYc8f6gxaqPiCQgurZuL+A6Zn5G3/UJ/i26+S6iKsda2/9i RSw225Nf6ibhCHAHmLreEvI9c1ThHEtDi6Lgj7HMu1VEuphqzMCERuMatMxvLWN0bHTPNIIYs+Wk 9uH5uIO0leQz9oDQYTxhzjOkRC1gJhXFKP1We1GYIWj9fo04Ugw7H/gzlz8JHXGuME6X6gnHzGU+ sDy4uN6nGGVc/YTPCEui3pwrZQIcwYpWuTxjWqcQ3jGjHz/STxbYt03kUhjXHu1xt9khMaoaVTUf L5buZ9a4lEhfiUp4eVPIovUCtDoamB1W7H6ihAsfu2jVaG1gf9Shm2autsUGCt+yP5qcUJ5Ln8rX C4WJkFsrmitMT02/PLUn4+uYbMZTq2VUv4qJlZb9cbfoMhE3A56F9aACZAVYm4a4WaxlQ/e9xIYa EGImmAyfuEyIAkICq0HjZ2ZaTHHYH7zFYPTzDaAnMtZal4wQyUm3b1zhBGILyGGexvtfDmROdeNG KBBiDxF/sEoLO0uZPik7PmT95mKMdnJ3fgnuq60xnls55UNYVh2HhRCxFK7e+hmcJfiovO2y3qX5 Oi08EpxL/sXlMZD+CXWpcDdn+3Bn1Lv7v5n+a9kzk/Qby7MRQ5svo+CdTju6B7WNiIsCx1dORJ3f 9EdWh7XUdLB1enMSgOYHLNGlIZkRiPnUHLdleZ8VGJ+PnfzhqahIQs/2P4esVRs+LU91iP7YXBrc 5hAA5QhreadPlITaBxaerGoTkX+K3kGtu+qLNpkM+z4XnO9d8XdY7UQyAvpKA+8cBhT6uvJkS85a ppEiBmVi5yUMa9WYN1l27+0JXfAnktGofdiQA0sko7H1Uid0bz0Z6XCKVGz88KTJAsk9+Se+Z0Xl WZPnta8p0DLL90O00DIe41qS6Uf1oSyvQ2srtZTVvJBtfAWmNnN5lueX1rPusljaNjFsezNTQ3aY 9RSXiAhuJWh2F9Go96lxcgDZubaQXY0jP9X9mWe5d1Ye6UkcKBcBXgKzQJ4ronm6q1rwfvOVEvcX 3ZvamuTDNcaeQ/xr/LYC/RKrUKxLB1KiBxLLHbKdSIG3CNFnWWDPNhLDvQWVrFOdGKdq349GrX1l MKKXO8MKSpB2wnbQNMg1LCHtFyYZLHtrkTbDisxubykBFbZj6RkEovXyOTQydr7+UUFgAqDFS1yT Du6xNISOU+fJlNd5/45GWozvZJvJIKPkeidKPzPshvJ9eGmeB0anUyvVgooYMMa3BYAVD+0TysCX 7Rn6DLE0gdv3jQ8igiwOgaNUKZX+PJbs45iXWt0cJvdhGRx3Gdc0yPOTwqpYxTElLjtOgVZ/Ry3+ g/b5uD4MVTr2jwK3X8aC9eR4B5aQcD7x0s1ua4O4OJ56NB7oTNoV1PpfaAFyPagUeTvMsLB16n+a Ryyb5ekomXpomIgCgxlsLK5PGo3LmsjG6V+61OHQHSh8Zhdpf1kwk0WrNUoPUOAV7Fdynj47oc/I xuFAnUQWwEf6bytiZCf+kESMPeMw5IriwAlGqE9F0fPq2iU0YGCpwLr5YJBGfOqAmpZvWHiZaXvQ 6yLq9nzdpK6M+LSmLE137dJ4uK05D+7xowcOT02oQ+hoYvhF930qNyQ7jVgLeeqsfQ/8JMVQ5c9p G2IXoQS8QQu6TDCi5pYVgvp7PU7QY29l/ocx7Q7Z0tNm4M+OFf/mN+dYkVImQsukj4WMtv9AUeus Y62LSv8QmGXJ/HmFx8mJb7hzDzeWvmM2RsTtAvyRADc9ZQD7tajdj75zAv7SbECFWs9jvKor1bY1 I5DRYzJacEgvjXqdyORcugk4E73sA22NO35UHbbYC8ULo1/LKiFMWtB4I0m0gi0p1BQaiDEWhJOy fEaPlfD8g133Tvo9ecgBbRWdV1ljdRUvSyPdZgJcOg8iFX1fqdCTT0dv0lJjunBHOXvGiMKObPDB y0YtYlQVwYrSJS0ZjDWlyeFwgfni70wSLyltPdoENLy77g7T2PwhanrMDMzs9r9//3KbtzcyCJqF d/ohWhn9bOPeYscLNf4ai3TgJVCqkcJVXpW5BGYk13uTXQo6pseMV0m40tlXOkDedliqeQw4ofKM JY+KyYCGoRvglHGucmTwKaZmZxR17s75T9ehH9+9aa/hFaIUBg8uBTDyRqNN8zmAD0wD21tK8Yh9 Cdjo4W0wVzyfypqOUl/UygBGNGM64ZzTZC53HfOu41ta4QdXfgmHtFoM8eQ6G4VO7siE/P3tX9T4 NjamaJnSRsKNrvaB6gAnu7ZQOHBHnMuwiPnNKTx84M4VPfhhwPwxdELhWkqmgbNqM1+SmcSkDRGv h91bR+hSjlVGomlb7GacIQvHlsdTqZSJT1mTNQO0GANL7I5AGN4nxXmbmAV/1E5IbxCtB4jUt5Ai NDnU1enEa4fmRVGnugabqck11jhCSwNPKfwM9q8rddzduw1YV4a8v6LMV10f1PufBrDlnD2MYp7t 2dact4zAIN89AHIUSAftnqOvAZUyH8OxwVGu5CnT5KsrBbuudsjnL34NKlzE1PVByi5rKMFPcprw zwiY63Hj0ZCs6Xz2rGTZ6p8D71/yJj8IedWE58MXEJBmWB2O48WE0L0C0Eia6NVw1rak99HVVfPV cM1vBlbhsL2PnnuLdHKmSDeBoRYU/IIwCygQsE4/t00EgUe3xMRz0LBV09oZB23HWR6TqIBuhmiK cdj12+m3Vk/yQeP1gOaRhehJaWrg0Cw1WeZPzzAW7YDG1D+KAweNgsyz2S2G7fDAo6MhJjT4LjW5 9p0ShfUfN3gEqC55+glMPp42pMobT2VyFlUZFLqkFyUjso4h+waJfvW4U5PFdMiinh0KLMqSDhuu QLpMaJVLCaSeMZxTETbw1lBph6UHyaV4tv1JT2CpK6BgHOFKjkhfdg8mfLhkSdcG3vQLjaUvqtzO BtEH9HtE0+2bHKVkdVSx4upa044+7s/sMhQB6ps4jTEzT4FdxNQDnMfqFZxVOArL+nn5qYqbt3l+ hGv+09/F75gByIhBCc9Ewyd6Gu8tx/I/vSxxCqNffrHfB7Kg2eivpjSojPSzbCkJNAY39p6h1AKx eM3j57yykOESVz23Ucd9VxbqyHVmBpGbBc1DY0d2BqzSoD93bnS0xWX3ZC1sVS5EIUIDYAQ7rC1D 89LRK+Ph6+ACKyKn9DmADcq7/6+0P8LLamerZT+6p3BcXOxhEGrWoVZzWBLK8HJ0xn/3U0lZQZ8d 8EVqNU1WF85Z07HdE8Xh5VtW4/9Oh7aIEs9w7vpCkQwjqhRzZkZr2kkaauh9ae2j8Zf857JJasJS BqKNRrXA9XVBnOoCjsYJYNKzVA9/dezP9Wkdse+cuegGoW5QQ7VWHBkdPkS1fcB9r7ZdhMYYo8/P Sf27MwgieUPp7WGee2lKClRd85Off2JsHNVYATiK5KiYK1yOgHYEaod+6MzByO+abWOI3kOJplxb tHsFRL/QNuVmHOZ2vsfleaIIVFlh1M+nDKuShTeRXSU3fWDge1q+LTB/UXBU9GFpm/VFYqjsK/5c mp7bolBjLhyao+F7Z3GA/9/OGDhPckAfQrm5dDcB6Nu6U5wKNLcQ2xdb0pU9+owtKjhb+DAPb5ww fRXFeu38Zrz1tu+Xgx++zkfmFZLJxQnRexPYn5GeQ88eEPbbZXUqyOOALTkMUoXr7ycEqhRj3lE5 +a/GIecgLdE+E8hvlTYTeTWN+WgKx73fJe7dohQioFvp8fO1uD326SXXnPZoZJFQUrMRm0GnJgeh MyyevaU4inC1CLTlhR5Xunnk+dchuNZ/F4SYTmXoAXJYRUT955BLODhgUMErLOhS8Lh1ajxmvWpu 7F//YVqZwPtN/ku75C/mnhpyZseXsidIBFwGlqQ2Hc434GNg11OzPZWe3B5k2DkAMBX2WLrjZT91 CEie9XjpRAvVakFhnANjL09Vh/0NFLGb1ZXRAREQKTms6SfUER3b1iTpxEqwniq6yHMKLG207KxO Rh+oBPte7mL6+IAikH8Tzk/h9+wLEudZ/W8X2MeBO0GFPef5/5LSKPlga3VsdUyT3zem/uLzY+Yi Mx6qfVT/9Al0U0NHAuloASBenrFq/REzJMUOzmHRvLrigZeoXyLpE7Pi9Fai1Dg+EyhzzEANDVdx D4UxjbDu81yYX9fqKY7PxjiXIiVYlMEkpVk4K60Z6OD/yZywaJMfK7It/ojURi+5yC0gOvwGVQo2 PyjIjkkaFPv+vQ4yYlZStPz/a//wnrpyJgpm/Rt3L3B9yArTHmECROmoHnroPKgwWrL6lKQUFRVV QnbwYDen0as3cZoMwosCYlSfoS6K+fCfBw5xAfwAIgkKaiF6V+m0Sjtunv3Vs51VfTsGPQNpIVCn ydBqfnXzp7UOI9lpTuTeO8wdFZ0kj89NYes2a12dnp3Zzy1q8QANFUDgkIvOS12+Ndp1JfpK+Vac Pw58Zvj3EDFZRrxgO+AF4d6ON+sJXKrHT7BmrZkqRqlcHCLcCYLn+E9pwjZpRMBQDk94UmM9ycIa cnk2X9FzQGiez8YEJa6w9rExMkx1l6ltzUH3YB6l7xtbSBwa76ddAe8pRNP52h8gcGWYzB4mSK4/ uUrIxw5VsmDRLT9tkrUMPSQsCIbu6WK6Q4WC8a5vZ95o3n/vFOUwtr14h7IsZ9RAvNGsig15n8Rk E2heeD3Q59Cmu13d1d6k2Dvuw5IY5nrIYZhktVvKeiAD7dmTJv0CoFHqh4rFkv+7eqSERhuI2eIQ VW7QFjTjtMixK6m9Udhhkw7B8fPB7Kd2YqcugnvL8O0m21eP+c90ICG8T2wiw9wdJhYshBS4oRbw HyZ6NGUtPIZ1TpDR3IKzR75AvyAIEVhCUfL6Razhmna+dtuZaBpt978KgHeakt0xEcT8I2hguqsF pqLRcGWKWB+ZB3T5K+Le8F3X6prrZtCsPBU12K5O8GDmXMe830y1XKxNA6L/h4HXSFbXVLz+dVd7 BtMLaZu6xUrCT7kqyRislnltHJNE1LR2E7l1q+6XtSPKVmXO74W7X+BqrzElmfPBwYAHFABQz3GP UTtz9cxaXCsjglKnLKGuyvdG37kS6GJ9yRvldqch8i6lSCKOC2+heS1ilqpFII8f+1CDsxeJ+F67 UMpKBOoNMeV5hIqhlmv+nCrHRdWUULghK/JelqJcMUipGHlvUrPMwEBeeeCPpSM+F2Ks0Nkr9ZGx guW2etJEBxDqTJx2eRDNSp2fY2FJQFZ47PeY7NuSGhmTSCQ5Fz05wdX4b5TQWegMxqZl/nPIGj1x Eo2ug9iKpgLGswKtZ5M3LO6eqt6Sej0P8P2jS8h4vRNtbhU6dCHavafW9tuPA/JeoLj48nDdIo8R Fp7afc+5xn6YWkRoS5D/JAisX0Y+rsQeSVPvy1Nv7TpHzaAfzTi1D3qB0QM9yaDjJn1QTarHGJ6u RFj6xcq//SnuFWCeh2GFQs76aERC33Wr8/KB2VsqBS76i+I+Ro5G7KAHfIjocUztyMDqjaqwF+UT 4nTJQee96dOcSORMj+98pC1UEJvPPNQf5fjyDTranNwsSWc1lxnpQ4tDPCgTiMHZNcsYTuEcOytX c+w7hWCnTUNEbu6kBpgMrkVQ48KSuZzIfGxuya5q/7JtKgxnSwpekwngZEHZHI+kbii4tQivM58L 8+x5TqNx/pF0/ftJxJjBvKrOg+HifTziet7McHX3aoAz6wwwvHHLtLapfVtYu1OK1nyUX/5BRvVk uG0bQvUpaPMbJhhUFxnWA8QXDRSJ4+rXpyUMuGUeV1ItoZvAmWaD3zKIqSdjIbExyOR6A+NkTbmW HRiJ6+89agMbN013edrtHUvCdvH9RoDwYkLmng2MaNZ3UYHwe3whCAA0LHlma7Xaf53DPBRuujG5 6Xgd0Y/RHauJBpdvbUv0RFf9DjDFNn7QiCXiP4zFH+0SBrOTcr04H83/vQfmXzfr7gRIFu1oMhra 74S1CSx66CGfbkX24xkowD18kpH5n0hFfPKKb3FrovfcONx7n8gUdyhCV7XOVccbtDz+xZV77YVw pPn9mrvoK1Mre8dXLuhV9RYeeSxNxGOCR3OYBgg5/bvf6/EBtTBe/LaUWzaQW9KtP4P+NGJlCjhv qp9rXIJw2ZQNKbDHV0fkDREB2ZM3lm2QSwPbvN6mjVsutPDe92eyyCB+A94VYJTC7JGbjXkeIPSs AKWG1A2kcdwflHv//cUrPeIXg9utf5iqEEKss84hNxcgk7sJ7IO+HKzou+Q08etYw5G1DYhIFxIt uQOeV/gpkT4hthZuP96G3ejl0IWgAvXwgCcCxImL3S/4xpWJmuIres8mfzh2808wpMZT0TtNKvQc Nz0gd3rd+W4TP/BOfoyWLBHpWxPm4U7zdGJmQsemKe5QizlVmNsbdkin0nha1zdvO9RVLbZSnJUR 1GOZZmbY+Y7dJ1oQVZja7nt1U0oYxIY/tr1By+X9/xLRmd+X/daLUNjWV1CcURRP2R7wXNLwWuNe wLsoYV2CyE3kH2Glpwr0ldRJWG8o2In4WEMQPqkLgTbqhpi/mQWxSZyPzeZzVqASEjfXD12uVnol oVU31rBn8QAht02mAuT4iqvko5z1yEoTm5eap/8NkAC40+lXtNjSHvbku1QtGduMx+HTazkvDX3+ 2ljIwSdg56qVSqppqXzjGUVyGcKfJ0uu/SGgX8H+i1Uipj+GCKwoVahbY3rojdjXjh1E0SHgQmxy XwxjQI1iFebfaH5kN0MaiauiyMGX9QiyUSG6kguU+33v5RSex541bdQAif9zx/xekkdqWBZl3wnx wP7aK0O1IvJaSBwfNarX+j4QA5J5fl9qoUZpm2vkqdg+nRNCg5V1jLIpdV2ldNfiKJcH/T3TLB/9 HEsilcxMia2xSf/n2gkktdqBuVIM4M7H/MJZbEJzWDHwIW3w61d/aNkvEkoaUwemkYM7ah+H7NGo H6deNfPAyw93nX8kuzSuw1G4DWQgIokurOOPzA7E0bx2iUQi1b6km9VzOxza5GmuzEKKBGk9DpMN 8CcyzZSA+BS2XifVBPg9irNcjlzqoGhL3Zwp0e+RSFDGf/LFzh+eXx2003pcPCUxmROTD2SUx83g MmN8DCHJ5/VzWSzPbUDIO/N4ySmcKLb1djhhZG+ej0/FmFuXzd+wRbMW2Mu2irlJhPR+us6rN/yL eexXbu3MaGH3wqY5XK0daBsSAbm48FWvGnTUX6IDhey9wUSBDEVO3LprP4/QUaNl+xM8V7KcoQTm B/YDq/LwJTji1LoIlC2U2cJ6zddeaLCvNLmoTcCank3QdbC0XGODAopipOVkH9vYcHZ46o4I+Y+d 1dU3hsBn4NeUXXSEwYtpzRpQA0M09tYAA3jIfvqvCneiY5VpbeEtqhAvaVTWC3U1xDnBNR1jC2eD +UmyoKU8UetTPE34e+A/XoQLBFWf2NxPNq5+2TUOuk5eWkzZd4Atd/cSfME79d3m0TjEZVp0+Z2H yRc6ICDuWo1EU/+WyGNpfWHc9kT+iCpMy079b7QbrebAMeWRpb+dpc2INuN/57i0Foqjhk0I+kcj 2iunx4suDHLoGXIqswkstf6TAOk5Ine1P4uHsx505afxq58gPfTEs34y1HoBcwlmh4KIYsFm1ZS4 ieZgaWTEsaMyVWt3GV+QlDkbNR3UTHf25vW4bhAXDFi0Zi8wthhoHjDTVZgIh7Q2ZWxvPggUZqO5 FTAcMSk2kY9/Y/rmCI1OylzUWZSmtkTZ7jYYOnEyqMUmYRAT/4kz5xRu9nPwwjgeUchNDC4KcmOl WcgDRzPkpl+otID96ZmhFmk5yXaXWE1mp1Je/2R2OeDLt37e8obNPHf8bhsW/uSFDibOmF6MidRZ thczitW/9dVwv62wK67xx0yxsDOX8Ml7ggz6ypgTBnMFFazMS55rV2jxmnb8f42GjkS71RCuJieL 3g3hkAo/06etatTSvbLD0axeKB6t87QZmDsePkdiN+7eTxe/vNsAuszKpq6q2TgAi+Xtf5CGPR79 QELkrMNj514RJcU6GedBKB7+kXFWZqZ2MNNUnFV6Ot4e/snd9uV+t1ADRWTf9/MXf7ZRduLgSBj5 LhaD4aovAeNcIYSEVDjW/vkRB3OfZ0WobTaEsIa3VB6Vla/BoGT/BH0mjMviUNFaEGqNPJDsW/Np OvHhYKLJm+k+qfBbTclkxHqEIGOHDatx1uuYniFHJ+2mbA61YBfmBwbucwX3F/dgvI4ka3MIEVak M2L/nHgt9yhs8joXLggcLkyGQnMzy2M1BxZTIhWmIl0HJNy5nYdPOHcK5WQCYKfCTG80FTDMmuDB wrBS5yVUejPXcEYO2zISK9A2ys8kDJhKOeR0HnYrZjiqWxtAQpg+vZJnaBBEUFfRhRthi9ZkmiNl BrORi6EI6dkLJZEjv6E3UQX5vRPaFYjlwZmlkqEfQpVN9b9b7mD37xUHMX+PqWgo70+BU901D6Y5 TXMc28Ep2VWsMI2kty2aX6fD0CuKfWe+KXGR17USBtlsECFzj9Ah/NZRzlKBkgif98gvpNea6zH8 ZmQstZGOV2Yk84TEk+4f+cZGqJD1p5HD3VXcZFdQoX1UBS2rIbLjX40+TxSwWVcd+u/HV/Feeac+ UKWZ8Nzwi3Exfm3VkQJ+aEbAx1J9lrRdEjMU0+/BvDgiTlMj/yFhijIX3W64s1NUdrmbZKpqgD/1 lNb6vJwAB0JObIFZneFUdvQBN4kn7EYAu5CSJMqOxyPFYgXa0do0RbXkYFsTYCVM8fbS9st6O6hO fwG/pq53E4imUoC4rlSXtt3fLpE+0kwv98mQFVME5/o+C5pqErholwJYDfTZP2jnAf/GpYyYtdk/ aDqFcdM3SemfKrp1oY0nXzjr3V9vcHliXOXW4iYk8hXHqE+31x8Y6REXOUuki+U4ZyA+f1k6fAhS c/Mbhil+2TqHCLsYoGsmtMH8VhmX9vkzrMp4MWRV6qoVJoMqhHv3N93qKL+Pe9669RPkdj7MAs3N orBKjJmsfLcOYVTXTr60l+5mR8BUDiSYTrNom+S1YttI3w/ZG+hvLScxBKfzn5QPq4rn+dbFw1ZT Tp2HoyRBCDKZGFHI+qLvw0VZ3pfWj/kDWaVLzanBRP9GVX1o3LUtqPnVncgeGDZBCqI/KjPmWkfh Ch/U3v6Yy+WtPOUVt3560R2uGrtgG2Q97wEvbbMP5FpnXYpeXjru5a3aWrqR4W3OPoEH+/Nkp7HP TDHC+O39adzTGEZqkTuyKmI06JG8SGA/iKCWQoILDvb5E3ERwjgm5hDCP6V16v9maoXCFyEpLcsl 5WjytyepNAQmzpwhYXm1EkWw9kYmDhuNbvkfZ1kZuwDWIfbyKN9aSZ1Pg6Qb/hOiFpux3b6KT3JJ 152S7HKeQv1PgHg6khWuOuzeANtxflHh+ndbH3k5OuuRBciLp+TCn+gOfB70V7qAJaX3JaoHHbNm 8Q7KcuT9LnyriTulWW4G6PujydpzLMcZXvoT6apZteOzWHk2Ut8j+3uY9p0fv4lo636nsyR5faPM 6KfI0wbn8KEIigWa9pADtspRv2U609TqjTz5Uq+rPRWjRqf55AAr8IGkieRoDDfUv7WvrGXL0oBD fd6yuVwG4DTUUjxS3eFoioWFvfa6SBsl95h/zMnvi+pyImtGQF6W4N+ZQM663eJcs6fYK+pi4k+q bayQ19BrrDJ7aTXVd6AD3iN0NVEtGSg4peBhf/4kM/Asw13qDaSBDSHwHXCKD6znn0Axz2g9bvhp 1T3vKAqJ1ur95s5pQTjKc6+RlX20Yg/gA8Bmb6qv1KukliLUtEGvhYG5t0C9Lxp5zdKDBWRpQIcn WOnP3r9yabb6uKe5kBMtHOtyhpyOJVWVEjL80E4qRXcHnCxbb3XsM1Ua/B16mPCX/r5vTgRILkRG q/qD8Iz12jswVbzDJBd+PXTK2KZ1p59KWkR1p92/8TdfQA2XIG+DwQPyu6tOohAeanv9JHbt5D3v 3lS06wheG796HVdstiBY7ayRkIXxziI3KMh0xl+95pIRHkzGcAu85jSbfi8OHZvEHEBUMrdz4xGa jDzE0RP2A8tN0A2T2mLKMlJVCnW2FWeuCC6ewiQOXwH7dWd7LvONzMyBQhK+xGE7kIqfy7ynpOEF STMH0nUf7pBaavsRHndAKpIJE95ep+sC96kTnezwUZYFMjjM9Ben95SbxJmSmtJmdN2Uw+W+FkYM lLn+g7VsQAK3zYvpBst80T49o1NseNgrk24l6EDPegv4GAGt5kwafB/GiqKD7Z11HRVNvN9cpMey UiWS0GbpFU5OZM5EwKow1de4smFojaSRveQg7jsB1ef0u0X3AEuK/AQzLhFC6SvzyRloynPg+w65 9itn3yy3u1fU84ltYQErbfyUyx7+ifbWqt9/fXGQCCBE56Xp3Pl2Pn73TREQIacV9EiNZQg8OMJV tM+x8MAUat+BJakSODYbj6IRjJl2SXdDfZSX2vCAY61GvFF4nyxSGjKA+X33zciVgiY6Y//sGUfJ yxNOVK5Xq1wCsHiHg4wynHhrMtv7zLdnNlFbVF5ZTXIdCFINYW/qLGTnkWtImd9ex+8d/cQanPK4 Pp5ZBOexGh2jXyRjlEXMYUfbivHHYyTmyD7ZcZlM8Xsd2bXfESyYjcodP3XtqyqDSvZnAomZVxyY dTryT95lNbiMo1z5evfQJknjuE0/eQBoci4nqA+TwAlmnXdVVzkii3dyFFJc575ET6RQGuAPNdrG 2Esw7Y9VKpN0WLIJLYFuyolnjOxpkdHGJeQsJ3fZW8PVNss5aQWNOzo40DWJNhb4EalW9H1q2ulp D12uRez60hqNiMkOa79/etgnu6l9nzwrEE+OiozFJdIg253A0Wer1nvma98fqOhaPQru5f3h6ray /FnZ/mLAk4/hLDCZ8q49nsIGXt0LAI8DFQMwg1DjOZAfTsmYcxp7I96O7kqh3P/T2xfbZ3N88EOv TgiM3oTcAC6ahALxB54bicHfPzoUG9FIebmjxo/e30BXgYZhMm6UuzVCLWEaRkSg+m+lQuoz3ZSd CbCkz4WOlg5AlmMg+/qf3rpC6Z17oJsT+rKztLvnndUQLCS+R8vrt5uON+QwYz1hBxacAs1EHbdC 424HmEkRLE/BrsJaSoMk3LmuDNYhR/F2raDT5S1wGAVn6z97V4TbuU6ISK0dazwSxtIFqoPTPlxP 2Ajx4MHtkWKEU4Oe659ZjIEbcGJcMgvoPmCOmNxxusbJl6ZCeenQUswBbWWvZZ/x7+PkzqjKnkeB CwsO0PGFp9D4u624ByiVIDngcjCdjZJ1dwKz1ST2ASooQb96jm9VvVUYZUZuxEys3SiyRaWm63K8 gqd3SOh6RorwvQmefLxX8mItXgOmXva3yqwnxJkNaMUOrpW/3YWEgJ/sZDrvWXTU4CVjEXXLzca3 T0oZXWeD0I5/55RU/wWfJMvk8R7jeV1QngsWlV6q2SEBRYXOrsJygEHgbJjpCsKwyA7UqRo755qi wSy4Fmd+AS7qc5BaJygaurIYTHUbIfaxaTGPNA4kZzYJ2BQfKle2R41PSnO0TXPVymWfqKlzDIDk rKqs+i0oypV3VMeCSklQmQyT1U5AMSqLhtwYcJlvbUJFacBcv0bzclAc5tDjTCwe941dioM4u1Ul 9TJv0mXc7EOsgiPwMwzF0XIPBWg9r8TN2LFIcX//CtxISWdpB21ji1fc81iKeanaqpgfS2ghms+E nLe4OFfztsQOO6zEeGgj7hDpKjNbG1X4KWLExDV+HivffB1mkssRZW66L0hM7MdNm/wehdVrTHqf gVmc+iiSAfZmD2159S60Q01V/mqwLtU2/GQ1C8ZA/4vomDG0jB6+6AokPwdWC6JVMQCwpRteTCtU LQ/5JBwc1Y5971ol2j43pzHRkQ/SzTXrk5w0fB3eqL9aEpx1jO63FYguy9pIYIlAI/3fjLo4kbhD YDhyAAXVfFELMKnTXJRyMEC8IMK76UBKIVeXMlVupXFpKNZyoG8lzYLVvLC4Vj4/WWDDajjvxJTw DkOrHahJF3rAyjq0Ah5oOpwMRvR9JIFs4qhmCJtMYh90TjPdpFegWlvH9nOU9ffhCjlS9Ejcj/z1 hyASQR6izufhuJmm+jINVAE7FihyRMFtHDz7ccsjs2+wVC07WvuT8qCx3sYOaGwnMWhH9x9eUJKM i2YLoAsb61DJvHXxvbsAnoXsD5pqoWnAEje+TBE/Fo85hiFuAKeNCriDQXFLfuP0zRXtlOnRm6WW Q1ca2oPqmQxbR0hgHukqO+Oz2xCXloLMvrmo3/iuEAq73c11gFeYAVd5GrqxQ5qk5xDTiR+E3IhK U4DaSPIlytq/IekP7f85ob9Wh+jOSpucgNHwml2alShRUBE1PlcXo9JamhZEBr/FRRRNicC+XCFE RuXYKo6RJrsmLXxUZdb2MHxXdaewRPaWEdqgu/VErRj2GYo18L2niMwXijKId58HTSSFmKvb1lGP ozW4/Cq/4DgWYrGZTnmZwFFINCooxwlPnr15QZSPlpQFOZP6SnpG/RW0Dt2oeuE0tSrWBOXbzhmQ A5peIYOMzdR11H1MsP9IXKfdKKE+mBYLWTL2MWXy1kIwEHSRdW54naJQsXKobzjeLYMacPGu2MB0 bDibFsf5wPAAoAqabF+gDBDa/C0lE8XreppZGyUg/MdM7FgKroIaX2Y4LSAa28B73znj4ThKW3PM ShDGjNVDHlYykrUVrkTeINxfWnb3DrOoV3DntHi34gEtLblUyzF/hxNVTN8zGVNHQQxRCRugk/Gj 6r7ABEKPgNmwpevBYt7ZMYRwBohQf+SOi0pNgzJyjb7hkIeJNAjUJcYsLxX5hTOS/cRf96g5hljb FEVysWAbdgCez0wuyi15uQnbBKwiTqHbFYOzffxcVWtikBXzPmWVfVshDoPF6PA5PliCzEArhlE8 1LoxEJptHmyPB5SHW5Ik1+blK40rYXwP+0cqXEGeZ7Zoa10YDslkDzHbe2JIb8upaZlMGt5fkBbx RWkOxr3P5BbfPe0nANfQOfw5yv4y4wonwEA8hCgVV+GAlw39FcEM+mFkgbRinGu0zcUOAzFY1/1q UHr5BB/jAwoULsvjRx5ZeqamxlgKRhBIdPFXQziPDf5yd9MXC7D5HNhaqqTOpXQxyav21f9ss62p /jg2c6fFa8aNknT6uZD7Wy8IebTd1RvBGUWUsA/+9zTwi7vdaNLL94Iv9auxdbKHAv2/niy7EL1+ +/iyZB2H0FdDD8DGRBDO11y4Mtdp6O2UplGJ3sxBfo+cFAiMhUZVdqhUTRFw18Ewrf8aM3bW/TE0 yE6/XAPXtuadGLx2YHfXa5ZQ0Whz4L8UaVgexCeZpL7XvggTOeSdrdIvt/ukYQZrO7jMNqP2KRRp zq3LaDRD9r+JE5tOgB4WoD49+ZY++FvBUkgSb0YbQro2LyGFPQDY5Wk8Cs2wLAISBtbl8/Yn3T3u Mq+Y8gi1upCgj1VaX9t1oseN+9KMIvAn2T6jE5+dUTHfq9pBJtxC2x2SZyHy+bzr6rMaqJkJl8bX cHIIbzpRO5Ef2K3Z2tcWHMX/PvfbuJenk7AuR6snRpcGtzxBovog7Ncu4FqdqqTj1NFJa4eLkoIj RzezZe9/8uoEkdCrTVvHAkkJl9cURogrKFlaCYovNk9ZRyAoWS2MAMEOEYNQNLccCtzvnlh17Fpy 7x+9LkvSaoUF0PeVIWVf0NQKWfssSil3jSGS/a6feTxHuysk/EzubGUjPKn6BNV39z6Ryt2nj0sF XemEYUXB1YZu9KtckI2a2ZNLQD30ffqqZbAm2s+lzq7iSnIxsyFhaWrNMvue7nHG7JPFWPMS5wFW S2igM6cM4je1di/PBGLru6Zac/GoKD+r4/DoZUFk9/DMSKjs+/CO8z/XWsidOf07B6Glch7gfjlQ pCzMS4mx5oe0qLQaN+BiPy8wC0QB3GiVUTCOhhZ6Jbumi6e30U8SE0n1jupBZ41KnvKz2r6Fz7G+ 6kpKdaFqvGJglSVmuatx2uyg5BS+lQ/PSJvH6Mf7MoyyAB7+LazKlBzhyCYcTQ9b3dwSgeYxBySN 8ZN/8Vs00TlpUts2i1kBJFrlAWeRcw3AV72D7wo2nNdaAHA18UtRfSG6R0eeI/aBcEc2JOt6X7M1 nq+Jwdy9RBXNynkZ9TucRTxY70BgE7oAcAEbJQUtiWRu3ATY/FSWQoq//fjQl7aZ5tTK5svKMYE8 MhlzcaVvrZae26lyTOyVRfxOl+y85L2AaoEm+vZbFH3vuD/lSm9CTNqpl7ekZ4dPomj+rPzEBZhi U6gViXoq9AMhi/vRtJiA5jPfWS6zrqA7VMT+N+Ol4F5rWPu7Pzj4JdYXdwT18Hpoap6f03SLoFLM CRtPxsZi1Wq7INrBvDYqNkU4tcIkTaacUH+2tdA1TYlD6rwDggd5JX/xrs0vY9qELQqcVx0oKAH9 dS1wXQXlAOS+irA//8HWzeBtlv93HfNTQvMhjACqD8AiYMKaHxPBXfmEQ4/K3G7yHpxXn5+rq3pC tJWD0QYggfRKW4Hsz1w8eZznUO0q8WMDt8Bp+vBV3lnoJfRMBp1H6haJin9rwHzsuclfo+UblVa4 lHxKl5vm0C3Ewtag8+QEwgNJOyr9gp187/5uC0MWlmxSh7ZxCWY/djpDLFnndlVvliSJX/bH5HKY 02q8NzSgXTsf8qVmpWh9DAVn8YbZiBcEE11YYbu1v3/hV93s6rL455jJ6SdfOG7asyWMjeUpPW+A eWxPWasIS5rK3aw4l/F0igTno0axhDEVze9AdOEW8JW7jfyqVIIo9QYGB0dJvUlOSQJcPZFmTTj/ Y1zYbLjvDFqSNw0ovEa0ULUkYQ2+Zf8kj6lO4lr76wYZdmBK8T9QzisLb5CwZKeKPzGdS9E2Z691 FRsCrlGaQtNUeMHUppj0/V9cKZuxMAJYgXdjwsNQVL8EpETMkrEwI47xvkV/8mAuqWqLUAJ2QMxt 8rdJGkGfme6RYkfHWZYjPEuQdnEcyFXd6F8j4oeHzrX0K4oHSyjPgdhwRZH8KSYE5ukaficZgm6M gHONGDuXT4a57nLibkPZihXfpNDYFd//0UmZb8QWY+Yx0I/dbXRTBP/MhEGHLt4lBv8CZZuFv2Y4 CoOqK9ZLpikGNBHeft9NAbIyW3/S5DL+eOK5DyyUUGsDJEJiV4vbPwRXMBDPjomwipuIAEe1Ffoh pkXe5JmzSVN0dXGe1v1pfL+oJD2GPwkYwk1MRKJl8Kr/Gy04RAj2QdU0TSw3szfYjZH1lMnJQZAt bW/h4o06qBgYhM5xvQN0M5D2qKWOkQNgSlw2KeuY1l73JuXrBEw+rfITkUpjGknMiwxhjTqtbepL 5aoMTVU/zq4lkb1wIGZ4C4LWmFQHYjrmiBa5ze0VZkGMopzLtb6LW90MHJOWzU0RcgU64PhTYoTP qnSsYAruMvCvG00baFYbT0BUFe66pDQFQ278AAo4tt0S0/X7GsfGhb79qf1c+d/I72P2VDPM3aiC farLbVwu9Jbl6y3O0+ShcQUZ2hKbwqPTG2+h+MnAzQIdi1oaj7GUwkIz7u/aCW3961EICLVObCl9 SKuJuBDANdESKD3D3jk/QQzPKj9kKUD2icy4BIvegelY+PvdK4O3EV58sTEz9ccvx2eGN6iNwk5T BXG+Ixbs/XWBSP1kRovuXJGsnMWzLYLGNwuvsdHfcKmAwjy6Z4tocFG+V1Z7VskFX0KkMXvOmJZB 9uNoujuH5gv9YVBOekj1jf/Xz5iJpou2stV631ZohkWxXG6yb8E9+pjnLyXyT7Pj4Hg59ZPf1eB8 0e3SeepImZx7ctp/uxObHA6H1oSxBS5gHFwOHjC0Dl9dVM93nWalZlOBdX1q/tG9Uf2XN4ePBsUL z58A51XVA2U7yIZcVOxFQd74nmSOccDNuyPmGDkjLYwXr1buOVMEUak1WCKUahkcBtj9STrRQJRH LXn9HR5wV/TLTpi0E6dAxZDjxWcNkOob4MUEKzm8ptm0yuroA4wnXHvXJ6E5flnxUizpB79+rYrv fHzYXufnZvDzPG9IGa6yj/PR939jpCWM3rOJFhZCyyBZGsvPAdi11u8mTjE+hNZTo3MHHrL5g/Yv 12h2O1OXSscOMz3xkY2VgP3RtPqBRmGqWQdayM75FVqFSytOT0r/aQT07JTuWcKm+GDY4kbYxc1q Q8AFK5Fv7EO33ddYrL2wbGlBqKSGCfNYCS+198taxnKaiRpy/fwdk1F7+8TwayC3wmXZaEWcaevW 2AnuNPJrGW9NYYMkw9DyDO/ZloOND5WrO7gnHykM9LigYtdUL6aXYws8G8oSMYCjNqzMLZSCe/m2 4KCv/IqLtJ6LJOa1Gh7FJMbYczVw39dujoco6wNwUwNuUWJRwe8ttrMANK51qCxtQxEIdOFP+I8H Xz/+H1B9L8UmgvoC97JvqUGUFQbvxBkGBv0rYSRl+LJ3RSOSqTXl/8rdfcb7YfNtbcKkCzraN87B bOvM6It6fNNiCdFKY1/XL1eTh0GEBa5nzkcOXRKVT1Rup6bxW9xZudmKnF1wCbq2wPBf/uLRg4Wm a5JNf6xNXYsG1LGJblngM6/TuRrOnRrQCgQFqh0H1TyLeOzDWFfWZl0FqSfaUIA2TJVQ+glZ50Cr crEokDf6R+HIoVlfrtkTE6muSKFpol4ztok/rgWzhoy5NTGQKXej0qAnwXMw0T+5kzo/Tc+h77ls F/WENI/rvOc3XGfFt2W2agVMPK5GPFmTCI+/2i4bPaeIJZALVEXJdZIgWeTOlwy34dLMwIF3YdRF oGF30OPbEYr+FPMzDu20PRQDGd7iD+yjMVOJOaaU9/mufX46xRZnI0YLMM2zeNbi/mKnotZaojOU rF4RUKjVx+H3SNYzFrMrJmtg7j02xmEeUU229oeqz4HzK6KnCqZy7mvmvkDkdzS0QfU8iihXpkCK TI97mwuZlAOC+mixRstXRGeg4C6h0aXxGPxyV/QUg/DPQak2c62B+d6UynPPe4bWMBsWeQ9wTJ/e I/xQW75yX92MX6zgo54JZm9yhlF3Y0+eo2skiH1+qM5Xv0X1La82x7Lhq0TcI2VHSnCE4EP3Uy6h 44dL8Gv+UsMgBWAYIibmLpD/PSDsMO3D1K9oahydQLJKt63gpQQRlrAVoYz30IQf33IQLaIieK7l x8UIxZD2VJYtVcCYNSnoOzye8EXiVDpeDjy7/3dRezwMqCQNx47trejOS8PMGEfJ0GSUqFl7XQOl qflXGGJo2dbVx8XNQtzWoK5pHDklHeheTbddpUpfdCOpGChtBSQ+DGxtC/JmD5HQ82DKAWVIk6Y0 AB2cEqUM0bOYzPBPKkyzBE5RpmWoh422+iJzppxLe+v7BPJGj+U5IdTO5AIDj0B1ew4yW4S4LGy2 kHnzr2+60PdITaTBnSV90TsDCFGWQbClIza3cUdijiIFBR8eYwde2XFciJRojalPprN8ODtE3ymK q4ARhNYJ0oA5VrcADSg0pMG5DdJY6jQt5RpJPhJ0Hf3Owy4ZaTGn6fZbUKrehdEgWhTfKoOUKXMj 1iOhXZgCms8EzVZnyMB4nFCLZHhUCCVPDmRVJotZO75bErqB3t0xv4FzxEZPSHirNp7vajUHzOW9 Ubv0837gDKt3MaSuxMDeXIPRgAIQzx9agcGwSYEnWL5motZR5U1Lxid3nJHNW41f0xQ5lwqUubAI hwzEIHnsigLANCHDjIPdoi2PMDqMXwpCNThXZ4HVt4WF07KuizqUHaR3KrLWE1L/f4rPdTv1gFND KQ741Yd4mj773nIYdZ7BmUVJAcJK5HxXHH1thb0H+qnNK4TR64EzN0DWy7mOJy/BOlPTJ5bdGQzD ttxwCpKwxiOh4+787dWZl3pTJa/3IJlskSm+FrN7xEJNmJvI48+1csFHrdpImPxyU4eHDUIJ4weB kS8FgjEhKJT4JfT6uYF4LZVY+I5nc4BqlarHGuzhFd0gWwQrgG9JCDAOBCaIoMRgl3AWgUBmq8gO YSXbVdwYMkHZPtl+pf1s44sXkmDWBClSVDWOJ8e3Tvizl0UQHg6R1Sb3bArFXr45CpwLVEPlyP08 alfy+5jUR3+niQkJZGEOjWT404fWDpyRm/37Ri2XjLURpwxJIjdd/VnwNpSbWyvGDcQg1F2h/wzO OT02qbvdfvCOflRTnT75zNqNwNwS/fxWce4EFjrKZGZEpnMw1PZ1hCwo5HHrIGeps1Jawq5/LktQ JvVO19n9D2uh9iO1jx90EHZclIiiO0eNrymatNl8DwjIz2MgjQFW4ju7Pujote5p2TF5Pz5EO68x 1mVzzE8Ti5YGE+LUg7C7HhWh6ANTPltBYMAx5S6fWLKhrWdnwe1tuDeXTPATzMnd6bpuGtDlK4TB iM75zhQ3+/iYQPmzMw+iYT6MkqtTwRY8OOeZK7TTRevGSv9ly5Dqt3DyVmwTlj1QMP5cIKi0jXlX JQTZhMTcz5SDcJY3xB8uC8G3OWdhaBkmxdt+ej2/w0z6seInantMPbEUiVx2BJbXM++WlmdOgQCC o7nq93ECqxwF9w1pBO1xbyFW34uT70KZrmV3BRkj8NUN+l21U7aUC8H5w2HbUA/xfQ7jvHpa/UYb i0frAC+RzTNNC7NBM61g57f11IVOzqx9GNInNQOn3udJ6joR8qRSyoEkg+hJs2cQvhQYn/cre70u 54TIjCue2cf1U25vdewbFmortFS2Sb73yG5jcVJRYJ4/1CzP0aBhiW8o7ayvMTy0ntkCw2Xk8/nT TSUyEtS5csrh3DjFsl+zUUASEQBsbvsqfGAXqVUznzeKH0/ekhnE4RsqsZqCZPF4Cbuq0OV3Sf0t xoaj1DCW87ztqwx3PZHVPB0RiKonnHWGSyLpklkbs4IYmMPPwLjOjdMZHKuksKy2oNImYfgdQ0sI 2FG/Zz4m4YmyAfN2aSm8kb3iu1JtRdS/gpbFynrDHj5dHARn5ijnc862r9Oz4JXGvKuu3qfRrJZM EmLqCO64V8CadMCs3c/EpQyNkus84ij3kPkDPkKzwV7ZF721cgLBihI88tJN6wpMh6pHe7hf6v5K SxHYo1olkFQgT7vw5EHXVh5tV4o0JuRTBXXjnY52ilOmB1DFL6EZMJyxGuzTpbz7d2imVU1Bghvp clyYpS6I1mlXjV5oatJzl8wLoG5PcJQtwmV9122Aq6CYlJFN7vXC4LnZdXmQGbntlxS9S4ifYTFc OD5FT6+mHneKaSSI1L3DqX22/DZgieXllAJGAUsHZ/AX2tVhqv4Ajc/ACopaRb7RF/UR0R87E5c5 PB3+TyQP+uSGW1zHmoUMawJ4eAFQF/5X5tdMm7HS+Fj6NEVYQcRRu28aaf0WTKkdqJQCsg9/tL4d fpVFGn0t0VKOmoocpbwj+QWamtB0TO1F7RcCY6nz4+cSbTXca2q3XDaZibwfOMSe8k+IP8WfN28W g45VD2ZVSMIo/T3o0gf8JrzJmpc5AFyMZYbo0+/d8VVGHR2Z6QDCWynY3TNjFuo6+5pO3G+ZevOx In6v9oviStydJKKpjCVMHMyZbQQxoMIojNweJajvUFE1GrbGHxtsLuE9UG4oUQ+QIX3Fm+hRGicV u8hHg2pAz+I3noO+CsnzwU3BNxAlD7F7EDLq79m8vWp3RLWNMKzka791DCNJtUGCp27vuvcC+MOX 0NWpzrTh/VN3OaQ+M5vRnd/alWOG7q55wawDXG1CFSNDbDd6JVlqiqBVfRIhxEZ1h8DAhXUjo3n7 b0kqwXQR9UcSnMauMU4nGU0E+BbHrQ9vRNMuFz7p2nJhhexx2+E5ckEsO4Kuzlu5BeQ9/j+MEVjH bPAeeyP4eMCEzcRtlNxl9wZX8XezYzL6cDmrHQk33cWS+tjBKxr0LwN6UA9sZ1NBzlQdEa3VTZQt OrV7yQVMXOBjRwz09LF+JY5Js9bHSQEhGB+6v+Pn9ZOmvq01I1WxsaDnsCoXSRaZFMht/4IHdQC9 X5SDPaCZ8Jppc0JUhllCctCUMfX/ipmUeUDEOeViSkc/wiP+wYjWPoemIwze2C1oUFoP/0mUY88s MdK2bbfZGIpAA23OhUip5oO73+7C4zdogYYO2GVR5cgx+XqamgCpFEiTQAmmUHcH5XWdU9f6RxnV J7l5f+lkyZLW5Q1EhdvcQ/k0KRDz+hCvmXzbiTlUSxejnpvY2J87iE5UhGnHxzUe7cwcJE7IFrlr ea3vKF7mx1Sw156J/akT8wIwei2KBQZahJsifL89U3Xu3YF/XuSk7wJTMxFGjyZLm9Facwn0pAkK Ta62UWmaKSjNfoiTA6ox8gXitjxL4d2PpxY/OcfSqyN5RkGRmPoG2bjY7/8aNMnpRgDwH3avp3GF 25ZFAVRNGvDAqNrQ6QrvQmFUboki5lZV9beVfeMQjjYMwJYOg2hCiiyb3ax8zxpbLwZPArFRxsV9 StM5thNEeI2/bWm3ZW4BeoiORQ7owL+5lD7GCNuVjuLwVOQV2pKd+BsHd/0YnmzBJSEg48D18WOr NOgzcxDYRVMJslNYi1QLSxwQbZ2j6zJBBJha/Ml/FA+iWF9lhLQ2SDuPWVL5o0c0eh/24cxVl9SR /EpK7wWyzd1Vd/gvw7j+dZXyVvG4T+TMPGwvetIVKKLAMPtDCs6sex2Wp5fDXwG/NdHO8/JYQ7vF wE+Z4DxJwsBbgEfGCSI6yLYijJWVcUnHQaKeMf73NiYgpl28p0Wi0lOBDcx4jegrAM+uLhcxJfIl 9r+S6N3gzTwn5SM6mY1Dkv4CwzFUpDgs+BK+oGRIpgxM9ijulgJzjjtYTM8kSb8GTBHHX3R3AMxs lRdX544SFGz5xOMMg4eDJYXr4EWgWx71j5//cTWNcp9m9VycOe2AxU35HZCXp3SHh25JzoqsZRMK X5LOCrDOjBdS0K24LvkmRSrg/yzdAnqhVZMwRElR71pi4vZTthL/978t7r/nik2JfUQRJcNGKaJb JUTsGWZSuiMD+lHanDDJTkKsbUfqmhwMrpLQ+9/eTPzHCGYx2Xvrtfit00q/1B2R02bCStOunJhZ YcEw+rO+PUucVVtTh/JguAuNrMtJtIg8PPxX+viIxNHM+YowhJN0xkXOKhFosvwwO5Uz5rPJjHoZ HPEoR4Erv81Wf7iTX2GjGJy5vSH80jcqSsit65QRQUwrIenjKu9VIr9apziQit0ap9kWnuKLrk4V Bpgfoi1R108IpmZanggkWUp7nn7m6tEC0FkMJFJ18IOc3VyUfylQC5W+WcMUY6DOcG05zKmFlLKq nCsTZ8I5RiqyaNQDLD22jU0327S/ggKCkGR2I8zrJtLIl7ysRVMcFCECjrFMEJsgc/CI1MkPjwSJ tkyln/vZmT7qlaiecSVgS2A15gVEIqF8Bsm3wA7vz/QI4iJ+Ssobi5kv34IQB/M9dHlMryT5qRuf Ne062QCrHdlVvZ1eVrzluBlqtOLE+bGyPlBr9BdJbAhCRx+NX7ibC9GD0ujXTpWbzpJ/tkc2tuyu w6LTKr6qH59zXwdCkqfUEC0XFRZfDmZF7PoEvNI5kt8XNJjMB+IfvDCU727pPcWNStC+7h9NrarV eCral6XPnX+3OVHBKGPTRXGF4GcDubrd23H18glmgVUJ0KanTAQA7z2QN7HKZsj/zv+djwdDls6V lnG8+1mTFJnKCM6U7KahEdYq6PfCAM2zzoay5SxzufMuuGHUFQQ1PUruNHsABg7a/kVOteMGISnm ++/ibvvV/PAX/jVFBwy7TVrBvL1dX2cISrT+BZZTP3VeveEw8zzlq+DGDRR83d4wpjse5DrrU7J3 iigQfFdvw+dCydBAFon/ENeZf8hW8X1qZlt/pWVYNLCYTzC8MW3icsAwRKEA0PTK3L/DCzgHyHon SThKfU8XWxqEr6FpM7hz4TC3ozepr3w0ga9QcEj/ZbNqQl9G6nyHgDkulZBgX9XSuL1+T+WC+tXR YDh8kdSvFmf71oUOWxJqvWTzATGBk+Dvb7HLJBut4GM9gMK4xgaFLM3wurMR6UFN+oXrULrqbf99 t3tmRv0yl7fRVsHdkq6ejwCxBqI6CHFWCcvk2nRHTfWFBFo9w4vQTOZAoJmgg7x0CLENPnlCz4V2 Gi1qohWqJkjNyN6W/a0qljnLbTKaoFlXSCsMFRuajwsTTMCg3AHKS2pol04UTSG6wOwfALw8FDqS Rm8S7b5U1vxdiXCViu+RBCL5bLFn/5SLyUA6tfZz64SnzbiFlUyeMenTHLTzigMmcl3plnSFefXv ct/IWmeqPzez7vBr/5u66ppbW77WRxv3tSt1JKB4VcMgznkfDPObCyiOfT3omFJ4noCHIkSzpSbk lxkpOMASu9XY1wR59SLbCLjLtB4WGgWOihlE/GS70a9/ggK68NCGhw5em4mUxZhqJZYM8wV2hUy7 fYr3uT1meEWPfyn9NSDFd1RlcQdLjbOv5gCVsGuOdhX9akHkkikNgQ9WAo2MbnE59i8dVzTlxZ0F 531rW6IOnKH2p7W2y9AETdHQXUgJFUHNymwWwXJt75nJQ4D5b1RCqgKAtuv+G48ySwwhZIvayXZL xZz5Z0kzeQ1wj4Mk3rF79xkfeRPL2qIN8+3YQ8vz4VbSzhox7frHz4uA+hpqYg2j/Al0bZNaPi1u 2vdmk9uwAeYjfN17KfqXtL/yzhYCrfDp4x5c0WAj63aam8dhZzkseASq9dCtjySIcQvhXKjGXokR c58J0vZ8XtNv4WYDZM91QLE+IBaEJWEV7+8DdAooo1tKttkdglYkIVyTJp7z2rCqSp6WZQGqbz5j rAhnUDtxBjPYCAUNgl/Ilx9pmpKx4pZzcUmqLpiz7XCtb+m3GIDYwvOVKc3EWNCwHmk7NV2PlXWA PnZ+mZjH+tqAlcZPnTVYchbbXTwrX2+JI7UZw98tJdKr62/+1QnQ+AJbU3N1d8VeybPnx+TcgoRd s2KDaFbPp/XaJheePqDf1OhTTi8B+uMGjob6ctfLBvL7SsKDZ4EtrAf7eMrDl5yeOlZV32LgPNlp zZ2GLOgAR6Yx1HSdhbYH43Pi+nNEPOaBSBa+XdkIpCoxftl5EMAdWt5P1uYDBruqnydFhQ8HD1Vu 57eyZNok8/vzhLSIbuKrG+vZfjNq57gn662PSwHFxkxPj6DxYYmlUbYs4O/p3rtK0qfNkIpFralJ iIqX3jAGUxILnYF+CUfK+ZEh5OS8fL0GQqNLyQNE9/A9bRIBClSS1NABQVoSqTWp1/CAIdwbntC5 /Voj3KLAuhiNJpla3y/0qfnFNkkFNszul1VUTNiaxrdMgj6M7959x6Tu6aJJv+d5wBtcnentsLQ+ AfBXYYRzWz7jn4q5XMTascGO5rvxR6Tm48ing7/3Gcz8cKTn4ciEmwRSV6mk65oofR7j3vp1GpQV dyaN0fg+btu3LUpW/j6DPZOEfr0GVOuw7vH7GOFzI+MnEZUA5Zfq0FebCkoS6tGYjmYdAO5fhNSi 6xemI9juvU256mrNV8IrKFAWelNvhMm3ddklW94VwIMhXdQzC4pOzcQhHuAixyGE+8BRz+LreMTU ezRFxgEca/wKgUWo4uF+iWGQ04yTpJQ7SQZv+69j0XxUrFa3EtigN9iN+8Y3hpZi+qI/QWx6gjFe Z+/til4eEwUZhQYFJW1YDFgCOgW7Clqj62R3vWjnuA/Y8FNkQZqRvSrHVJLKJHxcp0RG4amo0wMh KjGYcsUoQBHSyB7lY/+NVsF+uXELaJF7Uj+DhYXDPYwUicU9SuhSw/KhAirKQ41E4x5FKD6hcL4F 2KeSmokinueFkCq+urnakt6PxWWpipRBItqNhtHpTd/03Nox3J386ob/cTxE+WCSIvujPdqDqGXd AqsZ8beNLCSZtNxJeZeYEcRCTw33asSjKfi4RVfoaf9FGjpgwQwI23acmNlRpN+py6m3s9v+g9C7 1Zx6PE6WLlZhB0+I7apBrqizefrdBnWKq2PzopHTShECLoz9hcl3xHv86Vmz0R7b+YViy0BPeucc uO2hIwePOQBroE2woeIcl8qU7BmyjO+6wzUvsOI0+ZAYLzbt8RCmcU5BkQZG+faHtiwtRqhNAykZ mJhhVNTY+87ycbduDnQQjJrLn/UP2Xx3NelrnEKC6FOn7JBAvD77+QztV9kTObhejXsgdkVC9ZEE Vy3+xXHVXTsi0la+PGqbKjtb2SHNMhmJvWhZD07MmmHSJuwf7ohpKgkVXR3MZZOUzUelyjL792WE GNC6GMXYFyMLpo45DhEZohgE+EfW2BQa35IFVBPmm2xCRXON3oLgWSVUYUuFYAAClY6x3MTQevFO 67rXZWDPvTmAk0cFJ/awUeLPsXfIJkN0VAmpx3JUpzOLDpT7S2ECPRar2DIvm8Gj+DKSYrTYTqWG hU9Dn1HmlWOhkbTjAg7caQ1G25OKnvAeupkn3ws6Homb8D5L9O3nsral9LNPlwsYoZNyAmTZCA2F IQVpI+Aaa+ZGz0V0rpb6gpTCyTh6iyM11R5AfZj6P/VGBC/Afx4lNPgq5otuG2jays9O5+AOyZtg TW4VkIjyGoxKu/Rbxq+tzGhtlJ1bXjbQCT9lwqoTWIWH+6ojePa2EFmmKjdItyF2u5WS+1Eum+Yg wa9M382+gDyjjYoKmG7C+hq4MTovVdpIvVeCgTvqmd1SBYgPXJ8V0ENHL4Lx6Cr/sWj2J1RGpSMu b4Nt02QsS1XzW5NTbAC2vZ8fhXkuSilrKvwocmHHBG2JRB7atKxLmqdsuV1pwrvqTdzDae5rKQ9Q kZE2SO7huG0lz/XpY5psYbEC7Y+IZwg0o9jTPbUpZUNm2csN1G7t2woVsxKxbNdobDVhV2ykT9Cc iCe6o7vt0ufkwEyvxUEmyk/5NcGu3qGNf87OzuSv8tsmvl2zm2npyKTqNdUBp9qErbP9GM3fuVM2 /d6a51wRME0aQGbIfvi0BCz4Zo4m8421MthJV17frZ9rS1apUlbbK4jeVS9QFRDi97mYi+DQaR9Y FrGtV8k6Kx+Bod5SXSBd4VDqAnVtpm0kzVCJCozBhYaDnnm+t0aIkiTVmu0NBUFpwiSz3vHGpurD LVJZtM5aNCLWSS6KsUqgOd7AuTMSRo5x7Tw7O+FukwlUf9G7Ut3kY9DZ3Ef6780gLyBRBGeBAXhe kn+aVt607oCHbDCrzTop6UxiL61bY4NaXyUAZW7Is6v2wi13PIwBj1bYWLNEbjGg3vWPlEE21Qzz ciavhfwRhLruKM7nhQN31H4OKizvWNZtVZe2LZ1BtXJneZ0xDs9zma/wtBJoT8FapgndEM23iK8x tzqidNdwmI3lFp2eZfpYfO9XQ/kRZeYaHMt+nxXzkkKxx1uyXdLvy45VQa9uZEBwDhQ/fUrFq0Tf Y9jdcDX4MtlHDFgpH/BVLwOaI5CHeTqZVCMONOXwAzhqHNydAzSu8TF94BiWZ2PDXZU9yHirnn61 xSwWjh7K7K6a7zD7z/l5fzC+XKFG9ot6YKAzm+C7QsEbk/VWnr9mKUWf2/HbbvS5C73v8gy7UHFq uNppuEOxiM361gfa85NleAhVzkxJuOwX5tWcH6tg8MBkXRuDEAkc4GSWpziRngdpQjtBmd379ypA hF5lELiO1SjZKBKDCkGCM0rK/bKSOnEMpdDrbl3gRKW9ZSmlp4pcEcbShkW8Mg3V5UoqRNI+Ue/D anVi+ysV1d5aKzO1B5zo/IeKnwGNCscz8Uh2eX9yd6jO8I+dRQiCYb9JmMjeWZt5mPDq/7u9JpaN xnengGmDgwa6QLmR9yyuWGXtXJiFJRKoOtTWGeI4ohW6nyRpQFNV7i9vA868IFPegjMnibfGVlf0 gLMSiGVNxqF0xW199FpZgTy/eCxfxOXj2LI2ulmAdTI0zjsN0pTs+rn2CdZ3V6SK2K55EJJR/CZL WHKx6GN0gRK79aIkUBVEOAQ9lqfPoLP2vtNwB93WFC+slfhLpZ4zTdpsCxYqOjZrwHO/DEutZjzF +5T50RbIoOvFzG+KHrydHZxy440srTvFexXxmQW3EAh9+QrBXbYpUx352ScebzEDC2xmNbCapoZK HdYQ/oDRojcYKvMGsbl/7WfkukT3/ytMzHO7vgErL/PkZoyUeGC14oL7IwrFCH6VfrN9v4tjdZJK k0rNb4Vukb01iwQEv97ba7T1xjtEp2psG0sAjEYXZnG5VBDNbLhik//nyIf+YvAzP9JjWKgyYaIh yExZVDg19cDCtU7vLmJiw3Gci85C/Oan01/5jOKgUdQvnuqokpUxbApCt3GKwEp+ckyKu7teWxg6 sEq1nEqUW0TN+W7cxIT6O9wFZbknbGXOWjeb4LkPaWvxJ5Cm07774skJybKUE0MfvzK7WQTYBIxa 3AQdilUMSDkct+iOrAMtWCsXPoB2I+qV0Lcpqwv9WLg8241/aEfXo271PUcx9kgpiIfSIV4ekmK0 rqCf58goiuuQI9ZlBEQC8yaJO+f8VAKN08ENqz5L+gebiMDM/Ts6BaX/LAcWYG7gwZhuHTVkxhK4 uRQxvhL95a4DJBEr8FKXEKlmbL6eau+UiNZnLqrEtNipeYnhIUWTYYC6Oqgz1R3t+dqYxpKbLUf4 ovoONMn/o+eO3yy7rbgl88isVGCUmj0YBQrAkP7A0emeXeW4C689SPPdv8D8Fa/XxDqI4+GsXz4p hwxtJQTnLn/5nJ0cUZ+Z8FOsGAUxypoh+hQaCHLzyBMKUmZ7QK5ygdpwph3UgoRrEQSwHiWychz8 PtMLvMMxGmaUws1JLohiz83BCCaFAhwAv4gNUfqGgnLwjBvBpmEn+djHVgDVaYFTJmzLklz0I1xc s1ZkKnqWGqDL9cwwKyOEe1IF3JdeDooq6cdrxdFtdHjcO29BM54HI5q67B35K1K4plTocRtJzPuN vEHMRarLK8N6PU9Y/O4d2XrF5Z7eNPN1f/u1EwpqQIkvoQ9s+tvULTse61i5owQgSTkY2y3jzPHk JXiYXipEPpZ1pRKRopiTkhf6Bj4x4heGGrHkq/597Z/K4pdpUlk5vAGqlE0Z0FM8Ra88zSANujNI fzP4eTkicg3pdb4vft6Pi1o4deFak00axIgGbF60ZnIsPQjAw6vB/0ROOB7z84aQuehPaZPZsB8y SAeiR/UmCqFZWK2+4PuqJrZ22E7Ysjk6uFpJG71P9m53DMZ85ciJtDS8T+XWx8MiP1npgnW1OBSg 185hvdHdor71Oe0Ma+7HE2+TAkdqO7BMU91q+5V6AnVZKiQjEJbSPhM1USYIaTWcS5yIJm/CsqYJ yjSgnt9mgFGF3nno0EDO/kd5QnYHLSwLHKtIWmc39843EbwudqqKfgQrorXNfx4G14Mo1RcA4CQq 2Pcn5JyWHTSVpwlYcLyXC56a4kIg06w+yvNzliHt02YpuOHchorNXtXrf3QwfAaOzPZlwaamaWW9 tOLjlhu2AO9+M+Epo57SPH1l9zIXR7IZ30GqBpvs3zuMZwwz7hEkrrq3k16tGFfq0Xl7mKHR5CVc JHwcabdatmTm/mHxGhr+nIfTYFLQIguhYybFrvCGUwCP6OtaOoCLHqnszGInVHu3wq81qcHfqSIZ tzOiB9Y28oIZ7C93VrNoKOJAsTBylZ6kQ6Pc4czqKeDeYzimyFfPzXUpaGG25J3TlE2f1gTa6JB8 1F4kA1sYr3H89LcYGV8sFSlXA1dvWJnllXzahuDsUIEPW8CU4o7ikYZOYDsDARs9k2SZlLA3DNpo KmI5p+C55CaUwF5PfxTX4T9LcBgGZ7c8ger46gSHo1xkyDkRFhBQ9ck+b0TU4RIE4IL7/Jx3SRl6 P/tg0s19CYDdiuyaFvOY9cfqMVQ+v+ptmSFrDVqole9vZ9vBNndKuPQO65scHuVFDWDhuagQEEd0 tUk8/n1z47O3WFdvSV9QEjifBpTCBsX16+gxMyHuxjPvfcoNX3DnTLmbGJkacZVolNI3J3S/xhDi NxP0vlDbaTKhywqayQqpeB44Y2o+JIxufrv4ya+ip0m1+X8tWoXfVn0bpd8YjX344L9FXXo2297S fugUd1SDSGvzSJDR/QzUr/HEw2xNRJdp7eQMEDsRGA2tV3Hqh3e653b3/7kK8Sb4tXtRuLLIWt7I HgjZ7pRBcNlB1uCBNc1wwuRIsoHGLGNEJAt95EshlDlfSGw5UbeDYgIntD6SPDWDmSkNx0dvTVFR ugY1dXYcSWp2+vCEscJ0VGk1+YlUFFuc+SMolPm00+VKOKFn67ZOiukaIfMdGYTCXM+aj01FfVn6 8wc+/XVAA7MPbD3E/LDMTn2ytrsMH1P2aORuEMbH6RkmaBhMbPhOetu3M/6Tkf8A7Aml0LUTjRZx JDX2NFT28X0Q351K2gwdNCooAMiARDd+5RW9Oe3rvwmuTYMLeb8gAaZva1FouJ1N0SjMe4clgFLI 0jzPNjW5yGYO7dlAyx6KYCmTW2o4j9NHarucbfaQyEiHmmHginM3Pduze3pRmzTHRU19hzzd4jv8 D5bDdjDYlLg1LLImIPGx3TqShp7CELff9+j5LjikyMhutEkOJ9PGbEmRdePV1C7mS8rY338tAFGy XTuGtj5AtpSiGHQHPK1EFIB2l6rShwmnRzqQIu9Xd4UxwiMmL4q8TTPTUJwQVWpgw60u2gXLwwK4 dEzwxPC21q9AGXozEVZXcbg3V+ZNSmyBSF1bfUSGPXl/4j8rU/Wv7StxzXU1vP+7yRrYAI8pItb8 nqBTdQbabkUGl9fbxoudiehKaXZKYs68Rc2kHz4ZL3OTU6oREHTA6ASnfhe/xAuEAsJTL672lMPJ xyptUscZy9rm3rOWRODNDsWJ8Zj9aGRbqJYI4eE+ivuEA6CLE+N7pQjU54SmFsPWntDDG3UwF9Yw I4/Cd4WLxw+Vg+wX/fonUsY8851j6cvqR0PeoikdFcLPlK5e79Dj8qdqSwK9pEyGS7+7O/OKrd/s zpoe/swBeD4BSunxsjKS1dVQH1y0H+rW984OGdQs1jAeP5EoPFaZxCFZRVHWUydPimKQEa4O5GNI qmMl0o4ReFYFtEklOkHm6LIO4/WdGXBSFcdSj99TuHTn/byGC/gpruBKI2xOR5xW/U7qdzqcvjmu 5y7DMWhC0rEykPLM56v5UIcxkmX8Kwkmxm5L5q9563d+IuHdWgyhAlQ0soIqabKvnDBt7qMooO0n y3+i27rmYrVB6c2IKqPzg5jgljaoNzJaIOpH3Iqb0Vpaq6+Mo0/EFRgafo9274vpSVDpflLwrIGH SpZ2OLTZJEEaj7TS8l2BrNZfFnWulHAS+eohC4mPLi8p+3vfbgvaMuWOlIgTVTA2uZ3S0g4c1lgv NnLsERSWpcvFrUlxJ1xXet7vIuhTlPoh4DFVDSLmYM2OEk61gbi/0uM+PC+DP04tYCPXDpsgAlM9 PMeFdN7JAN2k9Y0BzNRM5QGiIUnqVPJCMN5vYysNo2R39WPvkLr0FsWlpYSr5q+yiq4pS/fJNgo0 QL36cNMCk3NSSzF5FN290XWy7IT6WkbofygMUUTCY2mdbPOXFRm6giIZK2xj5+I7jJIBjE7sGXnO Q+w/kftjzb/YTl3KAe0Z9MbqoX0L1fX94kBCLngReQDI/KcIZ9Y79NeiAXiBnkhtR1FnqSYikOUj mflY7cVV21Je9jxE7CqGX3L/5hSEsS0tvsrcUkSpaa+3AAASL0oa2DtoyhVDbNoWcK3cH1jWn2IU nnQA2G1nauzZlZEGP+79DDPUOJ/qEfhPRfC1baHnzDkTYBuEvz/Y8MhtWFelDhR/QGo1LZJ2pPUk 20tpDTP+ir/iNEGSmTtQ5itOT3jFX7HklVpcM/Vmr5b16/sOX9m6604ZzMqthRc16DcUq9FrDA/i d85xpFcR/+2FVtzdZK7RsNTiKKbUXAMq5egrPCvnWdZCh0EhjUSvu0yB9Nr1DbRiEhJp0f1EWviz /Ho6S9KsToQ1h/D5xFAufffuMIlRSOsUlmkgpVFIrtgjX7iu+sSbYxsUCao5CFFM6DEmUO8FIxK4 gQ3PwpOhBdR6YOuZzplDBrQoo29k/jHTGABTc3k+6Er54oz4kembD1ltGmuINZkNJSEeV785Y/QV yKeghaGQaWLypud0hMSpmyU9rsjkg0Z4Pc6u/4NEEs1XWTINALkZ485UHMPoi7a9FRdpe3AH3v2S zTQIUfhjPuER3e6xp4vGE9q8Mq6IbRw6CRzJx2q8SChTiHHafPLgZIa9MU2VwtAqsI5DQPvvTCDy EmuKP2VuYo1nQBICBui5M0jvzZ8uu/VPCGCP65bW4yM2UUcD6iKj/h2DWl5ne6jGEsq7fNNxwm/w iYGo8q2sWuToNoRGJjHD9CxJt9VapAfZA1aWFCasc6OBvMsxcARGOXDBfxLaJHPBYp2MF9GYKuUA LpOb6mDKfDcIfikV2amcp29c7t/cWPwsJ1va48fuRriTaNLaWo73MTzqh+9kC8EUs6YBzVddgtF2 0G3WLnbRu6h6dj64XOrrCDrERMq738KUcJ8tMmNnCehUDdoSP0qJkmNvmug0ISXZhrjqo83vR0Lu zXg4cDGqTn5msZXZvd6p7zVewHmTjS//l8S92XUG2QkveTIs0kNURC/dsxRgdkRmnyqee7XdI9p7 OfZbzfbCes488B1AtKPl5eKnS/xgdWsG7gttssSDREpjkn1Jh0eSWgEY+AXDgiNCCz5Em64T5P3c JTrJX6FNhQCIeFhxd2kGLjBFMo3HkPFbXk55F9a4apUjuchtu0NDdGvNYU+LC46PvDwLa4gEDhbK pYamE/yU9idjUEfnGeGinisoVkIjEw45qPqDKZLdilPeAB+fwiyqnl8FZfqVhYXNN+QR0QBTz9rU yi6eRlh+xlAkIcwJGItnVpmJj2OzdrI4Zk8+bTUzwHEhaXk/MIS+u3BulfH+CiUWqC2Bn1gFVgYU kCaM2mXfcpzCrQvPFWPXGxve/adiEDrDpayOebQz0pMOcLyky2trl/Pgsj4NmvbYPUp7VHLrGWRA 1RU66AxFsdODtTxtxCvH0zDupptl4yFBnl9Vl/OmSfyMb3Xdk0LOTTk/NmZ5F0jhfW5nRBijnrMM a4/IR55Gk50/wAz2kFt4gMbUpNj2XuA0s+ct2TyV5QkhZ5KKOKEtOSCZcC+O2Ta4pnHYTOFrbgE1 /0BEwq4LoFt7VoCyavCIds6p16Auyl6ZwINhtmnsFitUy+dSjqCObBP/c7lFfv+mMdLqArzkJ7Mc MbVsRn9UZjLkTkjA4qb72a3bIvC/jeSDYvtS0YMP0L2voZ4bsHTcu+n0AUdRRvSBLt+nz/Y16dJ9 NbcFAlJGV94tziqJYf463NiDWL+PBzSQyfEC7895RGkwcJ/8/oDUEgjWxI58SU/++78KimIi7OhH ytummiidAL1ehZ3hEn6xuRrzGWk/Mfgtrih3AzD1Fi9Nswv5T4Dfu4JIJTI0zxNrF1lPMPhEbZqa QX0/BFul9EdfqDdwYDgfYJFMqnUKXrr+LYZ5cvrMXkAbLkxE2Azwe4Ml2Lv70WwZjR6yGKGMdnCP pt6Yl88lpKjT8awtS6jo6TLtwmWlWzMgbKOXJhsstln7DCml2wNLwQmbs3eWz0Cr6RlIdtzBe9n0 LYkUSJqx4HLB7ZgR2hb5cnVPv5ULdDVhgAPx9s0pGXM+BdWdiAZqwDZyMUtiJW8KswKjs8XYfPRU /dXrQFtAM36NyTNR9SyK7kIQJHcYpfs1NXyi++vbyUldpol8a5MrowAe7yrdPI1LzmODj8cFCbjx c6+BiPmaGBlpFqt2Zqk784WV29zKiRxiHsASLAXXauRDLYIIJTKagrCQnWmzU4CNj0bGqAjL8Icx tpebaOpk+w/m9sg3/giupVDZL0u09AYsDgfxHYoIiF2yHb4IzDY58RlbJKzE68bBeDEP4zzxCKZG 9zeqO6MdyS/L8eY7AcTA3cF7owbLO9fQJOrX12hfQLw6ZOpfWmyZbP0dIH+Lyp/d0jY9ZOW4byig h6dU+dwFAlQ3ExFYAbDF0bHnbeKIUj1S/VEEX6PQ0BuPi7lvTiFkreyaKbS5VY4A+9EVT1OD/7Gp AjmimrvC/VRnLJO2GJkUo8nvQ7o0h532iOcWKQcGnq+VEnxM6zET/SHDBinEHmColuV99Bpu6CkD VwoiVeUAAIF8l/Nf2VW1xis2WgeKGYY9J+C4jrwSgE5+vuvZmJ512Y9c86i2LHbF8yVXvKpOqBBk rLCD0croCfMj1VGDsOorUS5mR7WuY1A7c834hbGzLEAwm8fpj0HXEH3FEE5miaXIQ3/q9y+evqMP 7c5JESpDeu5RTvldCy5nW2iriHi/gbRNgurLjx+XWoE9Ffi74y0Y4pyHHaXGwBHNBGLNnsvM6ddb bPMLikA98PHaMstKT+5lCjw2ztICerJVNOQcDVyWVnWG0iNKyllTRfTGj9OW6zIq8++RIkQ7uyPF swc84AdTDiKmadReapNQlwZckQOnktaOJumGA9bkeRusApa1kH/uzKgevVxzE2lUefNcXNvFekz7 uVDwgXD/Y3JZrcvVHZwSrNYnL6dR9fihpv6wcLDVqFcBqBEl1DK8LC7pVXcn++kywta+skQpS7wf C1dKa6b9cDAS6Lk965dUZ8tQON3/4Ac0sC06WDUzJGdWIWJXHKxRNFLNC/P1V50jjJiIZnjMknPE oTI8GlCRrWFjKmGhrFO8trNlqiejFdgYsQotr7OlCFMgA7nbYca70mSWoWkbPzARPnOYEhK7Ssc3 XdC6NiDBii6JJydkfrjphrqusi8uIeW6LiPoUobie+hRsoZLOiH1rDVaITDC5hr+BapPg6qBXT3/ 2GPr8Z4XsiqzxqqE7RlwMRCsc8R6DQPvpikZPtePv8uUMRtbpzgahsektzCRVl7BDva2QPRSLolQ pcLXkQvDDdWibfV3wfLsY7DHfOhjKaeajpuz2M380fw98wOxzqgCMUZAieKeun5JcXP0X7pLC78e Od5l6LwmW/We7DjColux9T5LDS6U73xZ+w493xTdjmljMX901oiUcZ3vDNzuVzJWJI98OvEtV9z2 BDFUJA+gahlm6oA7YtwHDrIgFh1AzFbjkMpUoyKZxzQs/H0r4UkQ+/HZkKaLK5YqZJ2VUek4NaNs 2p44itX5PxQUqfv4BTYBLLdXckz9M4QTtRyC4f0/O/OLz2T26XkFe8nIz0HXbxrhcGFJdYB7qp3X lU6m75e07xfSvDCPhAOhE+hw1bc7RxL328SAFMPUKT6lnUdtBTGnWFPNhcQUSmDzpi2BzKD1iVRq BiHd2EAzpwE6bfOn41tN+3O43CslXo/NK2YQ6COcXUHM8z3w+BucfusgxDzx2f1xmlLXTFNeEruo FI/ZZ4nlGHKRaUWg6P5z2OU5t2m2P2nKAfaot9brRQ86WfwMMSQVALj3h6V74IxQ5ss4ZVMpYVKt pUC0fC8VpPzbQTmUDecLs41uBTtuYnQxH4kgNrb/97Zo0+ii8TUorbN60KolU+gwLKA3IjYQRBU/ BlSMqVzQkzKEy5/R70pmRFdHgJGhRLPcOAGoGexDy4Hb/FHY3mPp+jMk/VP/Kw8yMmh7I0Rr0tQE 1zNIUqmdb10QUhNK5Ev0kpleY4a5nkhLkt0wtUx8cKwqzPh21qQaDwBF5/nWYv/nFnw68UBKMS7L YGgZgaFQJYj5LhoPI+HxiU5XOY6H1Yb6rm9noZeV7PBsS3buawmEurhfHUZlh9HjkvSdICS3lio8 jUfoR492DUuTOjU1fP7FDw9Xn7q6XVX3fdu7hOgbTOaED/KiVaMZGprs+roSKw0xGfBb1iOLgWCf hO9emOvTEG9ehhXswPbj4AyJDrPPU8jAhnQXboNruEOMiyYexsdOhnEoc1cU8EKQ26VQUStP3hrU M6DMxVqf3Z8ZMYKJ87usKXeUXAkuyRZpsgrDousCG3VS4tj05OGN+Z25G7kcirnIgCiOrP8BwsKY zGMVjN07OwrdUUCqXiySIRzDOFOeaxb2p0bsbkaKScmDqbWJVyM68LfNtncAKBRSRWVbi83xgKhD f1XD5T/h2wSrm43+eCTERKPgpwQqhbdKGJJ14edCPd45vQOxBYXcuEXKAPZosLufzJPfUyH9RvQ/ XPGPmQz7RR2kaEq0d2o7FCRQLik/FdjxjBLDgph5P2jobTHBO6DstCzjYWSoT1MtXccaa08fn2SW eDJAdtuWgsvVpBfx7I41L69sZzYqdK0pCrLn5E3hcZxaRdJJF/dDyUfNwDeTLyMwIH1hIS8CuxVE X+lHKUYAFiAdetdLzOKeFz9/btYEWqAFsn4TuJ/xTaSFkxiz3frx5EOwhGQMEY22hiODH773MjnO 1iEqNYGLo0TVMW1t5PwBEXXfqifjrCJcdP7t5oPp3zYynGqwgE7UUjxlm5ZGSRdxhJ8gPrDtrT9J Pi+phkw67yb73XikMgI4HkDQllm1Vn37ny/gijnsds6bc/zK905dNY3w970mu+3nn+u5bmXw1vd7 2X3TZWoc8ogzWtwaljEGM1MTrjvvfQHq5iFeNj6MnSSQdoBvmJiDIP9ubNyMy+CM3NxyoT1TNkuF BmHG8NBE7mgkjcMYPcL8icbkXY5/61SPmCgIs7sCBfm7nUwhx18SW2oOFa9+9Xz5pyq/hCIxwKxd fULKsneRWtjCjZolMwFre492X7S5OatULUUGAq/DzYmM5e3VwAwHV8sfXWaTfFcR26aZ/WwkOrqJ JvVKxczgD8POivxgU1/JUJl4J1rPhYEbFhGW5w8f0NyJcKJkOenXIDSWmsOV8IUJSF9fjaTPJG/3 sNcOrklBiUxhuQ35v63YcHxe5OfvEFG9t1zZeVn1LrMdDAWiIXAkxgy2+5lALMJOjOERqIpfPSng h6ChVGCNoMWzr1PgpTvHB77Uj4cBA42BAlTWrn9VxoOu9wvWol2so8mRIPhLlHzaHkLxITahEIQ3 ce3w4ebw9+hS4Z3iG+6OSoiLSEoqdmo5gIz0mubld3ap+YEMk0B3TyF+Fv4tvSA8P/wocuUCPQwK isZlW5nTbJDjwH+5hPqGCTQ9iyx41QmUDSIC6/V6lopN9DFtPTxUevTwMMmGaBdIhSq2GhEMlBW/ W8q+MlBLWah440y7Fk13nYwkE619Z0QC52tA9ZUHsaOsVN6MTTkUwLC02qM/zK1UStUP69C00OtX M5aC2u1JZpdm/o9IEfUiSbnOusxcZynBsNikfztM2wRyJ9tQc3pBW8ONtiIdxKCBnbf+kPA1qJDJ vu9ehUEfDKvDIlwpAMufJuTVDffjDfRxaCFM8fKU2iA3Gp/5FT/vkKa16BHfqlf2tJ0I9D5DLRtw 6y3T82mo5g8KLM5lquUdaFvSBjZcPvCveppeGQtsfaQqQW4Nn2hd73Udxjth4TiOxf2d41idMOJu Y1EXmyc3xOBG9OauGezpFOgwlPhvi8tqdAf1XY/utaFJ9Elq5+TzUTekFVwfM8q4Dbd06GkK16Mi gGz7xvwa4HsWlU49SyXYWDp34XAn696iCddk7t1+ekw4nEY0JHDwcHuOAVQkwBuHyEugiH/1yoy3 zFHR4R5yr3x2rGjM76+Yk/QJLcLvl5/WRPx7+fB+9vyyk07yi5Tksmpd3LxqoEZbjDonmEGD0QlX aePhMmMce8MKfmeQXSt5LgsiNxbaLxPCeIWu4GUT9LVLJfAQgwLHvDp/s5/XQ6b5w/X3c25rE1Y9 v9mHjgtvYg+pMHNFSLJwTiKpYIS9FiLZU1koy4omKCcyw32cFnv/sKVJcphGaCk+7kjjg07AcTmw JSqiskC91+AVqi7wUw86yIW/yy/fGql8iwo6RK0wQ22UpWTdEve1AsPHGsjLr0lqR0rPmkCOlrmS voa1YUP7gKIk7DWux1O4h9Qu5LCLXtvijF24QZd254MymUKoIlyQgttj5Ta8rD+BQrhV2PH7dCfX QD8vMHnsvET5H5xW/FXlaDI2ZCLlthws45kVbbtWuiRH2p06VsU2NjIL6L6xgVagRwJl0KUugTeO 6btd5Fdm3tTiPaPp5zV6y82X05hS+yxEwvUz5rkTGLw/Dlv7kb3n+afzx5L+8Zv5QhPSa+rrnnUs WbJz8euRBhNCtxYGuI51Y7z4tzccCTZoPOQ1lFkdHuyUoHRo5E+pq858K0PT8f+W2XjOhxobpo+q dXzpdvv9a8D6UeHDHmNY9EZfCFTnRN9oXzo/YqZquNeYplnTVI8PY8gglWl9Sbg3mKhpZSwdf2D+ ZfIoQa/up2mM5kzjkNwu8T1RVaIbHq3ag7JomGX6uVruRB23veinqrDqB9YEH15DBeaIRfVakbYQ i1p9qaqqzxQTviBUIav/OZAwLjsdzs0LgO87NtMNBvHChlWvt/cMsaJlEFrveRv5Z+zJsf4jdlWF OIjyIrEQ47ymZcy12nJc0vHiSKWct5jyEXj0vOxC8zisPoPkBpTRDzOJ964O6IdeRRdsYK5/raSS 4Pfeau+korkL5T7PU9PD/9Xw43yy9IwNE9I6yZZp0l5hTGnfKvyODRt0iY5uu6Qd60a/8zacblwF o6npWe7Yo6aANAXf7nRCDLrROz9sAhc9l+HugnOenvxN+YrJBVP+qrQlx5D/5FcuBGG4euzuJJB8 +RzPTl6hqi1dQ0Ib0BmZ0SLJeN4xWhpoda1A6tuM8SbXjLloKx4KQdwbqjqtc2KDJ61FRfXyBnS+ YXTs8qgrlggtojLY0LPZFfjJmCAGtO6sw7/ZTwPMrocyV6aa4h2YB76vV1p/8HZuui4yOpH0/jGC F6FmQmuCOXGxkmzYYbKbth4PI88yI7wYvTt8Vfv1gwnBzP/CHovwl1KGP1hdogFEKVNPXdPG8UZ0 uJdtJPcOb1B5yENRvvoTdgq5jbADhR1ukd2YSP3hGWO3ETgKCCeyVWjcOaZp7a2OGcXUaf6bgCbT Wp4DWO6a/E7rP151xCz+pYaTWMZsB7uEpbiDSey3DS9YbBYQu5x4VPxm6yGSxq6wL4c9/T6Lf7X6 6eUsUW4HVWT8BOUUz6sLrQJmDUjlENSDy1x7VZbxjS9hQCMWvuJkbWURisHjiV8JEh7PUy3t152S 1Bo5lj//Xbu41C+IF6t8WbIOOn/U+QgO01o6tbdYq01cMme9lGUBBCA0UQM/qwH5JjAAqQ3yhOpp cJLdmBPAxoyUo1N/JCws7gB5PL7dcUs3mooqG/vm4miOi7uy4uLalfy0bg/LiI42jAo1/Ayf0nKZ AdKyomz6Z5KlL3E3p0aT4Gv8/P9fhHPyjehAbQaWcJDgPbuyEpH2Qv+2MSjU4+o2lSlx8uOqviAR ue0Eb9PX0AxPXUbzisgEFlcYepU7UCJmo+X8DWgBJebSUvpdeaD77tkOFpSazXv3SSCxU1Y4Vvqj UqU4klhWCtgy0VWycnUb26pHt7FKwHMNXLSsbzQ2NRcwDzPxFSSyuETf0iW2eJIA3mBTU68V2h/E aoCRCPlSrR+6O7c85AbW6y9KyPO7ybRBn3jBgE74Kro0J5Cr7pG899vah9g/e8w86rbKttrRPQNN +AVqy6SXTV5444B0le/fz69YRJ9uW9mF4VqFGRo6yXyjwFwb/jWCSBHxIVeMhTdiSk9kvE5ez/Jw y7LSJm5f8Qj0fLHOJVl/2fZ5WP3uauT7hvCwOJ27ow0DI5RFcPf3B8OvKaeMrvBslR8JBeiPCiQM b8DXxPIgowLLoODxseipijlfACWtLvWUg2+3kt0utqLYKHNT7UKqLdjsDa/+9rhnWnYS66KOiKcR xaOw+85EKrl7AIP1lZxgVxAyq955AlShkUdGsm+GtNPMZbwFIb6j2FWzTTnqj+ExfScVERShUAM8 A2zVuI5y9hzlTjKqNd7UlwFZ5AtRYaW+Zo78WjSV6d3KLYagtRuySaQQAna2TEMWKbhuyru0tWf9 JlAO0K8DBp2s+rdtD0O0Udr56tOmFF6P/M2DNqQrhyYOj/OMNG33+dteTKyj0/HtCYvV2pxoifg8 DAP93ipH8EYzhxChr01Gh72iI8F/Tfv9KuQJEvnt9Fq9siWQ9HxtPtxz0+rH8zpDibA8d5pjfz+S Uehlx9uw9nvFeh5T3Lt3XAG64n5bjxN+CBaJSBiZa9dfzO7kI34mSFTA0ppGjIYWandfwt5YxFxl snzLccH1FBY9OOyhsZsR9jBvl73kHcgOHJ/kPF0cFzncVhvwzoHErVyRfR3bvYE7LPTEJp4/wuos d/Mt53W344ttDsTmRfCuK/Pvk+ClWYH0kfJKdrKqcm8oH9GYE0oDqFV953+Ye7IRzHcr0If48dQL IGEJhbeQyhh2s7Y/anlHc8M2rz3s2W7dzNfCEAXIeP69e3rUhwcxLahgkS4yAjd1FASPsBb7Sjl5 VGQd4PiSvk7b6qtyTySKmTklixc65E3hh71dVsEIA+pVhalziokC+90Y36QjSk4Rzog7OLSPuofs xs35sduxmdUsw3rGHwenlDIfYygJW4jNHaPMz9r85XVmnwfrfUje2G1P2rdgFPK7mmr0kG3Zjgip DbI7GFMj3rrJyD1skPb5P1IHZmferGHKMEs82z80juCOv+qN+Y1GdOypZIua/ySQFk3AkT/ImUNo 7D7zw3n1FX34XM74tH5BFVeT0YMuaOj01SLoPy4DCDz9MWGvpoYz/UFuEWcwoSZS921HzAihItFa X33xjv0DY9yje0q7rjz3ZeW81suN7FYgUzfeBWUWzr5Z7mcLbteuq5JCYZ6uWu8x9hkWZ0iwOqq+ iil1YReZvUO00NzOxcR0z9zmbgLy9hD1sSkIsWH/YSsRBeNTEGlbUidEpJWWlrq+J5Id4ZUNlJUp zcIQ8Wm2TM0U+FNXT0yPE8jf/2V5iGDOh8w4XQa6Q4wJPngk08qVbk90+nQ04Dp4gxx/WYfbBBVh E7y3fxzNplLEDIS6A14m3J4mxPUwYwTsukeDjvgc1igKpxQniHaqssP1/LWprsS8fUg28WA9qLMs uYPDrvyxYBXZtSgGbc5jzy4FOC1rS5qktFoH8ao5b5uxhupZnbM57vmYMGkaiEYT3mGfJD/YcgHH dn+KzXXsV/pTQ2AxwC6lZOpt0ba6pIprMGT4d05su40ttoS1/7u0g8ETdp3Qn9lt2dFYgqf1r5SD 4P5bWW+WOKDGhbUife41tFxyN+T+HfzEOYudFOC1i8RZOU7hj96V6rMKjhIqSoUZq3/8bJsNTCwI ns4XzadjcHY8Obe3wmt0v6yCT7gPvu9kWC0QWn13dy//C7GX1byoZo7G+RaPondHcNmEnAEfZbPG jeDbajIulK1P5pagzF0pLFkvwCIMy5K1Ka8Y+1Z/XnCVhhkwn/Jux+7KiVfb0a/qqTG3fAaCkWPj UvE0WjHcvb0PeJzIfQjdRgVCFYiXqRcqYBSo+VpnYr6eV3N3jLnkTYrqREj7z70D5TckHt0zfE79 nHrHe3UEGdHkFSaF9CbG72cmse3pAgLs5OtzPYT2EnLKKIBbk7QiyzToSLg5J5xjkD7Z/d2wEo88 3rjImQ7GZxm36sZWEhE3u4EG4yQXGqQqrTY8sXsq335CyCSRVMe8lb/xJBT1xiN5rMoTqlJGN8IG UsvdhbD+zf6KJkGqVgxKF5QL0LUJcmRnrufjIJIwjb1ZOe3sUc2XVKfsqZ5gCWS8s1OVPRqATySB v4VeLZ3aeQQuWe5RujBzsSP+duBizuz8UalTlDWhoBMWDo+22ob6L9j2+R+RlpVAy2Es7TbA5DyO +zqIDdp2yvJ72SfSE+uyG1v+rkrBtUOaEZ9HzKIe5VLzKf5CopDS4hM3XJNHR9GbzGZbxdV0qgEe I87byfPZ3ynUFE6c4woWZ8v9bMePOHW3qIHv/uiiyL6JEna8gzQvvzsiZwE1ktkLrVdbwxNxHb0f xxIW6WerpSIc7cjkvuyvgL9Ad5aFLeERs/aL8J7xX/FO7eAXU5OOMyGvgcUrZZ7KkzHJUr0hz8AU uIFLoH9+wXBk62qAIUhpgnQ16759aLwyQjKKyn7Js3hjNHaa9byCCAgHF2SdcVzZMZK7I/SeRtQX 15aEuYxwzmlJoLddMcbSxUSYx2mcUQor/DBzrc1/VvpLcmDtghAk6FOzbTOkEvi+3P7kqa8Ebrip b37JUqRDC0BxJ2VKZ4xD49vTpyEpxsDeLFuYMWTbFet+r5mSmNMBXdhr9VhTGcY5ui3aLmQOZcjr MFbqPOKdC3meXAtUPG6uQejwfcFrSZJ3vx1Q1MdvDgZx+griwJTlWuBgiHR17pBiol5iCB1lV8bz L01vZuOTOz6IMM+ckOy/XWW17gpmBInBEtt331z/ecnaSo3hzXLNVMX2SDPKV31XAxUB+iHnxkUS Ww8AM4ynKbafwOSjP/U9rCMiDzxHBPANITa66iUMKOzppV47xUpEgwKoAoUqlB3H05yk/qL8Rlo+ 6bnGWZ1wDY2QtMVyd1Q9ACVUuzexIisQ1YYLQ8NegB5+gnJpVQFXGsXsyQmyxk/pTrLougslrzc0 dwTHmajm1wxkvqP+hunciWZldccePqBtqK98fRx1gg26ZV9zbGUEj/NCfswFlwzW6IJOEGZIqN1h 48TfpkD4EmFTpnR/uptmGuMKo0401HrvM87nQpSPTaXtJYikEjJHYqhx24jHlukOOPTjlMVlH0kg nsUUThBfBusfPMkKtfwcSO9qgbDVSTuvGBFiG7KZu2Mijc10uTvhfjq0FQoHDzLi2NcNjVRSncOF yNVjV3e90D1M6jL7I3W+KLbxRVvE4oY14TstCmtt8/Co6WLOIjcmkdIx1sooAiv0FplYwBs7kPsa tCJYQzdbu9eWlfq+t1TnhfwfeRNiToCgJDb1V2Tk6r1v2Kwir6iwQezM/ovXnuREYM7qCWxHHSVy 17vJxhc2nBPqlkzdlzcQmGSxpms8uBYgWwJC5fE8wahV98LDEnhkoC21GeofhMJD55HhNfrsyQmX 4m/NFFSQYYKUwCBgMwkiudcUsydrhVDZiQm6KPQjI7oqkqK6DSduYvFPKX5YsjFiUG0XDBPL6Uya bpAPyRBsZp8gujioSpGZSbwKHa/tcYDtnwl3kbSAVjTWAiX+b8sJORJRhVbS26jfGhUdyzCCUGLn 5+qbhnZmB6HGFSqpEYxduwaKfn4mRYL/Ekuw7XiYBOBxQ5f382fb+fHwMQPLqLn/hSeZ/h2v2Aur vojqAxNZ607dxmjy1VmZ/wlBHKZv4xd+mueiEoIz7yIQ+ZszQbY+LnJ5fID31j9b7OHxEdzq808H 6E9uIps83rU2A8jp1tsJAeFoEvEqDJK/odm+2M5iCUfQE/xmsSqxnZiakJ2e9SCDoZLZVuAthqU+ aanxPMJzwQ4nxko19bp0Jy2JLZxC1H9K0Uwnn1hHD5GAomb1XfNuyvGRDTNdI4Q0X3sjVt3oDft0 fZwMbnusIZgQNIONRWinfxRsr1ERm3tc+vOG/HCdQCSyF0Y6EpwB99RNExyfVxTSueUv3athwzPE JpuN7vheSbhXZKgsvrcyZEzo0eByIvKUHFJAn7OWE38T8PKhJ+85nVSJhnLilFijT96wmQmt2kEl htLtklgdB7AEKcE38u8tvdqzfLi+q1pe7qcZOWOIZoelSCXU4xWAuXMtjR7XBG95b5Wwtc+yGjBs UPnB5AkftJkmsy2EspauvUKDh+6JdX/0YV0wewRM/T66MDlHStxkkMkIUUfNBxweCjFBhNCo4lxc ak/Sri5YpZn3BNAofgb1CiS9pcQrAO1fbH3pThL6EN0fnRMvfI81MoF1MOVgY1M/cN7/LDE8e6mY fiykSEvEIYqiKdOLb4q6b4VJqhVyC0lm7BBCMKk6GQtEMwvLG5L4whGoWrdypZa5jt67+izNbKs8 dZZMOWLRYZfEPdVvTjkYg2tp2wMjoNlgYJiv+X6/6VGMt5GxjAegxXLAN4KH+MjT+qqiZyXScaJG +745vET3AWE7H2xlcggLJF3mdarh3KvIM/iqDD5gx+f1lIGfNeQqMl9mto6WCuwWDG9Tua0Kk+FT gJ+jcihlKyNm5yLSb3yeAwKmu/nAp0YKP+pileo9LF87FdgzcbmiX9EOwIMOMuyXyJxnDPt2EmGh kMBVzeApo1SPF/3ZU/TNoGJWLCmseLc53FZgAwWtHWlTamN25UrFcq+FDSAWYg3U9HXXCh9PwKR9 obz989AA+dA245zyRg3ny1VlHtd00BmnhL6UXsYfP+nxfvhpjJ4aQCeomlCHawDyuoDkN8z5cURq qreVm1W81NEmccsRKteGXMSK+1h5nqVn9ds+Nf3p3fxOb9dlNFaHD+zeYXw8bC3BuZdiQgFFIEPG 1D8e/4vnv3/llt21PDfvxvtheenEH1RPMuXrl20E0Hk6EDn8OTnU+II9oOq8JvXYYrur3NG8K299 nw3wZqrdoDhYR1DIlgWO6rz2WDMqXp/rWF991DgBo3HDgA4AmMpoqMOTxsmxYapgTyTsWpLNE0RB UAoY1/r9g0lBwlyPi+wUUfQLBN72YjKLPpJcXlvBhCfLbxXNOjyPt3mMqiaRYu1Y2foRDoluN3HW aVNIBC/MSxDzJ7oDAnSGlWvlOzZBMIyvrbG4hqF+dbGZ89LZpQqa2dgrKn0Al95kDwvFOhW2yJye kxpQMWg+XMBA3bgaRxi/M3dylqzAuSwI+yCeyemU7E7P5WySFmbu85MH70zLajlHkYDajhgl7OFH YH0vJPKLbH923aEqI3qh/fLh5xQ725xqRMBl04lhFDS9tP0N5a1J4lY3rRNs9tNbnkmfzFL8qe+8 C4BOi/1Rjh6L7W1Z3dmidSvEbKxmC6psfurSygbjmjiKLmnzo+TKS58/F/b/NRu9bqKxazQzRJ6y jgUdeF0gQDBiD2mvc443eXysChWLZF2s6QuIhNFtWbB7k9RyBDO0R9CmMPUq8gYHQNmFXC6e734B zcIyR0mCkgcVBBJRLnnHlgR+1Jyxy7T3wtKktqFTN7dKU/tqhtZVWF53mndzFJOLtOHG/OJGh9c7 tNr06kv3PgQtEC+Hp179OggdhD7RNpguMNCue0kUiMZKn3blEcR7njsYXWoAE4CVtmIi0SZxZjOr phN76pYOrtILj9mZDgEgNruy/N+nl+jbWz88WfO4FNuQfZZjcrpOGTqnO0fAe3NWh93uFhMBrnkH A06WKAtEBuxwmQzP+AfIQ7NynH7BHhBp9nD5dSHYYYo8pY//eZwRGFLPie49F0DusD/oMdK14Yg8 X8UvD+2X9L+6W2iTVdV8JrUfadExo93E9JUIb8vcdYU0+ESiXulCoudddXkWe6wjAcPe1LazZ8Lt Q8MFokJHeBrZ8GIRgG4SvIma3vN9GM1CBZC+fsOSVJyKdIPAczgJPfxUQxhB23xi0wQOM3KubRXM 6iT7MLzz3Y53JjMYNkbhdtMM4O+qECph9o5HvBZSA2Yb9Cj3OhFcn69fqo2h8XIFV/6nCTbS+rAD EQ2mXQ4cFIkD74Lc4jJvgeO73blMRUjvmGgSj+So+VXrk7yQVo2ndpNfMxHUfoB16s8s5w7HKBtm cV1QrpM72x/ILUdgsrbzPyv+0/jjC1UeUDxH2ZGt+hWyoF059ITAI7qmA5IiqPt4OksqPpdOueJz Q/WZVkUx08BziXUrM89/X0cfgwGV8gcQ5cXErj8b2R9l64t9rQ/p0HJRJSjN7Gl6DrbeRK0TgJTz kdQm4dUJfNfx+w+qBpWoky/AblPSj6cDafkbMWrMgUOuAAZoVvPVH3LbDymefpXvIoFRqu96+VpF Y6P0lQFVIl4G7cadsfO7uHT9fUtcTxDzDbcx0t1q/YqH4E10m8d8yDcw8zJqXP2hrM1eLM+o18Lr +fz7SjfPYeChQnqxhllRJWYumo7ia2ZAFJ93E2pffchgwGKDn5GY4Y70bBSCNotn5PBOc8U/GYIG LT0MMObGQOMxuiOTsAYNtHeb0XFUpzO8mkfTJToVS6Ru+gVMrnTLqZ+uHyicFBIxuVSKq824FTTR rlocoW6KehYRhnRNmQa+SCMv0Ew4TqqQQjIbFM/NIHUerhMs6H+m+cw6r3pT7thexAC1EctNVUHE p5bLUircYkN4a+3qKxQdA3gPtQkeHOoV/WuEzSn9SrWZCZQwxJt33RlVe2SODMBGVyQseQbTJ8a3 iq35DHkAQEnjrBxYtYwi78twYC/UQHOu2mTaglsyXCOjRbCUEhIhxMnkC4y4OEqypIZ6/v+24Op5 hiu2hOhk+PRaVVTULWwqVi38oOzPEFXJcY+kXUKzvMdUt9bu5L7qqKs/tLN84N+wgyVL5FECN9nA RsN+XnMkMXbeABWOEYoHsXT0klsWkU1ywN4mnQlr4WoJR+yfclfh+SFvyy9C8V5Bo3lTRg+60bl+ 0150p9Ju4G/idMFze7nv14TtuDTekErzIc0lnXkq1HE336/mSHpqNjcilx6oMbei3CoRFgrTzB7W i7qB9FSLxSUJWhqOm7WNjv6qiYjtMxj4xwAVHNn5xHDjTRc/Rsez/OeqUUza+pZMv/oPTUhIGsu5 6xfztd5vD451S1/nFVBWLRiWUEH/x6UiIWbsIyNv55nuWqa6kYvIO2XI5FPiVGuBkT3PtxjpNH+/ topKIHjzJ76Hz5s0rd35fVa2SfN9npPr2lra+ICR582m1ddCKLYr/eDMcVkbV659Kuc6a150qgMp xJNXeuueNy4tCwi4o5wsXQolXGjT50al7cISPSKF5ueWX70kozE52T8c8LgvgBawcoUldaCUXBew WgzSYUt/8sinhAKy2rJ8cWqWs5LgGnu9o+9tW5FbnGNYeszis4tzdJ0Gi6SiBp+Y+3plrf9+pT9D LiwwSB42Qot8NRgYU4cwrGINqaT3UDaAUjoDLk3Yxh0sdbP8ywDcPqed0uqaYLP9cfJX3FcxCDub dFW3Aae4Ax8UB2Lh7Wqi+FGmSoDIF2RP/Chu5g7dg10vTSQDA0ci4R0ZcEzkHC2nebtinKpOl5i9 qf2JKsLJuwm4d3pC6CDpqeLITrm1mMFc8w5FOcfFpbsxmtbmicCTDnw9XhkcT6qKYYNDm91wb6x2 EG+3PYYkL8uftCBlxfXzULfcOjwVo7J+fdA7Fo8h+n2WobH/THBcJg9vEWl5eoxszHYzRquEQyiY gHLsL484XHtSB2h4qjB+yqV5RNlKu1JaHT47JrggnDzq5ldQAMhOzIyV5QznKZKjJWmZqGWPLQPr t+1L+HQG6pm612ZVuRx9grVbCfUogjSj1q89XUmCc0FIleJihE2t0pQ5FLtf3OvD6Yi4OmX8RkYE RDDgqHxQai9eNksLcEiKpiA4PDA51dnDeUuGzgm8HcbYEDcKHdFdJphwHEgVd9X2bmeVYDDrOixv o7Hh5gbayaz9QenfgHD42S2m0AuAIFz5p7FXP1AZBm3lajhScY5H6y+uYlyYgCZ5/E9mFJgfJhMd cTVMigub9k/oiL1cRAIwPhb4oiGJg8MWVwHeyvdZqtZPxxNeKU8b13BebNzpWJ4nOcU3dHXTjwTf 0RsuSMNLqCGOfTWX2DayFSRvLw8Si0H4sHMnMqtF0JF1OKhjPK0kB89RUaN8rhihGTys3PypFU8P yojsYmYO01iOCdSIgMK/wynPchEjrj2cZNd538S2dxQIq7nqAvxoPRnwFBoePI9FGPWBERCrERFn MC6kLNNXrT+i7cVQ3rfrhdXJNauznOwj5iPflCLLt09WGmvtQuQgId1TrUqdaD1hUZBth2sH8FH6 xQEOxSyEYO4bAX/6eCo/vtgby5IKW8sxzoLHGW+ip88ZdzYEFoPq8lsHs0391zvx6C0hX+zsbZe+ 0YoyNdIQcjKmCkAQ8aKHXOZ73km4HW048QU02zb2Rlq8pp318AGrXsXwQD3WhkqPpuoHqvbphP2X 0DVgFVvq4WEJ01C4F9zymylWXyObgSZHUTEYVuXHG0Xf1q5PR91ZzZcl+LjszM3E05L7Dh8E0RBr F/CMWPnK1Ru17w03t0YhMLIimnBPlFbseKxml67kyn5BUWQ/WTFO57b8+vaBRvdOLlBJ2oaCzXx9 kZqJNQVKEjQkC0UK/2BHMLNtUIYbTvN+T/Jf89DRA5WhOVWuFYcOhywul5l7tSVySa50sf7+0AZf 6FZ6KGOX6JD1X33nowF7fqNx/uXMc6kSgkl5TfmyAvQ+bTAu6YfVkIW3j6ZJs3ECDqcVvbOILH7b obWJcjG+ISeXEhsqEiAiOist4MMlxL6avzZSUF+PQm27y/3lOPZNNJaEAkSbTjhpX+H0w3C+nzBY /Q1zkjclEUsMMZktXyTRAYcE7vCDidTML6TpmLX1jwqozeVBMjijbBgf6a/puADtTMjuT6fm8W8G SWpSUNvQqk6DpxboIsc8MIpm+zwIuQJJGJz8+qpZCa/tVRqZhFmInpqlowKyzFLeVStjVFKhdkuc KZVjDJ0qQYWb3qBUtwFFIwd42Hk1QYBy393PAR1ZuGAqSchujKnSsx2n7AVMgVLCWNKCEJTapDWJ AABRveVPRXoM+2KMoxJGuM8VdoZKOrme4lxNIB9ehHEsW0NrxP/c/NnL0fU7abXLLDsXbUu999Xv qVOzhyaQp+U4DDB/A3U7IChoOTks3Oip6b6h1fOdVZ6vy4D56hATBBx5FhzDShu2yk1Ok8OQkt7z dKL4S8JR28nBWm7D9ebUMvVgr882U+boZ8OcjmxKuwrR7lggrZtbuBmqer/Gu5nH7tstPStHkUaZ DJQ1Ro3tn9SHTPpHKL2dzuii/B27LntZuMSB87HGBbqi5J2PCgDc1gPIM476ZPjf6gTYOv0lzDl1 UUxmEvJi1vklNIe+YAHsVYQGm4zH4KB9vFvCLS5b0UFfWHT3yXihfaa4J1RASkV1Gc4CXq5cQ8jX ENyGOWcx4J9doi2r3mVbaKTXDd4TU982i4GU+7zZo7/v4/BL9ovfc/fss1YlRk8/NO/Ono1s9Sh9 JjBK6/AsiMsQUWzWgAKPzGDg/mKurw+5WCsibGDG7ndk8/NJMbsgZ/kzXvYoGk7O4954GThpqtD8 9RhXW028jSliB5fMbbQbVZFSbdzwd4bGbACdaUGPY3lMidGAiCqpElrAYPAymp6H1Nw3QUicS77x QYmJgsk1SQOBF6Kqtmy1CfJZcwEdCHOqmDkyw4sdO4VqVZUSTqhkGt+MxeCTDHQAPnaZQUMMQpEG rCxhB8n/qHk/BUrQn1C8hrZOhpXRKKdKWUi8HYetUGJSfjR5DkrAGgddM6oiyckx7GHvQO9kVypg TPjYY3jkczMhkcUSLr99gwrTRuUomXe0+5ayeZI2e/IERmUeRNeZ8mT3Br4ZG3j6u8BQncg8ZQbR y9Nk8FBfPQ4AePCwQ45Qxu0ZbPsElmoIY6VOEECpJkERcJlpCR0mCPa+4Z3ZKiUhzHrRRYRwbSRX odZyYYUfnO2b6XeviVvmvl1e1Qo/ch8ta7ToZk0PYPToy5EIs1ncXFbRVYtx4b+TGTJIRNPlkIyI eskQ80eurq5bkEW+xCdu8nTYo5i41HTWNTCb7aC7YV4xr3IEXPiq+KIcuvb+QNCG18/bWCtd/Bbi QNSWlxuiVPOdm1myraWG+8Oe3faebfqlZFyIX3fLNM7DgB8z7ZlUKFXKeYpURfGOwuIee4TShujH GI8fkE0BxItIGJ/b4FEhQA0AaJ84Gq8x2fmcp3YXtcesj5cR67mXviSczFIpsKEMa3187p7htBx1 woVFBUBG9nC6BemThb+1lPttDktzwjWrbziY0JhncCwY8lm5WcaHjLrcc++mtg6FyyXri9MBW0x/ XKAzm6n5qERFM0qXE36W8mdmoqRWKy/o10IRZEih7vPI2CKh/+vrOGrY00S3OIVbrInxqIqWt5nT HtgZ6mQI4G7FGWqhzH8Zx9t22ZC0Pg3sG43C7GBnGCyj+9o+mrzoGdgo89qrfZeVJpCvajQLAxsY rrpRZL5W7ySwgphz6PP+XLXaqbNohKGYPg7aLKkUrF5+9iW2vWWPZSJbiEVzRipkFBLxwGizNt9o agoTl0Zz3hDm86UGNq8Fg7BihIOy/Al5TbkmhdI95mewEM2CzEcWLl71tNx0ySEwMaqqTIrEjWhA rUc1lBdsu8xG3a/zV/t96xYFMwBxMQNK7LSsifFoHbPlUduNbRr0ofBoACYTTGuKtRe0GGVPhRzF LG/K+dOz4Vqvnt8O5IumCJhPO/j7kw0oCrkHyiXqt5WeWQNpsqJxqHzo71edCVXxEDRBfrIQ8ZpG aF5IINmg/MbLISLOs+8iAfzv8MtonTYz4cBaGehA8Q+WdgAd58IXqzK4RD7J48Xl3SKpSFvFxZA/ OWVIGcVhOAaIr7E4EswjbbwVCCkqy9u0ZXRht658/EQrCdhoa0KFAj2cYFRQgWfulB+qHZ8rVDeG yD4P9d4Azv+ihGYkZ2P94/uM7cHl7bjJ0jWNjV7KO//4YwezkB7g/muTTwXuWkiHKr4yNqSGZZ7e fabrufQT3j67gb8osX4ew4nhujfzDIerak3rQOeZamJ7g4AmavPtsA7qjpIu7q7bd2wcPp4F3BuS itFD0UnzUSJE7W4N4T7x2cpYs67d4TgFJZQj7wiDWOgFaVxkRiqHi0ydTVmWLg7DIg6V+/XbM5x8 oKqhY2v9ccLza19LNRmvjYjg9Z6ylLWjTHlmPxyFPhdU5KaZAJuI1oMNcbNZsducnEHc6MDqh7Ep 2h4yyr5u+vYJCLmBOlJWaZugI13d9BKNjsO3u8ha/vQOArSv4pXKRYSKsOPBn9QBxyaECGlGZw5R sLPly09twyWg0O8j6UyM2HggponPTthf6I8WreqOZITHnNEH5Ax6gu6bnOBuvnaQyHERam8+o496 kCEf5aeihCITzUlSwJkxfdSbrN0rOQh8cjABpZv7KJZFEGlbsqrGl5miZZm9uR0yvWTfGkrbK0dy 1ILYjodD1LydSempsV1GqzL3TUUU+b2tWVdRL0Ws2v5MCXiZjC0qABPO9hYK0cYmxShIKZicjGjd JX8WolwV2AssHo99pMEExP+ZCHgo3LhHYQcz/BS7DrRjICPkpyAVUkknx1wvEn74lRoeLeBukT9a aorJIZzMt8y795vhVcRDap6gLG92b0ViE8RH5F7OL5jKgUM7xZH0xbPTvBpy+cPd8TevYaxpHvhF LZslFE03t/RVQDHK7B89pd6ph6xPY9Sx8YWmdeI9okvgIJZEkPQLI2luk+xBY4+M1/1Yay9ubwDU Xf/1atJKpyO+umHr/aecy7DBA0YNYCOu+PA6mfnHp3Z5yaqMoWBG5FcNMWw7sbbZVth7B+/IOoqG 3N+k9j1GWWMyH4VKopO+Mj0t7KxbejxFxtBcDyZDZxh5wzNS+RiOz6QCWPdML551ZXg+X6Gq9D6n XgENjPlBLA3xX2/UQXZG7zCcXZGwtm6x0jKrivzGPdshnfmaHPWM5UBG0dr+AGL7LdL6NWSk8Y3L QHF8InIR6zv1khFuumN1koCTr6JN3aW61dv0hUKFgwBfzUN+9NF2dCfR2cgFDeHxBhRbVySxWCAW yIEYDpJKvw659IU6BavWsKntpyVac7vGgxe+DpIPJreOi0Xru5SE1szss4LN1g2WtVuf7Hhkr8i4 q1UESyC5pJM2G0Y5EhHMnbBcc42o4fbaTBMdzzgajeii8PVt8wer6W+wXOv1m6DCmoXz1vLJb8c+ pvpb31LXo+O/zEJggftvZQNnism7y45yJ+nQwhHuo+F4GSXoGYpsb9J9M3M0x/VdSAAzWnwYhZjl dZWqo5FtpnI282DnyisAKEWp6Hrx+bn4L2JKR+gNvz3mJQPqkRGBZgPfrinDIB5XBgDQ9o/xoYdL kszQNqj/p66c+QjKPK+CLct8jMOYP9lUqQfFn8RIPHrAzd9ctFuUww0OldZfB1fy9x2SAM5otrWS 0QNUec87oMO9DKN3IzOm6bVksCPHR77lLFWdYM9XQCb/7KdwJFDgXhA+q3zPibWjAoGPyJEOpK4T T4CNUog7S0Ni0da4son9j1NGtYYUoICWVSI+LZu4pdUkVRwSG7v2gwkH00tDCFFtvUNBK7NUNtTG AOZHxPk7TZkIJXHFJi5rL2BV9YqZFE8oTmy7S3aCDGVMrpdqZZp1NLgSzMP6laGqGkOQczlmPvt0 +u6TeiA6xUBFc3yE/gZk3aeIqWvDB16Q2zx9da/HDZKCZLYMkUpmeRKB+hK300+bQf1BLELFlljM NemZoEKy47m6o27oeT/sBxNZVk5qWNnRfeq1yO1NGLbZ2dfDf2ZMsCu2r8OFF4ubLgk3DmadUfo3 qAewp1+gZ6znWWekoA+WVKdAHyGRsfhaCqffqNW6iJyzRQU5dVraGEepLbdd4icQHVVd82IsL/5U tRvnIVD77MQ0o+iSbDr4Ts/40DAIhj8dTXfYqv/ynR+yVL9RUhXse2JH5pHVOqrhhDhFnPh3Idj5 1e+JQQ2dcufavAEQuk9ENc7U4TZo6DNDWj0S98iVSCqkO50al+mKtlaCnT2J7celiXj4YaIhTFDE qWeaF8dXjr9pyHuJDg4/yBteyr1KTOW3iJ22THnSfCPF8q29XpFC4NyFwx9m3Q5yHn+TjU95vxgJ d7xj3e9qb1pJEHe62PLrkmVg2GX5h46Lyd9VIfpfbiUStDEQBRgq331PDlFKq1qXRvJlGpsSNk2Q rvW0R11mt9uAfQIa64r3HSqhWWlF7KdMtTdCWkqJ8C5EpW2WaeLXIP2fT1CFbAV63zR5jslpIiau QGGb41RKMcf3CGLLXrI8lc4rJXK5u8lftLqlE+TM6Nv3lNl729aKy202MlN6tuWnOTdadswoCLUE fh+Bhs6kCTsGk89fQnYxPP4l/BefSYX05plRnooe22P//5BxenGfAA96By6i4bAiJ6XGgZYYD8IB xUs+cuWy5gJrFxrLJcj6VZRsD3ZDbaEtwoh4NhJdA0Sk+UhEUcomNMjdhsM46zjAWSmQLJKr7zGH 7Mc3uNTlSc0MCkTH4MKrcg0DKiWaxtGfAk5umAZMjOeoxkMKKXLBzTEyAm4KZopGI9Yor0Qbe0Bl 1FRWqRY9UNvhOVOYqhw86Ev11s0reoaeAC29XGvEyJAMtk3qFWOAMabSWXDavNm81qrgu7UhlM1L s8ohSsGDJkO0lJge845pHBhgCVWzOiVP+mXabRXqW5ShlbR4yzMeB/8+jcT8XTo8vp6SBwgzCGdL vVNudPNzrGmxaufrb89AVC+VVs1bl3Qww8LJuJC6xXG0DlkksRA8wh/NPAjxb6YxCv7KpeySA5rS oACQdRdUjS/RN7OhzwH6UQmNi6yrvbpodq2AgS6mEaVxQUmLkXILtIXcR30LeeOEU844gazUI+Kt 7q4+cETyBYkc8lNU62aOy/tQSrmTldDMrETnlUZvmc0nqGT+VgOMGrH8dwu+0ojE2qYDOI3PY5ZA Qyb+91aeL0E1Sz9rkC4PpqKzJkIKtyhTFabI76VT+AoQNcnn9udCXiaE64ZXs4YA6ZmEOD47lVyg L9RB8WfFuoOvOuplryiiwVo+rdXmAn/A+wciiRd9WI7FLWHm/64adP9ktGk/CXEChThgcrr1bPP9 UF84aiB/qxlInjTDrXhrMYhn5l/Xb/Gz38DKc3tneS2APFTTJWnS5iqLM65ymv7hEG66+/VH9ANj +CdshcDAprA0JGGYuJxK1cg7unFbMiMSb9pAFjMq2ibrT0W2y1be6gmcZuJ8VUaliIsYLs4E8lfX SiHiPVR79qOa58Dpl1vYb1idvDXMN/qn4pXFvnl0wYcNHzKyICMv0TqU8qyqtljbkPZY21jR90xq fLyoANwbVQwsIqVAI73/q5W778mk0LGzepgLRtY43SVNqu9stHr2pmKS1/Xk9kVUbQuG9CQlchTE 23qAnFJvsIza+P+5KBGJFajgXDAuyonAwuJMmGAFFuquIXNuDFRclrs+Fk4oirabqb2DwHe3kGKG utpOIj5ydg2IR+L16Z7PaY7/qbTUXwACMS69SlX3II4L+Z3xLSfGv/2EnwYg7H6ealA9mjaWfRiP lA6QvPwWS2n1BdfGfww+0cAj2Q/T4WMx6y5epFCUnye956cfu467E/m6qjKhLvccghDKenhWh6rt +WEgmmYDl9ssfAtWD+8aeoesFEa5Gqw2M5zpic+geOm1xxg+KuN5YmR+VerpC92Lm26RguBjhNjK 7JljI/JKnTKnWEz4sR5nnbbqo0X/0QhUAJMzibjpzf/7n8ZbYM0E7HoHz3o2QK5yzIFx7Iuet0zC Q58kBdx4QAo1kc2JsAx6q4GLXhKUuWiLw+GvbZjV1iGREccCNhiOHdz5qmJjze5ZUHyVO2qHP8kW h2MaCLXMt2Vw7OSNm0UYz6vTvUNxO6wfKqRVufWDnLgLvfI6XcUmcnbZUdf0YEiH0lQ8rVN05gZb DMLqtkRThX2JM1mXwPQkSo1eBt/FRMkV0V1G1bo2AtyKUPph8bOd5DkQ7tSENlxMCyCBf4RrUv4K eBPIz6ZMmxulFDvQ+R8NWWaRdWtx3loplYgWtqfEUEhOVSO20GujSljJiFagOJzMRshUv1VDGlQZ rCTs1ouE4tMUcE1F4FvX3E2wEyE7NlzNjUXAEgNhCqPBV7Dxncf/w1mNC9rL87UAMhKhELd23QBd XDgG8XmjYvJEvIOKQdbYktYNN10ghQ1ZBjKklsnrSpm/oHhvHaNhyghTyj4W0NSKdfwnYpgh0uy2 o8/HRlmF4y6ihAeoaWokol/B6tapdAWqG7e5YqmCDaa94DOv/+Zm27v/1tKr26samaZEJZn/vcnU bkRvmJAaSGQL3BlJ5t62I8gmNgKT59BE8/+pU6a/ea3XS7dxGqYj3OljxAEL4IsSDOJLAVE58CIx vXnRLRzxewJqA2umi+TH4AvM+C8JN386fAeu41iGhq8wBxnqfwDMyEohN7bYcJJ6WKhjk1KDehKC SXbJzWM9OdPHRUQZ5JZyO0fE3x4BT0/IcVRwl+B/DgriX6LDCk/HjAGZIPUXRxh4Dg9/4yyjq0KV 0FZ1N2gM34qgKPYJEYnUulD4Wlw6erh/lQOkt9P9DlgI7HjDgc0Sj9NF+d8hlrOx9ha80Auip67L XEKQofERFadfjF2e3KL11clZhqSoF4Fu8y4NK0L2oyI9AYAEQX2STprPUgmzQnYixm+20kPwXAr9 5Gf03ONPd3tvAhNWNjbOyAumXcWM6vvxw539hdTUUk28D9S+usABFigCdoaQB/5B963fWI2PAwlm pxW6r9GngL2Jbh0oIw9+uhTVvgN2jwL+G8h03Jn3NAgw/0znn3SJYGoLYMIHDSkekgSR1ktlh6ZB 3R/RgUScMpa7ts+ABDShdfg6ivebB6TI1ClA+Y66D/n4FTONBd+WVZkDFMlL4PhjMKf9ECngkopu vA0uRFBxv+x8zE+UaWnsS1jEGKCcxZoJlZrCgIzrroMwzQHbEbOyTrFwdCZ6Hx+JG3WZv9kCZbnv odWJPboC/dSdNpoR25QnPWwp6T6ABMkgc0HqdSM71dVnnNR4RV/bRvOBPUVgntYse9nYVF850Dit zOkF90D2fMxYXEjB2xFS9hF/0vNPgkiSovVZRDudYbpFYu4Ivle95s9mfA+5UDStHOnegy084RGs hAcr0O5oUZB6dmL67Y6z2oVUgNnm5rG+DxHhXkAMI2Jtw1EXbUqKI0jnwJLinNg7x1M22GVeooIU QgeGzQAHexy1D71iezsasvN7/rXTIvKbhSBMV56osj2LepUX2OAXSe7DKKr3PSN6tKo3hQ2+6flU Jo9dgBOhGZAYom8xCpQZOGXdi7hDlyUjyrhaEoQeyVSQBRRwQhmxY0HG4o+Qa7kbV3ojF2r3PT5F cOkIXTLNleUZAHfTwzXumoHmJI4bfoDZl2a5ZDzWVgMGwgwZF3wON/1MNnRUXjF7FLSrXYpZ2dNu Xs45QtvZaMIK2Jc8N9yxgrmt6W0PAGaaedlZo1aEMcEvWCs1zgi5Bqr8ZgMPWZg+sv/PAoHc4f+D Jh4vIRT/wOwyuMXW8IjfIoSmXJxnT1MbgKqYiMzwEKCG4nAMOMG7o1ikLDa92srVIn5BlFCa8eD6 qMRpZrTsxs3tNaiG9NHSfoPNv9Q7rm//eMLAagtHm6HkaRu26rIiO/GjNGFQP1CQf4tUfDQzo2es soUgFf5ONDlm5BYAsNSH8sQ0/X8HpUwTroos+ya/xWp4KKiNeoTX8jZxtSbfJAMR18d2yfY5YXKx RzqqendW11OcT0JQCPOayDSzdtjMsUoMJENQS/M1Csk8ACRcZb0nyxwa1ll0pOMZBcYeVfn9eFLF U6Lf/p2Wn4Rir7JtoghTREfpblDLhFq1XDR/8J+YfHuoCOkBkqZU8Y+THNWJ8oshR/N0xZuHEIRs qIrvuJIYygG/oJHRo8ZtD1g+UNWgXIrd8gzB2HdsUrDHYA9T8QOc+tn7Q+hZXFBzXTPDjYloLuPj DqMrioLIu4nJBOodz8IERtZSRm+Gc576O+6erS3gbTeOS11qVpx6kshCgagQy299AyqVE6RqhCje 5zkxblDdOEZZ9XHRvhZ2zA7KnB0kiTLl73IFGL5WmoXHtTD3sIAYcGOgfDWSbuKJ4+ncqgNc2DQe 5PfrpcUQDdOpP2hjU/eyrfRPEq/rpZrrSx6IzckMVL5BQ/2ed1tEvx/7iVSoDZsWISm190m56vVg LQDrpE9RyyfplCOBIihBOaQVUE7Edmq+LKm+xc5m9syVsysy1CpJke8uXFl47g6lHqiFqGm3Rfu4 0G09lyPohkIdghk+Tr6BDR+s3FWqF8TytH+7EoPaBF35Y50up5Vap6sCfZQLqdxnYPsu7BbNUeqc 0xfU21FJBKWGZrU8Lq+t5YuaO8EyiD9ebjU6aZrBLYJMtTovv8VZO9PkUQofRfdhW8f1bCWezmII AlYi9dMIYTNEUF7SAUHRjQHnXcaDdHGRM36VFPa1a8in/xsGO7gk3rw4gdecsTeUTRaS1Ulq3gR6 d4V5a3n1RzCEBmAZ8H107Mqf8NnbGcHcT/27+8YYR/moQ1qRYSFdbqcAxVfv29dRahEQlM9Lxs/8 j5puDaeOhTE00m5yucdBDvIxosRcr0UfuiiWs0lXJ3NSEz7lYMo/WDCVBGAwG68vurUiercxt6dY 2sxpRwWmol0zKx73DPMsQ3qM9eKXmRv8l5lMs5higJvbs8Dt0uwV5I0Dzg/ueYHBpV/1rugo0P5P mAerK9bJMToeLHnZJK+LhNkIrK9+z3wV9V9M+MQPn+QUdJI1mpBb3eYql8nU3e8QuoPq8nkYejhA tWzVLw8+j0Vda3gFs1DsfJds/cbYERMWFBn71lwDQU42Knc4EkCP3XXM0Z4dllMkAzdSpbgWeT5Z wpDfpcw14oHa8lU5zAvrZvI4EL1JdQcmKL3VC+VfENr42ZdO9J2sOclq2dNMitw/9/PG9sKaif6l mXxSGH9h9J7VIYKgdn1ejy3EdPrJGnmfyKG5Ql2ypkRdFumJfGGYSdGbH6QPnCjcJjoaCnxz4gzI u8+O/9gGBhKde2gI3QLwwUqBlr4tgGUJdq7j6lJw+/Y4lDaWhzNouL7Vv0JJ/I43mugI5QCJ8CTT zKQRyyXHoKxG35n2gjXO2sDLTNhaMiR3cS2L0QBr4j6V7Wtjws42sCQpDykbKIEx4D43esYHpdt9 u/KTWWEmlSDhV+myINKD4aAwY1mS6KELE9XbdzSR9Wa6kZAOrybePSZGnf+UU9zCY8eOUvfc68b3 hapetyP197ppe52pSjeHlXuVNDagoGgEGg7bF+/ICdA+O0NTV4KY0V3Lf/3J2Kkh/CYxXTZLG6+y 9inotahnIsnaHH7Q31l9kUl/8/jQvsK7BREFCSzT4hsaVzDO29cwDmfQFELvUEEifZ6QIC6RaWon gdeIcYbTC87EEv9VRc1knCKMWb+U3uW9Kv62nF4DVP/vtHLY4+F9YuTUYs/2ymgmq8C7XQVaOzck 2vM3oqjQaIAAc/ty7f0jQJUJCvXXuOhiJRZKXTj7kZhciCS09o5BtyM+i+An1+D1/9ua6KdOw6Gj kqAf47wjay4ZB8iERZtOpIpaB4hP0AB1oROaRsuVk2Civd2um1BGoSnjNSWbg/KTK6JFkKlc74uT NAp2OBUeKxugVHaX5VBn552tfM1dvPow9rdgVUygNurD1d4wmviJN9T1EPlRgzP8YW7zrIuF5X9A rdsK5KOSOKzpug9HP0vqrWRwynuEP3uyAFTtEAlcFY8ZxkEd1NqPThWQHWUi7MELBTaiiouTzyA0 jKC2Znznj/PQnG7RvLmo+ngBsxbhOONLFZeux9/9bc9JdomUpejxTO2ORYAAjhQYXPUF2uY6bMLX 90lOBf7uh9Ei918+7Z5PhQQ96f49q+BeCFKoFNcch273tnTbAFCYLm5Clrve5RgblgdpmVZm56gb CX9Wk9xhkLzHKVkii/QkWdwiNd0RUDwMLnZqrvygBHiYLo5cF5CTVadu9WTtybLwbqfbNL5CZgTb xbaGgId/wUmgHss1Ks++anxnXAkSSQ0nIVw+PRrU3RSgK947+2MNhFmFY9dDATpFziUvQz73e9t6 GiMTz1FAGdgIt3sPamv6uVa6XfrlRmJDt6OmViqC+HheBuE+S7+R+rZcxHBhg8YECYhcxWDyJ3K+ dvCoP6Rut0MwgHkqOBq3VftBDfoYLfZWjy+Ww9NpiJYSAcdr9sNWlU/4uAPTXKqeFRl36elomYAK agz/UN7+Y5IB3LyRyzOhZiu+2lOqI3aUKcfsCioums7dvcDBcVDlk3wJhwkTjFL64emOb6PR0scG SuOdsevusry8B5w8oTW65JNfPFptcWLe7d6pZRtFL05xUTCsT5Hkl48CCSmE7hGlYiiiYyq2ZRAg u8Dzg2HIxmaRNZehKylK6soOxvr+hhGtaQH+RtrEOmWl/gSnV5r7b+j1CcfZhSEG1XvPVT3y5kgD lfI70yKFQHoX8V4UoA85T7xqF/jWa84KlxNf4zMacMOLBWolxtv9pCQqHPz1ODIoCnbrNJkfZKwP WzVrK2c1TzIZnuXts1Rhb4rgUryTkHA0eu5HrePg8D6nbfrdfGW5eVJQ6KSxA8/Mgi2Z/UbPpXRI OezjyCfM6Q+gE/jsMupNuGOWLBbsyocT74sAfeBsk/UEMUPOTfFvz+4pwyQDl0v3koCm8R70Vafq u346QSd06Z0y4bvJa5ZBGoQSIJHJWXfzpm/HaP8crGYu1Q2osiRnj65KCxgwCqVQRd3LHCTGvCfa 2ikPU+FxCNyZoeVsT9n/Ud2bjmQCH1wHe27zHoFWsqgn9DMFGQPbSUrxd4bu3YAVZvWB53lxF0Yg Y+hX7/2+HgR7X93o9GX47wfGJ8QQOCbo4PLn7QFAN2NWp6YCGDegYXKm2UyjU2iU6VxUvgvPZK96 /VfHEPvMZEEXUNF5qSjJDUfsZ8iaUuLpxKwueEpuvUysjd7H2oVmxppkciaDskfeh19pmYLuapT5 AP4ndUo8mWh4uahPYd6QuEF/FcYiX+b2ZQ6KASGpPnaTsbytvoqkREhyErXDYJsoFOo2LdBtjMd7 k0I+oDCtKrdMGOFyUkk28wh6FdplTHV6lVBl6cZbCk3WifrWBJFwRMl935C+4xuU2Q/NvaQu5psU dizr/+U3CWcJFuvmChDfohg36xBaC0yh90CPOaTCFPaCdi7VmfEJCyFIrmrdr7Ir724tnJvhBnxo MgL4UddUWcAdnBb7RejUs7x4cDgop5pCTPzGlZI6L9KBH8bgoVqJY5E3Qmz9kkIQU/+TaAQh//GG WOMiXCOi+Klbq2mhQnc6Sf3uH0VNW0ifcfgUauBVQ2L6v7e8RHlEX8JK4gJFukCnsIaVoFTlYKFb mNpkeo64X7+09cF4Ni6ngR9B5zbv2sW90DaxQEaxNVwUL85eBEhds5xKmLY3wpijH+yLv5BlAcib SF8oeihCIzsL14KBeg4jKfeLYVPP/k4tx2q6OVJ03f79S7ZH7nXxtX4k15XFJTiwGymLaWxVf4LI Krx0wT+WaWT37Ya2LXnG5H88X5ksD/c/f+pndmC1HfT0vLV1lhNVtyoWSNpf/oMFgqyY7Wom9zZj d3P9FXf1LryotKlC5OBMvFpg+UjzerVHrSGDdgu5NoMwUM9yR4DzEK47Q4+TGTBM2JGTABwRFf3B Fsw+hQ0loTljifkeBX3dA0mLt2dISExLQeSI04fBbL0Ap0D24bB5u2aeGrBYch2VZZ3/xHFXY1Jy 6ZI91r8EPRgNqntVTJVhdsTSOGP4CNi1DwBLljPBqLz9CLjIP5D+bfoJ/sX0shf0DyvL9wCH69n/ D8iXrjnFdPJMXi5KtZhAhw+e06p4PTwtvlQdOiogX8jVnRZ8qJVxSFx9q+pdGrzURGRIBG8uFlG4 Fziw/tWduhfCVsFp/kji82zV9+QZfKiY99sQ4zJP4mlyh/t7F1MDXqSVXGzR5hfc6PZt5AklhDSP oDr+EmXYMcCAGI6spYvXNcQVRnWl7PpmK4eILXakig8KmwecswplX6PeZ1esPzju3/vR5rx7o1/r SdlUGdHSInlwG7u/RhZAISMXGdu+Hou6DRXA88AHUArW18AkKdZY85yXSNHIWLjKYZuoFYiWDTMv iUsQ2eBZl26QYmy+Uef7tn4vEUxffz8eXYr5+ShY0unT0h80UGma2jq+J4oZ6Rvkv/Dfn8HT13UU S0pyxpYU+F1M2nVs0MP9JTy+P8KMMx9zbmvxylSSnmjeBEqgxIkwzHlzR+qaMudI9WtNGHzRflEv 45TzRJpX5evenupI4Uayko5zICDkQFmUeWHTFmHs9NlI8s+cOxNA9pymEMJk95rAJGeE7io+TfN3 AYLD+Ff7pDFxDK3vIyGCnOWmQlz1qTqkzqGpxONrPHQpn5Z9yEw855ZrDnOUf+o9 +okWj4cP5Q== `protect end_protected
gpl-3.0
985402397706e2e99c3381e530d21ac4
0.954488
1.813777
false
false
false
false
shailcoolboy/Warp-Trinity
edk_user_repository/WARP/pcores/difclk_v1_00_a/hdl/vhdl/difclk.vhd
4
1,527
--------------------------------------------------------------------------------------------------- -- -- Title : difclk -- Design : flow4 -- Author : jiang hai -- Company : Nokia -- --------------------------------------------------------------------------------------------------- -- -- File : difclk.vhd -- Generated : Mon Jul 31 10:34:43 2006 -- --------------------------------------------------------------------------------------------------- -- -- Description : -- --------------------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; Library XilinxCoreLib; use XilinxCoreLib.all; library unisim ; use unisim.vcomponents.all ; entity difclk is port( top_ref_clk_p : in STD_LOGIC; top_ref_clk_n : in STD_LOGIC; user_clk_i : out STD_LOGIC; top_ref_clk_i : out STD_LOGIC ); end difclk; --}} End of automatically maintained section architecture difclk of difclk is signal top_ref_clk_i_1: std_logic; begin -- Differential Clock Buffers for top clock input diff_clk_buff_top : IBUFGDS_LVDS_25 port map( I =>top_ref_clk_p , --IN IB =>top_ref_clk_n , --IN O =>top_ref_clk_i_1 --OUT ); -- -- Bufg used to drive user clk on global clock net user_clock_bufg:BUFG port map( I =>top_ref_clk_i_1 , --IN O =>user_clk_i --OUT ); top_ref_clk_i <= top_ref_clk_i_1; end difclk;
bsd-2-clause
d5f6a5fb265ca750f7f57722fc9b6f88
0.451866
3.510345
false
false
false
false
timvideos/HDMI2USB-jahanzeb-firmware
ipcore_dir/rgbfifo/simulation/rgbfifo_synth.vhd
3
10,207
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: rgbfifo_synth.vhd -- -- Description: -- This is the demo testbench for fifo_generator core. -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.STD_LOGIC_1164.ALL; USE ieee.STD_LOGIC_unsigned.ALL; USE IEEE.STD_LOGIC_arith.ALL; USE ieee.numeric_std.ALL; USE ieee.STD_LOGIC_misc.ALL; LIBRARY std; USE std.textio.ALL; LIBRARY work; USE work.rgbfifo_pkg.ALL; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- ENTITY rgbfifo_synth IS GENERIC( FREEZEON_ERROR : INTEGER := 0; TB_STOP_CNT : INTEGER := 0; TB_SEED : INTEGER := 1 ); PORT( CLK : IN STD_LOGIC; RESET : IN STD_LOGIC; SIM_DONE : OUT STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END ENTITY; ARCHITECTURE simulation_arch OF rgbfifo_synth IS -- FIFO interface signal declarations SIGNAL clk_i : STD_LOGIC; SIGNAL valid : STD_LOGIC; SIGNAL almost_full : STD_LOGIC; SIGNAL almost_empty : STD_LOGIC; SIGNAL rst : STD_LOGIC; SIGNAL wr_en : STD_LOGIC; SIGNAL rd_en : STD_LOGIC; SIGNAL din : STD_LOGIC_VECTOR(8-1 DOWNTO 0); SIGNAL dout : STD_LOGIC_VECTOR(8-1 DOWNTO 0); SIGNAL full : STD_LOGIC; SIGNAL empty : STD_LOGIC; -- TB Signals SIGNAL wr_data : STD_LOGIC_VECTOR(8-1 DOWNTO 0); SIGNAL dout_i : STD_LOGIC_VECTOR(8-1 DOWNTO 0); SIGNAL wr_en_i : STD_LOGIC := '0'; SIGNAL rd_en_i : STD_LOGIC := '0'; SIGNAL full_i : STD_LOGIC := '0'; SIGNAL empty_i : STD_LOGIC := '0'; SIGNAL almost_full_i : STD_LOGIC := '0'; SIGNAL almost_empty_i : STD_LOGIC := '0'; SIGNAL prc_we_i : STD_LOGIC := '0'; SIGNAL prc_re_i : STD_LOGIC := '0'; SIGNAL dout_chk_i : STD_LOGIC := '0'; SIGNAL rst_int_rd : STD_LOGIC := '0'; SIGNAL rst_int_wr : STD_LOGIC := '0'; SIGNAL rst_gen_rd : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL rst_s_wr3 : STD_LOGIC := '0'; SIGNAL rst_s_rd : STD_LOGIC := '0'; SIGNAL reset_en : STD_LOGIC := '0'; SIGNAL rst_async_rd1 : STD_LOGIC := '0'; SIGNAL rst_async_rd2 : STD_LOGIC := '0'; SIGNAL rst_async_rd3 : STD_LOGIC := '0'; BEGIN ---- Reset generation logic ----- rst_int_wr <= rst_async_rd3 OR rst_s_rd; rst_int_rd <= rst_async_rd3 OR rst_s_rd; --Testbench reset synchronization PROCESS(clk_i,RESET) BEGIN IF(RESET = '1') THEN rst_async_rd1 <= '1'; rst_async_rd2 <= '1'; rst_async_rd3 <= '1'; ELSIF(clk_i'event AND clk_i='1') THEN rst_async_rd1 <= RESET; rst_async_rd2 <= rst_async_rd1; rst_async_rd3 <= rst_async_rd2; END IF; END PROCESS; --Soft reset for core and testbench PROCESS(clk_i) BEGIN IF(clk_i'event AND clk_i='1') THEN rst_gen_rd <= rst_gen_rd + "1"; IF(reset_en = '1' AND AND_REDUCE(rst_gen_rd) = '1') THEN rst_s_rd <= '1'; assert false report "Reset applied..Memory Collision checks are not valid" severity note; ELSE IF(AND_REDUCE(rst_gen_rd) = '1' AND rst_s_rd = '1') THEN rst_s_rd <= '0'; assert false report "Reset removed..Memory Collision checks are valid" severity note; END IF; END IF; END IF; END PROCESS; ------------------ ---- Clock buffers for testbench ---- clk_i <= CLK; ------------------ rst <= RESET OR rst_s_rd AFTER 12 ns; din <= wr_data; dout_i <= dout; wr_en <= wr_en_i; rd_en <= rd_en_i; full_i <= full; empty_i <= empty; almost_empty_i <= almost_empty; almost_full_i <= almost_full; fg_dg_nv: rgbfifo_dgen GENERIC MAP ( C_DIN_WIDTH => 8, C_DOUT_WIDTH => 8, TB_SEED => TB_SEED, C_CH_TYPE => 0 ) PORT MAP ( -- Write Port RESET => rst_int_wr, WR_CLK => clk_i, PRC_WR_EN => prc_we_i, FULL => full_i, WR_EN => wr_en_i, WR_DATA => wr_data ); fg_dv_nv: rgbfifo_dverif GENERIC MAP ( C_DOUT_WIDTH => 8, C_DIN_WIDTH => 8, C_USE_EMBEDDED_REG => 0, TB_SEED => TB_SEED, C_CH_TYPE => 0 ) PORT MAP( RESET => rst_int_rd, RD_CLK => clk_i, PRC_RD_EN => prc_re_i, RD_EN => rd_en_i, EMPTY => empty_i, DATA_OUT => dout_i, DOUT_CHK => dout_chk_i ); fg_pc_nv: rgbfifo_pctrl GENERIC MAP ( AXI_CHANNEL => "Native", C_APPLICATION_TYPE => 0, C_DOUT_WIDTH => 8, C_DIN_WIDTH => 8, C_WR_PNTR_WIDTH => 11, C_RD_PNTR_WIDTH => 11, C_CH_TYPE => 0, FREEZEON_ERROR => FREEZEON_ERROR, TB_SEED => TB_SEED, TB_STOP_CNT => TB_STOP_CNT ) PORT MAP( RESET_WR => rst_int_wr, RESET_RD => rst_int_rd, RESET_EN => reset_en, WR_CLK => clk_i, RD_CLK => clk_i, PRC_WR_EN => prc_we_i, PRC_RD_EN => prc_re_i, FULL => full_i, ALMOST_FULL => almost_full_i, ALMOST_EMPTY => almost_empty_i, DOUT_CHK => dout_chk_i, EMPTY => empty_i, DATA_IN => wr_data, DATA_OUT => dout, SIM_DONE => SIM_DONE, STATUS => STATUS ); rgbfifo_inst : rgbfifo_exdes PORT MAP ( CLK => clk_i, VALID => valid, ALMOST_FULL => almost_full, ALMOST_EMPTY => almost_empty, RST => rst, WR_EN => wr_en, RD_EN => rd_en, DIN => din, DOUT => dout, FULL => full, EMPTY => empty); END ARCHITECTURE;
bsd-2-clause
0ed23948c0161d1a51cfdffa789ed552
0.456353
4.228252
false
false
false
false
timvideos/HDMI2USB-jahanzeb-firmware
ipcore_dir/clkGen/example_design/clkGen_exdes.vhd
3
6,572
-- file: clkGen_exdes.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- Clocking wizard example design ------------------------------------------------------------------------------ -- This example design instantiates the created clocking network, where each -- output clock drives a counter. The high bit of each counter is ported. ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clkGen_exdes is generic ( TCQ : in time := 100 ps); port (-- Clock in ports CLK_IN1 : in std_logic; -- Reset that only drives logic in example design COUNTER_RESET : in std_logic; CLK_OUT : out std_logic_vector(3 downto 1) ; -- High bits of counters driven by clocks COUNT : out std_logic_vector(3 downto 1) ); end clkGen_exdes; architecture xilinx of clkGen_exdes is -- Parameters for the counters --------------------------------- -- Counter width constant C_W : integer := 16; -- Number of counters constant NUM_C : integer := 3; -- Array typedef type ctrarr is array (1 to NUM_C) of std_logic_vector(C_W-1 downto 0); -- Reset for counters when lock status changes signal reset_int : std_logic := '0'; -- Declare the clocks and counters signal clk : std_logic_vector(NUM_C downto 1); signal clk_int : std_logic_vector(NUM_C downto 1); signal clk_n : std_logic_vector(NUM_C downto 1); signal counter : ctrarr := (( others => (others => '0'))); signal rst_sync : std_logic_vector(NUM_C downto 1); signal rst_sync_int : std_logic_vector(NUM_C downto 1); signal rst_sync_int1 : std_logic_vector(NUM_C downto 1); signal rst_sync_int2 : std_logic_vector(NUM_C downto 1); component clkGen is port (-- Clock in ports CLK_IN1 : in std_logic; -- Clock out ports CLK_OUT1 : out std_logic; CLK_OUT2 : out std_logic; CLK_OUT3 : out std_logic ); end component; begin -- Create reset for the counters reset_int <= COUNTER_RESET; counters_1: for count_gen in 1 to NUM_C generate begin process (clk(count_gen), reset_int) begin if (reset_int = '1') then rst_sync(count_gen) <= '1'; rst_sync_int(count_gen) <= '1'; rst_sync_int1(count_gen) <= '1'; rst_sync_int2(count_gen) <= '1'; elsif (clk(count_gen) 'event and clk(count_gen)='1') then rst_sync(count_gen) <= '0'; rst_sync_int(count_gen) <= rst_sync(count_gen); rst_sync_int1(count_gen) <= rst_sync_int(count_gen); rst_sync_int2(count_gen) <= rst_sync_int1(count_gen); end if; end process; end generate counters_1; -- Instantiation of the clocking network ---------------------------------------- clknetwork : clkGen port map (-- Clock in ports CLK_IN1 => CLK_IN1, -- Clock out ports CLK_OUT1 => clk_int(1), CLK_OUT2 => clk_int(2), CLK_OUT3 => clk_int(3)); gen_outclk_oddr: for clk_out_pins in 1 to NUM_C generate begin clk_n(clk_out_pins) <= not clk(clk_out_pins); clkout_oddr : ODDR2 port map (Q => CLK_OUT(clk_out_pins), C0 => clk(clk_out_pins), C1 => clk_n(clk_out_pins), CE => '1', D0 => '1', D1 => '0', R => '0', S => '0'); end generate; -- Connect the output clocks to the design ------------------------------------------- clk(1) <= clk_int(1); clk(2) <= clk_int(2); clk(3) <= clk_int(3); -- Output clock sampling ------------------------------------- counters: for count_gen in 1 to NUM_C generate begin process (clk(count_gen), rst_sync_int2(count_gen)) begin if (rst_sync_int2(count_gen) = '1') then counter(count_gen) <= (others => '0') after TCQ; elsif (rising_edge (clk(count_gen))) then counter(count_gen) <= counter(count_gen) + 1 after TCQ; end if; end process; -- alias the high bit of each counter to the corresponding -- bit in the output bus COUNT(count_gen) <= counter(count_gen)(C_W-1); end generate counters; end xilinx;
bsd-2-clause
afab2f667fb1bf391cd073e0dd151ee2
0.621576
3.809855
false
false
false
false
inmcm/Simon_Speck_Ciphers
VHDL/AXI_IP/Speck_Block_Cipher_Multirate_1.0/hdl/Speck_Block_Cipher_Multirate_v1_0_S00_AXI.vhd
1
26,284
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity Speck_Block_Cipher_Multirate_v1_0_S00_AXI is generic ( -- Users to add parameters here -- User parameters ends -- Do not modify the parameters beyond this line -- Width of S_AXI data bus C_S_AXI_DATA_WIDTH : integer := 32; -- Width of S_AXI address bus C_S_AXI_ADDR_WIDTH : integer := 7 ); port ( -- Users to add ports here -- Cipher Block Input SLV_REG00_OUT : out std_logic_vector(31 downto 0); SLV_REG01_OUT : out std_logic_vector(31 downto 0); SLV_REG02_OUT : out std_logic_vector(31 downto 0); SLV_REG03_OUT : out std_logic_vector(31 downto 0); -- Cipher Key Input SLV_REG04_OUT : out std_logic_vector(31 downto 0); SLV_REG05_OUT : out std_logic_vector(31 downto 0); SLV_REG06_OUT : out std_logic_vector(31 downto 0); SLV_REG07_OUT : out std_logic_vector(31 downto 0); SLV_REG08_OUT : out std_logic_vector(31 downto 0); SLV_REG09_OUT : out std_logic_vector(31 downto 0); SLV_REG10_OUT : out std_logic_vector(31 downto 0); SLV_REG11_OUT : out std_logic_vector(31 downto 0); -- Cipher Control/Rest Register SLV_REG12_OUT : out std_logic_vector(31 downto 0); -- Cipher Block Output SLV_REG13_IN : in std_logic_vector(31 downto 0); SLV_REG14_IN : in std_logic_vector(31 downto 0); SLV_REG15_IN : in std_logic_vector(31 downto 0); SLV_REG16_IN : in std_logic_vector(31 downto 0); -- Cipher Status Output SLV_REG17_IN : in std_logic_vector(31 downto 0); -- User ports ends -- Do not modify the ports beyond this line -- Global Clock Signal S_AXI_ACLK : in std_logic; -- Global Reset Signal. This Signal is Active LOW S_AXI_ARESETN : in std_logic; -- Write address (issued by master, acceped by Slave) S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); -- Write channel Protection type. This signal indicates the -- privilege and security level of the transaction, and whether -- the transaction is a data access or an instruction access. S_AXI_AWPROT : in std_logic_vector(2 downto 0); -- Write address valid. This signal indicates that the master signaling -- valid write address and control information. S_AXI_AWVALID : in std_logic; -- Write address ready. This signal indicates that the slave is ready -- to accept an address and associated control signals. S_AXI_AWREADY : out std_logic; -- Write data (issued by master, acceped by Slave) S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); -- Write strobes. This signal indicates which byte lanes hold -- valid data. There is one write strobe bit for each eight -- bits of the write data bus. S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0); -- Write valid. This signal indicates that valid write -- data and strobes are available. S_AXI_WVALID : in std_logic; -- Write ready. This signal indicates that the slave -- can accept the write data. S_AXI_WREADY : out std_logic; -- Write response. This signal indicates the status -- of the write transaction. S_AXI_BRESP : out std_logic_vector(1 downto 0); -- Write response valid. This signal indicates that the channel -- is signaling a valid write response. S_AXI_BVALID : out std_logic; -- Response ready. This signal indicates that the master -- can accept a write response. S_AXI_BREADY : in std_logic; -- Read address (issued by master, acceped by Slave) S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); -- Protection type. This signal indicates the privilege -- and security level of the transaction, and whether the -- transaction is a data access or an instruction access. S_AXI_ARPROT : in std_logic_vector(2 downto 0); -- Read address valid. This signal indicates that the channel -- is signaling valid read address and control information. S_AXI_ARVALID : in std_logic; -- Read address ready. This signal indicates that the slave is -- ready to accept an address and associated control signals. S_AXI_ARREADY : out std_logic; -- Read data (issued by slave) S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); -- Read response. This signal indicates the status of the -- read transfer. S_AXI_RRESP : out std_logic_vector(1 downto 0); -- Read valid. This signal indicates that the channel is -- signaling the required read data. S_AXI_RVALID : out std_logic; -- Read ready. This signal indicates that the master can -- accept the read data and response information. S_AXI_RREADY : in std_logic ); end Speck_Block_Cipher_Multirate_v1_0_S00_AXI; architecture arch_imp of Speck_Block_Cipher_Multirate_v1_0_S00_AXI is -- AXI4LITE signals signal axi_awaddr : std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); signal axi_awready : std_logic; signal axi_wready : std_logic; signal axi_bresp : std_logic_vector(1 downto 0); signal axi_bvalid : std_logic; signal axi_araddr : std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); signal axi_arready : std_logic; signal axi_rdata : std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal axi_rresp : std_logic_vector(1 downto 0); signal axi_rvalid : std_logic; -- Example-specific design signals -- local parameter for addressing 32 bit / 64 bit C_S_AXI_DATA_WIDTH -- ADDR_LSB is used for addressing 32/64 bit registers/memories -- ADDR_LSB = 2 for 32 bits (n downto 2) -- ADDR_LSB = 3 for 64 bits (n downto 3) constant ADDR_LSB : integer := (C_S_AXI_DATA_WIDTH/32)+ 1; constant OPT_MEM_ADDR_BITS : integer := 4; ------------------------------------------------ ---- Signals for user logic register space example -------------------------------------------------- ---- Number of Slave Registers 14 signal slv_reg0 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal slv_reg1 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal slv_reg2 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal slv_reg3 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal slv_reg4 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal slv_reg5 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal slv_reg6 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal slv_reg7 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal slv_reg8 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal slv_reg9 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal slv_reg10 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal slv_reg11 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal slv_reg12 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal slv_reg13 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal slv_reg14 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal slv_reg15 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal slv_reg16 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal slv_reg17 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal slv_reg_rden : std_logic; signal slv_reg_wren : std_logic; signal reg_data_out :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal byte_index : integer; begin -- I/O Connections assignments S_AXI_AWREADY <= axi_awready; S_AXI_WREADY <= axi_wready; S_AXI_BRESP <= axi_bresp; S_AXI_BVALID <= axi_bvalid; S_AXI_ARREADY <= axi_arready; S_AXI_RDATA <= axi_rdata; S_AXI_RRESP <= axi_rresp; S_AXI_RVALID <= axi_rvalid; -- Implement axi_awready generation -- axi_awready is asserted for one S_AXI_ACLK clock cycle when both -- S_AXI_AWVALID and S_AXI_WVALID are asserted. axi_awready is -- de-asserted when reset is low. process (S_AXI_ACLK) begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then axi_awready <= '0'; else if (axi_awready = '0' and S_AXI_AWVALID = '1' and S_AXI_WVALID = '1') then -- slave is ready to accept write address when -- there is a valid write address and write data -- on the write address and data bus. This design -- expects no outstanding transactions. axi_awready <= '1'; else axi_awready <= '0'; end if; end if; end if; end process; -- Implement axi_awaddr latching -- This process is used to latch the address when both -- S_AXI_AWVALID and S_AXI_WVALID are valid. process (S_AXI_ACLK) begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then axi_awaddr <= (others => '0'); else if (axi_awready = '0' and S_AXI_AWVALID = '1' and S_AXI_WVALID = '1') then -- Write Address latching axi_awaddr <= S_AXI_AWADDR; end if; end if; end if; end process; -- Implement axi_wready generation -- axi_wready is asserted for one S_AXI_ACLK clock cycle when both -- S_AXI_AWVALID and S_AXI_WVALID are asserted. axi_wready is -- de-asserted when reset is low. process (S_AXI_ACLK) begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then axi_wready <= '0'; else if (axi_wready = '0' and S_AXI_WVALID = '1' and S_AXI_AWVALID = '1') then -- slave is ready to accept write data when -- there is a valid write address and write data -- on the write address and data bus. This design -- expects no outstanding transactions. axi_wready <= '1'; else axi_wready <= '0'; end if; end if; end if; end process; -- Implement memory mapped register select and write logic generation -- The write data is accepted and written to memory mapped registers when -- axi_awready, S_AXI_WVALID, axi_wready and S_AXI_WVALID are asserted. Write strobes are used to -- select byte enables of slave registers while writing. -- These registers are cleared when reset (active low) is applied. -- Slave register write enable is asserted when valid address and data are available -- and the slave is ready to accept the write address and write data. slv_reg_wren <= axi_wready and S_AXI_WVALID and axi_awready and S_AXI_AWVALID ; process (S_AXI_ACLK) variable loc_addr :std_logic_vector(OPT_MEM_ADDR_BITS downto 0); begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then slv_reg0 <= (others => '0'); slv_reg1 <= (others => '0'); slv_reg2 <= (others => '0'); slv_reg3 <= (others => '0'); slv_reg4 <= (others => '0'); slv_reg5 <= (others => '0'); slv_reg6 <= (others => '0'); slv_reg7 <= (others => '0'); slv_reg8 <= (others => '0'); slv_reg9 <= (others => '0'); slv_reg10 <= (others => '0'); slv_reg11 <= (others => '0'); slv_reg12 <= (others => '0'); slv_reg13 <= (others => '0'); slv_reg14 <= (others => '0'); slv_reg15 <= (others => '0'); slv_reg16 <= (others => '0'); slv_reg17 <= (others => '0'); else loc_addr := axi_awaddr(ADDR_LSB + OPT_MEM_ADDR_BITS downto ADDR_LSB); if (slv_reg_wren = '1') then case loc_addr is when b"00000" => for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop if ( S_AXI_WSTRB(byte_index) = '1' ) then -- Respective byte enables are asserted as per write strobes -- slave registor 0 slv_reg0(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8); end if; end loop; when b"00001" => for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop if ( S_AXI_WSTRB(byte_index) = '1' ) then -- Respective byte enables are asserted as per write strobes -- slave registor 1 slv_reg1(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8); end if; end loop; when b"00010" => for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop if ( S_AXI_WSTRB(byte_index) = '1' ) then -- Respective byte enables are asserted as per write strobes -- slave registor 2 slv_reg2(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8); end if; end loop; when b"00011" => for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop if ( S_AXI_WSTRB(byte_index) = '1' ) then -- Respective byte enables are asserted as per write strobes -- slave registor 3 slv_reg3(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8); end if; end loop; when b"00100" => for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop if ( S_AXI_WSTRB(byte_index) = '1' ) then -- Respective byte enables are asserted as per write strobes -- slave registor 4 slv_reg4(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8); end if; end loop; when b"00101" => for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop if ( S_AXI_WSTRB(byte_index) = '1' ) then -- Respective byte enables are asserted as per write strobes -- slave registor 5 slv_reg5(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8); end if; end loop; when b"00110" => for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop if ( S_AXI_WSTRB(byte_index) = '1' ) then -- Respective byte enables are asserted as per write strobes -- slave registor 6 slv_reg6(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8); end if; end loop; when b"00111" => for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop if ( S_AXI_WSTRB(byte_index) = '1' ) then -- Respective byte enables are asserted as per write strobes -- slave registor 7 slv_reg7(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8); end if; end loop; when b"01000" => for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop if ( S_AXI_WSTRB(byte_index) = '1' ) then -- Respective byte enables are asserted as per write strobes -- slave registor 8 slv_reg8(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8); end if; end loop; when b"01001" => for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop if ( S_AXI_WSTRB(byte_index) = '1' ) then -- Respective byte enables are asserted as per write strobes -- slave registor 9 slv_reg9(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8); end if; end loop; when b"01010" => for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop if ( S_AXI_WSTRB(byte_index) = '1' ) then -- Respective byte enables are asserted as per write strobes -- slave registor 10 slv_reg10(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8); end if; end loop; when b"01011" => for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop if ( S_AXI_WSTRB(byte_index) = '1' ) then -- Respective byte enables are asserted as per write strobes -- slave registor 11 slv_reg11(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8); end if; end loop; when b"01100" => for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop if ( S_AXI_WSTRB(byte_index) = '1' ) then -- Respective byte enables are asserted as per write strobes -- slave registor 12 slv_reg12(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8); end if; end loop; when b"01101" => for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop if ( S_AXI_WSTRB(byte_index) = '1' ) then -- Respective byte enables are asserted as per write strobes -- slave registor 13 slv_reg13(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8); end if; end loop; when b"01110" => for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop if ( S_AXI_WSTRB(byte_index) = '1' ) then -- Respective byte enables are asserted as per write strobes -- slave registor 14 slv_reg14(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8); end if; end loop; when b"01111" => for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop if ( S_AXI_WSTRB(byte_index) = '1' ) then -- Respective byte enables are asserted as per write strobes -- slave registor 15 slv_reg15(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8); end if; end loop; when b"10000" => for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop if ( S_AXI_WSTRB(byte_index) = '1' ) then -- Respective byte enables are asserted as per write strobes -- slave registor 16 slv_reg16(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8); end if; end loop; when b"10001" => for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop if ( S_AXI_WSTRB(byte_index) = '1' ) then -- Respective byte enables are asserted as per write strobes -- slave registor 17 slv_reg17(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8); end if; end loop; when others => slv_reg0 <= slv_reg0; slv_reg1 <= slv_reg1; slv_reg2 <= slv_reg2; slv_reg3 <= slv_reg3; slv_reg4 <= slv_reg4; slv_reg5 <= slv_reg5; slv_reg6 <= slv_reg6; slv_reg7 <= slv_reg7; slv_reg8 <= slv_reg8; slv_reg9 <= slv_reg9; slv_reg10 <= slv_reg10; slv_reg11 <= slv_reg11; slv_reg12 <= slv_reg12; slv_reg13 <= slv_reg13; slv_reg14 <= slv_reg14; slv_reg15 <= slv_reg15; slv_reg16 <= slv_reg16; slv_reg17 <= slv_reg17; end case; end if; end if; end if; end process; -- Implement write response logic generation -- The write response and response valid signals are asserted by the slave -- when axi_wready, S_AXI_WVALID, axi_wready and S_AXI_WVALID are asserted. -- This marks the acceptance of address and indicates the status of -- write transaction. process (S_AXI_ACLK) begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then axi_bvalid <= '0'; axi_bresp <= "00"; --need to work more on the responses else if (axi_awready = '1' and S_AXI_AWVALID = '1' and axi_wready = '1' and S_AXI_WVALID = '1' and axi_bvalid = '0' ) then axi_bvalid <= '1'; axi_bresp <= "00"; elsif (S_AXI_BREADY = '1' and axi_bvalid = '1') then --check if bready is asserted while bvalid is high) axi_bvalid <= '0'; -- (there is a possibility that bready is always asserted high) end if; end if; end if; end process; -- Implement axi_arready generation -- axi_arready is asserted for one S_AXI_ACLK clock cycle when -- S_AXI_ARVALID is asserted. axi_awready is -- de-asserted when reset (active low) is asserted. -- The read address is also latched when S_AXI_ARVALID is -- asserted. axi_araddr is reset to zero on reset assertion. process (S_AXI_ACLK) begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then axi_arready <= '0'; axi_araddr <= (others => '1'); else if (axi_arready = '0' and S_AXI_ARVALID = '1') then -- indicates that the slave has acceped the valid read address axi_arready <= '1'; -- Read Address latching axi_araddr <= S_AXI_ARADDR; else axi_arready <= '0'; end if; end if; end if; end process; -- Implement axi_arvalid generation -- axi_rvalid is asserted for one S_AXI_ACLK clock cycle when both -- S_AXI_ARVALID and axi_arready are asserted. The slave registers -- data are available on the axi_rdata bus at this instance. The -- assertion of axi_rvalid marks the validity of read data on the -- bus and axi_rresp indicates the status of read transaction.axi_rvalid -- is deasserted on reset (active low). axi_rresp and axi_rdata are -- cleared to zero on reset (active low). process (S_AXI_ACLK) begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then axi_rvalid <= '0'; axi_rresp <= "00"; else if (axi_arready = '1' and S_AXI_ARVALID = '1' and axi_rvalid = '0') then -- Valid read data is available at the read data bus axi_rvalid <= '1'; axi_rresp <= "00"; -- 'OKAY' response elsif (axi_rvalid = '1' and S_AXI_RREADY = '1') then -- Read data is accepted by the master axi_rvalid <= '0'; end if; end if; end if; end process; -- Implement memory mapped register select and read logic generation -- Slave register read enable is asserted when valid address is available -- and the slave is ready to accept the read address. slv_reg_rden <= axi_arready and S_AXI_ARVALID and (not axi_rvalid) ; process (slv_reg0, slv_reg1, slv_reg2, slv_reg3, slv_reg4, slv_reg5, slv_reg6, slv_reg7, slv_reg8, slv_reg9, slv_reg10, slv_reg11, slv_reg12, slv_reg13, axi_araddr, S_AXI_ARESETN, slv_reg_rden) variable loc_addr :std_logic_vector(OPT_MEM_ADDR_BITS downto 0); begin -- Address decoding for reading registers loc_addr := axi_araddr(ADDR_LSB + OPT_MEM_ADDR_BITS downto ADDR_LSB); case loc_addr is when b"00000" => reg_data_out <= slv_reg0; when b"00001" => reg_data_out <= slv_reg1; when b"00010" => reg_data_out <= slv_reg2; when b"00011" => reg_data_out <= slv_reg3; when b"00100" => reg_data_out <= slv_reg4; when b"00101" => reg_data_out <= slv_reg5; when b"00110" => reg_data_out <= slv_reg6; when b"00111" => reg_data_out <= slv_reg7; when b"01000" => reg_data_out <= slv_reg8; when b"01001" => reg_data_out <= slv_reg9; when b"01010" => reg_data_out <= slv_reg10; when b"01011" => reg_data_out <= slv_reg11; when b"01100" => reg_data_out <= slv_reg12; when b"01101" => reg_data_out <= SLV_REG13_IN; when b"01110" => reg_data_out <= SLV_REG14_IN; when b"01111" => reg_data_out <= SLV_REG15_IN; when b"10000" => reg_data_out <= SLV_REG16_IN; when b"10001" => reg_data_out <= SLV_REG17_IN; when others => reg_data_out <= (others => '0'); end case; end process; -- Output register or memory read data process( S_AXI_ACLK ) is begin if (rising_edge (S_AXI_ACLK)) then if ( S_AXI_ARESETN = '0' ) then axi_rdata <= (others => '0'); else if (slv_reg_rden = '1') then -- When there is a valid read address (S_AXI_ARVALID) with -- acceptance of read address by the slave (axi_arready), -- output the read dada -- Read address mux axi_rdata <= reg_data_out; -- register read data end if; end if; end if; end process; -- Add user logic here SLV_REG00_OUT <= slv_reg0; SLV_REG01_OUT <= slv_reg1; SLV_REG02_OUT <= slv_reg2; SLV_REG03_OUT <= slv_reg3; SLV_REG04_OUT <= slv_reg4; SLV_REG05_OUT <= slv_reg5; SLV_REG06_OUT <= slv_reg6; SLV_REG07_OUT <= slv_reg7; SLV_REG08_OUT <= slv_reg8; SLV_REG09_OUT <= slv_reg9; SLV_REG10_OUT <= slv_reg10; SLV_REG11_OUT <= slv_reg11; SLV_REG12_OUT <= slv_reg12; -- User logic ends end arch_imp;
mit
f740c0be2ae3e60ac6a521736d62952a
0.568673
3.467089
false
false
false
false
timvideos/HDMI2USB-jahanzeb-firmware
ipcore_dir/cdcfifo/simulation/cdcfifo_synth.vhd
3
11,266
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: cdcfifo_synth.vhd -- -- Description: -- This is the demo testbench for fifo_generator core. -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.STD_LOGIC_1164.ALL; USE ieee.STD_LOGIC_unsigned.ALL; USE IEEE.STD_LOGIC_arith.ALL; USE ieee.numeric_std.ALL; USE ieee.STD_LOGIC_misc.ALL; LIBRARY std; USE std.textio.ALL; LIBRARY work; USE work.cdcfifo_pkg.ALL; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- ENTITY cdcfifo_synth IS GENERIC( FREEZEON_ERROR : INTEGER := 0; TB_STOP_CNT : INTEGER := 0; TB_SEED : INTEGER := 1 ); PORT( WR_CLK : IN STD_LOGIC; RD_CLK : IN STD_LOGIC; RESET : IN STD_LOGIC; SIM_DONE : OUT STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END ENTITY; ARCHITECTURE simulation_arch OF cdcfifo_synth IS -- FIFO interface signal declarations SIGNAL wr_clk_i : STD_LOGIC; SIGNAL rd_clk_i : STD_LOGIC; SIGNAL almost_full : STD_LOGIC; SIGNAL almost_empty : STD_LOGIC; SIGNAL rst : STD_LOGIC; SIGNAL wr_en : STD_LOGIC; SIGNAL rd_en : STD_LOGIC; SIGNAL din : STD_LOGIC_VECTOR(8-1 DOWNTO 0); SIGNAL dout : STD_LOGIC_VECTOR(8-1 DOWNTO 0); SIGNAL full : STD_LOGIC; SIGNAL empty : STD_LOGIC; -- TB Signals SIGNAL wr_data : STD_LOGIC_VECTOR(8-1 DOWNTO 0); SIGNAL dout_i : STD_LOGIC_VECTOR(8-1 DOWNTO 0); SIGNAL wr_en_i : STD_LOGIC := '0'; SIGNAL rd_en_i : STD_LOGIC := '0'; SIGNAL full_i : STD_LOGIC := '0'; SIGNAL empty_i : STD_LOGIC := '0'; SIGNAL almost_full_i : STD_LOGIC := '0'; SIGNAL almost_empty_i : STD_LOGIC := '0'; SIGNAL prc_we_i : STD_LOGIC := '0'; SIGNAL prc_re_i : STD_LOGIC := '0'; SIGNAL dout_chk_i : STD_LOGIC := '0'; SIGNAL rst_int_rd : STD_LOGIC := '0'; SIGNAL rst_int_wr : STD_LOGIC := '0'; SIGNAL rst_s_wr1 : STD_LOGIC := '0'; SIGNAL rst_s_wr2 : STD_LOGIC := '0'; SIGNAL rst_gen_rd : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL rst_s_wr3 : STD_LOGIC := '0'; SIGNAL rst_s_rd : STD_LOGIC := '0'; SIGNAL reset_en : STD_LOGIC := '0'; SIGNAL rst_async_wr1 : STD_LOGIC := '0'; SIGNAL rst_async_wr2 : STD_LOGIC := '0'; SIGNAL rst_async_wr3 : STD_LOGIC := '0'; SIGNAL rst_async_rd1 : STD_LOGIC := '0'; SIGNAL rst_async_rd2 : STD_LOGIC := '0'; SIGNAL rst_async_rd3 : STD_LOGIC := '0'; BEGIN ---- Reset generation logic ----- rst_int_wr <= rst_async_wr3 OR rst_s_wr3; rst_int_rd <= rst_async_rd3 OR rst_s_rd; --Testbench reset synchronization PROCESS(rd_clk_i,RESET) BEGIN IF(RESET = '1') THEN rst_async_rd1 <= '1'; rst_async_rd2 <= '1'; rst_async_rd3 <= '1'; ELSIF(rd_clk_i'event AND rd_clk_i='1') THEN rst_async_rd1 <= RESET; rst_async_rd2 <= rst_async_rd1; rst_async_rd3 <= rst_async_rd2; END IF; END PROCESS; PROCESS(wr_clk_i,RESET) BEGIN IF(RESET = '1') THEN rst_async_wr1 <= '1'; rst_async_wr2 <= '1'; rst_async_wr3 <= '1'; ELSIF(wr_clk_i'event AND wr_clk_i='1') THEN rst_async_wr1 <= RESET; rst_async_wr2 <= rst_async_wr1; rst_async_wr3 <= rst_async_wr2; END IF; END PROCESS; --Soft reset for core and testbench PROCESS(rd_clk_i) BEGIN IF(rd_clk_i'event AND rd_clk_i='1') THEN rst_gen_rd <= rst_gen_rd + "1"; IF(reset_en = '1' AND AND_REDUCE(rst_gen_rd) = '1') THEN rst_s_rd <= '1'; assert false report "Reset applied..Memory Collision checks are not valid" severity note; ELSE IF(AND_REDUCE(rst_gen_rd) = '1' AND rst_s_rd = '1') THEN rst_s_rd <= '0'; END IF; END IF; END IF; END PROCESS; PROCESS(wr_clk_i) BEGIN IF(wr_clk_i'event AND wr_clk_i='1') THEN rst_s_wr1 <= rst_s_rd; rst_s_wr2 <= rst_s_wr1; rst_s_wr3 <= rst_s_wr2; IF(rst_s_wr3 = '1' AND rst_s_wr2 = '0') THEN assert false report "Reset removed..Memory Collision checks are valid" severity note; END IF; END IF; END PROCESS; ------------------ ---- Clock buffers for testbench ---- wr_clk_i <= WR_CLK; rd_clk_i <= RD_CLK; ------------------ rst <= RESET OR rst_s_rd AFTER 12 ns; din <= wr_data; dout_i <= dout; wr_en <= wr_en_i; rd_en <= rd_en_i; full_i <= full; empty_i <= empty; almost_empty_i <= almost_empty; almost_full_i <= almost_full; fg_dg_nv: cdcfifo_dgen GENERIC MAP ( C_DIN_WIDTH => 8, C_DOUT_WIDTH => 8, TB_SEED => TB_SEED, C_CH_TYPE => 0 ) PORT MAP ( -- Write Port RESET => rst_int_wr, WR_CLK => wr_clk_i, PRC_WR_EN => prc_we_i, FULL => full_i, WR_EN => wr_en_i, WR_DATA => wr_data ); fg_dv_nv: cdcfifo_dverif GENERIC MAP ( C_DOUT_WIDTH => 8, C_DIN_WIDTH => 8, C_USE_EMBEDDED_REG => 0, TB_SEED => TB_SEED, C_CH_TYPE => 0 ) PORT MAP( RESET => rst_int_rd, RD_CLK => rd_clk_i, PRC_RD_EN => prc_re_i, RD_EN => rd_en_i, EMPTY => empty_i, DATA_OUT => dout_i, DOUT_CHK => dout_chk_i ); fg_pc_nv: cdcfifo_pctrl GENERIC MAP ( AXI_CHANNEL => "Native", C_APPLICATION_TYPE => 0, C_DOUT_WIDTH => 8, C_DIN_WIDTH => 8, C_WR_PNTR_WIDTH => 11, C_RD_PNTR_WIDTH => 11, C_CH_TYPE => 0, FREEZEON_ERROR => FREEZEON_ERROR, TB_SEED => TB_SEED, TB_STOP_CNT => TB_STOP_CNT ) PORT MAP( RESET_WR => rst_int_wr, RESET_RD => rst_int_rd, RESET_EN => reset_en, WR_CLK => wr_clk_i, RD_CLK => rd_clk_i, PRC_WR_EN => prc_we_i, PRC_RD_EN => prc_re_i, FULL => full_i, ALMOST_FULL => almost_full_i, ALMOST_EMPTY => almost_empty_i, DOUT_CHK => dout_chk_i, EMPTY => empty_i, DATA_IN => wr_data, DATA_OUT => dout, SIM_DONE => SIM_DONE, STATUS => STATUS ); cdcfifo_inst : cdcfifo_exdes PORT MAP ( WR_CLK => wr_clk_i, RD_CLK => rd_clk_i, ALMOST_FULL => almost_full, ALMOST_EMPTY => almost_empty, RST => rst, WR_EN => wr_en, RD_EN => rd_en, DIN => din, DOUT => dout, FULL => full, EMPTY => empty); END ARCHITECTURE;
bsd-2-clause
6cf3e14523923b461f4570cd31573677
0.45695
3.99929
false
false
false
false
timvideos/HDMI2USB-jahanzeb-firmware
ipcore_dir/bytefifoFPGA.vhd
3
10,675
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used solely -- -- for design, simulation, implementation and creation of design files -- -- limited to Xilinx devices or technologies. Use with non-Xilinx -- -- devices or technologies is expressly prohibited and immediately -- -- terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- -- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- -- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- -- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- -- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- -- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- -- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support appliances, -- -- devices, or systems. Use in such applications are expressly -- -- prohibited. -- -- -- -- (c) Copyright 1995-2013 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- You must compile the wrapper file bytefifoFPGA.vhd when simulating -- the core, bytefifoFPGA. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off LIBRARY XilinxCoreLib; -- synthesis translate_on ENTITY bytefifoFPGA IS PORT ( rst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; rd_clk : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(7 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); full : OUT STD_LOGIC; almost_full : OUT STD_LOGIC; overflow : OUT STD_LOGIC; empty : OUT STD_LOGIC; almost_empty : OUT STD_LOGIC; underflow : OUT STD_LOGIC; prog_full : OUT STD_LOGIC ); END bytefifoFPGA; ARCHITECTURE bytefifoFPGA_a OF bytefifoFPGA IS -- synthesis translate_off COMPONENT wrapped_bytefifoFPGA PORT ( rst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; rd_clk : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(7 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); full : OUT STD_LOGIC; almost_full : OUT STD_LOGIC; overflow : OUT STD_LOGIC; empty : OUT STD_LOGIC; almost_empty : OUT STD_LOGIC; underflow : OUT STD_LOGIC; prog_full : OUT STD_LOGIC ); END COMPONENT; -- Configuration specification FOR ALL : wrapped_bytefifoFPGA USE ENTITY XilinxCoreLib.fifo_generator_v9_2(behavioral) GENERIC MAP ( c_add_ngc_constraint => 0, c_application_type_axis => 0, c_application_type_rach => 0, c_application_type_rdch => 0, c_application_type_wach => 0, c_application_type_wdch => 0, c_application_type_wrch => 0, c_axi_addr_width => 32, c_axi_aruser_width => 1, c_axi_awuser_width => 1, c_axi_buser_width => 1, c_axi_data_width => 64, c_axi_id_width => 4, c_axi_ruser_width => 1, c_axi_type => 0, c_axi_wuser_width => 1, c_axis_tdata_width => 64, c_axis_tdest_width => 4, c_axis_tid_width => 8, c_axis_tkeep_width => 4, c_axis_tstrb_width => 4, c_axis_tuser_width => 4, c_axis_type => 0, c_common_clock => 0, c_count_type => 0, c_data_count_width => 15, c_default_value => "BlankString", c_din_width => 8, c_din_width_axis => 1, c_din_width_rach => 32, c_din_width_rdch => 64, c_din_width_wach => 32, c_din_width_wdch => 64, c_din_width_wrch => 2, c_dout_rst_val => "0", c_dout_width => 8, c_enable_rlocs => 0, c_enable_rst_sync => 1, c_error_injection_type => 0, c_error_injection_type_axis => 0, c_error_injection_type_rach => 0, c_error_injection_type_rdch => 0, c_error_injection_type_wach => 0, c_error_injection_type_wdch => 0, c_error_injection_type_wrch => 0, c_family => "spartan6", c_full_flags_rst_val => 0, c_has_almost_empty => 1, c_has_almost_full => 1, c_has_axi_aruser => 0, c_has_axi_awuser => 0, c_has_axi_buser => 0, c_has_axi_rd_channel => 0, c_has_axi_ruser => 0, c_has_axi_wr_channel => 0, c_has_axi_wuser => 0, c_has_axis_tdata => 0, c_has_axis_tdest => 0, c_has_axis_tid => 0, c_has_axis_tkeep => 0, c_has_axis_tlast => 0, c_has_axis_tready => 1, c_has_axis_tstrb => 0, c_has_axis_tuser => 0, c_has_backup => 0, c_has_data_count => 0, c_has_data_counts_axis => 0, c_has_data_counts_rach => 0, c_has_data_counts_rdch => 0, c_has_data_counts_wach => 0, c_has_data_counts_wdch => 0, c_has_data_counts_wrch => 0, c_has_int_clk => 0, c_has_master_ce => 0, c_has_meminit_file => 0, c_has_overflow => 1, c_has_prog_flags_axis => 0, c_has_prog_flags_rach => 0, c_has_prog_flags_rdch => 0, c_has_prog_flags_wach => 0, c_has_prog_flags_wdch => 0, c_has_prog_flags_wrch => 0, c_has_rd_data_count => 0, c_has_rd_rst => 0, c_has_rst => 1, c_has_slave_ce => 0, c_has_srst => 0, c_has_underflow => 1, c_has_valid => 0, c_has_wr_ack => 0, c_has_wr_data_count => 0, c_has_wr_rst => 0, c_implementation_type => 2, c_implementation_type_axis => 1, c_implementation_type_rach => 1, c_implementation_type_rdch => 1, c_implementation_type_wach => 1, c_implementation_type_wdch => 1, c_implementation_type_wrch => 1, c_init_wr_pntr_val => 0, c_interface_type => 0, c_memory_type => 1, c_mif_file_name => "BlankString", c_msgon_val => 1, c_optimization_mode => 0, c_overflow_low => 0, c_preload_latency => 0, c_preload_regs => 1, c_prim_fifo_type => "8kx4", c_prog_empty_thresh_assert_val => 4, c_prog_empty_thresh_assert_val_axis => 1022, c_prog_empty_thresh_assert_val_rach => 1022, c_prog_empty_thresh_assert_val_rdch => 1022, c_prog_empty_thresh_assert_val_wach => 1022, c_prog_empty_thresh_assert_val_wdch => 1022, c_prog_empty_thresh_assert_val_wrch => 1022, c_prog_empty_thresh_negate_val => 5, c_prog_empty_type => 0, c_prog_empty_type_axis => 0, c_prog_empty_type_rach => 0, c_prog_empty_type_rdch => 0, c_prog_empty_type_wach => 0, c_prog_empty_type_wdch => 0, c_prog_empty_type_wrch => 0, c_prog_full_thresh_assert_val => 32256, c_prog_full_thresh_assert_val_axis => 1023, c_prog_full_thresh_assert_val_rach => 1023, c_prog_full_thresh_assert_val_rdch => 1023, c_prog_full_thresh_assert_val_wach => 1023, c_prog_full_thresh_assert_val_wdch => 1023, c_prog_full_thresh_assert_val_wrch => 1023, c_prog_full_thresh_negate_val => 32255, c_prog_full_type => 1, c_prog_full_type_axis => 0, c_prog_full_type_rach => 0, c_prog_full_type_rdch => 0, c_prog_full_type_wach => 0, c_prog_full_type_wdch => 0, c_prog_full_type_wrch => 0, c_rach_type => 0, c_rd_data_count_width => 15, c_rd_depth => 32768, c_rd_freq => 1, c_rd_pntr_width => 15, c_rdch_type => 0, c_reg_slice_mode_axis => 0, c_reg_slice_mode_rach => 0, c_reg_slice_mode_rdch => 0, c_reg_slice_mode_wach => 0, c_reg_slice_mode_wdch => 0, c_reg_slice_mode_wrch => 0, c_synchronizer_stage => 2, c_underflow_low => 0, c_use_common_overflow => 0, c_use_common_underflow => 0, c_use_default_settings => 0, c_use_dout_rst => 1, c_use_ecc => 0, c_use_ecc_axis => 0, c_use_ecc_rach => 0, c_use_ecc_rdch => 0, c_use_ecc_wach => 0, c_use_ecc_wdch => 0, c_use_ecc_wrch => 0, c_use_embedded_reg => 0, c_use_fifo16_flags => 0, c_use_fwft_data_count => 0, c_valid_low => 0, c_wach_type => 0, c_wdch_type => 0, c_wr_ack_low => 0, c_wr_data_count_width => 15, c_wr_depth => 32768, c_wr_depth_axis => 1024, c_wr_depth_rach => 16, c_wr_depth_rdch => 1024, c_wr_depth_wach => 16, c_wr_depth_wdch => 1024, c_wr_depth_wrch => 16, c_wr_freq => 1, c_wr_pntr_width => 15, c_wr_pntr_width_axis => 10, c_wr_pntr_width_rach => 4, c_wr_pntr_width_rdch => 10, c_wr_pntr_width_wach => 4, c_wr_pntr_width_wdch => 10, c_wr_pntr_width_wrch => 4, c_wr_response_latency => 1, c_wrch_type => 0 ); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_bytefifoFPGA PORT MAP ( rst => rst, wr_clk => wr_clk, rd_clk => rd_clk, din => din, wr_en => wr_en, rd_en => rd_en, dout => dout, full => full, almost_full => almost_full, overflow => overflow, empty => empty, almost_empty => almost_empty, underflow => underflow, prog_full => prog_full ); -- synthesis translate_on END bytefifoFPGA_a;
bsd-2-clause
4ea49705c587eec48a6d5f75447630a2
0.542295
3.37496
false
false
false
false
fpgaminer/Open-Source-FPGA-Bitcoin-Miner
projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/ramfifo/rd_logic_pkt_fifo.vhd
9
43,848
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block lF+ZGKoWQmSbTQRGCNzzZ9fcAmiPFoabo96xV6I1LD6+wTeZxGCAmFl/2E2yg5h4ESkwGsgRa4bp rqPsP+AXhA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Lm6Yz5Mdoa2EUAaNpuDONBfFsjKtn6edtOrwpkKB/ckBczgqNQyfoy4flG8ID4Uu/FC8IFwBe8kG MielR2HlnzjmqS5eio33aN7wC3SPq57NNwvevteJAQfYGtTXcu2QuW0hQKiKy9w0ulnWeP8YYGtg F5D3mOi066Wk6XOGgVU= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Onmysc9PKoFlXe3xMffNeRz786WIk/KXsOAPKLoeB8NBNVIqiK8Qv4ENFtUTZnJsj+zQMhfzI4Kh sb6iGsmM4OW8vlkiBvC+f+yS8BRikjfKmRvPur69ALWezHCrm+gYceijBDPvm3HqOgu/QNvqCNB/ bVUhVRIVKots1/WwGOI2KE3W9G0wm+4iDmCm1jug12mRd3KktwYhykWt2k7WmYXiw2Fmd+IXeJyj nIv+12nLrymdMMQGfdZMWnK9IhBNRJp/qJMUrSi53yr+HwD5svecvHN0wSAXMD3yRlzjUJrq3NYy /aYb2k3hWvs7FeeYrCFZiJuJdWfz9bVSenqexg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block JN7BVPFLBE13VSiL9fAxgRoZhnfL1c+mynq+VbP8RrTd5d8ybFoIn6jrRxorWKrXeNVAoIP/cKnj EMXfVGOXkiDN0eTAWMS/QKLO2kw6h5t2FKlTZdewpzibOv4KxtqMoYhEbYTux8sW2OFt9TQDN/xU icqh4bjF9/9MTAjkTs4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block a1VaxJGDXveWfqFw+YuXkoXV0yIQi66s0HDspZJLoDL2xAXspsABGapZtdkmiaMgo4NPa0tzl782 9vmhPEhkY3DC/uZzYVM3mYNviphxKuYA1U8s1oHXwL9vOLR8n0W3ErJK+Hf+bR/KTyLg4a2WZ+hF HRsgzplTIz2i7zV1D931iCzHnWjsKNKaJ1ETLd/Td/VcpNimr47dgA1rUHCUyMFVttbFwRf3LgUh TKxqL5o4l72D51XQS6TEBE8X5Q/wWpFuteAqvyDIX/zwGVKJlMLlPVDXO/PDxgGUnZ4I8/aDprZq IKkItdJFWZ9qHXpRJ/igPQT/q9jtgzfVmHt4LQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 30720) `protect data_block gtFWv6SjCmsgrckX21Q9uajej9U74r7/v01y9rsIQhajmM01mh7HVgzP1/wCssuvgRkkAoC0Ec3k LbpMDvie4ySYcIHGH+oUmv52y7c82h7CYgRkwbSmF9nnNBZLkhtoDelN55t5mb53CqIQwv9gNSrr HiBTWFNvVIFKjojucTmLunjkcP3W0g3bSRiU0BCFjYKWwTVo5hZtTvJNcyuyggekc7ihg2s1pDyz sSGp1B5Kv5tMAZmEEQKx2y9fHX39qLdTqj6ME20vzut0KwsPAzH9prQtWuBx+jHM3NytVMS0E90B pvQusuFiKgQZTcqyEowWpicD5eMWYCnAsyBsGLxrrvr3/DsuVqmT1kJh8EyGZt4lfZLb1hKiKOnR mi7O+Na8CEnXq6vGmIJKsiJyw6u6P+zzENXedMxqe/Uqq3yX0X3caWU83XI9oeQGQPoqrGIjAe23 WTCQVdFMiDjgOxwW+EZ+WJ4yp4nLMs87EVsGMtB05wHrBVpXh57eIMha4rDqaz6kLUcSQsUuRvt+ ZEUmk4dYUZEabnZUQOi/2O8Gk+rFMRXAG6br9tjOupcXbu0T5inC3/VC3Y278fWdK2fsZ1Ze6ISx lCzorfqGJwcXsoQtNhueGAHz7/dXeP/CQAuP0dpNQJI52VAec1Jp2CVfex/ern1J5aC4vjC19I3A N5aHgTNvYFTEHOg7KW9tglA5T9NT6NYW8v2oE+zJ9DIbB3LYT+izhwzL8jkdgZmslZFZ/Z8He+Gy 39CgWJ7Zn79en3TCcQMCRCspGEYwlryfkQyi+pTzB8jA1Hpa1VN1jB4CNZdGYmtk/IVpVwSbuguZ IHLNXqQ3p1CEm1vMdYvmcN+8AtWsopPckoQvszvbF1vEHuhBCn6FHbSCVahn++X9EJ0quG11de0u rWrdV3jkEMvbWctkHldBAjZwbXZ6cr1Ozr4nmWsVUAydZW0CVHfKIF86upIVqIQgHLYrHscG41qM IrY3nw/rmQED/uaCxkXLVXXW5P3hhT0bZ7uQYxCQU2gBYNZDZI/ePiHu3HKMXS9L+bD+XetitAsu Aa20YGI9Wk5AcdCLHgPu5PCq8DMZFaRTfLAuh/0OwCbuz4xzmJ7vEIAtHRM4TAFLj+e7/GDqZnvJ 4Hi2GsQaFzdSUhaO9th6oXZsN7g1577qaCldD47BqpR0C3Zqx/8tIAXq3GCThDhsklBZy+H3IZzD MAihMAuhrbGSDixiziNELPbXlb0Oei8evkBAcEndvivfSeUUaj+8leQykBh7N7yCZYtU35OPvylO XMSGVjIfhTJfIu8pep3RJwTelIYg/733w17SW7RGlNzlB3Otx/VlRZ+1r4x3nGW+6ES4yZKgT3o6 DPfO3UqZ9o7VAiYK6eUu6Z3dxsAH01Ybvfy+HG0yoOxp68LtlJtAOwQ8yb54Abr4CwSbf5M5Udxh pqLLod61vrEhNcHsWND2msEGsf3rd6WfSeKhZSEb7VpwwLywA/Si5IyVLzhDY+Di9YgUOrmZLfXv i9EwMNcDawy8Ij0syuyF/QtDkkY80LV9pSWhem/J0oEoqs6fdKT+ax8n013mGqLATB+XDcReRYvA dQD8zhb8kWTCMnXBVeu53juV6o+OBJTyKNIpkR4JV35BwV3UqNZb0tdux/FBLJ9yX4d3gtv5AqWN xPFidIpaKUPprONeL3I9XRSLp9fJbkuqPD4/UG8B4w/eFLSrIUKvaYGDUm62Nn7qCw2f2HMD+Q1i hkqShqQe7QGDSQ3KISlr2ottYRekYz+9dTMNb1rszUdCPtnpIc5m3Ig3sAicIoG3LfCaOQXjyGYz x771yLzEBYmm2KdWAoZ/PyA06Z8MD7VgZZY7aZC6bYpF5AFcoWJpy+AluFpOicMz/QyCc9Iw0BAO hQp7U8hFhDkOoD9iR3aISHNk9Pcx+sdKwCPSZaFFElxrRSELhhJD2e/KQhNC3j+gANWoj3ol59pG gF9uycsRb0RNrrUHSYfjpJ97A5GAyIVlgxbxr0qSMqHPxay5zkJjI3RwHkcCbUPEbuez4iF0xKxJ AjdfrevV0nt0AFjoZo+MZuqumrDx9cAqopZm0JQgjru/BUxqjn8415D3RlwdooPF7Q1XCVFyOv5F fK7OGMQ+BSQwn4ruJ9Hs/bGHa8o/pDgAV+0dzXjFN1AyTwJA4tK8wNynx3RrICCfNmP+ji7RGANC RaiP1ZguvUMGIpJMkDMSYFWEkz5rATk3i8+Iqdf4FTSbO+7+xPzA0phvsBg5iIyDMBhHYtk7SUN4 sOpWkgZuO5crv1066SIDNc4ErdeMfGzbwllJn179FGGG5L1GtFHWPm39WslpYNst5xK3XfT44AIU JcNv7oumdMU+bgiYjSmLAt796j44ygZSkjP6q+AeX6PZJsuBDEx7uek7Jg+fjdh1Jm6vKUf1kWRD duGMi/fOyzbrNupxEjbFAfWLAwEyvk1tvilnC6QXpR108hd7WWvxjvEc4Pm7VxwDETXO4ebafqhp n827NVP5OoqQ8MOlQntLoON9Jzovf4DzHdAqkr3ZvsftEyhjnA5xiazbxBE6dq3yxnL+r332j5Bn fCG4aezhqPZBkvij+bMgP9s7Z3EIWOyp8H+8UpHONl1dwOIA3uHhlpWWTUWAwyuCG78bdAdINfZj qPwWAV6hUAHBedO56kbv53gUUeu4qPvG9GcAEiHkYZbcCjS+AMAYrF+jcLx0J4CAoCw7wo7NTdsA 7YNkElUCDJRz3234qtzQwkUR7skEOG6wS2mFp47eQ2rynJK6eB7zmniam+D9rkM/Rhp2Q/gHdrJa VEnkLUGKLTq7jeVRoQ+ydoVRFLC93dP5HukXC8m992VgoQjsito/IgHP0XxPsU0/5bCVFTsAmqkK ptajTGS8sITa8lJ/WW/AmPHaCbhLWAHsQtPmoWlPFqKcb8blaNdFVsDYfnmP0Eqr4syH5jvvIjVK 0mA8rMFKoacd2ZGfm+cCrEf4u9aC1gMTUpZXjtN9321hrZOI6oQBqO2lKIlvX2I5SCHDC66GzKZk tyb2E4qk97eWdy5mkQ2gLDdoZzoW+GWQrZSC2vDP4P+rn4dbBf12zNOVyqLAfW8v+Zzn1V+IOwHP LwRAtsDJH05HQ50cSGiCkM/8HxOU7/VgAykrJyNymK9b9s9BT3M7n7OQPVxKL8s98XCCFQrJSsAB YF/YROxozD29loVZMN/6sLK+84M2g8FZ3vAqCUVMZbvYSmcnSPbuxnGIJ+FyyXxXiRxS650SEVJJ +3YWGDAQDEtiOWoyaB6uLwJUH7HIq/h7f6L3A063YSqp5RxRcaL2PmMKv3tQiDjLLC/5+y9GwMbE c6BM0qQi1FkZRYh4CC5fwpswv4m+88gXZgqJyV/1h8xPIlkDpgn4x+J5SPxHtAJtTCSOMze7H/kE 0mr0B9mSlYOw4R+MWjYiNu4UC5Kl7UEZrug+Q1LZfENugjwHXWmJlYHUcGk8L+179IAPmDspSHOT 171hrUMiQsw6ACSm9hReVxix17oq2HAzrxhe7OhBU5BUkqVirXhH1R7SHiYOSqE69LuhLvs3DIGJ CD46MhWii5w47awHdagq8xsWGNXNt2GbzGyAskxPdMiEaOuti6w5HMZDUd3BLXMyQzu8toChLaYp QkN4PbOnOOID58VZZ5Bfk4HbBGj+kRw9MkNG6cwmr+p3jokDS/s81O3KEgFUtdKq05t2wvP3qt+s qjbgIjtTmzGMeUK3Ee1hj7JyCB/0M1xwWkt0iez+pKYrasYMm6bOj5ThCR0SnGgaw207XfOPntrg 77G7Ed23gkRkRHtgXHIqtPNQEpEX9i0vp8WWaQK9OFB06QUPuWqHa7INXTpnBOQm8GAIHK0jieof 8jAjKIQcWfI2XBA+J43bP4G4evFUrqb7clR8rMIB65u1PV6hX8lc7eCwlm4US4Yil36h/2NrbNte 9Zy9uz9CW6bb3jyezfSBi0VZA4J+UnjE0XO7+pMCOVDOm8k2BwZLQEOjsu3Ql/WckeaWMHpp4r+Q Hy8KaplSOfKuIfNUmK+FbCorEMf4jpMH+ybzcFZb0uO39orQ4Q+dm35iLBn2WZ6ByTWzA9mC7VJ4 NTlmh/tPHVHRd8KGxTOfJXJqKolGQNVlZVMuLGc0uhKeyDanz1GACzl/yz06PPmF4IrLWz5xdfGg n1fqNi2+Q1Z6SyQfQ2Rt3vOpqkThdgnwkcAuvTpM5FiybOQdY3i1S/xUHBZwnIOMe+iKxtaL5mQy j83c5MJIQtKAkKXuvmTMnkYxgd02GXErunAGuP4F6FqFRB16OoBhAteHxBo+p5Mv95cmW6nUOgQW E4rQ1q7RimVZVsK1CiJi+Uf62r7JkVahAYpxtaqXUANmQYck8m55jjZPB9tFzWaTKUwZeA1cpvwL RpWad/I/w7NGU7qgm0wbdlSBNgz+CQHdq81N8C6gV2akuwzD8abPAIfNVg/be6bW/Zrt7OPeqPmS MkajGpeAMqglt7jn9nJbaYK1RHAk+7I72By0kPyAF9g9bfpYwVn4hvSWlWPKbdFbBmVOHbwhiipz cKydg7aibo8YU74zP7iGZjpsYK65LtdshPqeD8/PxX82KqEomnhzbi8IFLUUvT1MTI9dPZVPrtbs labLb9mT4lCk0sTGgfWbdrbq/uhi/yezCNsf1p33zHp4+aJyNU8vkLPqczf17ablXWUHw0Auwqu4 l10snXCBQu87RzKEgILetsVaA7cxOnZpg/uh5OtZoh+Rs9YfKJbkglzohRekHTSZE83cvNTma5U8 H3b0ftO5/opfCGbdh7rYAE12nO2ko+ERm9UTiKLGCk0/NhKoWTFZpHnbbR0byO5L+H2Y2LKSOYAD mjrCK5yGjMj63pyZGwyuiAACq9MVlYAP5KE/LfWj+/XHJi5i6mEol5ymReKlPZdT+qT7VCt15vDU VtU1dEC6rAZ05LV639aA1h0M647Aq1QSH3goQ1T9eFSCRUG7ADbRyiWEERprvOvJWLUyqBwauiOU eXzP9h8YNvvoPiwUpN+/UwkJBfaidzXz9uHF+pXuBH2LeHicVam7g67LiQXWNFll/cDBs+v+aZs5 Kx1AT2U2zTcaqrwsJKv1pMb1njZ+KP/fXCn8CfOEadguyAK9cEeKQiIySBB8k3PzQkmwjPmmCxmc 7oLukJwmEiNumMCqe6Anj0PdD/rAtTplNN1cfqEYzdGJRfe9usixJQTiZtBEopb8dm1HCSc6flvp n5tW4e5YouvMHq2EfwJC5p/vE2ulh0Qr+cr86edgBqW/Nr5GrkNN26wVKn1VLLUZy4kWEWdedPHE QUXy4fJNTCw2AKOoDzHWMbP/24E4Wr/wUV589I2NY7XYyFy5Ij7bHk6FBq/lg7/CKIERD25RW16l jX2rvBOAAq79H7qnffHWASudq0UAStXDfWt8Ry533defTTo5XQCDr7xni3ughgzS62ZJg5378FD4 hG3NC0P1uGQ0jmiMRNA0nx2jiXuq9vOiN7vcc56LgReds8o7/6p+ISkSmjEyOYtlpFqw1mI3NnEn IvTccCon/Ecz93UQ5VF1moVw9K/njtj1Pq2tkwbVkrKe5V8vTE85PJvSEDhKtHtbt4Rd4GGL4/+u 173ZxReBt/6zg1PYpbpfXyiSq/OJz6PlDX5OgAVY11QkSpyARkN2HcWstF6/eBc/QuSO7a5p7R/c m0+vX2/8DEktaocce5zKfIHuGFC1k6qBbhqnLE4tHehxbiuDZ7Rc/en4Ws8k2mQL/IBNCEXhIk44 9LJoEwh/Bs7SmQ83nLpZ/K4Y8gMg2ZYyvGMtwKrmZwVl7NqhnQa78vcUoojh3sPzPz/vj3fEV2Hj X9+t6ekJ5WMbzLbhxyKe2stT+fSpbnf4wQWre60/ir9XqvODPusVW2Mr4lh7JGRJjkt+KV8MUXfp vkF7FlrsjXM/gm4EodHzjkq3rAedG/0/RLyAoknOJoGAuH6jigrSkXTU9urStNi398+rXZ3db4/t FlWngpwv3+E8sByUWyS8Q2+C2WW5cme/F/a9lFqYSdBgN2tLaA5/ZE1YirBNAGitKuXJS72NlFFN tQqa7HW6Gh01QgPAXU0m1L1lw0tespJxfBoQvG19M6bPRjhVqEHXUwTg89Ud0l7Z6FbU52/9fEda lCCKlKyZNEg4cIeCHGeaUhnRcDDheQ09XXhQKz6kiZf1cGGHEz78/2AvkRtEmLlWktrbUtBwev4/ LdW0hMpYJkKdfrTjuEkdF3D0NuL2LPKxAy6TR5WcVy2NnTlEJ50NWvVtOLDQK15g0a2dm1kYcEmY 4a0NRGLgR9FwEhYs17HyxLbJcB544tHbUR2BWXXlTsLJTz4APdgyVSuWehMElzGnw4RZqM45uML5 jGKXaRQEC3h09SEawi8Y/e0Q0n9Q+++01NLOHHS/ALOjwLI2Qzjl33yzHRUAjpVv65v8nGfo7WZa Jzfb0Ev2qbf4rZIn8NWNbCx9hQHI8nLXdzWozcOLWpcgXSceCVzFo9XP5b4qoP/FdHeVqJkTt/pw BETgtMGQeRFFEofY4ZscZAQWfb0l2LlzfYzXjS7YejqR2M2L5RWr0B2DU3rMA2XqJLeEdkLavr1u YV8CWO7Tr3LPSnJi0ivo0vPFgkYarVokcUF2cgZHb6QoIGQLiDz5ad4JMI0lRviwFrRQgbI/PJp8 7+8UDlDHuHjwgov9KHZv+A2+heoFIWnGB7BZajNSm6OJbSfVMgztHYsdEuMRlMiyYj2GIXYJDQit snmmq52SdsiSFFg/hxEcMYlRk+zfnRHAK7vsLTXXYMGKWbfdwe14Lt4p0vFkZ5b+88QiHXoposmA JWvKJgTkyK3l4KFQzZ5i7OHevhtbf3yJhVD4erqt/9z77GfHmTlTjXZGUsQt3L0btjfue29mPqC3 82Lke97UB1PPI8IroMFcHGBQlbBSVJFz9y6wGOcPVKDRg88YE0s758zSdmAYyOG0FCge6eI34Bld f/Mlm0qeLnLpXgtLy2WnzniqZYO+coMlbVP+3XuMU3lPkuzPuiiqDjKc/PKio9GT+u/yCvCyKkKt 8vdm3UJNd5RKoKr7X+Gis03me4fI8pL8CKxATe11812eBNI0rA/6/wCqal1vJe3mlHxvN3QrXiv6 D/KHACuqAmWoVXtZPaGm0ioE1kWkfQEnE3k7arBTXJhDzvxV/b1HVacBWK6K6va68eEfwshC9lsh H2cVpfQngKtPgrGa6Lp3yBvZCV2lO02y/f0tnOFBRKtOF0QB4wSsIhWpbXCEznXOTzwBLjmXqpAn R+cENooUA6OcvJgYksXBw+D89kUPaCFMaxrtow3m5DlXg4CI7x78NaAMs6dzh7L9ETR591/v6z3n q8yQRCn5P1V4WS9LrBup1NmY4iyT7L6YnLLJMhXEKZRZCNxjcz12Lg09L7Fv0Akg2V+lTLYRkMum 08fLz9cmqTE/p+aRF3jMMk6D891ebNHcgAGDDarpt1iU7VX+Ek7mKXuaJ3WH3N40bS2Yogu1+yIc I1I7aoyN4JlwhH6dPZiEjrrV5Q1hm77jiSrVTzurHLiBPP7RPrGGbre23e8IbzzHfRyrkJUl/OuC Kf7FAbAyrb97ISUViqEoN0vy2Klapc1QpA1IpxSVtV+cxrGzhvnuzMolWcm/YE9hi93pus4ULe2A 0enYcfhZzfRENc7dN0U0zdmE7jivcb4pNK1V1nzQ1XC8LBq18Ow2z/WAzwayIP1O2dPjNXwpo8V5 q5TLxxGLrwWwvbygH+bpBioIFDYyC6JEJLDqxpB5TJXBcsxkMmZN59gnEHwqXK6rP0+ZA7Iix/2o HHusvAHM/LL2rcO5wbs1nUIXinmqifdYSUuUcqvq6X4FFEBN0chrHrQfi89yLm1iFKWrqYw3Qyj/ KjNklzJ2mgppTNvnYDSmixFVUrC9AAGGkkhEMw20NBkqgykualzRuLDcZHZq3tlBrheC2RoICY3d X9HqEEExZqhjWoUsxiw/9m+UAvim18w+YhEZs/rr/j9Ot95/UbOtXa8omynLzj0xc4GGX2Ymu55j r9IIvq/zCx17njQeOfgzZ+oIL5F42qbZ4yZWXyH/YN8L8cjOIjuR1DPaMx+2yOGyvPme+tK4VaUs PZxehua0tpf4re8hsIgjbHcy/0dMDse5s8pMHSSuJj3o+qQPXQouWRoXUprQ+cIGb6IERfZ4xu00 52j2lFMfNQZUd42jR47iaCZ/PBZbLVI2h/t3zc0zTQojCBpOKrGPo0BdKXfGLi2wMRN65zRypDUh c9IsShqXs6TZjz+NIpoI13y4Clzt2lDctM8/pXoX20SiXcymcrtZOZ80Xv4CBt1norBeZouP4Mzf iAR6RVYrWewTbZkqY/P7rb+J+Z8+CRSnkh8cSHsme14wr538qZFcWARcicrxNZ6HkPKrF53C6TjZ FSymuSMjcRWc8kgivwkd6OcvvhuOyIkAiyrzn5qbfdmZFzEjJ6bzC6TxMvFbLgSdSt+W/IS/2WVG tyVZvs7TVgwn9pOV6PyK7UohuOY6oTDClE6Y0UmCH6b6XRSCbDFuxRsi5mi+yRqkBBLVhTAp5UrT 3k9R+JyLFB5pWJhlycPQXj3IWF4YZSrI7UeKsN0qTwwe4lPJ5g6q7LqmwYqzPXzZo8QG0bPQ/IaQ JsF1mfI3BNhDRsspSEhENUtCWj7YdpEALL/u/JFrdK8HB381TzYwuMaFqhpv7fVRZzrD+TlDz7HH fi5J35F3clIX7tRV8j/s8NZWNeToXKneOHuKzjwkddxLdWrFD+EvqySdm/Wuri8E2SG0b4wcFZIy W+snHQniNxz9nRhjSPoywxjSrwlsDyr4sxugJMxUrPqOsO3hDETuBjti5kVIvbVgc5WUk8Omr5WR 6wtxEVcLMXnV1PXnhAx0xtRbQl8NWu18to91G29LQKEz+74LlbXHXaJZSsNOVrR9HywW7edsaA0Y Ljaox1jj9JtEoUpptXi+O4RrwwFm2yTSGbuNKkW1cgm5epEdGZgUocVgu81IoSHKSvR1JD0YNHos liSizS+OkoHz+yApdW73DLOtiiX+Em4feILrstdnynCh0+9uQjZxJNwci3v/jIETVm81DhLsq3CJ 3JcjkKC6oitT5EcelrS+lJKeLrbhT5hlamegEiC5ufkKyIxJMqDY6gSfEvi6+V3cjeskKDh+lDhY hUki2ncyno5ocCzy07s73A6kKmiLCwa2pbd1GV3Xp7HTsIEX2IyGAg+nOHlzCpV1CemWT4thWeSd uWpnWqkqRPtKYYOrDs2a90wziTq+WtyEVJGADohKOmqVLC6QQ7exRUzWMIuQ0xP6J6gDdS3sNNpQ 2GOnxQvKdH5g/68gWldS1l8gC/aAiPueM9cf2PZcnrX6teKPo0hSGuodppOpuqYRqU86SJKpadnL FHqm39tucb5Odt97GV/PgPJXSI9AUJm4o2aH4Y+Auh8BNA3fhuED2YymmfnklZc4Dw4jVWG5zmok K5VpcLUN56ko/wm8If4igwuDQWuThfIAQ5SQ/4yr1k1eidDWcberaGijwsRw6r5KVu5hGW3ALf+p H0xflLXGBQ8hGxzVUN+1Ai8epBKE+G/paf+u2BOBvXL4XeYvuk9iNKP0UTGbcuT83A6gELki3Roa Xs5bTozxwYyHylrEH6+CATKq7PUEvVkalcOG6n7+4M2R9JmnXmcFV1gUdJwJByrhwrHrOpo7QQb7 9/yQPflV9SD58GIqat/oz9LJ0OIMxfxr4PihrnaI8QwqRJUbj/NcWjRVcPoiTMC/lO9Hf7rA2Aqv axpQI1Vec1oGEMM5b7okpL1iRwohFr3hqiDGriz0x5BvQZ0GU0cERpcu8ExmLFqfGKgBV+AemVAr iPF73RMQSnySl+aBMc+SI0zfVKJF9QxFc6OtqolFsV9FZiyk0U5kjuwwPGdyWP+qnWqI2Ez3eEpB gpz837kspr6pFQsALLv5tgD4cpVbajVV+ABFyR+xyfzPA1nsRLi2hcRxXKCzpf9NoAhGG8A/iicH G+kgMNAhEE+cTjh6nwiXCyY/DT35PwarHT6jVr68NeaySlfYcYfWiv5MMJ8kkG45+DS3jhbfNNHz Cw6j+4i5E1WHHvgUPRi5LctMNsFG03xTpG2kGaij/2CZ7K/YHIF9ZV63qbcatSYGe83pf+T4xJf7 V5k6JyM8if1aFq+2t0FmrdmsfQ0EN4yV3GYFnIEXZTqW7uHeGllivWkt7gWe4xmJeUWxBZThSS/x EotrffS1qVQdQT5f5fQwScxSPpiwYkZ9MXGX99LGQTu4jeJ1YP82GGDLU06mH3xkkgQ79iNuVKcj whgP+f9mjIBqGqK3BY6gUwwoBTAKJp3QpKVD75V/JshPik0Hnw00MXFIoJAwjh+Oy/wpIgCvWe4F hp5qXAe8CAfvCU2Xfk3EfXK+UHSs3YZt+TrZZj7jpdXgNbesoWSWnwrVMOxLUQLZiD9WbCojiw4I eyEpqPsFCnIAfWfmeLW4cV9auUoRrAeGfzbNxB116tipWy2odsjjIJn4duYFv2rPKllQ2MftHIHu sZXq1SahLPMBI2LN93uf0nnMNXb6tUDKwBcZulw90XJ1ZfHBOU0ZmZufCp2JwbWHcWfzWUxcaeH4 y0Z7N2XhhK29WIFwUDowuIV7LeqZ5u0ALxgS2V5Yn3H+Qg4o+KNeApyATVemdbZQ4l4bYlX3ip6w hZSq+8SkZcxGp7RSKnWGiESxo3d4WcCBzuwAuUapgYIYvaCVcxfF7Bu5kI1/4pWfa8+rKUphQ5Q2 6lYhj6Xni061QomygVwxIRWBkdS86kk8rnFprhzBra1XMXNyDxtD8hDqsYVr1BBr7L9IuEKADLUF ssQBFPV8VviG41asbAJjFFnOO59OozsiuKzcvHyBokWwpZEWkHDBfuK05EyW3MIXdl9jki//z5CA k0eIyx5nT2Ezmi5pn8SiiMkFYdWrRiy9anRHJGBnPDRcIkQBhf72d8jIH4lCFOfN1PG+bWdc1lkN mcchM7LQcGr8aS712VImSXVtd1NaNlbRsuUSWctt7fA5h7nzxd3sOOdZmk9IvHa3swBNbDmrFZky zOj6v9iF6JQS1j0r+J5Aly9FIF9CsKau4q68OgZIJee66DBMewHUiluTyy75vnbkd3wanJjp7Z+i W3O+qnawfpKUE/T1DTtVN6kDH3Xz4qFlHiAbyhUOWlYBKlPNTKklKMV2fYfF75bkeyreQXbqTwWo DqOKvV+3pNmChSYZDgOO+BpiGU9awaGU+0/9qekjHi/RsnYvZ438v7ywl+Tcrd5fEVihkAQ8rOdw u+bcPleee1hDhoucSTBSi5jCz47uQJcZ+ocC8cdTdPakKt1SoKbF3Exk63rqWACkXaa3gkqh8exQ UW1QXCMdgWiVoZ2NHxVU44O6K5J0+unEI7bSv/gu936gShHbC2bvcVrSCjgvvRyIyCWbd7rm0FV9 bKQZ0I0Jvg5d4qXlwHfdIb9ScLQ7f9gMkoCWzyQFg/StJDQT1AKXRC0oeii2Wl3OOnfp0qwuqKE5 ZgKG53S5fz5PK2P9vOffvQxyzJ2lOinErKFp/OWbS03TUSvBWFObaP+vfMQz3bx5VyVMrILPsLXN 7+0GtTFaHF0V3zC+5g/rnCG69MM9j5ITyQ7xe2RY3jz6xtMhBEJRtu3qItngs8Jy0PdkBUQnRhaB 5OVr6710MQDhDNCly+vR1CBcKzcCrrWeqG0bJc/pPkkj2fKjiBtbrLGXhHThrkcMIDAdCgTvDAU4 CuatBzZlOAgAZxIA3eZz7V42oP6JTfIS588NgogS2Ntp1irDAcGnv+ZYFAJZ6dk+L8IZKM5eI8UA GATVJ6/cJcxGIDHQDC6Q4d+Iu7cnNHNKwCjRgGGw5Z12tKt1qszssZ7wevw2W9J+tQ1qjUlqNH7M gpBJtihx6Jv5bcmzoOjspGkmK3iHpP2dc/UTPgrQpE8OmFH8+hF+l29yC3BftvXv+4FMgBvbbJDJ ZIsyXEsnAdMttrDq/rP5wTeIgI3IQFKYyD9nfn+EyT0BAlwB5yyemxCxe0SU1mec4XTNwvmMSoOo mb0+Ia5SX6DYRPUYkkVJfy5YjU3uFHgQM+nTF8v4G8Mnyk/MxT4uVIn6S741Z8I6oLq4CFCVEcav MwI0c36aztWozxsz22Jy4BxDHyoTOQM4w5y2Q0BM4R72VAEmojCa8F0L5LOCFXZ2sOmsGOrhDmzG F8h6dvMSe+1Xk1SYnJ/XGD/EzwaNWB1CaqDDf2eiK5LkCd9ouD0D3kISpSDXaPOpQiapDlKadEDA raP8SB8pPG8zQcBZzi8k7Fjsu5UiX4RRyiMenUY35XywORqGni5GXG5WYRv6/CmsiE48hAnl0gWg +1aK1+IrXQByRwy98EHZWCpFosqgJ1a1xIj5Jwnd8ipDcHQc0Amdlk/rFdChuedYbKMnIoYKcNnP VUtz9NcObmxMJ/xPRR7BkRKrXVIHvx6mozL3DU6ZZVDBHOxGSaJBu8fq0b2P03lZLEuEvyBTkTED MTAfAukJVkZxYZ01ZfQ3qZ8M8zaf4qFDcqVhcPHDfKyPst2icfT+3acZhAPQbgkxE3AaJHT21Cqa rrBV2jhk2451k5gI9qOvhvt3EFQCavn5NZS/ujHsV+ZINdOVQ3bSdYucndjMyGxi+EO1Ze2yjYiv R+TSyxs8HjsVDZRn5tIRR3TdW08Uoz/e9x4XWq7XVoFuXJw0qdkVxfVgSqhZTnFWfGsEir7h4Ozx yoPMHZ1/YvFH3EF2HVNT9DfGSruph7+zpGE0YSOAJVXXM902Z1yHH/2HOlSOZ98NC64zs51fW1qN GqJFSdomf8RKNhyZkQlwEhpJ1k20PHBgrAXBBxcz1pQVdbFZQocEbtrzPYpIFBV9pSXXfrabjG4i Vfjs1MFWytC+Fx4bSIGC3RNtvx2j2bfm3M3PrWfV4Q66xH1t5p65ZSs7K4THOdXwpdbuJfvSwJ7K HHYeU3b6eXlOh4elxx7+rNhh2WMQ3R/E/8tYpHjP/MuPa8LI62l7mC5Y2dZyvgp/47ucVhTKN7gY UTLhtlFytqkTA3zi6LEAKgv57PLaqRF2pVro0AvQzsDYypaeWuiBIkdMufCTShJiaEV15GdENMvu ECJmbO2HX1xdL2ZCnQHZctzWM7oP7prNoHmuG8n3r6lJ5OtNIrOdtmgYwg4ApbQA89gZmpe34ckK ktQA1idxi3nBJn0SyqT7jYtuGw8+5UFsXLgZIbdKaESB9KKmBqdaYLxJ8A0weAaJhlKqi2zjk+CG MkRrt9BJva/u43E+XMk4wDkjvwQ648P9+TINl/KXqgs6xZLqXues0d4nexKYPHJHRPYQY7ClI1Y/ anZCVrxoX7+cY1QSSWn589iHCj+ioIhfX8Q45oj1EtXpRmX6B2Ild9ZeIJfQvhGNqQNicqkGaxY1 YKnhopJvy/WauzKrle2y1URa1RQBchNN5WLT4IVJR0PM21nYbxsJ5K7uk0wEk+T98UQaaK1rCYW1 Uuk3d8iSEKca/o6GdjozdJJAaB0LJwJZSeI7s2MugqL/RUzq+YkD8Xj8xpolfAIF46ldx1g5ZrZN EOPKflpfAHbgm39ar5PAxmfzaLM9FoZrtyZ2tPcJ9YA3RGqxvzUGVaGckpPb8S15fpp3KsgLHMcX quXB68J3RMtWDcF8s4X4N+JCcvxmfbDXIpGII4ladVgGhfFTyrjFF9H2nlnZLTCJQE0C4n4QRDGr FLSo+KHSWmERWoCISmnRf/jrcZtWqIDuVmPkjOu/7PInXf0WBfvDRemiUbhd/owAePco80QOCsBi Aj2gO7sGcePan7rewT3cClhqXoDJ42Ck/iP8EL51tI4YFX/omW7K1wluuA6DkHbUMr51Vtkl5UvX jsHRhtITJhNK1t/Q3ruLHoN8bKSFPQMI30Txg9TgolGQUo9BsbowQyxDGeGCpvUEjqNPjMmCVJkY NtAF2SgW90TCeQsb1BglAv3RQgdtO3HXioNl4EGN9RR+XcgTAUG4XBnfhiWWdw0zGn4y1pLAXNLs a6nGZyEtQPCxnFPx7dek4i3mhlJGjYZNxvwUhHc+6ULpv4sMm0UdzU1N5yu7jYXaLIBHC0HJYAr2 96QcXhxc3fnrD7m0oCVrYtQKKrCHeosD654LRuiJ3hnWrlonG1osWnp8LYv2RAQ0XgL7PfeN7r20 KLTMCXUxzQ4c1ZCr8Ujzc7U2aTMqEqkEsp2tSqw5H4y5nyqwpkCvpkYubjmZwnV42vv84Y4WQ669 iOJReVdoPjQq9L10zSTGS8TQ/kFUoNV0BNlUhityL+Mu5Th2BzTMYsdCfS2P9CmcrfYqPtPul4Ax xrf37CB94HXEXLqJVNrR3aF3C8KJk6pncvSvRxOHmLr87ngL6Har/yJY80xnFs4DTPw5XBA7PRcR JcudYnroTB/MH5dhkD8v7L5mbznacQk5CMLyUlVYAGnCGMxoDi85lDduDII1CqsF3RInFm58o43v ugNhqsg3WzqVn2r/06DPS/SLIhm5bxIcSr0GOe7hjFGVFZvAnRjGBxVaqlCQXtlxtnyclsBCRRit RXBaJQUHUnY98/lmdZgLfIgGeCUISlm9F0RIRoLzJgvQB4q2ssIWEnqWSFzTIHYy/WYpGcVbZo6A 6fA6Qa6V9/D4W/fkt1Hkqwk4rQ/zZsMLQZq/iBy5oq03FNrdcCXhN876iNjcwWa7BA1ZG+8CBbR+ sysp8AwsTl58PPNhfmp12JjjtyDUbV5ughwwhfo1+9bsJUW14aDa74JyB4/m80oHYObxKIZHu09w mPoYyqhe1pVYoGXLtq8iMaHvrvVe9KYqrHax5dy42TdAN1eImJLvenVcRBPZ8/RZtek/wlp0e3B9 EXG5yrVeKr5qe7o1nSa+DQO0r5RbO+ZxGT1RIaJAPXL9/aBOfj42M2zaEpvyMiO9sR9hiBK4ePEc MgZcB5tgMbXXcDyrPYEFacCUxHHXQMt4upAa6opb8hdxk7wXMdNLycI3Rp0lPYO6lVxP6BRs99st 5vY5/+8bzDGHx2JgnEO4Mx914Wl9hDsXVa0kXWAQs/LD4GNukxV/SX4psDVO+m5IcfgFIPhiCfft 7pT5Ck1lilT4fV5ek2gogLNwNz2jHf/X3qQg/JRkLpQ37oHrw65/pJDlAuhO4LU7/ZKyd9fxr/Ps p/OxKMQqPa6rkVjJ2LKXATz+m8pezLtPBTNRprJ8p6YWEcunij8/yJXqZRoISowbRi5CCfNWpQsp yB1Xs32jZ+gtF9b1HOO9S8alGVXak/eTpc2jX0QyUgRiuvSqDg80HDKBTpE2vlvhdGjivNSICOhL O3+Ee9R+9J62Uh/J2X5FJgxrKPMy9KYYvFXJkTiXZdvelvS5O4vdzvkPKc6p7AKp5ilEKqt8bUAM WxffzzBpgdVpKQUAAYGrbNQ6hr5hjTOeUQ9IYg8os/eZEyOuHHoAyXhTtRQW3EW5I+LK3TIjT/RH FOb335638XitSaiR1cnfYWPoS6cuXFM+tLAoKxPZBC4GlwDPuZHfsWXw3w96sKoxP7fwoa11YFu0 cGUkhj2jWpXERIsmBq51DimrhAhQstF9bbX1ssKZa8w8kspKEBWqK+LjyUZiyCY7rpldJF7xLlAr 9dhtjkl9DrJqS8SIO6iWgJ/Mb/RAso1XU8sRR89X1+Dn/1tCBheb0MKX0kRMyYJFJmHq9cNNnsgy 6q5XtwhbLXmWRtqjvCJ9QWdklwjUUSyZCJCDcueQFcyJDgmFIx+AFrx0oy4wq3/cNQ7TzJBGwVm8 O0iYC593CqF5Eu7KJIdxB6tPz+AtQksPPNafCW7MWTaCOyzatVm+Njl0qT5KLugoSnOwL4XjMa50 Jtgpn53Nd17fNapUHIj2M5kkjqwpgckJTDW1FG/VKen/m89zfjUPewpQgIwc7/ySWIF+2N3j+HVF uNacqwvxpStslQBhoznoK5lnrVoeu3TSBz/MKcOCYj3XspJtoVqockeqEHHi2fuR2KllKTAqsg6w FIZF7wO8vNnFFO0ojr/4c4dYJWiI2+iHTkI+SaI+Tp4FBKPK9M2HP0elULZncQQ0Gswkr3ONZ5p8 9tCF5DkuxmQBQcF69phfhrIY9/IXFhPxd2XQxr1Ls/8yRJAOZzxIUutNCuOpDDf66Dsu5doq73RV VMTTbFy2wOt+dnlsn9Fvznsfrf6rF8NuBwG3dhcVUSDBMfKieV1//18xuWxwAG+c+4BZy7m/wiaP 6qlydFWHwcgvG8+8GtOD+mCdjFECm2fCuaopOnxwSKNtqRPZVVpTXX4wPMnMfTj/00KTEzCSmOIA ek6AJjcXLAAhLrEIVVuii1n2+eY2ZwZi4YpgtsafGtStUGsGgLR9TIFH7rRieYTfgJMSk2AP/WgO ZMNgGyq+DUQVPFMfoE3A7V8kJTyCnF9C/3jkCRZsYwOBmOcIXQbUcLg08TTPiIk8k8rXf22CTwgZ KyUyMxWC7c7ArJZJXd3SomesG2qUzCYn3HsTY9jaX1O9SCpVz9IurQ7k88z23nrScto8TcWkhVyA clbFALASrLYyZE+DXaNjFTMddMLgsRZualVIzJ2V3gk8h+O88ADTYjmzF2641goZ78gAiE/ttXU3 +pLesxJE0vg/XAAyRvE/RfKTczj13LJqPMz+L92rpbhfQnmcxqnO7G2SLwiOwzsx6hPzNvTjVgV4 EPAM90uGO0fvjqN1luYpED1s/b7VROYmfOYn4dMpwfl+GZeckLHiqQo0oNMJNrJtX5yEI1fugsPp 7fWddD8WL3MFHVGb8+xjzwekejs8kUtoxQexz1rJHoqRE7aRKmfktcqTS1Poh/+zXYkwcjFjnmae vlrhsZcQh6PNn20sbEajwI4mlFYBVbr6SbGY1MdbJoCJ0TMkOg3qDaNNc3w/KMPA5pr2TwMF43J4 0g89Ru2pFIs35xFwapOUbYXcbGBneD9/FCTfndeXpY9LVYPSUpQvFIl9iZotNP++XC/IVuGSmPoz xsaKJ3VM9BmSgBdQsKI60UyRfgVvuQs+NBi4I43FiamvwyUNLHxhLvbc4rSyTVdOUPlLkeNDSnyp ebmus9YaYhe+N9uT1T6AySuMyFb0Iu4bYjlpkejJ0SgHhxnNzkKEs28Gz24OFmfV9IAc0f/DunbU w70SPNyQgvkjfXdm0l5G66/S0/s4Ni1hEWv1iDjiQeZTJB/7M4XAjD0utL09fuFqIPz1+u3YCZCV xT0zus/tJKjZAvraVICIpr+h7HA7OD30cYfZ/q1jMwnsNmTj4ca9+hzObmDEkO6rmMFJS7CRiW9T hgGrpgiW53esN8F7JqNK/aSGY+HKKqb6/qRgIN08xJBQu2YqshXPHxdG36+D01XBFiBX6X8kDpV9 5fo2GRLZ04GwLRtiYd5V95bdrvL05ckTdM4dQVXOO+2c2h4FHR3Zx6yX5La/xrZV2SbH1CuUH4a+ MTSuugexbipN+J7kraY/SK27FUyqNEYPf+cGyyRNR5LtYYOBQRMOTaITyqz9hTP/5RjwA+ysV+I3 cz07J1+R4wKdkFupUDygIGGd4ZkZKIL4l8rTX6WYnl+gKw1YK5yjyLqAanQ4+5U7r9GOcii0ZROu 4+oHKdAt9ChuivQziTmFXhHrjthF3zmljQ0wxrI5xWFHF4MRZwQse/SqZ+qI8/ET+f+WkFRp+OX0 x8o2MT162mnVHIRlzAkYNAY+/pyMu8kvgFlrVie/+/5ajRxNr4BQpfzZrevM3n3FQO44Bi6IuXfY T8M30fEbpG9wI3Z8RanCxiOF0ODDDXNmvrUdZy272MjShqk8isCpnFLpe4Ya2B0oXL7/ZkG2XoYG woEffT7g4QoG6niByFk1z1tCzxSiaAj7g112rvkCQ4NL/jnJBtj8IIMgjk3FsWSfvLq/un/x/DjM bfL7LhjoSBo5Xt1OPsVuEpvjM7jMFFAQwprAhgLo4MQ9rH3B++jW4VID553kQy+MgNyy6GrR4S+R RFB0zeuo3+Rdg91Xbb8++V+IH93X6OfOrN3Pti3CTd2OZsBFJMVUNlgN4eCVqPhmfPmYD2iCwuOq RtgP+M4SwSi5/PVcSlvcycZgbI8Od0e6+PXJfU8TYoA3BaRxG36cMGudOeoW1/VYgxKc6gWzQN3u Pamd7li7bViSR56rRZuoGLvJTwuTS9P0+SSmmf0Zaulh9zEIsw6pUtr8wY9zhJHF8HjFIppdNGHV A4WcvADJhekcNHyPl5ESZlB4H+p4PbkEnoHzAmRv2mPZewrDD57tQMvWJdCnmEIE1MfGAoKoXFRg izpPbznBXYv71KEKdFmpi08mBxJnauAzzZ37f2ShzjI4478dfeR7mznoBNuico2RI/eprVF0tO3T iZdug0i0sc9Gf/rqyD6Dxwz6a2h6SyZwgTjwoARhrM8sqCR+sdI2HC2s7wavebASzJT3C3BugQ2B wOB85DCgtb7xhjiIidCMMY1cOnK0hSujH9aokEWUp9Va1vUXJcw1ndSPxiv6x1HWWOveDPS3DXme 8ZrRzhNodqF+IhdHr+1CIaE6VCNg/UVlJmDKieIIkLytTFEDHnaq1WAZxxIlQ0KQ1SPyQZ28TdFr iikFSS5POvSds7B4VvJSXt/jkIul3p5GX0oaKkoYcyRHX5akL6fvygkEwFVM56oDNckoVzvXS2VT 8dkC463g4jdKVu/vDmL6tZOA8ISDB/D3XUJ97sQfWVzs2oPiO+Pe9J4vRkk1dROZFUqhbasJ2V/n JhtuVDbb3/qF3c1v82zxO3rZBa53MZZYwKBYAQsh7AX4siym7sxq8xqkvIAbIOQg0Nws4d6g6yi5 PZYemC3Q3uvuEgPZq3fRMojb6ZvkCo1FVnfI8svKaD6xk8H1RHM4VS3V0Vxd6M5W7AzHwaRsprg8 29nb+I+gH1K4tr2sh7vh6g7/WmhmKQjQYoD4iFEjMgb4axd/tk4u1EkN0GjQOHZ6HxSRYfOQCrhe 503yG4ZjuXXe3SuLyRSI6dcZkzPI+55mBwBuhtuYOFzIYTlvS6/qcMlJdlRastwE5g1qP8+fDq8r /o/gm4uAhPiJEG6Wyi+MrkZoIwGu//TYFAhk6y/4hu4CKcdD2KVUYsYXbpCCiI9KHYIyJ/OS7vrK Pm6n2Sdf7xSeqM1BhFkEmDFz+sVzVbQtvPxPw3G5eYMu6ur6ttvtJMbDUl0TGGEzteDyGyLgFny5 EpiBXZaXaTHryg8f841nGIKjlOZxI9AZPmK7QxmjBiAL4S9bSQUOf1QTDa8GnSwdEMEcnuSujSiy 4UB0Xwbxbe2T9jhbL5M0oRi1z0XM2YhhnQupEpxHnGnFPmFTjfZ3o5KtRB/k8pyI08G3iC+104R7 NzYQPyola8u8O5g9eA4uEv3pDv5NpM+xMdNICYHZ6rj8y6O3FFP94KrABLFYfxw8h5BwVLyuAddT laogvGYm90nsNEzLgZiG+tNBDlAU7LklIVPiWBGSm4IwfN8P5egHCsWxjwmCf7NicU3dCctsYAFw TgLTu1MOj9LSsYL2KiGC/nSJHtA2/Z5CTOhmCTnM3o0qXSpfeMKdXa7LI9PCqbB2qs5IYswuKeMl LzSu0JOV+g1Vfo4z0SfuUu0AbPTwzFnj7lS/eRG+na8/6FsuEIRh8QaRnzDJS3JyhJryw1/XJQyS 9CG89p+GXH0jXpGQIfht9t/A+EuuJt5gvLPfxXMcwC+Sgf+uaOyHnWmIs0FMSk+e1U4OuGZlsx9t K4TMRvced69w+raKhPP9TXgcfGoGPVtTmHassh1EjGVRrT+UO9ES5F3cS+77IdJqAp5AB5LvhCsj SH3nzFi6LaYu5TqvQCvGT25lsNMEc11tr9HnubYJQSPelxvb18HKlBci/IiOuRb308qEiewTHHL0 xRK6rqZo8Wp0fifOeNBRpOdtcCITb280BYvD6Pkju9mYczOa4M2laBu41KtjyrdHhBABNFaFSBuY alJBd09ZgAWm9hyCny+h3ZS3GrEC2NDz28UQiKr2973dDUV6hp96KqzQ+DYooDrtyX9HZ1NML3sb bVtyoE14zs4RFpyFJyawOO/qxyCzWoWhywXrUMKEDE7VqfH3CSSqwTPWorKaOyCOX7kGb5zQk4o6 Mh4mmp1T+FGqFTwJJkxCPKFDlX74PfPZuUAM3hZ58yqfgnUpFHVbVDS2SLSB5CwR9Wn2XlSgTL1Y 6nlRhEBm5GgetyYKcvwI0oQ3tf+hIdceyqPew/E+YmNB+FiFOuvuLJtcTK3FT9lhx3D2ENZJjFAZ N46Q+Thbk4qYh1doOXWw71/pjFeKEIw/syn6cbCeOnAuawEw19tXk6ak9dLoX12N5hauD42i8VLA IVep2D2qKzf8X7cpSrqT4Q0kIyLWs2tWNf3eksz+7M9y/hvM0RDnDo6sB7dEfglauEDhdYBrqJXb AQmDnhtylsH+JSJIPPfagLSukZFguRXVHkaWB83AwktspALkfVXSwm+Z0v0RavMHpIE061OG2h7V nq1QqSKsT6JSOGr3rPuvXcgTA+zeBhMazaQEyRIBHwwqa27s0aGdWX6fio4wONexMfdxbE4hmCnM 1KPaRYiK5V3y/53BqWOPxUhJeCbprK//nibBkCmZBrezwAqMZ9MsU1139uHMPdHjFAIj8yiQwg9i L8vsRDb33J/MiUu8MutDtFBOdLpw88DkavbiD4ybg2fc5zAi4Voij/BuVSobHY01Ah/yCK3SO0bw 8v0i9Zlql2PsQ7P+PlmWhoZ4mnDGiLK1ankGJQ340n/AKHE5w2FCFTnQEIRiNui4gh7MpgdCKLiC qkx3sIRQBncYT1n9bLwzu7JY2xVvSP+Ka/BUMBCTSr9nFg8Fn36AKSDQqvC9nVed9UfDY75d8J7b x8Iwhkfl+zN02NPYk/bKBEQQcEsNpwFMsWGoOEBUjogSVSU2KnagU74Yx+yDPOCDipS6v/QrFRHd Nd5p7hAJaHmduDqpGwnGpZPAG8uyijDCQHH+FvjegNkG2pvTZznGwzQUH2RUqLKWS/c51e+RT1/f WVBN/Hf6eXOayWrDpW2DuOkJMb7gaJ+WNS0deJihNhfc3OWvuERuqD7diW30KeHvIIiTo2WVB6W1 I1v653n/9CJufmDZn5VKvQAQfiPXyhi1ia3v/UFItpI4V3t0sgcj0ndLGK12lTzQApjpnvlSDvF4 lf7p3V0bTjxj5EUfj2mFy06ubblNq/nG7S+bASaSV0+7M3uqyHxfhJ+2Qo+QIkuc3N6DzfsXS4zO X+cO8BLZc4H6BBi5ZtdRilVzmcFfmAnpV0nB6H0RlG/zzRnKHMujld8LodLMq1CP0iaQFflMBkA/ PEfE6qZbWX4lSnSJ5WXptEts9aOqMIYDDLvXoRf4qfUsTvg8jc5W9pWruPO7v230R74nfQAqd9h7 t0k5e7OYPZtfVCDe4vve3iQgX0pv4qDIMRweWJgOcG4iv8XGbY0HyJwGW5ZflHk/XGO4HdBE2LxB dadPbndJhWAdZin0ZMYgfnCppw5RV8sz9KB4sXeSge9ShYwERWvgUDkLtKl8HGMy0tRs8NuoS4go zK+aaj1Dwgi/pfz++OqaYChsa0PYx2Cf7hThcuw7MrzIePDX+uUArXm3UWmvklYc6rhGdB/MFyTs Z4yjgYXfNfLPrPYNViJmZcYdd+fb/MHR3QCjpHjLrJzrfIvJi+Q9twu5RlECX+rHLV27oAyfWuyA uSbDH0U7yNW2duC4UY70U5sv79CrGSq5fzEf0FAWhKz6xLXnM3ALC/giAiZlGm7tuNVft73bytwU SN2OsRtYqAer5XtkXysoirnuTvJ/HLo62P6vL/0c/2/Bdbqu3GyrP6FxWDZnwE3EPC1wlUdtCBad 94NPeDLIR1XRanrP7U3r8+1NGjT1EvteyJuBInnSrR4riKDI2bGhp7PMrXz5kXq8gxIKZr2URBGS PNdeJCcuuq+gCSX8OvtQooK5XN7EqHgjaoqfb39Zaw1+sg2crksPYLvfYCUf39Fj/mM0YZp526gv YhvT8jxqdsvY+yr+V+IDWUaUwkHmMoqlC9vm3RmA7w/TFJq9+5D/wU8qxhBznT/GJgmpQWJVoXyl 4n4n1qdOX2ZqRN+3cdxI18sLdz0E0K+FnbGJxzzi1Y9CIro0NTuqfztew2KNhYT0WwQmD5TwWPOe urQte+fnTON2RxNSmuFWtMpyZGmKlMEDPqB2YddEqvPBVrPDPIo6zlnE/bFoj5q+GjCr7uLjRAvn R2XXwIW2DD7STfnZsSjPedbBh+pMoVuGH1ewvWYVEBc5n1fcwdPAck/0I5ohba4EqHRp5FwyW/Sm O/Aoi46rlu8AVHGfMCNjUhtxWSOu8Dfpne/wup2JwC7DrNPFd7F0Tu75oUgMYB20j98qPpr10Hg0 KfA1Rv9hPr0w8CcNytk3Pgd4kVS0r+XIAEnbfZxhpsH50EV2uItW4ecE1SWUJdZBzcJ8cU2jgACO 3StG0bwg5klppQVpq4NV77qR91yrEAJgpMQMTJfoIrTJdno7IqR1uI2WCavXxJpQCHezqKJmelhl bXo6WTPNBGrcClC8B5HhVqOdal4Cp1bFA4KAr4pfMRCrHTGAvjUJBkdLQVLAURONs18AbQ2Iq1q2 rJj8pHwqYw9pi0+5+zigxXVcmf6XIH3a/9Xduk1tR9cBlHzPp84VF5f69I6aET1YpfEMsKNBYEHz SY4XxDDHanGUd9pXaj354jHuH6xDOzhWl+rzcOEnqXVvEJvNPphlJb9baZUBYUzxJ4GkO7du5+pK ad1zvz7TajO3SYVkNqzQok52FP23DLUrwCTcEdeNQ+T7zyhh4Bp8pFpcdbPyXBhmQVdcKNEj44rR JvA3lfj6oQZp6dczhushkaCoIpX7+3WBMSHK7JWtOuX4dk42kUE3NkCRs0d8c16G2sBSdTrcagJK awSUFEm3UmiHJLakcD2DtK2vRcHFgonqeQCQpL50L5G6o9BmorS48eLWiYrygoH2rfuGb9ldzFI/ Lf1uWCBc1jXQt84Bv35zSA3ospFGa4h8jcufMVDlVj1J45GtGmZIYhJ6e+er2lHgwevZDTw3ax+T C11ZPKWu3M4wfCTF195Wi6hlsmW1KYFo0xlPyl3wKw1Rt3PO+enmimPYvMEl9+TBFh6Vi+7gbJ0P Y8K77YqkfkqJWO0VN/LwY7dadVqKVyvOcHbpzF3Kl4Cyywns0CWDCLAxFJkqvDyJ94q9HO2YKGGI QT9cx2fqDjNYH/9tldJjWgCsm3MoaEtCvOjnJd5kiVkFtAcKLvKLt/8nAs1/swglIH3sYM3dT1aO ZiQv+x7xlHugIEVxigX5jxTH7guWnoK9tFaXOSK80MdB7Pql9FR1KlJjru9SWze7w7hZWV3zKl3q t0w6OPbXE331sC/18xoYtaUcEWiJcm4jphaq93Cz2zS2kInQ6dKAJQe23amv1XXfNfqoIEGSx1Et 6K8T1NtFdyA4snNOE8T8Py5IONUZlpFCHWs/ftSteGFrb4co0as6ZlpFnEGby/ErscRlAAaVfVym +Mt2Axh2Hf04IPhIumMW7lDOZBd9lKliGMc8B9DskOD2tKKsjqunta4JWg137APjFF39f1f3RYKO aP6+pZDqJ0SwQ7PZ8uwgrCu1KbTjzfe7zUuh+PEIcsFZcPz25PulKfgvJZZnKnC4hDPLYfMBEP8q UGFWAzTYpxvDAVhCEUMmqoRkgriBmQKWXXC87Sp8gX33pvpkiVw2dYz0CSk169mxEqv4RN5Cu8ig 0eQDlYnfqkIbVhyPzSRRKnInLlVEYV72lsfJjoHZPvlSA32w8sfyBkcsoPlsRjNcT+2eCy3Mc8yc 34o6D0dbpqeuTjcYUEa3Zf72sJLVkyGQlVCP5BcYzRSJvfHFxnkEA00dr3CY66TwTVJ/OvaZ3+0E tMkymo+OtbPzyoGsltcgsVDOjbMS4O+alCgpGs+93/hGHtIhh4eifTtgCj21gbJDrIEEOFMzLB9s Y0j7Uoc53v90NjJEgvYyhjMh5/AHp4Uwh+yW0ukXa9zntStY/JjvRRx+eWgUIBkAM6q2uN49MePc mFGwZI0jKv7L0Ll4x/VYS425k86ldJETx6CEJFg82g2S2LWhga1qCfsfKfBYyATLsYRDOCUfa6nv x/QpD2Gsybho99AH2zDJjnjNdDjEdsgzV8SGQdf0ijjx5YV2IoGJyZgIvkMXnmItzqT0Uoq4u4cL ykOOajhwAydnO0/H5E2KtWa9YX/ygrXjY1L8a7v88RSCAN3xPSi53vbUugZMkPUInT/nrjK89KZd 01oHj11JEUXu9O3wCA9YoRRaLfSJilKumI0ZylpwCpL8aSx1g+X6qaDxzjm1UDqDsT/35eXhufk4 yLoAGCWSqyfKwf5LlHnVWFuFdJ0wImBiSGX0i9phvVnmZzQs1IwfVsgoBSKR3o46Kc1D0rvvw5CY 6zrrsD2MKjI69epki/QwjHinoRaO10jBbCA7pFQlZzo6/TYyB5Y44QU03thMUg1Td44gZolJhKOw WMaggysoGVOTmx3840Rr1pMszyi/x/JsWTBL4NajuS5eiYLADAq0SagI66RHo98EcWzsAjc7y6t8 4FfQi0f6BO9WsFJEId8Ll+oBNyC3IsurjnTQJLzjUcm5GTiqZOJHGjqwAgDsYpIsPMC3mBNNyX8z YeyB6JVZf0+2xtD5BAe6sB9TX55bQbHUWXauTOwr9Z5FN8HfaAxRiUNNPVIjueJjcmJYLfkIC+87 k38V7uektd4WNB58nx/nva4T9qKximoue/UX5NdH99cD9llwdddxp507ETXBXvMwPkibgZItRcRO HF0MhRzFuA32TPomOlMVHkHgqn40B36+yMf0O4sw2dk8+3gXL9xuZdIwHjAOG5V1WrCfGv2Z22SJ RUIKpD3WZeeW5/nd9vcBKAywMzJdomUsqPsvJSwx/6Z9bs5n3pLx5f7sKbAWL3o1WsWiWts1rIQj P1tGoFcXL2T0dBfPwicYv+vmai3rJKI+kSiVs83yHg0dDvPq5BfD68Vxe2b9B9NDEunee4NC2G60 1XgCLL+begb/FlLeAS9xDNW7b1ZKtrJ1riOvnmFS5GwysE2gZnSK3HJ8RPxfUUZ+tQAnohAXmkje d2Vni5v8BJ/1J8pxTNJXdayabYLcTI+k5V+lLxZRhZhuAxAsS4et/Fxn+2hhKNh+7/ALmf99pltR NYPlqoyRLlhG1hOz6xkqRreXCYd/OQoV1KYl7onwsU9Kr0DDpfFPqWOTfnPQ51H9f0PKvBA/3B2T WuHm5x/mx3Njwihf4TYhgAUClhIQgveCf03S/PbhDOimswmfVKdPBPM3VJ5xYJ3drYhKfVYnSW9p phUFAwEHnNX7QwwGgtNJR/my8M6kzgvstL2leXckeZtU3tJkWc3rg3KXvfpn39FdsaOLt+o1kI5d kg5kJIwqdvLMXFX+CDZnh0maYZdqNmtEsFfdsLpFgzU/d/l6WaoEPnt19x2BatVjVPSzhk5FdqlX gy2S4Sjcoxy3V83E90KDbhCyU/A39B2oL+RJ30PSTIrA+gT3aZPx5QhzJ4b+ZOksNUE1UYYvLPHG HU1SN/7dkfUIJ6L9ltvrsDI7hshuAuv4Tb0LIgHi/4yRuNkwkJytRiH5t8Ug3m+eRX7Ng9HRn8mE +/2HTftGnpRTnPw9Br3g79bGXU8giC+LmdJSSJI05oCq2pAe6Wts70hMRKNioIgu46QfMUf9YoUg y/Ugfc14xdm+xHojyyuejsXGSMkThdmVxxTYlC2l59BEEA56hufvI9QM52E/WDtWfyOd+sU3QbKo wemXrVlzGUQtrNEsh25km6c+V38g7Laby9GLrxeWpGEtrpJRvCkAdNKJBquQSFGoB2Qp598EBRaA kYCmsOe7DDhuo1fYrBRzv3RtczwGZbHWdW5+Ciku8io3lFLwCu1h/AX7piAeL6OKe0EMsP9luZKG n8xaWHAkvQFNHz3vkHqmJm5dFI2mgeM7I3p7dVy5bdGoz67CDZOCQMpVKX/cbQRWLJ4a+0BnkRhm aP8WbMIoM5HEzi+5dzzr/J6YOQdDiZyIMv1lq5uo2G8o4TvCTTqfWjQ6jTk2tbUyiY/v2gQObC3j dI70Fusxb49qcxnT06HEWIhCXQpYCUHbcoOzSG8RcHbtXmiuptdg71QiWLv0Wtbd012RsD0qdjYU vXDyWoBDaRfxthyxRH35EnYdRtPcWbt7kVOizIyO6pdcrRa7Dc4H9hKrr4Pwx/3oM2NxHA3xWW5U D/9FwbXNa1OXuD+RQnVHzmPwBznHHgMhH3RxEUntjXD/+FVsPxdP0d7UreJxawhhBSPboVtnlP79 ZR/+TOCx6ENQh0t2A4MKy7gjz8l95i+kz7dU7seXBd5H2c/S4bq7jcbvg94JjPh2YApxxXpoXIGG /uXxD5NsGtUMu6/0F+FqCcH/7aIhePx3N8cL778exu6aUsubJoobTZfmaOUEibTKl6/MYUw6vJdp XMrVAoMcYhhgv+X/Atwie4b6dLseJPgTQK5zSHdDp+UOtHfBBzgDVJoBt6fc1YKjt2HVI66wA3qx 1as8HwUDMHCLBuQJl8JjxnBmMTz1GQTwsJV1iwgb26s4dar0tyVWzF+NfBAoQj09/ewLYPomv091 aLGERrcrODl893JyFouv7MjWsSE8KO54++yGUjRkOrUXUx1vyPYOQi1FhPjqvTLl99mJcd/siox1 msP3ivFXdl1UTgVPjgBdXWBBHvkE1I2jrxyU7/V0uhOFQKxIa1s2j1enZHy4POi97JwjBXbCcKKX ijRXNAc7yImF7805TAuc1ZXlWCdUlAEM/8Dv8hKA64ljFbl9GUyidASNEDFYc60Gphh031qUXGp+ PF5ST5eTwv8Iw5lkw3NEe88N981m6W+jQ7gKsvA8sa07nTWlAhi/W2kkM9fdmwE03SXDznfcakIr JqNZ307aQSGrZBwxUbizQThX9BiunshDYTAzNmYeAWupgiFng5yAqh3x0f2VpFTW4csByeeX5MD7 2GCpeSpxKaEbL2yvD0wJevW15Efe18vt/s3tkeQekj+/rSzUqwzfguoz3VwZE/0nv4sgRVf1biTV hXWjAmwLIJxbIkpzvu2Zhexn/LtiZYZSsnGhS1QLHNPRh9Gy2P4XylH/gvtjUHPMu9wEwXrZ91Oe ry1EmunkTUEkDed9b1wEQQjuTzzFs9uwhWFeC7VuuYA1+c5wXehqkT1RyQoTyArhMhMzM0Wk1ETS ZT540J6jzhGTBOEus3JG+jDs9YmnDA+p/X+l5zqV0vQH7mxPbbzUSGFkpBlZUkaOvFnMpssUcyOM Xenmhe3x+hdaUMZsKsf9zds7Tp625pJj2WupGrsNRBQ6fbVo9+S3Fut+nTrA58N9kbMsXblwPjZV ZnUzuoAlI9sO22ihOYEiFfj80kiQtoADJJp1w9NVtLGzxDRE483oW0zaJHqPdNWt8s4wvfT4YoYX 928Fltf1VnXnUZYckN9wucMXy7I6lCIoYCgoIFrX7jh2DW7ks4r6f3HHwkpMxwddHjKNyHIKMM5j UebMRWPfW8DI+n8XKjypaLpjUCbRcEJdbeUSqgEeUV61CyzPu4SYkUzlbrkzHczgNi2L6njRL8ht /4ow8Uvm6U24f2AF+QaZsw//xfqM+5/mcW5VtOP+x1y6k8O53XLof7okhKqqHJT39JIAY0AjgG3m XDud0LfU1INcpBR7jaSwkF414DVvb0CDAuRARHuhcVU5vx/y1qDXGEvflRW8gcqd0P1kOCGKxno3 wUoeOf9TUX+oIE4kVssDO1+YIuWWOsU+Wttx01XWtVEWuNbWFtt/regRkLr8xNKT3TnhhKKfA2WJ +bbXCFjQ46sbsi9yCuE/TsJAnNSEFdUX+RY33CCl1CMA55FGaoicGYva+cCGyNIbBp537LeoWY7+ anPXZ0zM44Wvx5m51+iICyXIQ1orJg888GqXRnCp25NpN3FJubkLHQqJmLLLzX15LDt4QxtsKeys C/COKaKX99BHr4h4KlKCvcuS6O7KsGPY57hiATk0krJuk7v1TLPkQoHECsxmUDN7LIqALzc1aHHE vApKXU8DVZU8BEDVEUw9M+atmGvWxCWrnSnJ5QgBv96C/FenjwvAwMu5dQpa1Mjx2i4z7eYYfXaR nSwAiqYsE2z+IHZQnJ7a6Oz71sAIFyca36CaH2nw9yUEErZt8xb9aJtITfNrlG0DAGSb4ANeeJ15 LAFmYHIKVCjk7Dq+JUODPvzRzDyOJxyEen46tGgM/W/5OdDDYVShLMFhrueQaYJXG5Y8Ngqy27so 1X2fen+6Ey8qCQ14MH/z+mLER+llw1TRT1Wq5xMkWHQtFQHKzlGBydIeJbWbD0EsbU+q1v7/R0jZ GUuwmd1AvhQSOWK/KqSWXwfCE99LIi2K/rOqTLUI/P84A2ujyHQ0VenSdiVV93V63auNqbq8Qz6Z WQXtXJEphQEe731081EdxYl/LLdBRzypp4FUKC6QYWln3sEBZ3G6tR6bcmm7XIXGGskCnN+LGaqB V9q5VsQ8Sgg7FA9PYbqLVfGvplphM+DMbA9TWPcBO2ws8HfnGRYN+ohomnK0bS2y3AnT3kNeD/iz yJYspLFAzlevgQo5UsEb1JttlWWkwbmNnJU0Gw6dGXRBRzBpkpCohAiouLLKCrToZGchKdmhqPRz zndEZLuP5M55q/XEBHRCBmv9y0tVZeIYd9OxZrMHtsUBTDptp9Dt2KyJ2nlMPcMLPfMp42xHOH6S FhTfn0Y8HO0FyZYOCUz6gdIAWvT1yXumbAzsSQ5g1SAfiSU1Aw0yhsLYxEEt1jWdBnc8SZTMu9oP nF1F4oEAkHUxYQb2e6m2jeq4zn0u6hFuHF48QouAwoMaTolaTl2DmuW4hLWOaXG5M5bohf4EkAc9 FzBOZnaSN70raLycpJR7bx0l062TCt6RF/VRP2PlZSdLEMaMiQX6LtQZdn8HYmLlPVdY80cZ535Z TihH3BA1WKsSAPklqhNH7/P9mxwwagJgpH8MjP5YyhZ1lzfEe9c2bWH0Ph210Zauun3F/NMBegn9 lBia16z9TdafSGDNTecKG3MVpoSnAMci8lX4GRu38RmG9s0TJcNY4vxlK6wMB4QHevXJKAyneAXo NTZYdHkKT3KEvtd8jmxggTBH5bC5qRFaxzwAMDJtsGRdJZ7i+sT54Hyg3KzbDRtJhv7LnuIAh/FO Ko58aYHDHj7AjV4UwpjtFVaJNivwset3+LNzFuAn5RwuGC+9LSq6Rq7OiNSekWtV9/qxZrQqLQzg RcLe3VVVnPsAZ1uSdzJWdEgDvXS3QWce/1Ms88ozKPPS8DzrXNfyroWkO5VCB0mQgzNw6TvwW7eM cxbA1UCNL1BmBtM0cKJ7NzSbKMPKtElcc9r6V9dSOPjsnve9ZzisEpwCEa5KZv9n+C38fTeOMe00 NHqj1Zp2DOV87Bz1YNNjVHciuuuC+VWn5YRANP0neqQgmAW9d+CtIgVWa9pdTO74bOd1gIVQ/pYP p8MX56pw5duOBcdKkGa3Wgburlocu6wIdobaV7jAVChwV1x5CxZLzIkg3j79lk9tNToj5Ilb2WP5 f6UI8Idpm/0sGTaEY6sJP0nsyq5v04i7Z5c7s7lbHzxsCYHyJY1Ah73Q0p6ax6RZsg6jEGTNZS+c iddEjpR4X9f0QCbTvqKFIgs9ocsLZWV9N1Ye7q/jk2m+4YY3T8LXLZzwvvHzpc6DqOSCq+L9tIoA jmhNNDoYrr6mGVsWnbSM/jHk+2iBr5jkGAdBlNBJbzqjX3/3MD1tT5le31uBjxi++p2Ue2/CDb+6 dm4KbVS/M8fjQmOVNYxUXarmL+drM3SBvS5SlBw5+Ybg338AzAuzhfffmR6ii2nvUIUeHeNKN802 zeDyj5XUaVwd3U57BGjgOQBTjV8w5wYqvQbVtmDEufesKEz8wXSBCfqs+z6TXYKTSf1NY+xALHbN lguaKVtxykzsChrbIwNLHizwMUMIhGiZ7A+56kyB957HQzRuth18pqvDBvSCY10LCW6MH6Gy1lLr QRUGtIVf/ReG+YdXYckwCrqaGTG0YCHBD1p5BHqExwQTMDCtDTROH9AmAaDyjZcqDTbvrGBlbtCk J8I4THp7V+vfUYHfRGx/f/q8pgHsoIiyhJfCFT+VZR9WLyrDG+RKYwj/ynm1pH+W6zkC4Mh3d2dm 7UtKBNn+OycV/Yt14TkkglElNAqW4QCstMhrvefPgEtez5VUpdZ1bvb0EaJk/5m2if5nkjLTx6Zs irgCCe6m6n28gpK6dE+D83B4T69IF5pM7N3r0vSRZyDhncuqE0O/lC0zvCABf+LbBlplJFkhY7MI EE2a+cPN7q5E6ddlDWBba+wvHc9wjgEqJHWfpbk4k27bqaSdXShdaONSHfzyxrBbjskjEJkfTKIf JUIM1F+uK3QDZwqeqRLWv76MQCCO6SaS+vtIOu7p/IBDCBPQVc9tFZuFpaR+WMNfxO959xztq90D KZV2JxNZRtXZ78GZxd8UejGjEKqHX9ZTMNpBQqrq+97DEyn93NlYEuDsFPI832L+QO2jiz4WOOWZ 0zg6oqMsXUAeOFSyDE0QYap6pP+tZ1A5E+/Mm9ee+utYrZBkmlvE/5qLzsap2w9YNb2zsky+YC37 WHZaZ8QnvT/2Yr2Tt+heiuKAYx6PkaGGIzdtSeK7UdfoOh+epsQLIGSb0P6bQ0dTx05jMFD+rgip RFi7/jucx7yvw/nY6s9FcnE4/wVdKOhe6Vl0zoL9EToNDLyecvYcPJjbxN9As+R1Y3iIgWkIRd7y lUQfZtcC2WmlgLGhD4wbUfg4EhYxjx3ARjOfMDEo9Ba5py3H6gkuxOUenYB4Jthac+eQFohDYzgH /y1KEqvDYG5HXfuRhRpVJpLlTrG8FHrYsY7+pWDqvz0N6NwmenSwCRK6KPITUIc3Q7zeR/jpm+8W IZuCFLKPi55HabA1Vgaiesj3LItPozdvWxwK6g+gwOI3nXGjwz3ZYOhQlADirfoeIqXRTJ2CWl+u 5FNcHUclSSMRsH1KLogj+gXFeJM61qPUymbY5F51/PusTy94FY2l6rUuLubwr6J9bGAs/MjOiUV6 yIfg2MGNNvv4kv5LpTdguceCDBJ6UUv1Jujgo4vXDMtANMfxsJ+NRvzEhsghsvKwzM/QzQXh0PPc n74iP93idYBIr8GNoIdmS+uU7ln9BDwlh8EauRPyUmpteyDAVe9X5sY/TIpFCLDvM7d/v1K9WU7A CkQjkFs/duepZkyp+9x2gSRdZRQQnQmUl9OYCYjJV3e7i1R4o65W38tdxr8r8XCPsTgYNgtcG7wu qlEJjR4T6CMk+mMwTpBjoN4GQKWS/ZOWcjBJf/LenA3Pp0506bbcOAAv80+Y/8bpEWvdb86V9h/A tOKAJYMd3TlHSXSZlpJuTkvuwhgzMds4XMz8nAtt6DOHN4u4K0nKX8uqSX8qeMoQWMNBDc5P/Gko aqVGOlZi4aRVvhzXt1tXQ/f1p59RJFwuaBhdNU2HueD3ll3b/0qA73q3UwGBZI/rTUbaO5BBGnw/ HeuEAVdS77nbtgoymKMiwRrtLzUgcj7IEZhqZJlPvz31wml6bx9k3Kysfna2HBcEAEoAyV6utCWo htIldTdpEuUMqG9zIiZi6JG40Jn/T3ydxxTB0kQj4MiT9fMukwn9HfIx32sLA8402Yz8gJSb2M5t MTnV6qOxHS/b3OFrPGfKbklYgEoZFVFYLtjBPdPmaGHRMZYsyG7Mqctf3M8ev6ooVAR4+LXbY3p+ sc/dl0jn85Ghv0tVsjL6nBm/v4NhmzHRZv1368cLLQzbpODN/QTAVvumaQTjek4Yn10Ow32FrAcg K2EuffApyV1k07BYAsSs/wfAxVy4CfvTYQ9583oAdAQQCAYsMM2wSHLJ+pCfOG0qOnJfQrVOexux yUCwsqRHwkb2FzZbK90DJyyRcAzV4YYsy11kEBZUVYbaLMvs1Npko+7JL4WSy3vm9JAWgsPHw8Kc 7RIChbjbk9sEWBXTPAOXIWYWvEC27Jrpd9WAnKFIJk42mkMJTdEJaiGb7TVDWN+r9OeP8hkAwaem t0DlMJh8OGm79Hto5NlhG3nLX2EjzYoDUprecFGCCkkLim6qlt6OZmAA5ghz2NhdvLIrxOVdDwTb fICMtMNUvnvSSpVlSR5B6CGoS5eMlWPxyun/l0GSq/IZmzSVMqsI/VUPUinQsj5JA4jdyY8mivHz Qarz4YC6elMN64o4/U93V6+7oqJo/TuA/RVJXM7E4Lz2QzbrbTzw3YlNVSxkqBbpj0cP7hiQHI9u W44gx74Lt3umGXKT0hJoXphVoDdDqLnZEkHQQkSN/QUn+17MP4ZI0OBVZL0UCrwakILE6lmZDMGg AuWW2CH11V0pXWoJCMujtJeYXhfI0LCSm3oVJuzBOQKPFAAl7DPq/GdUZuVf9THkpWC8kiyEPCjD Ck26AXVSV8z6pIshsZvkyhQ6yidQNrtPSLHfsEKjVz4O+/SzVsvrBqERNkZcomHKEXSYcA2KFmG6 hNJ3Fy2D4k/sNt3tLBbnv2+s7l/RBmFTcdN+yz5lzgnTSmOdpGW5KgV1859MokEWmFRFk4wcaxhH JUv55G7MmdAeFi7XGHQ5rch+IvF/6jovwVEayFsBCbSIfxNaAtQwUb2D/R1beHVuWZ6vcQRcZIrs f9vc9HPry9l+8Uij5rU89TxTTe5LgQOAXzavU/WHXd3W/zAkrnl/ExtXAFRvhuUGYYmlQK/OyER6 YXbjSL9VwhcU3JuGFpjiP/3RG20dNaALa1VpKVxSjK2V6j5hgddFZ5JmL/Zo2vZuQei6ozckmd4w vnpjADww/owRaiWYMTFfTZJUa382gwGugwn9k/NO/DFYxxb4mIJcHGkvKdLzICSKeg81jtaxnSXP S47qo7nX5E0MMOPLJLI2ES5YzWTUmQZtt3rfHRqyhfyE1zl4rZBLr7jyOXjk2a+nOEnbYDey3/1J W/6U++kNjCHviTXXYrN+dIxfoaeB1z50mOmdQ0S6KuaL5x2RN+Ilplhll2b0+k9SpGTu0Z08wKiR eOUldk3Wd9Zt7hFrAJ3eWbK8ai7dOQw3+YYTRFbrJ5sKD/ZQmrya1mp3w2ze//b/0mzExLS6/zVr 5qLeyrA3EqD9C/InfN7fR0iggS+WndU9aVG5UgfJh9hIIxcE5DnqorSMhO2uOoxcITRpkrysIMH3 ZPlyVxslC73lifjbw30OfSUxPhLvIhVBB1Bl0EuzvfBQG/UimJGnsm1IhYx40O7Mn9Kew9J8cr2q 7lNnN1EtG9X/3Bnj/591eLaTuhjx9v3LjUoC7Pswv56nRyS3MzfqEkdYx/Am8Xj+uJzrZrFZHeg+ rRW0bOw3GNQ1VgNyxt1ccffBJWjm+jR0fNH7oAd8L8IRjed4+p/I6LmWOeTVYuVcjpXzWLY4i0AP /EP7HsJpLUNnwErPKCVYQYIjXGIvmCfr5aQxRoLwq5XdYQmg+HFwm/b3VY317+SJEcaqjQx70wDW tYYasDfsxiy34DwO2THnx/otvV3fEJWiRs330DouOCJhDYA9RPjxEvCoAbpqH5HKdYEMtv6n9VVE thpgIPTuYwf/B9QCQo5OvFh6vbqRySgYgE5PQck8ulnYhdk5USsTbO7362DaBWfDhSnQp1XLhQPq RxhjpijKQKo1rn++nYTUI0/Ab1MAfdOk8v0wUrBtPMkJdMiKAN/Sz/AGLzG2Ouu9uT+wSo9/lUZn hJn+Z/uSKE3bxSNq+Gn8ykRiQVA/OQ+86JWvd7fTuxa2dI3vQ48I8wXhTmz/Jxc923w1l6SA5DdE 900iacCjPtD+c4YtTj3HigVkpE5Sl3jVaWBBQetXEKQ1XCyxK+bDVdMvEJJYnIwczi2/h4LVJZyq n/Jhbp8aPC8eBxiQbQu3eOJW9UY2EzIG17s7p1lsBai0wwLAnqCL+PTwPKIFpm+tdsKJY5o7/ysr P9LOyirE3VbIOjQe9aKBf2Xzxz4MVBgsmAqw7DFKrnSjNKPoRz20SrkzKB/5l+tTzPH2A4baKFBJ 8h9E7uP+SONL4JjfBk3HqbfRKv331rp1+b57U4ylyhA58/pj/SeEGGGPQFjvgylxUXZtVtn6O35A WtmumeRu6MUz4edgbvHKogav7bP2y4xZMR3+2YK+SnQyYw/jTfUo2ALXksx8sTXcP4d33+WuiPKP nZpWgcVVRSXkMA8XMhgWIprVMOnAhi99tWOFIbqz46TQLKn1Nv2D91x2MnG+bTTOECCQEZh2yAdl 5B89CF1RU5JVY/25lnQZkUT9OkzWwdPloVr6IiQ+cRuMQONWXDKVcb25e/beLs5z84XDECFIZKMI E666W/r3/UHswdCNKZ1r/Mjk9/KGCJRlECe3/mVYKXRKBBnLFRw/eWdQprILUNfltRmRzO5rSp2F kNF254IofECiR0M9cO4eneVirWHis/8iNmfWpIF9LuLnFX883tjPrTJS9eww6voErUGpjUUMDy1x ucvuKDLQ5OVScVsvNvFgOMG83eqSG60dAbPSgZUbXOF1fxTiN2pK4+lIoidGcbGt8gXFar1kqUEz F3RJZlQf3VcLvcw1/hv8CzhFn6Vn1MoPifbvCNlWzovZzSnCvpsy3PCbwWmywuDKK8dgZTUeD37h Y6upaP5dLUqcgNgTHXsyoaFeZqPz638/RC2sHcKkvpVgV1/Fc6QPrMc11iDi4tFSlr2aAkYulaBk vVrbUNGrXE5SKq6lxXAWlrI2lBkfWdF+pPhYHba3K9QhRRY7WGhvTA3W0X+mh4ge4vYjLotD0UkN 3PMAqYIT8YRj+d97HlSW6yxGNuh90yvF25QR7kVJxAba1rI+mIq3B+7GOm/uAR4VGzbM126i3gu3 yLqb9LOTg0hI+0ZDexQqIg0D5aibuG7PXxpoChFlVumHOUyhoEB93cpx/o5wrMDFQTV2wMm0Z7vD aoZFKn02+tsBJJHOFBBf6SKx0e8lmxGW/2MTRNIAsLBFrQXR2eQekQAZMexbioriaG+AsLKXADrG Cg8DhY88oE/Jk4AaOYA3gopWQzD+rgknoFONoYNIha6DytzQ37oTv0cyr+4pU3Yqz6IQMXX6fC0m 9uvEomyiZWR13AdDTFQNewLnINV+toMbMhqTsy6Jajr1+Xhl8ynFEZ+viGOpQ6TnsSPkDcBkr+lR K5QLTyph47/V6I3lGfSmm3LxiUKTp5vZu8hoT3qXIakcwa1wUvNwkKBeDhJLFbdhObwO/wu4TS6A jgl8oyxHY2KsvAqBxRs8MLNYnPLsBVpSyqLEppIKfplQoNSKjOZqqogzPlReQBVY+y/63ihTg8OV LeedWwgdCd1qVhH8HBjds3bRx++Iq5t8/VIpCcDtu62OqtubA4GzXhRbLQrOlnPP13CP8ub/FbUf lfLLW51cxcVOTwWmtfE+KNOaMEqfQZhgEGD8A2tlTbB3hCLLQMIFYZie8cVJ9HtySrUIh1mss7I2 Vj1isNy9ka+d/F12htY4qr+unpNT9ccFErM3HrHlqn9c0Jowva/uw9y/zkH9qUJdrk+Oo5xL+VGe 21wo2dKRHdSyVwK3X9hh2PJig9Bgkte6JnsZp5oqlZqG8GuI0KxVoybosmDBgMVR+gv8CL2qFSbE 4VDYiVmzV/sf3pQLtMFpuyg+9Xy9GPBMnnvVpLTRVjEMny0XOnPs+0owcKZHVeJCKQQnwUBvHrWm R/EQDPxPrTVwg4X5G/V7Ay+aFFluYuBkxKm4cO0LnEDQJyOa3yU4ITgV3H2CV7aNRFuW99H81WOR 94qwADYkB+BkRqlFwJr4saV4cTyuFNsGySdcHQvxj9oJQrj5AXwOmCIlnev/8qRcQUFOFMWjE5TL zahrSqKDON5JTFHBxQ5QwyRBDrlRQVLjuNHPXvOxScKEQ95grUFbu7NIkVgOGgALVjbN71s4J9hI GlN6tACB+tripNFosPPtOrOhtzfLTtvsdGyr8tv2JSXIoSKudzmSUeLQlnYvPeQEcQyyRwiKoDBn AQbZiQSgdvsln0cqonV+uHj1g161LEDstwVdQS18lEQWM07s0IpUbyTqjOqoDPCt8mx8wMxt/8oH A0LgEGUqYJbOizMd/OlNjgtII+RCr7owc7dZihYLKSM/gsMEimeqEx0Jn4kdS19+fusNr89nsOIw ddvWasF3rwAxLyY1LW2emxWICPJNQPBk0ce2DKEyuxI9VH0X59FgKOsBrhCD1Fwqwc1E/FjM8iij 3avix4u5/vj2Y1A70WL7zyC8n4VWguco/duLHFiDxmvlIoZ7JTES2E+nFw63WAo2leMLQ51rE1Hu mw2n8xtyHFtBjzoHGZndggyHn+sc9eXUpfFLqVZqC0ig60LDoYZMb0wxGjC8b2/rA7Qm3CmPPQu4 o03SBHiByT9TqcHSTWWIgeLHo458wZTlg3YVroHTwAg/6JgkXfllu4lVuzK57oI5DgjWy1KqRC+a wSTkQ5pS+vi5baJX0+mBuALjVzKIyGp2p5QmP1eOciEgHMaGYb31tSs+mGxT9rLB/K5bFXlKlquH SM5dNXtqVWLwu8KN1GqMt97d3byT0YAi/BgRtKsHcitrpWE5j9zYj/wYL1N244O5bJqpZGMAZURs c/ASic+bbOSBaAx7utelIMF2Y0CWFvru1x/kq08xpv3h3b8HBS4VOjZnkVeG3VvQDUSXdU0C9u+6 eeZStmxfAdvI4M65I/8nf+moCV4NXaywilJftnYAkhVXypdl04x85CFxzV9TOqSaTrKZkvbPh9Tk qqe8bV3fqu/mXBBxqvUMeGqYcyaeoXz6ANPOJDUtNQblIGM7G7OIPMVo+1v4H/ChHa/RC8uFMdDY 6ySEX2iara3ufivgD/UFWkGNNLaRhJVZgbixJPMF8p03VsYkISIHMtlofEHHn1Bk+bacu64PJAvq QCE9zjCFK2YXcdreLH93DrsE668y8beVdhpBwp9hH4ZB3rXtlf2v8gwnMhHwpjbaDVLZTuUjSpNy hXQJnTGGtlkpSW4motwuvRCSdrH9+2JnihZG3baQK8b3KJ1fxg7DsLem74uXrwTSHPwVe7Wt86zl HC0ehZTxpUoxfQ+vJwsUkqMS7T0jAC6c05W/LRrQz6JA2GU+IyObDVfYIDLjDsI9dl7nvcEL2hCc BmZxq1scVoFl1/Dy6GXUIr+7ZUOOoNXVM2boC+WTERZh6l4tcRuVijA/Kx8bFQ5hpyKKfYvgm/Nq x2FfOB+aqTQeSwB4xbEVKFJ5rxS3LJ12SlOQvWifXPiRd5fNL5X8qBAcNIKhx55Le8vgHHdYdgKc Sk2DPUaRs8lUZPI/tejzuFcxD0O0mUKMtsL4dJ+SZflVC5GMI2CiIY7iSy5PJ+kZ8DTysQ9S2yqO N83JBN+n33aA3+qc8WQqsQN4fW6r1Ckg/yHiH5Jd3WQ9DcwEDqBTt+y1G4jlR+gDrzY89OP4TO/Q jysj/z2xpbsord84bS8+NOUuzKfdbik8K16VUd5Hbvax+LlJClTEfh01hILq5tlpkUkTJ1jjdlLC C5veqyd+CcFxgAUQ4VLkMZUQZJZj7qXemAnHlLj0gb9Kl2ezN6qSWsYyiWmsftH6D7B+n5jtqEqd RUlYko8FQo/zonbgvt9/X91YyKAx1oZ4ksx9uQsJSNh2g2NCNR0ZSqPNfTVd+CEJ+qRUUrwxez4U RxrdZpVrNH+KjZOoMgAF1cSy9XLlFfpVYntu3wYDZnBSUTZle2ERc0mkfPkH1WHiiYdu13SZR3v4 gZH0iMd9yURa3Yrm4Su1NwZ6u9p6GJ7vTdllkOfZwsNtkgN/eyuhgO01UXwjxMdp4dQkwdy1fwui J5jjDVrqdAqq+rM44vwU6Hr6s51A0dg417BAwnZPb5epDJZCjBpgLWgjZcc/KzkFvl00rZHpfcLP mWhWTkBe5HfFUVZkcb/SdmjV2+3KnKaBQElt4LCuyKrN79K5lKbmtTLRlkMmLU4mAc3oxYADlAAb IWNrr94SmrcrGVJGJM+r12g1BWwu5ZdBGJ/qiWh/R1L/kEuymoLaL9hmahdgrHhSulteq218sdPV Xghr00JD91+SgPAm0JJTTVjxX04bDHhMBzEeRTgZdk1qsA/ImO+LqaVKRJL9RapN7LPKLXgZzPlB cx3t68IXDcZMloMt+Qv5bNbYdX66YCoGsqwsVz7O10uOZZEqD3nB5cwnb8aGSYPC9DwJlI7TbOjF V5JuUTodLEkjQSUMGAnBjCu4mOsrGFlnu+8VW4svXHRkU9SzndG94jWdABALNLTopM9IRs48XrnV LD3qTPmR3UDDJOFgyWSwjlYx4sCQc3HWxFkTcmZ2BtKrzh+U2WXeStCqzg5yAAVDoFpJN1619T32 EIrZ2T9l1jfVMOh8F9ubL1x5RwGn9eSM3bth7m8AyC1iTp9WDK6xD7nTFgcnBKdKl9VrwvMLRqwQ zXLVcpK0vpIGjYOpma4wBY/Fzl077mbYomBAp35wofA/Vi7eFaLZdVktLHD/Z7AZvlB15pImEw0M ZRQ75KQmpCQR6gka0jtme8Pu/+ST6yxjTMrCYnnlG8hJHoCyDN8E6XdOimYPLgtsEm6R46YfaDZC jSNqXcZKvZ41VsBzX6zwEnEWNMqknIGkaL93Zn9qdgnKeqMV6oPt+/Hn0n3W0apKCJm3JcQ2UBwr qmQjJtpgRtZbXPWeXMG6JBtyKQJpa5I++5ts8/kTZDl7S+8ssEim9aPXbeJtAC0ZxSL9GkmIicUd ZBmCCptH/ZwWjtkmBAUFpixiPg+tSH4r+qjaEpzbPdKmpJCT/tVukMJJtGd3nYLB5TNGwTor+Ayw K9J6kdceTyBtXBNFay3UR3uHlM3/gRFaj3aVs714FMikemMIM5VYIGy+QPAx4ar4pLKqHIiJJL8I jLTRXqB7sOBQ+ZflB1pToMvgs9836glYmrgxwc3Nk6XwNaoW9VdlQ77ggIYsRpcojp0kVMRQpulH +XTztR75McuYLsb8Nda4uvv6G6ugqVNW6DBJa0sJC+2iV61hzquYNJNQPPiDihFRWFB17CSs6VnT 6qpfoDT7kZ+wfaytVJIFux0NiGom406nbYIUi1zu3SzXBqvKF4LIJH/NMImnOT1uQXCcgYyWN7+V vYhrYmKvAnn90a6pdLmhMnsWJ2zGrNjbS4uye9VWr7Vz0rmSGAzHtKHCcsq9fWa7Tiq1FZI84ROX A/Q+pU+DQgPmixA5nR9NMfVMqTfJNb39DcX4Q088GVOOnrgRk36Ji8uRzYN/8leeqJd9e+3eqvqH eJhibwzJBmXJ6y6FdOaQpcVWiNIXsw+aN/HUDOuKqNdi8K/ARykspRu/7S4yPbUF+bXq6kVTTGjD iCurEkeiHf/RZbKbsM/GSscmHmBqR+WPcv6VUmJYoFpGq4w4MDuOwrDv2e+OynMXhyG3hyaER6I2 6istxUk/S2yzbE/dys4q5B/XBOLJzELVM4oUVOfzImIdaHk/+BOCbLBbENtIn38cLzPe3dYghXsq Ov55tLOEIialqozkBVWdOMkTKViUWhD9v5ZMr9nDEwDTMPetJLFgY7gjbRxxpf3ZqAuT1n9K2xGO SER/R8u/IT2H1ulxq3aLjk/vqEEQbi3o3oGXb6XA0QKrjxe+kkOWkmuiGal5M9z7cLSSQu9jn3uz V1i6fbeBh+CzssauYMNJledZYAWrh4KSbX99LITKmltzAlS3jUVAlVFyPVC+L6gPysThwibI7ZLS d0EGdq648ssKKOR2RLIl0z5MH9vLdSqkBlou0Fh7HHjuRDlrDgB+bCOVURtR1Bh97epigB/cIH6b abZGaQ6v2ZzveU3t3OB6RajiHxzidtUC8etXllSgRPkHDYegAlDmeZnwsA+JTjM+lsDMheYl9sWO u0vx28D/qsXXLaP+9iW4QIYuzM+RhHhHI2thsZD1bcHZBnX/wJ/CS0iCmyDmp8UL8sVYXWohPPAz aFwiZ/9sX31iMoUCLaIRI1MyVbrObTOaI0rOiqAWxzsOPkthqACQlbU5nEj/AM5V2rHWKUqNfnQ8 see8KPOl+hv+HIRXb4b789K6HnR/70KzcdRGZj7fVzwZQjxK7sCrlSxdMPXQtnDCVmWtpx2499rf zIHLRiboXylsbd9RDRcCla/HB4Zmbeqb6XNUaxuGghjrm7H0wGAgCldrAeacymwtCKhBNsFLgtvk UJslPQD+z6AwI/pgrmN+pUkDgVWKR6RlXuXnDEoRfiABZpKocp6oxMLEexZKS+6bXdsULI9GMVEz 3Px3IlUfu84SKPxn3XaJANMz20LuzjiSmcxl0J4vkTp6HZ/1a7fMEleGlfoAZ1PuFDH7fI1PtiyH RKTnpAmlPU+oqemR6mKWmg9nqUwgX+VKk0QXjpbpYwXuRzWM/pL0DUjnAKxfmBTwrkNRlYbOlUG6 vXo3UnaWsIGAuV5Cf8T4PnpYVcc1hWAbjbBrJYmuiiJKNcZw8Uv5bI7wJTpUgMkZXRLAPjUEW38i 9NjrY7o2HoGhBK80kT21ceuEJl8JOzb5w+UFaidei6BPxrD8l1g4hO0aZKRGhLtw8mIBgY6xGA7a u7pz6o5++BZrZl1L9riBWH6+QoQoUZzUKhoTGLz6MN50j8MRBkayxbw9hZ6C3Vpavb0xi5XWd6/n Z2XoyeoMGwhAQKDGjtOgVNUd9BKapIvQ6SvOo2OvSBAbZOMuDxOzi44F/+eySbysNFcx6ZfRBI3r Y1OrgV3a/iHit1OqtWRgd/rfbcRsLxGLRMRRZFNV+KIThnv0/jfDwgHv6dO2RlLuRUnbzgbQFwck KE+Qb2Hq2URNaX0ESECHJS3vKh+tE79tkC4UGwes9xndqvYHxT4xZ13IZU0gshaD Vauaju96 `protect end_protected
gpl-3.0
c9d371ca7539c7b1cd231c56392d5b3e
0.948299
1.825707
false
false
false
false
Given-Jiang/Gray_Processing
tb_Gray_Processing/hdl/alt_dspbuilder_barrelshifter.vhd
4
2,271
-- This file is not intended for synthesis, is is present so that simulators -- see a complete view of the system. -- You may use the entity declaration from this file as the basis for a -- component declaration in a VHDL file instantiating this entity. library IEEE; use IEEE.std_logic_1164.all; use IEEE.NUMERIC_STD.all; entity alt_dspbuilder_barrelshifter is generic ( DISTANCE_WIDTH : natural := 3; NDIRECTION : natural := 0; SIGNED : integer := 1; USE_DEDICATED_CIRCUITRY : string := "false"; PIPELINE : natural := 0; WIDTH : natural := 8 ); port ( user_aclr : in std_logic; distance : in std_logic_vector(DISTANCE_WIDTH-1 downto 0); r : out std_logic_vector(WIDTH-1 downto 0); clock : in std_logic; direction : in std_logic; a : in std_logic_vector(WIDTH-1 downto 0); aclr : in std_logic; ena : in std_logic ); end entity alt_dspbuilder_barrelshifter; architecture rtl of alt_dspbuilder_barrelshifter is component alt_dspbuilder_barrelshifter_GNV5DVAGHT is generic ( DISTANCE_WIDTH : natural := 4; NDIRECTION : natural := 1; SIGNED : integer := 0; USE_DEDICATED_CIRCUITRY : string := "false"; PIPELINE : natural := 0; WIDTH : natural := 18 ); port ( a : in std_logic_vector(18-1 downto 0); aclr : in std_logic; clock : in std_logic; distance : in std_logic_vector(4-1 downto 0); ena : in std_logic; r : out std_logic_vector(18-1 downto 0); user_aclr : in std_logic ); end component alt_dspbuilder_barrelshifter_GNV5DVAGHT; begin alt_dspbuilder_barrelshifter_GNV5DVAGHT_0: if ((DISTANCE_WIDTH = 4) and (NDIRECTION = 1) and (SIGNED = 0) and (USE_DEDICATED_CIRCUITRY = "false") and (PIPELINE = 0) and (WIDTH = 18)) generate inst_alt_dspbuilder_barrelshifter_GNV5DVAGHT_0: alt_dspbuilder_barrelshifter_GNV5DVAGHT generic map(DISTANCE_WIDTH => 4, NDIRECTION => 1, SIGNED => 0, USE_DEDICATED_CIRCUITRY => "false", PIPELINE => 0, WIDTH => 18) port map(a => a, aclr => aclr, clock => clock, distance => distance, ena => ena, r => r, user_aclr => user_aclr); end generate; assert not (((DISTANCE_WIDTH = 4) and (NDIRECTION = 1) and (SIGNED = 0) and (USE_DEDICATED_CIRCUITRY = "false") and (PIPELINE = 0) and (WIDTH = 18))) report "Please run generate again" severity error; end architecture rtl;
mit
767f4f7744cd7727d6d0795903a863c9
0.695288
3.149792
false
false
false
false
timvideos/HDMI2USB-jahanzeb-firmware
hdl/misc/pattern.vhd
3
6,631
------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- -- Format Pixel Clock | Horizontal (in Pixels) | Vertical (in Lines) ------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- -- | Active Video | Front Porch | Sync Pulse | Back Porch | Total | Active Video | Front Porch | Sync Pulse | Back Porch | Total -------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- -- 1024x768,60Hz 65.000 | 1024 | 24 | 136 | 160 | 1344 | 768 | 3 | 6 | 29 | 806 -------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- -- Total Horizontal = 1344 -- Total Vertical = 806 -- O Total frame time -- P Sync length -- Q Back porch -- R Active video time -- S Front porch -- ______________________ ________ -- ________| VIDEO |________| VIDEO (next frame) -- |-Q-|----------R-----------|-S-| -- __ ______________________________ ___________ -- |_| |_| -- |P| -- |---------------O----------------| -- reff: http://martin.hinner.info/vga/timing.html -- reff: http://www.epanorama.net/faq/vga2rgb/calc.html LIBRARY IEEE; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; USE ieee.std_logic_unsigned.all; entity pattern is generic ( SIMULATION : string := "FALSE"); port ( rgb : out std_logic_vector(23 downto 0); resx : out std_logic_vector(15 downto 0); resy : out std_logic_vector(15 downto 0); de : out std_logic; pclk : out std_logic; vsync : out std_logic; hsync : out std_logic; clk : in std_logic; rst_n : in std_logic ); end entity pattern; architecture rtl of pattern is component patternClk port ( CLK_IN1 : in std_logic; CLK_OUT1 : out std_logic ); end component; signal counterX : std_logic_vector(15 downto 0); signal counterY : std_logic_vector(15 downto 0); signal resX_i : std_logic_vector(15 downto 0); signal resY_i : std_logic_vector(15 downto 0); signal spY : integer; signal bpY : integer; signal fpY : integer; signal spX : integer; signal bpX : integer; signal fpX : integer; signal data : std_logic_vector(23 downto 0); signal pclk_i : std_logic; signal vsync_i : std_logic; signal hsync_i : std_logic; signal vActive : std_logic; signal hActive : std_logic; signal frameCounter : std_logic_vector(5 downto 0) := (others => '0'); signal secondTimeout : std_logic; signal color : std_logic := '0'; signal barWidth : integer; -- Color of bars defined here. May be customized type colorsArray is array (0 to 7) of std_logic_vector(23 downto 0); constant colors_1 : colorsArray := (X"ffffff", X"000000", X"0000ff", X"00ff00", X"ff0000", X"00ffff", X"ff00ff", X"ffff00"); constant colors_2 : colorsArray := (X"000000", X"ffffff", X"ffff00", X"ff00ff", X"00ffff", X"ff0000", X"00ff00", X"0000ff"); signal colors : colorsArray := colors_1; begin RESF: if (SIMULATION = "FALSE" ) generate resX_i <= X"0400"; resY_i <= X"0300"; spY <= 6; bpY <= 29; fpY <= 3; spX <= 136; bpX <= 160; fpX <= 24; barWidth <= 128; end generate; REST: if (SIMULATION = "TRUE" ) generate resX_i <= X"0200"; resY_i <= X"0008"; spY <= 1; bpY <= 2; fpY <= 3; spX <= 16; bpX <= 10; fpX <= 2; barWidth <= 64; end generate; -- rgb <= (data(7 downto 0) & data(23 downto 16) & data(15 downto 8)); rgb <= data; resX <= resX_i; resY <= resY_i; pclk <= pclk_i; vsync <= vsync_i; hsync <= hsync_i; de <= vActive and hActive; process(rst_n,pclk_i) begin if rst_n = '0' then data <= (others => '0'); counterX <= (others => '0'); counterY <= (others => '0'); vsync_i <= '0'; vActive <= '0'; hsync_i <= '0'; hActive <= '0'; elsif rising_edge(pclk_i) then counterX <= counterX + 1; if counterY = 0 then vsync_i <= '0'; vActive <= '0'; elsif counterY = spY then vsync_i <= '1'; elsif counterY = (spY+bpY) then vActive <= '1'; elsif counterY = (spY+bpY+CONV_INTEGER(resY_i)) then vActive <= '0'; elsif counterY = (spY+bpY+CONV_INTEGER(resY_i)+fpY) then counterY <= (others => '0'); data <= (others => '0'); end if; if counterX = 0 then hsync_i <= '0'; hActive <= '0'; elsif counterX = spX then hsync_i <= '1'; elsif counterX = (spX+bpX) then hActive <= '1'; elsif counterX = (spX+bpX+CONV_INTEGER(resX_i)) then hActive <= '0'; elsif counterX = (spX+bpX+CONV_INTEGER(resX_i)+fpX) then counterX <= (others => '0'); counterY <= counterY +1; counterX <= (others => '0'); end if; -- Generate 8 coloured, equally-space, vertical bars if vActive = '1' and hActive = '1' then if counterX < (spX+bpX+barWidth) then data <= colors(0); elsif counterX < (spX+bpX+barWidth*2) then data <= colors(1); elsif counterX < (spX+bpX+barWidth*3) then data <= colors(2); elsif counterX < (spX+bpX+barWidth*4) then data <= colors(3); elsif counterX < (spX+bpX+barWidth*5) then data <= colors(4); elsif counterX < (spX+bpX+barWidth*6) then data <= colors(5); elsif counterX < (spX+bpX+barWidth*7) then data <= colors(6); else data <= colors(7); end if; end if; end if; end process; -- Frame Counter process to count 1 Second -- Since we have 1024*768@60Hz, 1 second occurs after 60 VSYNC Pulses frameCount: process(rst_n,vsync_i) begin if rst_n = '0' then frameCounter <= (others => '0'); elsif rising_edge(vsync_i) then frameCounter <= frameCounter + 1; if frameCounter = "111011" then secondTimeout <= '1'; frameCounter <= "000000"; else secondTimeout <= '0'; end if; end if; end process; -- Alternate color of bars every second. Next color is complement of previous color. process(rst_n, secondTimeout) begin if rst_n = '0' then color <= '0'; colors <= colors_1; elsif rising_edge(secondTimeout) then color <= color xor '1'; if color = '0' then colors <= colors_1; else colors <= colors_2; end if; end if; end process; patternClk_com : patternClk port map ( CLK_IN1 => clk, CLK_OUT1 => pclk_i); end architecture;
bsd-2-clause
9e085d2b9f004fcc393d283164055b48
0.521641
3.191049
false
false
false
false
Given-Jiang/Gray_Processing
tb_Gray_Processing/hdl/alt_dspbuilder_bus_concat.vhd
4
1,505
-- This file is not intended for synthesis, is is present so that simulators -- see a complete view of the system. -- You may use the entity declaration from this file as the basis for a -- component declaration in a VHDL file instantiating this entity. library IEEE; use IEEE.std_logic_1164.all; use IEEE.NUMERIC_STD.all; entity alt_dspbuilder_bus_concat is generic ( WIDTHB : natural := 8; WIDTHA : natural := 8 ); port ( b : in std_logic_vector(widthB-1 downto 0); clock : in std_logic; a : in std_logic_vector(widthA-1 downto 0); aclr : in std_logic; output : out std_logic_vector(widthA+widthB-1 downto 0) ); end entity alt_dspbuilder_bus_concat; architecture rtl of alt_dspbuilder_bus_concat is component alt_dspbuilder_bus_concat_GNIIOZRPJD is generic ( WIDTHB : natural := 8; WIDTHA : natural := 8 ); port ( a : in std_logic_vector(8-1 downto 0); aclr : in std_logic; b : in std_logic_vector(8-1 downto 0); clock : in std_logic; output : out std_logic_vector(16-1 downto 0) ); end component alt_dspbuilder_bus_concat_GNIIOZRPJD; begin alt_dspbuilder_bus_concat_GNIIOZRPJD_0: if ((WIDTHB = 8) and (WIDTHA = 8)) generate inst_alt_dspbuilder_bus_concat_GNIIOZRPJD_0: alt_dspbuilder_bus_concat_GNIIOZRPJD generic map(WIDTHB => 8, WIDTHA => 8) port map(a => a, aclr => aclr, b => b, clock => clock, output => output); end generate; assert not (((WIDTHB = 8) and (WIDTHA = 8))) report "Please run generate again" severity error; end architecture rtl;
mit
b0a55eea8e8d8a060b10635983e9a205
0.703654
3.195329
false
false
false
false
acarrer/altera-de1-mp3-recorder-vhdl
AudioVideo_Init.vhd
1
11,568
-- ********************************************************** -- Corso di Reti Logiche - Progetto Registratore Portatile -- Andrea Carrer - 729101 -- Modulo AudioVideo_Init.vhd -- Versione 1.02 - 18.03.2013 -- ********************************************************** -- ********************************************************** -- Questo modulo si occupa del caricamento dati sui -- registri di controllo Audio/Video della scheda dopo ogni -- reset del sistema. -- ********************************************************** library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity AudioVideo_Init is generic ( MIN_ROM_ADDRESS: std_logic_vector(5 downto 0) := "000000"; --6'h00; MAX_ROM_ADDRESS: std_logic_vector(5 downto 0) := "001010"; --6'h0A; AUD_LINE_IN_LC: std_logic_vector(8 downto 0) := "000011000"; --9'd24; AUD_LINE_IN_RC: std_logic_vector(8 downto 0) := "000011000"; --9'd24; AUD_LINE_OUT_LC: std_logic_vector(8 downto 0) := "001110111"; --9'd119; AUD_LINE_OUT_RC: std_logic_vector(8 downto 0) := "001110111"; --9'd119; AUD_ADC_PATH: std_logic_vector(8 downto 0) := "000010001"; --9'd17; AUD_DAC_PATH: std_logic_vector(8 downto 0) := "000000110"; --9'd6; AUD_POWER: std_logic_vector(8 downto 0) := "000000000"; --9'h000; AUD_DATA_FORMAT: std_logic_vector(8 downto 0) := "001001101"; --9'd77; AUD_SAMPLE_CTRL: std_logic_vector(8 downto 0) := "000000000"; --9'd0; AUD_SET_ACTIVE: std_logic_vector(8 downto 0) := "000000001" --9'h001; ); port ( clk: in std_logic; reset: in std_logic; clear_error: in std_logic; ack: in std_logic; transfer_complete: in std_logic; data_out: out std_logic_vector(7 downto 0); transfer_data: buffer std_logic; send_start_bit: out std_logic; send_stop_bit: out std_logic; auto_init_complete: out std_logic; auto_init_error: out std_logic; useMicInput: in std_logic ); end AudioVideo_Init; architecture behaviour of AudioVideo_Init is -- Definizione stati della FSM per l'inizializzazione constant AUTO_STATE_0_CHECK_STATUS: std_logic_vector(2 downto 0) := "000"; constant AUTO_STATE_1_SEND_START_BIT: std_logic_vector(2 downto 0) := "001"; constant AUTO_STATE_2_TRANSFER_BYTE_1: std_logic_vector(2 downto 0) := "010"; constant AUTO_STATE_3_TRANSFER_BYTE_2: std_logic_vector(2 downto 0) := "011"; constant AUTO_STATE_4_WAIT: std_logic_vector(2 downto 0) := "100"; constant AUTO_STATE_5_SEND_STOP_BIT: std_logic_vector(2 downto 0) := "101"; constant AUTO_STATE_6_INCREASE_COUNTER: std_logic_vector(2 downto 0) := "110"; constant AUTO_STATE_7_DONE: std_logic_vector(2 downto 0) := "111"; signal change_state: std_logic; signal finished_auto_init: std_logic; signal rom_address_counter: std_logic_vector(5 downto 0); signal rom_data: std_logic_vector(25 downto 0); signal ns_i2c_auto_init: std_logic_vector(2 downto 0); signal s_i2c_auto_init: std_logic_vector(2 downto 0); -- Segnale di buffer signal rom_data_buff: std_logic_vector(27 downto 0); begin rom_data <= rom_data_buff(25 downto 0); auto_init_complete <= '1' when (s_i2c_auto_init = AUTO_STATE_7_DONE) else '0'; change_state <= transfer_complete and transfer_data; finished_auto_init <= '1' when (rom_address_counter = MAX_ROM_ADDRESS) else '0'; process (clk) begin if rising_edge(clk) then if (reset = '1') then s_i2c_auto_init <= AUTO_STATE_0_CHECK_STATUS; else s_i2c_auto_init <= ns_i2c_auto_init; end if; end if; end process; process (all) begin ns_i2c_auto_init <= AUTO_STATE_0_CHECK_STATUS; --------------------------------------------------------------------------------------------- ------------------------------------------------------------------ FSM Inizializzazione Audio --------------------------------------------------------------------------------------------- if (s_i2c_auto_init = AUTO_STATE_0_CHECK_STATUS) then if (finished_auto_init = '1') then ns_i2c_auto_init <= AUTO_STATE_7_DONE; elsif (rom_data(25) = '1') then ns_i2c_auto_init <= AUTO_STATE_1_SEND_START_BIT; else ns_i2c_auto_init <= AUTO_STATE_3_TRANSFER_BYTE_2; end if; elsif (s_i2c_auto_init = AUTO_STATE_1_SEND_START_BIT) then if (change_state = '1') then ns_i2c_auto_init <= AUTO_STATE_2_TRANSFER_BYTE_1; else ns_i2c_auto_init <= AUTO_STATE_1_SEND_START_BIT; end if; elsif (s_i2c_auto_init = AUTO_STATE_2_TRANSFER_BYTE_1) then if (change_state = '1') then ns_i2c_auto_init <= AUTO_STATE_3_TRANSFER_BYTE_2; else ns_i2c_auto_init <= AUTO_STATE_2_TRANSFER_BYTE_1; end if; elsif (s_i2c_auto_init = AUTO_STATE_3_TRANSFER_BYTE_2) then if ((change_state = '1') and (rom_data(24) = '1')) then ns_i2c_auto_init <= AUTO_STATE_4_WAIT; elsif (change_state = '1') then ns_i2c_auto_init <= AUTO_STATE_6_INCREASE_COUNTER; else ns_i2c_auto_init <= AUTO_STATE_3_TRANSFER_BYTE_2; end if; elsif (s_i2c_auto_init = AUTO_STATE_4_WAIT) then if (transfer_complete = '0') then ns_i2c_auto_init <= AUTO_STATE_5_SEND_STOP_BIT; else ns_i2c_auto_init <= AUTO_STATE_4_WAIT; end if; elsif (s_i2c_auto_init = AUTO_STATE_5_SEND_STOP_BIT) then if (transfer_complete = '1') then ns_i2c_auto_init <= AUTO_STATE_6_INCREASE_COUNTER; else ns_i2c_auto_init <= AUTO_STATE_5_SEND_STOP_BIT; end if; elsif (s_i2c_auto_init = AUTO_STATE_6_INCREASE_COUNTER) then ns_i2c_auto_init <= AUTO_STATE_0_CHECK_STATUS; elsif (s_i2c_auto_init = AUTO_STATE_7_DONE) then ns_i2c_auto_init <= AUTO_STATE_7_DONE; else ns_i2c_auto_init <= AUTO_STATE_0_CHECK_STATUS; end if; end process; process (clk) begin if rising_edge(clk) then if (reset = '1') then data_out <= "00000000"; elsif (s_i2c_auto_init = AUTO_STATE_1_SEND_START_BIT) then data_out <= rom_data(23 downto 16); elsif (s_i2c_auto_init = AUTO_STATE_0_CHECK_STATUS) then data_out <= rom_data(15 downto 8); elsif (s_i2c_auto_init = AUTO_STATE_2_TRANSFER_BYTE_1) then data_out <= rom_data(15 downto 8); elsif (s_i2c_auto_init = AUTO_STATE_3_TRANSFER_BYTE_2) then data_out <= rom_data( 7 downto 0); end if; end if; end process; process (clk) begin if rising_edge(clk) then if (reset = '1') then transfer_data <= '0'; elsif (transfer_complete = '1') then transfer_data <= '0'; elsif (s_i2c_auto_init = AUTO_STATE_1_SEND_START_BIT) then transfer_data <= '1'; elsif (s_i2c_auto_init = AUTO_STATE_2_TRANSFER_BYTE_1) then transfer_data <= '1'; elsif (s_i2c_auto_init = AUTO_STATE_3_TRANSFER_BYTE_2) then transfer_data <= '1'; end if; end if; end process; process (clk) begin if rising_edge(clk) then if (reset = '1') then send_start_bit <= '0'; elsif (transfer_complete = '1') then send_start_bit <= '0'; elsif (s_i2c_auto_init = AUTO_STATE_1_SEND_START_BIT) then send_start_bit <= '1'; end if; end if; end process; process (clk) begin if rising_edge(clk) then if (reset = '1') then send_stop_bit <= '0'; elsif (transfer_complete = '1') then send_stop_bit <= '0'; elsif (s_i2c_auto_init = AUTO_STATE_5_SEND_STOP_BIT) then send_stop_bit <= '1'; end if; end if; end process; process (clk) begin if rising_edge(clk) then if (reset = '1') then auto_init_error <= '0'; elsif (clear_error = '1') then auto_init_error <= '0'; elsif ((s_i2c_auto_init = AUTO_STATE_6_INCREASE_COUNTER) and ack='1') then auto_init_error <= '1'; end if; end if; end process; process (clk) begin if rising_edge(clk) then if (reset = '1') then rom_address_counter <= MIN_ROM_ADDRESS; elsif (s_i2c_auto_init = AUTO_STATE_6_INCREASE_COUNTER) then rom_address_counter <= rom_address_counter + "000001"; end if; end if; end process; process (clk, reset, clear_error, ack, transfer_complete) begin case (rom_address_counter) is -- Scrittura configurazione Audio: 7 bit di indirizzo + 9 bit di dati -- Nota: i primi 2 bit sono usati per comodita' per portare a 28 i bit e usare valori esadecimali! when "000000" => rom_data_buff <= "00" & "1100110100" & "0000000" & AUD_LINE_IN_LC; when "000001" => rom_data_buff <= "00" & "1100110100" & "0000001" & AUD_LINE_IN_RC; when "000010" => rom_data_buff <= "00" & "1100110100" & "0000010" & AUD_LINE_OUT_LC; when "000011" => rom_data_buff <= "00" & "1100110100" & "0000011" & AUD_LINE_OUT_RC; when "000100" => rom_data_buff <= ("00" & "1100110100" & "0000100" & AUD_ADC_PATH) + ("00" & "00000000000000000000000" & useMicInput & "00"); -- Microfono o Linein when "000101" => rom_data_buff <= "00" & "1100110100" & "0000101" & AUD_DAC_PATH; when "000110" => rom_data_buff <= "00" & "1100110100" & "0000110" & AUD_POWER; when "000111" => rom_data_buff <= "00" & "1100110100" & "0000111" & AUD_DATA_FORMAT; when "001000" => rom_data_buff <= "00" & "1100110100" & "0001000" & AUD_SAMPLE_CTRL; when "001001" => rom_data_buff <= "00" & "1100110100" & "0001001" & AUD_SET_ACTIVE; -- Scrittura configurazione Video when "001010" => rom_data_buff <= X"3401500"; when "001011" => rom_data_buff <= X"3401741"; when "001100" => rom_data_buff <= X"3403a16"; when "001101" => rom_data_buff <= X"3405004"; when "001110" => rom_data_buff <= X"340c305"; when "001111" => rom_data_buff <= X"340c480"; when "010000" => rom_data_buff <= X"3400e80"; when "010001" => rom_data_buff <= X"3405020"; when "010010" => rom_data_buff <= X"3405218"; when "010011" => rom_data_buff <= X"34058ed"; when "010100" => rom_data_buff <= X"34077c5"; when "010101" => rom_data_buff <= X"3407c93"; when "010110" => rom_data_buff <= X"3407d00"; when "010111" => rom_data_buff <= X"340d048"; when "011000" => rom_data_buff <= X"340d5a0"; when "011001" => rom_data_buff <= X"340d7ea"; when "011010" => rom_data_buff <= X"340e43e"; when "011011" => rom_data_buff <= X"340ea0f"; when "011100" => rom_data_buff <= X"3403112"; when "011101" => rom_data_buff <= X"3403281"; when "011110" => rom_data_buff <= X"3403384"; when "011111" => rom_data_buff <= X"34037A0"; when "100000" => rom_data_buff <= X"340e580"; when "100001" => rom_data_buff <= X"340e603"; when "100010" => rom_data_buff <= X"340e785"; when "100011" => rom_data_buff <= X"3405000"; when "100100" => rom_data_buff <= X"3405100"; when "100101" => rom_data_buff <= X"3400070"; when "100110" => rom_data_buff <= X"3401010"; when "100111" => rom_data_buff <= X"3400482"; when "101000" => rom_data_buff <= X"3400860"; when "101001" => rom_data_buff <= X"3400a18"; when "101010" => rom_data_buff <= X"3401100"; when "101011" => rom_data_buff <= X"3402b00"; when "101100" => rom_data_buff <= X"3402c8c"; when "101101" => rom_data_buff <= X"3402df2"; when "101110" => rom_data_buff <= X"3402eee"; when "101111" => rom_data_buff <= X"3402ff4"; when "110000" => rom_data_buff <= X"34030d2"; when "110001" => rom_data_buff <= X"3400e05"; when others => rom_data_buff <= X"1000000"; end case; end process; end behaviour;
mit
62ca2628759c2fd3c966fa35bb301489
0.589817
2.581567
false
false
false
false
Fju/LeafySan
src/vhdl/testbench/adc_model.vhdl
1
4,121
----------------------------------------------------------------- -- Project : Invent a Chip -- Module : ADC Model -- Last update : 27.04.2015 ----------------------------------------------------------------- -- Libraries library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library std; use std.textio.all; entity adc_model is generic( SYSTEM_CYCLE_TIME : time := 20 ns; -- 50 MHz FULL_DEBUG : natural := 0; FILE_NAME_PRELOAD : string := "adc_preload.txt" ); port( -- Global Signals end_simulation : in std_ulogic; -- SPI Signals spi_clk : in std_ulogic; spi_miso : out std_logic; spi_cs_n : in std_ulogic; -- Switch Signals swt_select : in std_ulogic_vector(2 downto 0); swt_enable_n : in std_ulogic ); end entity adc_model; architecture sim of adc_model is file file_preload : text open read_mode is FILE_NAME_PRELOAD; type adc_reg_t is array (0 to 7) of std_ulogic_vector(15 downto 0); signal tx : std_ulogic_vector(15 downto 0); signal swt_sel_lut : std_ulogic_vector(2 downto 0); begin process variable adc_reg : adc_reg_t; variable active_line, out_line : line; variable cnt : natural := 0; variable neol : boolean := false; variable adc_val : real := 0.000; begin adc_reg := (others => (others => 'U')); tx <= (others => 'U'); -- force wait for 1 ps to display full-debug messages after library warnings if FULL_DEBUG = 1 then wait for 1 ps; end if; -- preload data from adc file here... while not endfile(file_preload) loop readline(file_preload, active_line); loop read(active_line, adc_val, neol); exit when not neol; exit when cnt = 8; adc_reg(cnt) := std_ulogic_vector(to_unsigned(integer(adc_val*real(4096)/real(3.3)), tx'length)); -- display read values from file if FULL_DEBUG = 1 then write(out_line, "[ADC] Preloading channel " & integer'image(cnt) & " with "); write(out_line, adc_val, right, 3, 3); write(out_line, 'V'); writeline(output, out_line); end if; cnt := cnt + 1; end loop; exit when cnt = 8; end loop; file_close(file_preload); -- display unassigned channels if FULL_DEBUG = 1 then if cnt < 8 then for i in cnt to 7 loop write(out_line, "[ADC] Channel " & integer'image(i) & " is unassigned!"); writeline(output, out_line); end loop; end if; end if; -- do real work (send adc-values by request) loop exit when end_simulation = '1'; if spi_cs_n = '0' then if swt_enable_n = '0' then -- data has to be sent out shifted one bit to the left (as in actual chip) tx <= adc_reg(to_integer(unsigned(swt_sel_lut)))(14 downto 0) & '0'; else tx <= (others => 'U'); end if; for i in 0 to 15 loop wait until spi_clk = '1'; wait until spi_clk = '0'; tx <= tx(14 downto 0) & '0'; end loop; wait until spi_cs_n = '1'; else wait for SYSTEM_CYCLE_TIME; end if; end loop; wait; end process; spi_miso <= '0' when tx(15) = '0' AND spi_cs_n = '0' else 'Z'; -- lut to map swt_select to correct register content (inverse to interface) process(swt_select) variable sel : natural; begin sel := to_integer(unsigned(swt_select)); case sel is when 0 => swt_sel_lut <= std_ulogic_vector(to_unsigned(5, swt_sel_lut'length)); when 1 => swt_sel_lut <= std_ulogic_vector(to_unsigned(3, swt_sel_lut'length)); when 2 => swt_sel_lut <= std_ulogic_vector(to_unsigned(1, swt_sel_lut'length)); when 3 => swt_sel_lut <= std_ulogic_vector(to_unsigned(7, swt_sel_lut'length)); when 4 => swt_sel_lut <= std_ulogic_vector(to_unsigned(6, swt_sel_lut'length)); when 5 => swt_sel_lut <= std_ulogic_vector(to_unsigned(2, swt_sel_lut'length)); when 6 => swt_sel_lut <= std_ulogic_vector(to_unsigned(4, swt_sel_lut'length)); when 7 => swt_sel_lut <= std_ulogic_vector(to_unsigned(0, swt_sel_lut'length)); when others => swt_sel_lut <= std_ulogic_vector(to_unsigned(5, swt_sel_lut'length)); end case; end process; end architecture sim;
apache-2.0
b63a46bbeb60ef990d70f68954cacf69
0.603494
2.914427
false
false
false
false
mjpatter88/fundamentals
01-logic_gates/or/myOr2.vhdl
1
721
library IEEE; use IEEE.Std_Logic_1164.all; entity myOr2 is port(a: in std_logic; b: in std_logic; s: out std_logic); end myOr2; architecture behavioral of myOr2 is -- component declaration component myNot port(a: in std_logic; s: out std_logic); end component; component myNand2 port(a: in std_logic; b: in std_logic; s: out std_logic); end component; -- signal declaration signal not1_out: std_logic; signal not2_out: std_logic; begin -- component instantiation and port mappping myNot_1: myNot port map(a => a, s => not1_out); myNot_2: myNot port map(a => b, s => not2_out); myNand2_1: myNand2 port map(a => not1_out, b => not2_out, s => s); end behavioral;
mit
e0b156db35163399336b89d503295ac6
0.654646
2.991701
false
false
false
false
J-Rios/VHDL_Modules
2.Secuencial/RAM_dedicated.vhd
1
1,343
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; ------------------------------------------------------------------------- entity BLOCKRAM is generic ( B : NATURAL := 8; -- Address Bus width W : NATURAL := 2 -- Data Bus width ); port ( clk : in STD_LOGIC; reset : in STD_LOGIC; wr_en : in STD_LOGIC; w_addr : in STD_LOGIC_VECTOR(W-1 downto 0); r_addr : in STD_LOGIC_VECTOR(W-1 downto 0); w_data : in STD_LOGIC_VECTOR(B-1 downto 0); r_data : out STD_LOGIC_VECTOR(B-1 downto 0) ); end BLOCKRAM; ------------------------------------------------------------------------- architecture Behavioral of BLOCKRAM is type REG_FILE_TYPE is array (2**W-1 downto 0) of STD_LOGIC_VECTOR (B-1 downto 0); signal array_reg : REG_FILE_TYPE; begin -- Read-First Mode process(clk) begin if (rising_edge(clk)) then if (wr_en = '1') then array_reg(to_integer(unsigned(w_addr))) <= w_data; end if; end if; end process; r_data <= array_reg(to_integer(unsigned(r_addr))); -- Write-First Mode --process(clk) --begin --if (rising_edge(clk)) then --if (wr_en = '1') then --array_reg(to_integer(unsigned(w_addr))) <= w_data; --r_data <= w_data; --else --r_data <= array_reg(to_integer(unsigned(r_addr))); --end if; --end if; --end process; end Behavioral;
gpl-3.0
be2eb64f180ccf58bd99760c55ae48d5
0.553984
2.833333
false
false
false
false
gergoerdi/tinymicro-mos6502-kansas-lava
vhdl/TinyMicro6502.vhdl
1
2,464
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; use work.lava.all; use work.all; entity TinyMicro6502 is port(CLK_32MHZ : in std_logic; RESET : in std_logic; VGA_VSYNC : out std_logic; VGA_HSYNC : out std_logic; VGA_R : out std_logic_vector(3 downto 0); VGA_G : out std_logic_vector(3 downto 0); VGA_B : out std_logic_vector(3 downto 0)); end entity TinyMicro6502; architecture str of TinyMicro6502 is signal CLK_40MHZ : std_logic; signal CLK_1MHZ : std_logic; signal MAIN_VRAM_WE : std_logic; signal MAIN_VRAM_W_ADDR : std_logic_vector(9 downto 0); signal MAIN_VRAM_W_DATA : std_logic_vector(3 downto 0); signal VIDEO_VRAM_ADDR : std_logic_vector(9 downto 0); signal VIDEO_VRAM_DATA : std_logic_vector(3 downto 0); signal CLKDIV : std_logic_vector(5 downto 0) := (others => '0'); signal CLK_8KHZ : std_logic := '1'; begin inst_clockman : entity work.clockman port map (CLK_IN1 => CLK_32MHZ, RESET => RESET, CLK_OUT1 => CLK_40MHZ, CLK_OUT2 => CLK_1MHZ); proc_CLK_8KHZ: process(CLK_1MHZ,RESET) is begin if RESET = '1' then CLKDIV <= (others => '0'); CLK_8KHZ <= '1'; elsif rising_edge(CLK_1MHZ) then CLKDIV <= std_logic_vector(unsigned(CLKDIV) + 1); if CLKDIV = "000000" then CLK_8KHZ <= not CLK_8KHZ; end if; end if; end process proc_CLK_8KHZ; inst_MainBoard : entity work.MainBoard port map (CLK_CPU => CLK_8KHZ, RESET => RESET, VIDEO_WE => MAIN_VRAM_WE, VIDEO_W_ADDR => MAIN_VRAM_W_ADDR, VIDEO_W_DATA => MAIN_VRAM_W_DATA); inst_vram : entity work.bram_tdp port map (a_clk => CLK_8KHZ, a_wr => MAIN_VRAM_WE, a_addr => MAIN_VRAM_W_ADDR, a_din => MAIN_VRAM_W_DATA, a_dout => open, b_clk => CLK_40MHZ, b_wr => '0', b_addr => VIDEO_VRAM_ADDR, b_din => (others => '0'), b_dout => VIDEO_VRAM_DATA); inst_video : entity work.Video port map (CLK_40MHZ => CLK_40MHZ, RESET => RESET, VIDEO_R_DATA => VIDEO_VRAM_DATA, VIDEO_R_ADDR => VIDEO_VRAM_ADDR, VGA_VSYNC => VGA_VSYNC, VGA_HSYNC => VGA_HSYNC, VGA_R => VGA_R, VGA_G => VGA_G, VGA_B => VGA_B); end architecture str;
gpl-2.0
6ee761755e8507a1fe594bfa4ed7e5f2
0.553977
3.237845
false
false
false
false
J-Rios/VHDL_Modules
3.Peripherals/UART/UART_Tx.vhd
1
2,603
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; ------------------------------------------------------------------------- entity UART_TX is Generic ( DBIT : integer := 8; -- # Data BITS SB_TICK : integer := 16 -- # Stop BITS Tick (1 -> 16, 1.5 -> 24, 2 -> 32) ); Port ( CLK : in STD_LOGIC; RESET : in STD_LOGIC; TX_START : in STD_LOGIC; S_TICK : in STD_LOGIC; DIN : in STD_LOGIC_VECTOR (7 downto 0); TX_DONE_TICK : out STD_LOGIC; TX : out STD_LOGIC ); end UART_TX; ------------------------------------------------------------------------- architecture Behavioral of UART_TX is type state_type is (idle, start, data, stop); signal state_reg, state_next: state_type; signal s_reg, s_next: unsigned(3 downto 0); signal n_reg, n_next: unsigned(2 downto 0); signal b_reg, b_next: std_logic_vector(7 downto 0); signal TX_reg, TX_next: std_logic; begin -- FSMD state & data registers process(CLK,RESET) begin if RESET='1' then state_reg <= idle; s_reg <= (others=>'0'); n_reg <= (others=>'0'); b_reg <= (others=>'0'); TX_reg <= '1'; elsif (CLK'event and CLK='1') then state_reg <= state_next; s_reg <= s_next; n_reg <= n_next; b_reg <= b_next; TX_reg <= TX_next; end if; end process; -- Next-state logic & data path functional units/routing process(state_reg,s_reg,n_reg,b_reg,S_TICK, TX_reg,TX_START,DIN) begin state_next <= state_reg; s_next <= s_reg; n_next <= n_reg; b_next <= b_reg; TX_next <= TX_reg; TX_DONE_TICK <= '0'; case state_reg is when idle => TX_next <= '1'; if TX_START='1' then state_next <= start; s_next <= (others=>'0'); b_next <= DIN; end if; when start => TX_next <= '0'; if (S_TICK = '1') then if s_reg=15 then state_next <= data; s_next <= (others=>'0'); n_next <= (others=>'0'); else s_next <= s_reg + 1; end if; end if; when data => TX_next <= b_reg(0); if (S_TICK = '1') then if s_reg=15 then s_next <= (others=>'0'); b_next <= '0' & b_reg(7 downto 1); if n_reg=(DBIT-1) then state_next <= stop; else n_next <= n_reg + 1; end if; else s_next <= s_reg + 1; end if; end if; when stop => TX_next <= '1'; if (S_TICK = '1') then if s_reg=(SB_TICK-1) then state_next <= idle; TX_DONE_TICK <= '1'; else s_next <= s_reg + 1; end if; end if; end case; end process; TX <= TX_reg; end Behavioral;
gpl-3.0
1525da67625e6401845594592cb4c146
0.502113
2.737119
false
false
false
false
Fju/LeafySan
src/vhdl/modules/adc_sensors.vhdl
1
5,466
---------------------------------------------------------------------- -- Project : LeafySan -- Module : ADC Sensor Module -- Authors : Florian Winkler -- Lust update : 01.09.2017 -- Description : Reads voltage of analogue sensors through ADC's and converts them into unit dependent digital values ---------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.iac_pkg.all; entity adc_sensors is port( clock : in std_ulogic; reset : in std_ulogic; temperature : out unsigned(11 downto 0); carbondioxide : out unsigned(13 downto 0); -- ADC/DAC adc_dac_cs : out std_ulogic; adc_dac_wr : out std_ulogic; adc_dac_addr : out std_ulogic_vector(CW_ADDR_ADC_DAC-1 downto 0); adc_dac_din : in std_ulogic_vector(CW_DATA_ADC_DAC-1 downto 0); adc_dac_dout : out std_ulogic_vector(CW_DATA_ADC_DAC-1 downto 0) ); end adc_sensors; architecture rtl of adc_sensors is constant READ_CLOCK_COUNT : natural := 1953125; -- 39,0625ms (5s / 128) constant READ_ITEM_COUNT : natural := 128; constant ADC_RESOLUTION : natural := 12; -- 12 bit type adc_state_t is (S_ADC_INIT, S_ADC_WAIT, S_ADC_READ_TEMP, S_ADC_READ_CO2); signal adc_state, adc_state_nxt : adc_state_t; signal adc_clock, adc_clock_nxt : unsigned(to_log2(READ_CLOCK_COUNT) - 1 downto 0); signal temp_value, temp_value_nxt : std_ulogic_vector(29 downto 0); signal temp_sum, temp_sum_nxt : unsigned(ADC_RESOLUTION + to_log2(READ_ITEM_COUNT + 1) - 1 downto 0); signal temp_cnt, temp_cnt_nxt : unsigned(to_log2(READ_ITEM_COUNT + 1) - 1 downto 0); signal co2_value, co2_value_nxt : std_ulogic_vector(26 downto 0); signal co2_sum, co2_sum_nxt : unsigned(ADC_RESOLUTION + to_log2(READ_ITEM_COUNT + 1) - 1 downto 0); signal co2_cnt, co2_cnt_nxt : unsigned(to_log2(READ_ITEM_COUNT + 1) - 1 downto 0); signal temp, temp_nxt : unsigned(11 downto 0); signal co2, co2_nxt : unsigned(13 downto 0); begin -- sequential process process(clock, reset) begin if reset = '1' then adc_state <= S_ADC_INIT; adc_clock <= (others => '0'); temp_value <= (others => '0'); temp_sum <= (others => '0'); temp_cnt <= (others => '0'); co2_value <= (others => '0'); co2_sum <= (others => '0'); co2_cnt <= (others => '0'); temp <= (others => '0'); co2 <= (others => '0'); elsif rising_edge(clock) then adc_state <= adc_state_nxt; adc_clock <= adc_clock_nxt; temp_value <= temp_value_nxt; temp_cnt <= temp_cnt_nxt; temp_sum <= temp_sum_nxt; co2_value <= co2_value_nxt; co2_cnt <= co2_cnt_nxt; co2_sum <= co2_sum_nxt; temp <= temp_nxt; co2 <= co2_nxt; end if; end process; process(co2, temp, adc_state, adc_clock, temp_value, temp_cnt, temp_sum, co2_value, co2_sum, co2_cnt, adc_dac_din) begin -- hold previous values by default adc_state_nxt <= adc_state; adc_clock_nxt <= adc_clock; temp_value_nxt <= temp_value; temp_cnt_nxt <= temp_cnt; temp_sum_nxt <= temp_sum; co2_value_nxt <= co2_value; co2_cnt_nxt <= co2_cnt; co2_sum_nxt <= co2_sum; temp_nxt <= temp; co2_nxt <= co2; -- default assignments for the DAC/ADC module adc_dac_cs <= '0'; adc_dac_wr <= '0'; adc_dac_addr <= (others => '0'); adc_dac_dout <= (others => '0'); temperature <= temp; carbondioxide <= co2; case adc_state is when S_ADC_INIT => -- activate ADC channels adc_dac_cs <= '1'; adc_dac_wr <= '1'; adc_dac_addr <= CV_ADDR_ADC_DAC_CTRL; adc_dac_dout(9 downto 0) <= "0011111111"; -- next state adc_state_nxt <= S_ADC_WAIT; when S_ADC_WAIT => adc_clock_nxt <= adc_clock + to_unsigned(1, adc_clock'length); if adc_clock = to_unsigned(READ_CLOCK_COUNT - 1, adc_clock'length) then -- switch state after 50 million clocks (1s @ speed = 1) adc_clock_nxt <= (others => '0'); adc_state_nxt <= S_ADC_READ_TEMP; temp_nxt <= resize(shift_right(unsigned(temp_value) * 74043 + 131072, 18), temp'length); co2_nxt <= resize(shift_right((unsigned(co2_value) - 496) * 82539, 15), co2'length); end if; when S_ADC_READ_TEMP => adc_dac_cs <= '1'; adc_dac_wr <= '0'; adc_dac_addr(3 downto 0) <= CV_ADDR_ADC0; temp_cnt_nxt <= temp_cnt + to_unsigned(1, temp_cnt'length); temp_sum_nxt <= temp_sum + resize(unsigned(adc_dac_din(11 downto 0)), temp_sum'length); if temp_cnt = to_unsigned(READ_ITEM_COUNT - 1, temp_cnt'length) then temp_value_nxt <= std_ulogic_vector(resize(shift_right(temp_sum, to_log2(READ_ITEM_COUNT)), temp_value'length)); temp_cnt_nxt <= (others => '0'); temp_sum_nxt <= (others => '0'); end if; adc_state_nxt <= S_ADC_READ_CO2; when S_ADC_READ_CO2 => adc_dac_cs <= '1'; adc_dac_wr <= '0'; adc_dac_addr(3 downto 0) <= CV_ADDR_ADC1; co2_cnt_nxt <= co2_cnt + to_unsigned(1, co2_cnt'length); co2_sum_nxt <= co2_sum + resize(unsigned(adc_dac_din(11 downto 0)), co2_sum'length); if co2_cnt = to_unsigned(READ_ITEM_COUNT - 1, co2_cnt'length) then co2_value_nxt <= std_ulogic_vector(resize(shift_right(co2_sum, to_log2(READ_ITEM_COUNT)), co2_value'length)); co2_cnt_nxt <= (others => '0'); co2_sum_nxt <= (others => '0'); end if; adc_state_nxt <= S_ADC_WAIT; end case; end process; end rtl;
apache-2.0
b0d787c4a1997f188caf8ff9e1c5dc3b
0.596597
2.605338
false
false
false
false
acarrer/altera-de1-mp3-recorder-vhdl
Controllori_Video/VGA_Adapter.vhd
1
10,302
-- ********************************************************** -- Corso di Reti Logiche - Progetto Registratore Portatile -- Andrea Carrer - 729101 -- Modulo VGA_Adapter.vhd -- Versione 1.01 - 14.03.2013 -- ********************************************************** -- ********************************************************** -- Modulo trovato in rete, convertito da Verilog a VHDL -- e successivamente adattato al progetto. -- Ho utilizzato la risoluzione 320x240 monocromatica. -- Occupazione totale di memoria: 76800 bit. -- ********************************************************** --VGA Adapter ------------------ -- --This is an implementation of a VGA Adapter. The adapter uses VGA mode signalling to initiate --a 640x480 resolution mode on a computer monitor, with a refresh rate of approximately 60Hz. --It is designed for easy use in an early digital logic design course to facilitate student --projects on the Altera DE1 Educational board. -- --This implementation of the VGA adapter can display images of varying colour depth at a resolution of --320x240 or 160x120 superpixels. The concept of superpixels is introduced to reduce the amount of on-chip --memory used by the adapter. The following table shows the number of bits of on-chip memory used by --the adapter in various resolutions and colour depths. -- --------------------------------------------------------------------------------------------------------------------------------- --Resolution | Mono | 8 colours | 64 colours | 512 colours | 4096 colours | 32768 colours | 262144 colours | 2097152 colours | --------------------------------------------------------------------------------------------------------------------------------- --160x120 | 19200 | 57600 | 115200 | 172800 | 230400 | 288000 | 345600 | 403200 | --320x240 | 78600 | 230400 | ############## Does not fit ############################################################## | --------------------------------------------------------------------------------------------------------------------------------- -- --By default the adapter works at the resolution of 320x240 with 8 colours. To set the adapter in any of --the other modes, the adapter must be instantiated with specific parameters. These parameters are: --- RESOLUTION - a string that should be either "320x240" or "160x120". --- MONOCHROME - a string that should be "TRUE" if you only want black and white colours, and "FALSE" -- otherwise. --- BITS_PER_COLOUR_CHANNEL - an integer specifying how many bits are available to describe each colour -- (R,G,B). A default value of 1 indicates that 1 bit will be used for red -- channel, 1 for green channel and 1 for blue channel. This allows 8 colours -- to be used. -- --In addition to the above parameters, a BACKGROUND_IMAGE parameter can be specified. The parameter --refers to a memory initilization file (MIF) which contains the initial contents of video memory. --By specifying the initial contents of the memory we can force the adapter to initially display an --image of our choice. Please note that the image described by the BACKGROUND_IMAGE file will only --be valid right after your program the DE2 board. If your circuit draws a single pixel on the screen, --the video memory will be altered and screen contents will be changed. In order to restore the background --image your circuti will have to redraw the background image pixel by pixel, or you will have to --reprogram the DE2 board, thus allowing the video memory to be rewritten. -- --To use the module connect the vga_adapter to your circuit. Your circuit should produce a value for --inputs X, Y and plot. When plot is high, at the next positive edge of the input clock the vga_adapter --will change the contents of the video memory for the pixel at location (X,Y). At the next redraw --cycle the VGA controller will update the contants of the screen by reading the video memory and copying --it over to the screen. Since the monitor screen has no memory, the VGA controller has to copy the --contents of the video memory to the screen once every 60th of a second to keep the image stable. Thus, --the video memory should not be used for other purposes as it may interfere with the operation of the --VGA Adapter. -- --As a final note, ensure that the following conditions are met when using this module: --1. You are implementing the the VGA Adapter on the Altera DE2 board. Using another board may change -- the amount of memory you can use, the clock generation mechanism, as well as pin assignments required -- to properly drive the VGA digital-to-analog converter. --2. Outputs VGA_* should exist in your top level design. They should be assigned pin locations on the -- Altera DE2 board as specified by the DE2_pin_assignments.csv file. --3. The input clock must have a frequency of 50 MHz with a 50% duty cycle. On the Altera DE2 board -- PIN_N2 is the source for the 50MHz clock. -- --During compilation with Quartus II you may receive the following warnings: --- Warning: Variable or input pin "clocken1" is defined but never used --- Warning: Pin "VGA_SYNC" stuck at VCC --- Warning: Found xx output pins without output pin load capacitance assignment --These warnings can be ignored. The first warning is generated, because the software generated --memory module contains an input called "clocken1" and it does not drive logic. The second warning --indicates that the VGA_SYNC signal is always high. This is intentional. The final warning is --generated for the purposes of power analysis. It will persist unless the output pins are assigned --output capacitance. Leaving the capacitance values at 0 pf did not affect the operation of the module. -- --If you see any other warnings relating to the vga_adapter, be sure to examine them carefully. They may --cause your circuit to malfunction. library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; library Altera_mf; use altera_mf.altera_mf_components.all; entity VGA_Adapter is port( resetn: in std_logic; clock: in std_logic; clock_25: in std_logic; colour: in std_logic; x: in std_logic_vector(8 downto 0); -- Coordinata x y: in std_logic_vector(7 downto 0); -- Coordinata y plot: in std_logic; -- Quando e'=1, il pixel (x,y) cambierà' colore (bisogna plottare) -- Segnali per il DAC per pilotare il monitor. VGA_R: out std_logic_vector(9 downto 0); VGA_G: out std_logic_vector(9 downto 0); VGA_B: out std_logic_vector(9 downto 0); VGA_HS: out std_logic; VGA_VS: out std_logic; VGA_BLANK: out std_logic; VGA_SYNC: out std_logic ); end VGA_Adapter; architecture behaviour of VGA_Adapter is component VGA_CalcoloIndirizzo is port ( x : in std_logic_vector(8 downto 0); y : in std_logic_vector(7 downto 0); mem_address : out std_logic_vector(16 downto 0) ); end component; component VGA_Controller is port( vga_clock: in std_logic; resetn: in std_logic; pixel_colour: in std_logic_vector(0 downto 0); memory_address: out std_logic_vector(16 downto 0); VGA_R: out std_logic_vector(9 downto 0) register; VGA_G: out std_logic_vector(9 downto 0) register; VGA_B: out std_logic_vector(9 downto 0) register; VGA_HS: out std_logic register; VGA_VS: out std_logic register; VGA_BLANK: out std_logic register; VGA_SYNC: out std_logic -- VGA sync e' sempre a 1. ); end component; signal valid_320x240: std_logic; -- Serve a specificare che le coordinate siano in un range valido. signal writeEn: std_logic; -- Serve ad abilitare la scrittura della memoria video d un certo pixcel (x,y) signal to_ctrl_colour: std_logic; -- Pixel letto dal controller VGA signal user_to_video_memory_addr: std_logic_vector(16 downto 0); -- Indirizzo di memoria per scrivere le coordnate (x,y) signal controller_to_video_memory_addr: std_logic_vector(16 downto 0); -- Indirizzo di memoria per leggere le coordnate (x,y) signal vcc: std_logic := '1'; -- Serve al VGA Adapter signal gnd: std_logic := '0'; -- Serve al VGA Adapter begin -- Controllo validita' coordinate valid_320x240 <= '1' when ( (x >= "000000000") and (x < "101000000") -- x < 320 and (y >= "00000000") and (y < "11110000")); -- y < 240 -- Controllo abilitazione scrittura writeEn <= '1' when (plot='1') and (valid_320x240='1') else '0'; -- Converte le coordinate in un indirizzo di memoria CoordinatesTranslator : VGA_CalcoloIndirizzo port map( x => x, y => y, mem_address => user_to_video_memory_addr ); -- Allocazione memoria video VideoMemory : altsyncram generic map ( WIDTH_A => 1, WIDTH_B => 1, INTENDED_DEVICE_FAMILY => "Cyclone II", OPERATION_MODE => "DUAL_PORT", WIDTHAD_A => 17, NUMWORDS_A => 76800, WIDTHAD_B => 17, NUMWORDS_B => 76800, OUTDATA_REG_B => "CLOCK1", ADDRESS_REG_B => "CLOCK1", CLOCK_ENABLE_INPUT_A => "BYPASS", CLOCK_ENABLE_INPUT_B => "BYPASS", CLOCK_ENABLE_OUTPUT_B => "BYPASS", POWER_UP_UNINITIALIZED => "FALSE" ) port map ( wren_a => writeEn, wren_b => gnd, clock0 => clock, -- write clock clock1 => clock_25, -- read clock clocken0 => vcc, -- write enable clock clocken1 => vcc, -- read enable clock address_a => user_to_video_memory_addr, address_b => controller_to_video_memory_addr, data_a(0) => colour, -- data in q_b(0) => to_ctrl_colour -- data out ); -- Istanza del controller VGA VGAcontroller : VGA_Controller port map ( vga_clock => clock_25, resetn => resetn, pixel_colour(0) => to_ctrl_colour, memory_address => controller_to_video_memory_addr, VGA_R => VGA_R, VGA_G => VGA_G, VGA_B => VGA_B, VGA_HS => VGA_HS, VGA_VS => VGA_VS, VGA_BLANK => VGA_BLANK, VGA_SYNC => VGA_SYNC ); end behaviour;
mit
2d5645d66309347d70baac8a9ffe4fba
0.631431
3.585799
false
false
false
false
jdeblese/mwfc
mwfc.srcs/sim_1/fpbaseconv_tb.vhd
1
1,331
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity fpbaseconv_tb is end fpbaseconv_tb; architecture Behavioral of fpbaseconv_tb is constant clk_period : time := 10 ns; signal clk : std_logic := '0'; signal rst : std_logic; constant precision : integer := 17; constant exp_precision : integer := 8; constant nscalestages : integer := 7; signal scaling : signed(nscalestages-1 downto 0); signal ratio : unsigned(precision-1 downto 0); signal strobe : std_logic := '0'; begin clk <= not clk after clk_period/2; stim : process begin rst <= '1'; wait for clk_period * 10; rst <= '0'; wait for clk_period; scaling <= to_signed(-19, scaling'length); -- ratio <= '1' & x"797D"; ratio <= '1' & x"7981"; wait for clk_period; strobe <= '1'; wait for clk_period; strobe <= '0'; wait; end process; dut : entity work.fpbaseconv generic map ( precision => precision, exp_precision => exp_precision, nscalestages => nscalestages ) port map ( mantissa => open, exponent => open, busy => open, scaling => scaling, ratio => ratio, strobe => strobe, clk => clk, rst => rst ); end Behavioral;
mit
c595bbe88dc3b99f54c319e759e7b42c
0.572502
3.549333
false
false
false
false
acarrer/altera-de1-mp3-recorder-vhdl
Utils/BinaryToBcd.vhd
1
2,634
-- ********************************************************** -- Corso di Reti Logiche - Progetto Registratore Portatile -- Andrea Carrer - 729101 -- Modulo BinaryToBcd.vhd -- Versione 1.01 - 14.03.2013 -- ********************************************************** -- ********************************************************** -- Questo modulo converte un numero binario in BCD per -- visualizzare numer decimali sul display a 7 segmenti. -- Utilizza l'algoritmo "Shift and Add-3" -- 1. Shift a sinistra del numero binario. -- 2. Dopo 8 shift, il numero BCD e' nel formato centinaia, decine, unita' -- 3. Se il valore binario dei BCD e' > 4, aggiunge 3 al valore. -- 4. Vai a 1. -- ********************************************************** library ieee; use ieee.std_logic_1164.all; entity BinaryToBcd is port ( A: in std_logic_vector(7 downto 0); ONES: out std_logic_vector(3 downto 0); TENS: out std_logic_vector(3 downto 0); HUNDREDS: out std_logic_vector(1 downto 0)); end BinaryToBcd; architecture behaviour OF BinaryToBcd IS component Add3 is port ( signal s_i: in std_logic_vector(3 downto 0); signal s_o: out std_logic_vector(3 downto 0) ); end component; signal c1 : std_logic_vector(3 downto 0); signal c2 : std_logic_vector(3 downto 0); signal c3 : std_logic_vector(3 downto 0); signal c4 : std_logic_vector(3 downto 0); signal c5 : std_logic_vector(3 downto 0); signal c6 : std_logic_vector(3 downto 0); signal c7 : std_logic_vector(3 downto 0); signal d1 : std_logic_vector(3 downto 0); signal d2 : std_logic_vector(3 downto 0); signal d3 : std_logic_vector(3 downto 0); signal d4 : std_logic_vector(3 downto 0); signal d5 : std_logic_vector(3 downto 0); signal d6 : std_logic_vector(3 downto 0); signal d7 : std_logic_vector(3 downto 0); BEGIN d1 <= '0' & A(7 DOWNTO 5); d2 <= c1(2 DOWNTO 0) & A(4); d3 <= c2(2 DOWNTO 0) & A(3); d4 <= c3(2 DOWNTO 0) & A(2); d5 <= c4(2 DOWNTO 0) & A(1); d6 <= '0' & c1(3) & c2(3) & c3(3); d7 <= c6(2 DOWNTO 0) & c4(3); ONES <= c5(2 DOWNTO 0) & A(0); TENS <= c7(2 DOWNTO 0) & c5(3); HUNDREDS <= c6(3) & c7(3); m1 : add3 port map ( d1, c1); m2 : add3 port map ( d2, c2); m3 : add3 port map ( d3, c3); m4 : add3 port map ( d4, c4); m5 : add3 port map ( d5, c5); m6 : add3 port map ( d6, c6); m7 : add3 port map ( d7, c7); END behaviour;
mit
1699bae99ebed3ae692e3a0350038108
0.520501
3.020642
false
false
false
false
Fju/LeafySan
src/vhdl/testbench/sram_model.vhdl
1
4,825
----------------------------------------------------------------- -- Project : Invent a Chip -- Module : SRAM-Model (a very very very simple model) for Simulation -- Last update : 02.12.2013 ----------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library std; use std.textio.all; library work; use work.iac_pkg.all; entity sram_model is generic( SYSTEM_CYCLE_TIME : time := 20 ns; -- 50 MHz FULL_DEBUG : natural := 0; -- file for preload of sram FILE_NAME_PRELOAD : string := "preload.txt"; -- file for dump at end of simulation FILE_NAME_DUMP : string := "dump.txt"; -- number of addressable words in sram (size of sram) GV_SRAM_SIZE : natural := 2**20 ); port( -- global signals end_simulation : in std_ulogic; -- sram connections sram_ce_n : in std_ulogic; sram_oe_n : in std_ulogic; sram_we_n : in std_ulogic; sram_ub_n : in std_ulogic; sram_lb_n : in std_ulogic; sram_addr : in std_ulogic_vector(19 downto 0); sram_dq : inout std_logic_vector(15 downto 0) ); end sram_model; architecture sim of sram_model is constant DUMP_WORDS_PER_LINE : natural := 8; -- files file file_preload : text open read_mode is FILE_NAME_PRELOAD; file file_dump : text open write_mode is FILE_NAME_DUMP; -- internal representation of sram (data-array) type sram_data_t is array (0 to GV_SRAM_SIZE-1) of std_ulogic_vector(sram_dq'length-1 downto 0); signal sram_data : sram_data_t; begin -- set outgoing signals sram_dq <= std_logic_vector(sram_data(to_integer(unsigned(sram_addr)))) when (sram_ce_n = '0' and sram_we_n = '1') else (others => 'Z'); process variable active_line : line; variable neol : boolean := false; variable data_value : integer := 0; variable cnt : natural := 0; begin -- preload data from file -- prefill array with undefined sram_data <= (others => (others => 'U')); -- read preload file while not endfile(file_preload) loop -- read line readline(file_preload, active_line); -- loop until end of line loop -- read integer from line read(active_line, data_value, neol); -- exit when line has ended exit when not neol; -- chancel when sram is already full exit when cnt = GV_SRAM_SIZE-1; -- write data to array sram_data(cnt) <= std_ulogic_vector(to_signed(data_value, sram_dq'length)); -- increment counter cnt := cnt + 1; end loop; end loop; file_close(file_preload); loop -- stop when simulation has ended exit when end_simulation = '1'; -- chip enable detected if sram_ce_n = '0' then -- write (read outside the process) if sram_we_n = '0' then -- write data to array sram_data(to_integer(unsigned(sram_addr))) <= std_ulogic_vector(sram_dq); if FULL_DEBUG = 1 then write(active_line, string'("[SRAM] Write ")); write(active_line, to_integer(unsigned(sram_dq))); write(active_line, string'(" (")); write(active_line, to_bitvector(sram_dq)); write(active_line, string'(") to Addr ")); write(active_line, to_integer(unsigned(sram_addr))); write(active_line, string'(".")); writeline(output, active_line); end if; -- read else if FULL_DEBUG = 1 then write(active_line, string'("[SRAM] Read ")); write(active_line, to_integer(unsigned(sram_data(to_integer(unsigned(sram_addr)))))); write(active_line, string'(" (")); write(active_line, to_bitvector(sram_data(to_integer(unsigned(sram_addr))))); write(active_line, string'(") from Addr ")); write(active_line, to_integer(unsigned(sram_addr))); write(active_line, string'(".")); writeline(output, active_line); end if; end if; end if; -- wait for one cycle wait for SYSTEM_CYCLE_TIME; end loop; -- dump data to file after simulation was ended -- loop over sram-size, with 4 words per line for i in 0 to (GV_SRAM_SIZE/DUMP_WORDS_PER_LINE)-1 loop --write(active_line, hex(std_ulogic_vector(to_unsigned(i*DUMP_WORDS_PER_LINE,to_log16(GV_SRAM_SIZE)*4))) & ": "); for j in 0 to DUMP_WORDS_PER_LINE-1 loop --write(active_line, hex(sram_data(i*DUMP_WORDS_PER_LINE+j))); if j /= DUMP_WORDS_PER_LINE-1 then if (((j+1) mod 4) = 0) then write(active_line, string'(" ")); else write(active_line, string'(" ")); end if; end if; end loop; writeline(file_dump, active_line); end loop; file_close(file_dump); -- wait forever wait; end process; end sim;
apache-2.0
401cf1aa085425cb38d743ce6a62747a
0.58943
3.182718
false
false
false
false
jdeblese/mwfc
mwfc.srcs/sources_1/main.vhd
1
5,197
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; library UNISIM; use UNISIM.VComponents.all; entity main is Port ( dispc : out std_logic_vector(7 downto 0); dispa : out std_logic_vector(3 downto 0); btn : in std_logic_vector(4 downto 1); led : out std_logic_vector(7 downto 0); OLED_VDD : out std_logic; OLED_BAT : out std_logic; OLED_RST : out std_logic; OLED_CS : out std_logic; OLED_SCK : out std_logic; OLED_MOSI : out std_logic; OLED_CD : out std_logic; clk : in std_logic; rst : in std_logic ); end main; architecture Behavioral of main is signal input, clk2 : std_logic; signal divoverflow : std_logic; signal final : unsigned(16 downto 0); signal order, orderlatch : signed(7 downto 0); constant bcdprecision : integer := 20; signal bcd, bcdlatch : std_logic_vector(bcdprecision-1 downto 0); signal dispen : std_logic_vector(3 downto 0); signal data : std_logic_vector(15 downto 0); signal tiledata : std_logic_vector(15 downto 0); signal tileaddr : std_logic_vector(9 downto 0); signal tilewen : std_logic; signal digit : unsigned(3 downto 0); begin fc : entity work.mwfc generic map ( precision => final'length, bcdprecision => bcd'length ) port map ( rawfrq => final, bcdfrq => bcd, ord => order, overflow => divoverflow, clk => clk, clk2 => clk2, rst => rst ); led <= std_logic_vector(order); dispen <= "1111" when divoverflow = '0' else "0000"; data <= bcd(bcd'high downto bcd'high - 15); disp : entity work.driveseg port map ( data => data, seg_c => dispc, seg_a => dispa, en => dispen, clk => clk, rst => rst ); gpu : entity work.otile port map ( clk => clk, rst => rst, data => tiledata, addr => tileaddr, wen => tilewen, OLED_VDD => OLED_VDD, OLED_BAT => OLED_BAT, OLED_RST => OLED_RST, OLED_CS => OLED_CS, OLED_SCK => OLED_SCK, OLED_MOSI => OLED_MOSI, OLED_CD => OLED_CD ); -- Increment digit on every clock tic process(clk) variable div : unsigned(22 downto 0); begin if rising_edge(clk) then digit <= digit + "1"; if div = "0" then bcdlatch <= bcd; orderlatch <= order; end if; div := div + "1"; end if; end process; -- Set the data depending on the digit process(digit, orderlatch, bcdlatch) variable place : signed(order'range); variable sdigit : signed(digit'length downto digit'low); constant bcddigits : integer := bcdprecision / 4; variable diff : integer; begin sdigit := signed("0" & std_logic_vector(digit)); -- The counter 'digit' includes the thousands separators, so compute -- the actual order of the digit currently being displayed case digit(3 downto 2) is -- digit = 0 corresponds to 100 MHz, so the 8th place when "00" => place := to_signed(8, place'length) - sdigit; when "01" => place := to_signed(9, place'length) - sdigit; when "10" => place := to_signed(10, place'length) - sdigit; when "11" => place := to_signed(11, place'length) - sdigit; when others => place := (others => '0'); end case; if digit = x"3" then if orderlatch > to_signed(6 - bcddigits, order'length) then tiledata <= x"002c"; else tiledata <= x"0020"; end if; elsif digit = x"7" then if orderlatch > to_signed(3 - bcddigits, order'length) then tiledata <= x"002c"; else tiledata <= x"0020"; end if; elsif digit = x"b" then tiledata <= x"002e"; elsif digit = x"f" then tiledata <= x"0020"; elsif place < orderlatch then tiledata <= x"0030"; elsif place > (orderlatch + to_signed(bcddigits-1, order'length)) then tiledata <= x"0020"; else diff := to_integer(place - orderlatch); assert diff >= 0 report "diff should always be > 0" severity error; assert diff < bcddigits report "diff should not exceed the number of bcd digits" severity error; tiledata <= x"000" & bcdlatch(4*diff + 3 downto 4*diff); end if; end process; tilewen <= '1'; tileaddr <= "00" & x"6" & std_logic_vector(digit); inclk : BUFG port map ( O => clk2, I => input ); -- Input test signal: divides clk by 2 * (2 + btn) clkdiv : process(clk,rst) variable count : unsigned(10 downto 0); variable half : unsigned(count'range); begin if rising_edge(clk) then half := to_unsigned(2, half'length) + unsigned(btn & "000"); if count = half - "1" then input <= '1'; elsif count = shift_left(half,1) - "1" then input <= '0'; end if; if rst = '1' then count := (others => '0'); elsif count = shift_left(half,1) - "1" then count := (others => '0'); else count := count + "1"; end if; end if; end process; end Behavioral;
mit
fd5ab7c88affd5ac4a9547848dce6411
0.569752
3.381262
false
false
false
false
gergoerdi/tinymicro-mos6502-kansas-lava
vhdl/DualRAM.vhdl
2
1,453
-- http://danstrother.com/2010/09/11/inferring-rams-in-fpgas/ -- A parameterized, inferable, true dual-port, dual-clock block RAM in VHDL. library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity bram_tdp is generic ( DATA : integer := 4; ADDR : integer := 10 ); port ( -- Port A a_clk : in std_logic; a_wr : in std_logic; a_addr : in std_logic_vector(ADDR-1 downto 0); a_din : in std_logic_vector(DATA-1 downto 0); a_dout : out std_logic_vector(DATA-1 downto 0); -- Port B b_clk : in std_logic; b_wr : in std_logic; b_addr : in std_logic_vector(ADDR-1 downto 0); b_din : in std_logic_vector(DATA-1 downto 0); b_dout : out std_logic_vector(DATA-1 downto 0) ); end bram_tdp; architecture rtl of bram_tdp is -- Shared memory type mem_type is array ( (2**ADDR)-1 downto 0 ) of std_logic_vector(DATA-1 downto 0); shared variable mem : mem_type; begin -- Port A process(a_clk) begin if(a_clk'event and a_clk='1') then if(a_wr='1') then mem(conv_integer(a_addr)) := a_din; end if; a_dout <= mem(conv_integer(a_addr)); end if; end process; -- Port B process(b_clk) begin if(b_clk'event and b_clk='1') then if(b_wr='1') then mem(conv_integer(b_addr)) := b_din; end if; b_dout <= mem(conv_integer(b_addr)); end if; end process; end rtl;
gpl-2.0
03dde7e560c33bfd0c9e6ec9094aa452
0.591879
2.832359
false
false
false
false
jchromik/hpi-vhdl-2016
pue4/Audio/audio_out.vhd
1
2,237
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 14:20:37 07/08/2016 -- Design Name: -- Module Name: audio_out - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity audio_out is port ( clk : in STD_LOGIC; ready : in STD_LOGIC; reset : in STD_LOGIC; scancode : in STD_LOGIC_VECTOR(7 downto 0); audio : out STD_LOGIC); end audio_out; architecture Behavioral of audio_out is component audio_scancode_to_divisor is port ( scancode : in STD_LOGIC_VECTOR(7 downto 0); clock_divisor : out INTEGER); end component; signal clock_divisor : INTEGER := 378788; -- for 264 Hz (c') signal clock_counter_max : INTEGER range 0 to 500000000 := 0; signal clock_counter : INTEGER range 0 to 500000000 := 0; signal audio_state : STD_LOGIC := '0'; begin audio_scancode_to_divisor0 : audio_scancode_to_divisor port map (scancode, clock_divisor); clock_divider : process(clock_counter_max, clk) begin if clk'event and clk = '1' then if clock_counter >= clock_counter_max then clock_counter <= 0; if audio_state = '0' then audio_state <= '1'; else audio_state <= '0'; end if; else clock_counter <= clock_counter + 1; end if; end if; end process clock_divider; divisor_to_max_counter : process(clock_divisor) begin -- 100 MHz divided by clock_divisor -- result divided again by 2 to achive alternating high and low with correct frequency --clock_counter_max <= 100000000 / (clock_divisor * 2); clock_counter_max <= 500000; end process divisor_to_max_counter; audio <= audio_state; end Behavioral;
mit
8c6d24f7395d7cfad14de4a46564d679
0.637908
3.457496
false
false
false
false
mjpatter88/fundamentals
01-logic_gates/and/myAnd2_tb.vhdl
1
1,111
library IEEE; use IEEE.Std_Logic_1164.all; entity myAnd2_tb is end myAnd2_tb; architecture behavioral of myAnd2_tb is component myAnd2 port(a: in std_logic; b: in std_logic; s: out std_logic); end component; -- signals used for testing signal s1: std_logic; signal s2: std_logic; signal o1: std_logic; begin -- component instantiation myAnd2_1: myAnd2 port map(a => s1, b => s2, s => o1); process begin s1 <= '0'; s2 <= '0'; wait for 1 ns; assert o1 = '0' report "and('0', '0') was not '0'" severity error; s1 <= '0'; s2 <= '1'; wait for 1 ns; assert o1 = '0' report "and('0', '1') was not '0'" severity error; s1 <= '1'; s2 <= '0'; wait for 1 ns; assert o1 = '0' report "and('1', '0') was not '0'" severity error; s1 <= '1'; s2 <= '1'; wait for 1 ns; assert o1 = '1' report "and('1', '1') was not '1'" severity error; assert false report "test complete" severity note; wait; end process; end behavioral;
mit
5907c5b0e5eb39b35545fd325780ac63
0.529253
3.165242
false
false
false
false
timofonic/1541UltimateII
target/simulation/packages/vhdl_source/tl_file_io_pkg.vhd
4
9,339
------------------------------------------------------------------------------- -- -- (C) COPYRIGHT 2006 TECHNOLUTION BV, GOUDA NL -- | ======= I == I = -- | I I I I -- | I === === I === I === === I I I ==== I === I === -- | I / \ I I/ I I/ I I I I I I I I I I I/ I -- | I ===== I I I I I I I I I I I I I I I I -- | I \ I I I I I I I I I /I \ I I I I I -- | I === === I I I I === === === I == I === I I -- | +---------------------------------------------------+ -- +----+ | +++++++++++++++++++++++++++++++++++++++++++++++++| -- | | ++++++++++++++++++++++++++++++++++++++| -- +------------+ +++++++++++++++++++++++++| -- ++++++++++++++| -- A U T O M A T I O N T E C H N O L O G Y +++++| -- ------------------------------------------------------------------------------- -- Title : file io package -- Author : Gideon Zweijtzer <[email protected]> ------------------------------------------------------------------------------- -- Description: File IO routines ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library std; use std.textio.all; library work; use work.tl_string_util_pkg.all; package tl_file_io_pkg is type t_slv8_array is array(natural range <>) of std_logic_vector(7 downto 0); procedure get_char_from_file(file my_file : text; my_line : inout line; file_end : out boolean; hex : out character); procedure get_byte_from_file(file my_file : text; my_line : inout line; file_end : out boolean; dat : out std_logic_vector); procedure read_hex_file_to_array (file myfile : text; array_size : integer; result : inout t_slv8_array); -- handling binary files type t_binary_file is file of integer; type t_binary_file_rec is record offset : integer range 0 to 4; long_vec : std_logic_vector(31 downto 0); end record; procedure init_record(rec : inout t_binary_file_rec); procedure read_byte(file my_file : t_binary_file; byte : out std_logic_vector; rec : inout t_binary_file_rec); procedure write_byte(file my_file : t_binary_file; byte : in std_logic_vector; rec : inout t_binary_file_rec); procedure purge(file my_file : t_binary_file; rec : inout t_binary_file_rec); end; package body tl_file_io_pkg is procedure get_char_from_file(file my_file : text; my_line : inout line; file_end : out boolean; hex : out character) is variable good : boolean := false; variable stop : boolean := false; begin while not(good) loop READ(my_line, hex, good); if not(good) then if ENDFILE(my_file) then stop := true; hex := '1'; return; end if; READLINE(my_file, my_line); end if; end loop; file_end := stop; end get_char_from_file; procedure get_byte_from_file(file my_file : text; my_line : inout line; file_end : out boolean; dat : out std_logic_vector) is variable hex : character; variable data : std_logic_vector(7 downto 0); variable stop : boolean := false; begin data := X"00"; l_1 : loop get_char_from_file(my_file, my_line, stop, hex); if stop or is_hex_char(hex) then exit l_1; end if; end loop l_1; data(3 downto 0) := hex_to_nibble(hex); -- see if we can read another good hex char get_char_from_file(my_file, my_line, stop, hex); if not(stop) and is_hex_char(hex) then data(7 downto 4) := data(3 downto 0); data(3 downto 0) := hex_to_nibble(hex); end if; file_end := stop; dat := data; end get_byte_from_file; procedure read_hex_file_to_array ( file myfile : text; array_size : integer; result : inout t_slv8_array) is variable L : line; variable addr : unsigned(31 downto 0) := (others => '0'); variable c : character; variable data : std_logic_vector(7 downto 0); variable sum : unsigned(7 downto 0); variable rectype : std_logic_vector(7 downto 0); variable tmp_addr : std_logic_vector(15 downto 0); variable fileend : boolean; variable linenr : integer := 0; variable len : integer; variable out_array: t_slv8_array(0 to array_size-1) := (others => (others => '0')); begin outer : while true loop if EndFile(myfile) then report "Missing end of file record." severity warning; exit outer; end if; -- search for lines starting with ':' start : while true loop readline(myfile, L); linenr := linenr + 1; read(L, c); if c = ':' then exit start; end if; end loop; -- parse the rest of the line sum := X"00"; get_byte_from_file(myfile, L, fileend, data); len := to_integer(unsigned(data)); get_byte_from_file(myfile, L, fileend, tmp_addr(15 downto 8)); get_byte_from_file(myfile, L, fileend, tmp_addr(7 downto 0)); get_byte_from_file(myfile, L, fileend, rectype); sum := sum - (unsigned(data) + unsigned(tmp_addr(15 downto 8)) + unsigned(tmp_addr(7 downto 0)) + unsigned(rectype)); case rectype is when X"00" => -- data record addr(15 downto 0) := unsigned(tmp_addr); for i in 0 to len-1 loop get_byte_from_file(myfile, L, fileend, data); sum := sum - unsigned(data); out_array(to_integer(addr)) := data; addr := addr + 1; end loop; when X"01" => -- end of file record exit outer; when X"04" => -- extended linear address record get_byte_from_file(myfile, L, fileend, data); addr(31 downto 24) := unsigned(data); sum := sum - addr(31 downto 24); get_byte_from_file(myfile, L, fileend, data); addr(23 downto 16) := unsigned(data); sum := sum - addr(23 downto 16); when others => report "Unexpected record type " & vec_to_hex(rectype, 2) severity warning; exit outer; end case; -- check checksum get_byte_from_file(myfile, L, fileend, data); assert sum = unsigned(data) report "Warning: Checksum incorrect at line: " & integer'image(linenr) severity warning; end loop; result := out_array; end read_hex_file_to_array; procedure init_record(rec : inout t_binary_file_rec) is begin rec.offset := 0; rec.long_vec := (others => '0'); end procedure; procedure read_byte(file my_file : t_binary_file; byte : out std_logic_vector; rec : inout t_binary_file_rec) is variable i : integer; begin if rec.offset = 0 then read(my_file, i); rec.long_vec := std_logic_vector(to_unsigned(i, 32)); end if; byte := rec.long_vec(7 downto 0); rec.long_vec := "00000000" & rec.long_vec(31 downto 8); -- lsB first if rec.offset = 3 then rec.offset := 0; else rec.offset := rec.offset + 1; end if; end procedure; procedure write_byte(file my_file : t_binary_file; byte : in std_logic_vector; rec : inout t_binary_file_rec) is variable i : integer; begin rec.long_vec(31 downto 24) := byte; if rec.offset = 3 then i := to_integer(unsigned(rec.long_vec)); write(my_file, i); rec.offset := 0; else rec.offset := rec.offset + 1; rec.long_vec := "00000000" & rec.long_vec(31 downto 8); -- lsB first end if; end procedure; procedure purge(file my_file : t_binary_file; rec : inout t_binary_file_rec) is variable i : integer; begin if rec.offset /= 0 then i := to_integer(unsigned(rec.long_vec)); write(my_file, i); end if; end procedure; end;
gpl-3.0
da4696130e416fdb4264be40b4934bed
0.452297
3.979122
false
false
false
false
Fju/LeafySan
src/vhdl/interfaces/uart.vhdl
1
11,146
----------------------------------------------------------------------------------------- -- Project : Invent a Chip -- Module : UART Interface -- Author : Jan Dürre -- Last update : 10.04.2015 -- Description : - ----------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; library work; use work.iac_pkg.all; entity uart is generic ( SIMULATION : boolean := false ); port ( -- global signals clock : in std_ulogic; reset_n : in std_ulogic; -- bus interface iobus_cs : in std_ulogic; iobus_wr : in std_ulogic; iobus_addr : in std_ulogic_vector(CW_ADDR_UART-1 downto 0); iobus_din : in std_ulogic_vector(CW_DATA_UART-1 downto 0); iobus_dout : out std_ulogic_vector(CW_DATA_UART-1 downto 0); -- IRQ handling iobus_irq_rx : out std_ulogic; iobus_irq_tx : out std_ulogic; iobus_ack_rx : in std_ulogic; iobus_ack_tx : in std_ulogic; -- pins to outside rts : in std_ulogic; cts : out std_ulogic; rxd : in std_ulogic; txd : out std_ulogic ); end uart; architecture rtl of uart is constant CV_BIT_DURATION_REAL : natural := natural(ceil(real(CV_SYS_CLOCK_RATE) / real(CV_UART_BAUDRATE))); constant CV_BIT_DURATION_SIM : natural := 16; signal CV_BIT_DURATION : natural; component fifo is generic ( DEPTH : natural; WORDWIDTH : natural ); port ( clock : in std_ulogic; reset_n : in std_ulogic; write_en : in std_ulogic; data_in : in std_ulogic_vector(WORDWIDTH-1 downto 0); read_en : in std_ulogic; data_out : out std_ulogic_vector(WORDWIDTH-1 downto 0); empty : out std_ulogic; full : out std_ulogic; fill_cnt : out unsigned(to_log2(DEPTH+1)-1 downto 0) ); end component fifo; signal rxbuf_write_en : std_ulogic; signal rxbuf_data_in : std_ulogic_vector(CV_UART_DATABITS-1 downto 0); signal rxbuf_read_en : std_ulogic; signal rxbuf_data_out : std_ulogic_vector(CV_UART_DATABITS-1 downto 0); signal rxbuf_empty : std_ulogic; signal rxbuf_full : std_ulogic; signal txbuf_write_en : std_ulogic; signal txbuf_data_in : std_ulogic_vector(CV_UART_DATABITS-1 downto 0); signal txbuf_read_en : std_ulogic; signal txbuf_data_out : std_ulogic_vector(CV_UART_DATABITS-1 downto 0); signal txbuf_empty : std_ulogic; signal txbuf_full : std_ulogic; -- rx type state_rx_t is ( S_IDLE, S_HALFSTARTBIT, S_DATABITS, S_PARITY, S_STOPBITS ); signal state_rx : state_rx_t; signal state_rx_nxt : state_rx_t; signal rxd_reg : std_ulogic_vector(2 downto 0); signal rxd_reg_nxt : std_ulogic_vector(2 downto 0); signal rx_cnt : unsigned(to_log2(CV_BIT_DURATION_REAL)-1 downto 0); signal rx_cnt_nxt : unsigned(to_log2(CV_BIT_DURATION_REAL)-1 downto 0); signal rx_cnt_reset : std_ulogic; signal rx_bitcnt : unsigned(to_log2(CV_UART_DATABITS)-1 downto 0); signal rx_bitcnt_nxt : unsigned(to_log2(CV_UART_DATABITS)-1 downto 0); signal rx_data : std_ulogic_vector(CV_UART_DATABITS-1 downto 0); signal rx_data_nxt : std_ulogic_vector(CV_UART_DATABITS-1 downto 0); signal error_reg : std_ulogic_vector(1 downto 0); -- 1: overflow, 0: parity signal error_reg_nxt : std_ulogic_vector(1 downto 0); -- tx type state_tx_t is ( S_IDLE, S_STARTBIT, S_DATABITS, S_PARITY, S_STOPBITS ); signal state_tx : state_tx_t; signal state_tx_nxt : state_tx_t; signal tx_cnt : unsigned(to_log2(CV_BIT_DURATION_REAL)-1 downto 0); signal tx_cnt_nxt : unsigned(to_log2(CV_BIT_DURATION_REAL)-1 downto 0); signal tx_cnt_reset : std_ulogic; signal tx_bitcnt : unsigned(to_log2(CV_UART_DATABITS)-1 downto 0); signal tx_bitcnt_nxt : unsigned(to_log2(CV_UART_DATABITS)-1 downto 0); signal tx_data : std_ulogic_vector(CV_UART_DATABITS-1 downto 0); signal tx_data_nxt : std_ulogic_vector(CV_UART_DATABITS-1 downto 0); signal tx_parity : std_ulogic; signal tx_parity_nxt : std_ulogic; begin CV_BIT_DURATION <= CV_BIT_DURATION_SIM when SIMULATION = true else CV_BIT_DURATION_REAL; cts <= '0'; rxbuf_inst : fifo generic map ( DEPTH => CS_UART_BUFFER, WORDWIDTH => CV_UART_DATABITS ) port map ( clock => clock, reset_n => reset_n, write_en => rxbuf_write_en, data_in => rxbuf_data_in, read_en => rxbuf_read_en, data_out => rxbuf_data_out, empty => rxbuf_empty, full => rxbuf_full, fill_cnt => open ); txbuf_inst : fifo generic map ( DEPTH => CS_UART_BUFFER, WORDWIDTH => CV_UART_DATABITS ) port map ( clock => clock, reset_n => reset_n, write_en => txbuf_write_en, data_in => txbuf_data_in, read_en => txbuf_read_en, data_out => txbuf_data_out, empty => txbuf_empty, full => txbuf_full, fill_cnt => open ); ff : process (reset_n, clock) begin if (reset_n = '0') then state_rx <= S_IDLE; rxd_reg <= (others => '0'); rx_cnt <= (others => '0'); rx_bitcnt <= (others => '0'); rx_data <= (others => '0'); error_reg <= (others => '0'); state_tx <= S_IDLE; tx_cnt <= (others => '0'); tx_bitcnt <= (others => '0'); tx_data <= (others => '0'); tx_parity <= '0'; elsif rising_edge(clock) then state_rx <= state_rx_nxt; rxd_reg <= rxd_reg_nxt; rx_cnt <= rx_cnt_nxt; rx_bitcnt <= rx_bitcnt_nxt; rx_data <= rx_data_nxt; error_reg <= error_reg_nxt; state_tx <= state_tx_nxt; tx_cnt <= tx_cnt_nxt; tx_bitcnt <= tx_bitcnt_nxt; tx_data <= tx_data_nxt; tx_parity <= tx_parity_nxt; end if; end process; iobus_if : process(iobus_cs, iobus_wr, iobus_addr, iobus_din, rxbuf_empty, rxbuf_full, rxbuf_data_out, txbuf_empty, txbuf_full, error_reg) begin txbuf_write_en <= '0'; txbuf_data_in <= (others => '0'); rxbuf_read_en <= '0'; iobus_irq_rx <= not rxbuf_empty; iobus_irq_tx <= not txbuf_full; iobus_dout <= (others => '0'); -- chipselect if iobus_cs = '1' then -- write if iobus_wr = '1' then -- tx data if iobus_addr = CV_ADDR_UART_DATA_TX then -- avoid overflow if txbuf_full = '0' then txbuf_write_en <= '1'; txbuf_data_in <= iobus_din; end if; end if; -- read else -- rx data if iobus_addr = CV_ADDR_UART_DATA_RX then -- avoid underflow if rxbuf_empty = '0' then rxbuf_read_en <= '1'; iobus_dout <= rxbuf_data_out; end if; end if; end if; end if; end process; rx_cnt_nxt <= (others => '0') when rx_cnt_reset = '1' else rx_cnt + 1; tx_cnt_nxt <= (others => '0') when tx_cnt_reset = '1' else tx_cnt + 1; -- rx fsm rx : process(state_rx, rxbuf_full, rxd_reg, rx_bitcnt, rx_cnt, rx_data, error_reg, rxd, CV_BIT_DURATION) begin state_rx_nxt <= state_rx; rxd_reg_nxt <= rxd_reg; rx_bitcnt_nxt <= rx_bitcnt; rx_data_nxt <= rx_data; error_reg_nxt <= error_reg; rx_cnt_reset <= '0'; rxbuf_write_en <= '0'; rxbuf_data_in <= (others => '0'); rxd_reg_nxt <= rxd & rxd_reg(rxd_reg'length-1 downto 1); case state_rx is when S_IDLE => -- falling edge on rxd if rxd_reg = "001" then rx_cnt_reset <= '1'; error_reg_nxt <= (others => '0'); state_rx_nxt <= S_HALFSTARTBIT; end if; when S_HALFSTARTBIT => -- sync to mid of startbit if rx_cnt = shift_right(to_unsigned(CV_BIT_DURATION - 1, rx_cnt'length),1) then rx_cnt_reset <= '1'; rx_bitcnt_nxt <= (others => '0'); state_rx_nxt <= S_DATABITS; end if; when S_DATABITS => if rx_cnt = CV_BIT_DURATION - 1 then rx_cnt_reset <= '1'; rx_data_nxt <= rxd_reg(0) & rx_data(rx_data'length-1 downto 1); -- last databit if rx_bitcnt = CV_UART_DATABITS-1 then rx_bitcnt_nxt <= (others => '0'); -- receive parity if CS_UART_PARITY /= "NONE" then state_rx_nxt <= S_PARITY; -- receive stopbits else state_rx_nxt <= S_STOPBITS; end if; -- next databit else rx_bitcnt_nxt <= rx_bitcnt + 1; end if; end if; when S_PARITY => if rx_cnt = CV_BIT_DURATION - 1 then rx_cnt_reset <= '1'; state_rx_nxt <= S_STOPBITS; -- check parity if calc_parity(rx_data, CS_UART_PARITY) /= rxd_reg(0) then -- parity error error_reg_nxt(0) <= '1'; end if; end if; when S_STOPBITS => if rx_cnt = CV_BIT_DURATION - 1 then rx_cnt_reset <= '1'; -- last stopbit if rx_bitcnt = CV_UART_STOPBITS-1 then rx_bitcnt_nxt <= (others => '0'); state_rx_nxt <= S_IDLE; -- write received data to rx-fifo if rxbuf_full = '0' then rxbuf_write_en <= '1'; rxbuf_data_in <= rx_data; else -- overflow error error_reg_nxt(1) <= '1'; end if; -- next stopbit else rx_bitcnt_nxt <= rx_bitcnt + 1; end if; end if; end case; end process; -- tx fsm tx : process(state_tx, tx_cnt, tx_bitcnt, tx_data, tx_parity, txbuf_data_out, txbuf_empty, CV_BIT_DURATION) begin state_tx_nxt <= state_tx; tx_cnt_reset <= '0'; tx_bitcnt_nxt <= tx_bitcnt; tx_data_nxt <= tx_data; tx_parity_nxt <= tx_parity; txbuf_read_en <= '0'; txd <= '1'; case state_tx is when S_IDLE => txd <= '1'; -- wait for data in tx-fifo if txbuf_empty = '0' then txbuf_read_en <= '1'; tx_cnt_reset <= '1'; tx_bitcnt_nxt <= (others => '0'); tx_data_nxt <= txbuf_data_out; tx_parity_nxt <= calc_parity(txbuf_data_out, CS_UART_PARITY); state_tx_nxt <= S_STARTBIT; end if; when S_STARTBIT => txd <= '0'; -- startbit finished if tx_cnt = CV_BIT_DURATION - 1 then tx_cnt_reset <= '1'; state_tx_nxt <= S_DATABITS; end if; when S_DATABITS => txd <= tx_data(0); -- single databit finished if tx_cnt = CV_BIT_DURATION - 1 then tx_cnt_reset <= '1'; tx_data_nxt <= '0' & tx_data(tx_data'length-1 downto 1); -- last databit if tx_bitcnt = CV_UART_DATABITS-1 then tx_bitcnt_nxt <= (others => '0'); -- transfer parity if CS_UART_PARITY /= "NONE" then state_tx_nxt <= S_PARITY; -- transfer stopbits else state_tx_nxt <= S_STOPBITS; end if; -- next databit else tx_bitcnt_nxt <= tx_bitcnt + 1; end if; end if; when S_PARITY => txd <= tx_parity; -- transfer parity if tx_cnt = CV_BIT_DURATION - 1 then tx_cnt_reset <= '1'; state_tx_nxt <= S_STOPBITS; end if; when S_STOPBITS => txd <= '1'; -- single stopbit finished if tx_cnt = CV_BIT_DURATION - 1 then tx_cnt_reset <= '1'; -- last stopbit if tx_bitcnt = CV_UART_STOPBITS-1 then state_tx_nxt <= S_IDLE; -- next stopbit else tx_bitcnt_nxt <= tx_bitcnt + 1; end if; end if; end case; end process; end rtl;
apache-2.0
f531174f9ff540863681faade9a90d8e
0.570749
2.621736
false
false
false
false
mjpatter88/fundamentals
01-logic_gates/mux/myMux2.vhdl
1
885
library IEEE; use IEEE.Std_Logic_1164.all; entity myMux2 is port(a: in std_logic; b: in std_logic; sel: in std_logic; s: out std_logic); end myMux2; architecture behavioral of myMux2 is component myAnd2 port(a: in std_logic; b: in std_logic; s: out std_logic); end component; component myOr2 port(a: in std_logic; b: in std_logic; s: out std_logic); end component; component myNot port(a: in std_logic; s: out std_logic); end component; signal selNot: std_logic; signal aAndNotSelOut: std_logic; signal bAndSelOut: std_logic; begin myNot_1: myNot port map(a => sel, s => selNot); myAnd2_1: myAnd2 port map(a => a, b => selNot, s => aAndNotSelOut); myAnd2_2: myAnd2 port map(a => b, b => sel, s => bAndSelOut); myOr2_1: myOr2 port map(a => aAndNotSelOut, b => bAndSelOut, s => s); end behavioral;
mit
a4f5bcf3cc2069b38489ed7297006d96
0.637288
2.911184
false
false
false
false
Fju/LeafySan
src/vhdl/invent_a_chip_leafysan.vhdl
1
27,514
---------------------------------------------------------------------- -- Project : LeafySan -- Module : Main module -- Authors : Florian Winkler -- Lust update : 03.09.2017 -- Description : Connects all modules with external stuff (switches, gpio pins, LED's) -- Furthermore it displays all read sensor values on a LCD and a 7-Segment Display -- Also sends data via UART to a microcomputer ---------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.iac_pkg.all; entity invent_a_chip is port ( -- Global Signals clock : in std_ulogic; reset : in std_ulogic; -- Interface Signals -- 7-Seg sevenseg_cs : out std_ulogic; sevenseg_wr : out std_ulogic; sevenseg_addr : out std_ulogic_vector(CW_ADDR_SEVENSEG-1 downto 0); sevenseg_din : in std_ulogic_vector(CW_DATA_SEVENSEG-1 downto 0); sevenseg_dout : out std_ulogic_vector(CW_DATA_SEVENSEG-1 downto 0); -- ADC/DAC adc_dac_cs : out std_ulogic; adc_dac_wr : out std_ulogic; adc_dac_addr : out std_ulogic_vector(CW_ADDR_ADC_DAC-1 downto 0); adc_dac_din : in std_ulogic_vector(CW_DATA_ADC_DAC-1 downto 0); adc_dac_dout : out std_ulogic_vector(CW_DATA_ADC_DAC-1 downto 0); -- AUDIO audio_cs : out std_ulogic; audio_wr : out std_ulogic; audio_addr : out std_ulogic_vector(CW_ADDR_AUDIO-1 downto 0); audio_din : in std_ulogic_vector(CW_DATA_AUDIO-1 downto 0); audio_dout : out std_ulogic_vector(CW_DATA_AUDIO-1 downto 0); audio_irq_left : in std_ulogic; audio_irq_right : in std_ulogic; audio_ack_left : out std_ulogic; audio_ack_right : out std_ulogic; -- Infra-red Receiver ir_cs : out std_ulogic; ir_wr : out std_ulogic; ir_addr : out std_ulogic_vector(CW_ADDR_IR-1 downto 0); ir_din : in std_ulogic_vector(CW_DATA_IR-1 downto 0); ir_dout : out std_ulogic_vector(CW_DATA_IR-1 downto 0); ir_irq_rx : in std_ulogic; ir_ack_rx : out std_ulogic; -- LCD lcd_cs : out std_ulogic; lcd_wr : out std_ulogic; lcd_addr : out std_ulogic_vector(CW_ADDR_LCD-1 downto 0); lcd_din : in std_ulogic_vector(CW_DATA_LCD-1 downto 0); lcd_dout : out std_ulogic_vector(CW_DATA_LCD-1 downto 0); lcd_irq_rdy : in std_ulogic; lcd_ack_rdy : out std_ulogic; -- SRAM sram_cs : out std_ulogic; sram_wr : out std_ulogic; sram_addr : out std_ulogic_vector(CW_ADDR_SRAM-1 downto 0); sram_din : in std_ulogic_vector(CW_DATA_SRAM-1 downto 0); sram_dout : out std_ulogic_vector(CW_DATA_SRAM-1 downto 0); -- UART uart_cs : out std_ulogic; uart_wr : out std_ulogic; uart_addr : out std_ulogic_vector(CW_ADDR_UART-1 downto 0); uart_din : in std_ulogic_vector(CW_DATA_UART-1 downto 0); uart_dout : out std_ulogic_vector(CW_DATA_UART-1 downto 0); uart_irq_rx : in std_ulogic; uart_irq_tx : in std_ulogic; uart_ack_rx : out std_ulogic; uart_ack_tx : out std_ulogic; -- GPIO gp_ctrl : out std_ulogic_vector(15 downto 0); gp_in : in std_ulogic_vector(15 downto 0); gp_out : out std_ulogic_vector(15 downto 0); -- LED/Switches/Keys led_green : out std_ulogic_vector(8 downto 0); led_red : out std_ulogic_vector(17 downto 0); switch : in std_ulogic_vector(17 downto 0); key : in std_ulogic_vector(2 downto 0) ); end invent_a_chip; architecture rtl of invent_a_chip is component adc_sensors is port ( clock : in std_ulogic; reset : in std_ulogic; temperature : out unsigned(11 downto 0); carbondioxide : out unsigned(13 downto 0); adc_dac_cs : out std_ulogic; adc_dac_wr : out std_ulogic; adc_dac_addr : out std_ulogic_vector(CW_ADDR_ADC_DAC-1 downto 0); adc_dac_din : in std_ulogic_vector(CW_DATA_ADC_DAC-1 downto 0); adc_dac_dout : out std_ulogic_vector(CW_DATA_ADC_DAC-1 downto 0) ); end component adc_sensors; component light_sensor is generic ( CYCLE_TICKS : natural := 50000000 ); port ( clock : in std_ulogic; reset : in std_ulogic; i2c_clk_ctrl : out std_ulogic; i2c_clk_in : in std_ulogic; i2c_clk_out : out std_ulogic; i2c_dat_ctrl : out std_ulogic; i2c_dat_in : in std_ulogic; i2c_dat_out : out std_ulogic; value : out unsigned(15 downto 0); enabled : in std_ulogic ); end component light_sensor; component moisture_sensor is generic ( CYCLE_TICKS : natural := 50000000 ); port ( clock : in std_ulogic; reset : in std_ulogic; i2c_clk_ctrl : out std_ulogic; i2c_clk_in : in std_ulogic; i2c_clk_out : out std_ulogic; i2c_dat_ctrl : out std_ulogic; i2c_dat_in : in std_ulogic; i2c_dat_out : out std_ulogic; moisture : out unsigned(15 downto 0); temperature : out unsigned(15 downto 0); address : out unsigned(6 downto 0); enabled : in std_ulogic ); end component moisture_sensor; component peripherals is port( clock : in std_ulogic; reset : in std_ulogic; temperature : in unsigned(11 downto 0); brightness : in unsigned(15 downto 0); moisture : in unsigned(15 downto 0); lighting_on : out std_ulogic; heating_on : out std_ulogic; watering_on : out std_ulogic; ventilation_on : out std_ulogic; heating_thresh : in unsigned(11 downto 0); lighting_thresh : in unsigned(15 downto 0); watering_thresh : in unsigned(15 downto 0) ); end component peripherals; constant SENSOR_CYCLE_TICKS : natural := 50000000; -- 1s constant LCD_CLOCK_TICKS : natural := 10000000; -- 200ms constant SEG_CLOCK_TICKS : natural := 12500000; -- 250ms constant UART_WR_BYTE_COUNT : natural := 13; constant UART_RD_BYTE_COUNT : natural := 9; constant UART_DATA_WIDTH : natural := 6; constant WARNING_CLOCK_TICKS : natural := 37500000; -- 750ms -- state definitions type lcd_state_t is (S_LCD_WAIT, S_LCD_DISPLAY); type seg_state_t is (S_SEG_WAIT, S_SEG_DISPLAY_DEC, S_SEG_DISPLAY_HEX); type uart_state_t is (S_UART_RD_WAIT_START, S_UART_RD_READ_LOOP, S_UART_WR_START, S_UART_WR_WRITE_LOOP, S_UART_WR_END); type sensor_state_t is (S_SENS_LIGHT, S_SENS_MOIST); -- state registers signal lcd_state, lcd_state_nxt : lcd_state_t; signal seg_state, seg_state_nxt : seg_state_t; signal uart_state, uart_state_nxt : uart_state_t; signal sensor_state, sensor_state_nxt : sensor_state_t; -- seven-segment registers signal seg_clock, seg_clock_nxt : unsigned(to_log2(SEG_CLOCK_TICKS) - 1 downto 0); -- LCD registers signal lcd_clock, lcd_clock_nxt : unsigned(to_log2(LCD_CLOCK_TICKS) - 1 downto 0); signal lcd_cmds, lcd_cmds_nxt : lcd_commands_t(0 to 32); -- amount of bytes sent to determine whether all data has been sent already signal lcd_sent_chars, lcd_sent_chars_nxt : unsigned(to_log2(lcd_cmds'length) - 1 downto 0); -- UART registers signal uart_sent_bytes, uart_sent_bytes_nxt : unsigned(to_log2(UART_WR_BYTE_COUNT) - 1 downto 0); signal uart_received_bytes, uart_received_bytes_nxt : unsigned(to_log2(UART_RD_BYTE_COUNT) - 1 downto 0); type uart_protocol_entry_t is record cmd : std_ulogic_vector(1 downto 0); data : std_ulogic_vector(5 downto 0); end record; type uart_protocol_array is array (natural range <>) of uart_protocol_entry_t; signal uart_wr_array, uart_wr_array_nxt : uart_protocol_array(0 to UART_WR_BYTE_COUNT - 1); signal uart_rd_array, uart_rd_array_nxt : uart_protocol_array(0 to UART_RD_BYTE_COUNT - 1); -- signals for `adc_sensors` component signal adc_temperature : unsigned(11 downto 0); signal adc_carbondioxide : unsigned(13 downto 0); -- signals for `light_sensor` component signal light_clk_ctrl, light_dat_ctrl : std_ulogic; signal light_clk_in, light_dat_in : std_ulogic; signal light_clk_out, light_dat_out : std_ulogic; signal light_enabled : std_ulogic; signal light_value : unsigned(15 downto 0); -- signals for `moisture_sensor` component signal moist_clk_ctrl, moist_dat_ctrl : std_ulogic; signal moist_clk_in, moist_dat_in : std_ulogic; signal moist_clk_out, moist_dat_out : std_ulogic; signal moist_enabled : std_ulogic; signal moist_moisture : unsigned(15 downto 0); signal moist_temperature : unsigned(15 downto 0); signal moist_address : unsigned(6 downto 0); -- signals for `peripherals` component signal peripherals_heating_on : std_ulogic; signal peripherals_watering_on : std_ulogic; signal peripherals_lighting_on : std_ulogic; signal peripherals_ventilation_on : std_ulogic; signal heating_thresh, heating_thresh_nxt : unsigned(11 downto 0); signal lighting_thresh, lighting_thresh_nxt : unsigned(15 downto 0); signal watering_thresh, watering_thresh_nxt : unsigned(15 downto 0); -- registers to create blinking led effect signal warning_clock, warning_clock_nxt : unsigned(to_log2(WARNING_CLOCK_TICKS) - 1 downto 0); signal warning_led, warning_led_nxt : std_ulogic; begin -- map all component signals to internal signals adc_sensors_inst : adc_sensors port map ( clock => clock, reset => reset, temperature => adc_temperature, carbondioxide => adc_carbondioxide, adc_dac_cs => adc_dac_cs, adc_dac_wr => adc_dac_wr, adc_dac_addr => adc_dac_addr, adc_dac_din => adc_dac_din, adc_dac_dout => adc_dac_dout ); light_sensor_inst : light_sensor generic map ( CYCLE_TICKS => SENSOR_CYCLE_TICKS ) port map ( clock => clock, reset => reset, i2c_clk_ctrl => light_clk_ctrl, i2c_clk_in => light_clk_in, i2c_clk_out => light_clk_out, i2c_dat_ctrl => light_dat_ctrl, i2c_dat_in => light_dat_in, i2c_dat_out => light_dat_out, value => light_value, enabled => light_enabled ); moisture_sensor_inst : moisture_sensor generic map ( CYCLE_TICKS => SENSOR_CYCLE_TICKS ) port map ( clock => clock, reset => reset, i2c_clk_ctrl => moist_clk_ctrl, i2c_clk_in => moist_clk_in, i2c_clk_out => moist_clk_out, i2c_dat_ctrl => moist_dat_ctrl, i2c_dat_in => moist_dat_in, i2c_dat_out => moist_dat_out, moisture => moist_moisture, temperature => moist_temperature, address => moist_address, enabled => moist_enabled ); peripherals_inst : peripherals port map ( clock => clock, reset => reset, temperature => adc_temperature, brightness => light_value, moisture => moist_moisture, lighting_on => peripherals_lighting_on, heating_on => peripherals_heating_on, watering_on => peripherals_watering_on, ventilation_on => peripherals_ventilation_on, heating_thresh => heating_thresh, lighting_thresh => lighting_thresh, watering_thresh => watering_thresh ); -- sequential process process(clock, reset) begin if reset = '1' then lcd_state <= S_LCD_WAIT; lcd_clock <= (others => '0'); lcd_cmds <= (others => (others => '0')); lcd_sent_chars <= (others => '0'); seg_state <= S_SEG_WAIT; seg_clock <= (others => '0'); uart_state <= S_UART_RD_WAIT_START; uart_wr_array <= (others => (others => (others => '0'))); uart_rd_array <= (others => (others => (others => '0'))); uart_sent_bytes <= (others => '0'); uart_received_bytes <= (others => '0'); warning_clock <= (others => '0'); warning_led <= '0'; -- set thresholds to default value heating_thresh <= to_unsigned(220, heating_thresh'length); -- 22,0 °C lighting_thresh <= to_unsigned(400, lighting_thresh'length); -- 400 lx watering_thresh <= to_unsigned(500, watering_thresh'length); -- 50,0 % elsif rising_edge(clock) then lcd_state <= lcd_state_nxt; lcd_clock <= lcd_clock_nxt; lcd_cmds <= lcd_cmds_nxt; lcd_sent_chars <= lcd_sent_chars_nxt; seg_state <= seg_state_nxt; seg_clock <= seg_clock_nxt; uart_state <= uart_state_nxt; uart_wr_array <= uart_wr_array_nxt; uart_rd_array <= uart_rd_array_nxt; uart_sent_bytes <= uart_sent_bytes_nxt; uart_received_bytes <= uart_received_bytes_nxt; warning_clock <= warning_clock_nxt; warning_led <= warning_led_nxt; heating_thresh <= heating_thresh_nxt; lighting_thresh <= lighting_thresh_nxt; watering_thresh <= watering_thresh_nxt; end if; end process; -- GPIO process process(peripherals_ventilation_on, peripherals_heating_on, peripherals_lighting_on, peripherals_watering_on, moist_clk_ctrl, moist_clk_in, moist_clk_out, moist_dat_ctrl, moist_dat_in, moist_dat_out, light_clk_ctrl, light_clk_in, light_clk_out, light_dat_ctrl, light_dat_in, light_dat_out, warning_clock, warning_led, gp_in, switch) begin -- safety / development / debug switches led_green(0) <= switch(0); led_green(1) <= not(gp_in(8)); -- automatic / manual peripherals control switch led_green(2) <= switch(1); led_red <= (others => '0'); -- default assignment -- i2c connections light_enabled <= '1'; -- for debugging purpose gp_ctrl(1 downto 0) <= light_clk_ctrl & light_dat_ctrl; gp_out(1 downto 0) <= light_clk_out & light_dat_out; light_clk_in <= gp_in(1); light_dat_in <= gp_in(0); moist_enabled <= '1'; -- for debugging purpose gp_ctrl(3 downto 2) <= moist_clk_ctrl & moist_dat_ctrl; gp_out(3 downto 2) <= moist_clk_out & moist_dat_out; moist_clk_in <= gp_in(3); moist_dat_in <= gp_in(2); -- setup pin mode: 1 input (safety switch), 4 outputs (relais control) gp_ctrl(8 downto 4) <= "01111"; -- disable all relais by default gp_out(7 downto 4) <= not("0000"); warning_led_nxt <= warning_led; warning_clock_nxt <= warning_clock; if gp_in(8) = '1' or switch(0) = '1' then warning_led_nxt <= '0'; warning_clock_nxt <= (others => '0'); if switch(1) = '0' then -- automatic GPIO outputs -- Map: GPIO_4 -> IN1 (lighting), GPIO_5 -> IN2 (watering), GPIO_6 -> IN3 (ventilation), GPIO_7 -> IN4 (heating) -- relais needs '1' for off and '0' for on, that's why `not(...)` is used gp_out(7 downto 4) <= not(peripherals_heating_on & peripherals_ventilation_on & peripherals_watering_on & peripherals_lighting_on); led_red(3 downto 0) <= peripherals_heating_on & peripherals_ventilation_on & peripherals_watering_on & peripherals_lighting_on; else -- manual GPIO outputs gp_out(7 downto 4) <= not(switch(5 downto 2)); led_red(3 downto 0) <= switch(5 downto 2); end if; else -- generate blinking led row when safety switch is off led_red <= (others => warning_led); -- all on or all off if warning_clock = to_unsigned(WARNING_CLOCK_TICKS - 1, warning_clock'length) then warning_clock_nxt <= (others => '0'); if warning_led = '1' then warning_led_nxt <= '0'; else warning_led_nxt <= '1'; end if; else warning_clock_nxt <= warning_clock + to_unsigned(1, warning_clock'length); end if; end if; -- gp_ctrl(15 downto 9) <= (others => '0'); gp_out(15 downto 8) <= (others => '0'); led_green(8 downto 3) <= (others => '0'); end process; -- LCD process process(key, adc_dac_din, lcd_state, lcd_clock, lcd_irq_rdy, lcd_cmds, lcd_sent_chars, moist_moisture, moist_temperature, light_value, adc_carbondioxide, lighting_thresh, watering_thresh, heating_thresh) -- variables for converting numbers into lcd_cmds variable mst_bcd_value : unsigned(15 downto 0) := (others => '0'); variable tmp_bcd_value : unsigned(15 downto 0) := (others => '0'); variable lux_bcd_value : unsigned(19 downto 0) := (others => '0'); variable co2_bcd_value : unsigned(15 downto 0) := (others => '0'); variable mst_bcd_thresh : unsigned(11 downto 0) := (others => '0'); variable tmp_bcd_thresh : unsigned(11 downto 0) := (others => '0'); variable lux_bcd_thresh : unsigned(19 downto 0) := (others => '0'); variable lcd_cmds_mst : std_ulogic_vector(55 downto 0) := (others => '0'); variable lcd_cmds_tmp : std_ulogic_vector(55 downto 0) := (others => '0'); variable lcd_cmds_lux : std_ulogic_vector(63 downto 0) := (others => '0'); variable lcd_cmds_co2 : std_ulogic_vector(55 downto 0) := (others => '0'); variable lcd_thresh_mst : std_ulogic_vector(31 downto 0) := (others => '0'); variable lcd_thresh_tmp : std_ulogic_vector(31 downto 0) := (others => '0'); variable lcd_thresh_lux : std_ulogic_vector(39 downto 0) := (others => '0'); begin -- hold values of all registers by default lcd_state_nxt <= lcd_state; lcd_clock_nxt <= lcd_clock; lcd_cmds_nxt <= lcd_cmds; lcd_sent_chars_nxt <= lcd_sent_chars; -- default assignments for the LCD module lcd_cs <= '0'; lcd_wr <= '0'; lcd_addr <= (others => '0'); lcd_dout <= (others => '0'); lcd_ack_rdy <= '0'; case lcd_state is when S_LCD_WAIT => -- increment counter value lcd_clock_nxt <= lcd_clock + to_unsigned(1, lcd_clock'length); if lcd_clock >= to_unsigned(LCD_CLOCK_TICKS, lcd_clock'length) then -- switch state after 10000000 "clocks" (200 ms) lcd_clock_nxt <= (others => '0'); lcd_sent_chars_nxt <= (others => '0'); -- convert binary values into x decimal numbers mst_bcd_value := unsigned(to_bcd(std_ulogic_vector(moist_moisture), 4)); tmp_bcd_value := unsigned(to_bcd(std_ulogic_vector(moist_temperature), 4)); lux_bcd_value := unsigned(to_bcd(std_ulogic_vector(light_value), 5)); co2_bcd_value := unsigned(to_bcd(std_ulogic_vector(adc_carbondioxide), 4)); mst_bcd_thresh := unsigned(to_bcd(std_ulogic_vector(watering_thresh), 3)); tmp_bcd_thresh := unsigned(to_bcd(std_ulogic_vector(heating_thresh), 3)); lux_bcd_thresh := unsigned(to_bcd(std_ulogic_vector(lighting_thresh), 5)); -- ascii storages lcd_cmds_mst := asciitext("M: ") & ascii(mst_bcd_value(15 downto 12)) & ascii(mst_bcd_value(11 downto 8)) & ascii(mst_bcd_value(7 downto 4)) & ascii(mst_bcd_value(3 downto 0)); lcd_cmds_tmp := asciitext("T: ") & ascii(tmp_bcd_value(15 downto 12)) & ascii(tmp_bcd_value(11 downto 8)) & ascii(tmp_bcd_value(7 downto 4)) & ascii(tmp_bcd_value(3 downto 0)); lcd_cmds_lux := asciitext("L: ") & ascii(lux_bcd_value(19 downto 16)) & ascii(lux_bcd_value(15 downto 12)) & ascii(lux_bcd_value(11 downto 8)) & ascii(lux_bcd_value(7 downto 4)) & ascii(lux_bcd_value(3 downto 0)); lcd_cmds_co2 := asciitext("C: ") & ascii(co2_bcd_value(15 downto 12)) & ascii(co2_bcd_value(11 downto 8)) & ascii(co2_bcd_value(7 downto 4)) & ascii(co2_bcd_value(3 downto 0)); lcd_thresh_mst := ascii(mst_bcd_thresh(11 downto 8)) & ascii(mst_bcd_thresh(7 downto 4)) & asciitext(".") & ascii(mst_bcd_thresh(3 downto 0)); lcd_thresh_tmp := ascii(tmp_bcd_thresh(11 downto 8)) & ascii(tmp_bcd_thresh(7 downto 4)) & asciitext(".") & ascii(tmp_bcd_thresh(3 downto 0)); lcd_thresh_lux := ascii(lux_bcd_value(19 downto 16)) & ascii(lux_bcd_thresh(15 downto 12)) & ascii(lux_bcd_thresh(11 downto 8)) & ascii(lux_bcd_thresh(7 downto 4)) & ascii(lux_bcd_thresh(3 downto 0)); -- set next lcd commands that will be displayed if key(1) = '0' then lcd_cmds_nxt <= lcd_cmd(lcd_cursor_pos(0, 0) & lcd_cmds_mst & asciitext(" ") & lcd_cmds_co2 & lcd_cmds_lux & asciitext(" ") & lcd_cmds_tmp); else lcd_cmds_nxt <= lcd_cmd(lcd_cursor_pos(0, 0) & asciitext("Thresh (L,M,T)") & asciitext(" ") & lcd_thresh_lux & asciitext(" ") & lcd_thresh_mst & asciitext(" ") & lcd_thresh_tmp & asciitext(" ")); end if; -- change state in order to display it/send it to the LCD lcd_state_nxt <= S_LCD_DISPLAY; end if; when S_LCD_DISPLAY => if lcd_irq_rdy = '1' then -- enable Chip Select, Write Mode and acknowledge ready lcd_cs <= '1'; lcd_wr <= '1'; lcd_ack_rdy <= '1'; lcd_addr <= CV_ADDR_LCD_DATA; -- send one byte of date lcd_dout(7 downto 0) <= lcd_cmds(to_integer(lcd_sent_chars)); -- check whether all characters have already been sent if lcd_sent_chars = to_unsigned(lcd_cmds'length - 1, lcd_sent_chars'length) then -- all characters have been sent, so switch state back to S_WAIT lcd_state_nxt <= S_LCD_WAIT; else -- increment `lcd_sent_chars` in order to send the next character lcd_sent_chars_nxt <= lcd_sent_chars + to_unsigned(1, lcd_sent_chars'length); end if; end if; end case; end process; -- UART process process(uart_state, uart_wr_array, uart_rd_array, uart_sent_bytes, uart_received_bytes, uart_irq_tx, uart_irq_rx, uart_din, peripherals_ventilation_on, peripherals_heating_on, peripherals_lighting_on, peripherals_watering_on, moist_moisture, moist_temperature, light_value, adc_carbondioxide, heating_thresh, lighting_thresh, watering_thresh) constant VALUE_COUNT : natural := 5; -- amount of data segments (four segments for each sensor + one segment including all states (on/off) of peripherals) constant SEGMENT_COUNT : natural := 3; -- 3 bytes per "segment" variable i, j : natural := 0; -- loop variables variable segment_cmd : std_ulogic_vector(1 downto 0); variable segment_data : std_ulogic_vector(SEGMENT_COUNT * UART_DATA_WIDTH - 1 downto 0); variable item : uart_protocol_entry_t; variable segment_value : std_ulogic_vector(15 downto 0); begin uart_cs <= '0'; uart_wr <= '0'; uart_addr <= (others => '0'); uart_dout <= (others => '0'); uart_ack_rx <= '0'; uart_ack_tx <= '0'; -- hold values uart_state_nxt <= uart_state; uart_sent_bytes_nxt <= uart_sent_bytes; uart_received_bytes_nxt <= uart_received_bytes; uart_rd_array_nxt <= uart_rd_array; uart_wr_array_nxt <= uart_wr_array; lighting_thresh_nxt <= lighting_thresh; watering_thresh_nxt <= watering_thresh; heating_thresh_nxt <= heating_thresh; case uart_state is when S_UART_RD_WAIT_START => if uart_irq_rx = '1' then uart_cs <= '1'; uart_addr <= CV_ADDR_UART_DATA_RX; uart_wr <= '0'; -- save data if uart_din(7 downto 0) = "01000000" then uart_received_bytes_nxt <= to_unsigned(0, uart_received_bytes'length); uart_rd_array_nxt <= (others => (others => (others => '0'))); uart_state_nxt <= S_UART_RD_READ_LOOP; end if; end if; when S_UART_RD_READ_LOOP => if uart_irq_rx = '1' then uart_cs <= '1'; uart_addr <= CV_ADDR_UART_DATA_RX; uart_wr <= '0'; -- increment counter uart_received_bytes_nxt <= uart_received_bytes + to_unsigned(1, uart_received_bytes'length); if uart_din(7 downto 0) = "00111111" then -- received end command if uart_received_bytes = to_unsigned(UART_RD_BYTE_COUNT, uart_received_bytes'length) then for i in 0 to 2 loop if uart_rd_array(i*3).cmd = "10" or uart_rd_array(i*3).cmd = "11" then segment_value := uart_rd_array(i*3).data & uart_rd_array(i*3+1).data & uart_rd_array(i*3+2).data(5 downto 2); if uart_rd_array(i*3+2).data(1 downto 0) = "00" then lighting_thresh_nxt <= unsigned(segment_value); elsif uart_rd_array(i*3+2).data(1 downto 0) = "01" then watering_thresh_nxt <= unsigned(segment_value); elsif uart_rd_array(i*3+2).data(1 downto 0) = "10" then heating_thresh_nxt <= resize(unsigned(segment_value), heating_thresh'length); end if; end if; end loop; end if; uart_state_nxt <= S_UART_WR_START; else uart_rd_array_nxt(to_integer(uart_received_bytes)) <= ( uart_din(7 downto 6), -- cmd uart_din(5 downto 0) -- data ); end if; end if; when S_UART_WR_START => if uart_irq_tx = '1' then uart_cs <= '1'; uart_addr <= CV_ADDR_UART_DATA_TX; uart_wr <= '1'; -- write `start` cmd uart_dout(7 downto 0) <= "01000000"; -- assign sensor values to protocol for i in 0 to VALUE_COUNT - 1 loop if i = 0 then segment_cmd := "10"; segment_data := std_ulogic_vector(resize(light_value, segment_data'length - 2)) & "00"; elsif i = 1 then segment_cmd := "11"; segment_data := std_ulogic_vector(moist_moisture) & "01"; elsif i = 2 then segment_cmd := "10"; segment_data := std_ulogic_vector(moist_temperature) & "10"; elsif i = 3 then segment_cmd := "11"; segment_data := std_ulogic_vector(resize(adc_carbondioxide, segment_data'length - 2)) & "11"; else segment_cmd := "10"; segment_data := std_ulogic_vector(shift_left(resize(unsigned'(peripherals_lighting_on & peripherals_watering_on & peripherals_heating_on & peripherals_ventilation_on & "00"), segment_data'length), 12)); end if; for j in 0 to SEGMENT_COUNT - 1 loop if i < 4 or j = 0 then uart_wr_array_nxt(j + i * SEGMENT_COUNT) <= ( segment_cmd, -- cmd std_ulogic_vector(resize(shift_right(unsigned(segment_data), (2 - j) * UART_DATA_WIDTH), UART_DATA_WIDTH)) -- data ); end if; end loop; end loop; uart_state_nxt <= S_UART_WR_WRITE_LOOP; end if; when S_UART_WR_WRITE_LOOP => if uart_irq_tx = '1' then uart_cs <= '1'; uart_addr <= CV_ADDR_UART_DATA_TX; uart_wr <= '1'; item := uart_wr_array(to_integer(uart_sent_bytes)); uart_dout(7 downto 0) <= item.cmd & item.data; if uart_sent_bytes = to_unsigned(UART_WR_BYTE_COUNT - 1, uart_sent_bytes'length) then -- last byte sent uart_sent_bytes_nxt <= (others => '0'); -- reset counter uart_state_nxt <= S_UART_WR_END; else -- increment counter uart_sent_bytes_nxt <= uart_sent_bytes + to_unsigned(1, uart_sent_bytes'length); end if; end if; when S_UART_WR_END => if uart_irq_tx = '1' then uart_cs <= '1'; uart_addr <= CV_ADDR_UART_DATA_TX; uart_wr <= '1'; -- write `end` cmd uart_dout(7 downto 0) <= "00111111"; uart_state_nxt <= S_UART_RD_WAIT_START; end if; end case; end process; -- Seven Segment process process(seg_state, seg_clock, adc_temperature, moist_address) begin seg_state_nxt <= seg_state; seg_clock_nxt <= seg_clock; sevenseg_cs <= '0'; sevenseg_wr <= '0'; sevenseg_addr <= CV_ADDR_SEVENSEG_DEC; sevenseg_dout <= (others => '0'); case seg_state is when S_SEG_WAIT => if seg_clock = to_unsigned(SEG_CLOCK_TICKS - 1, seg_clock'length) then seg_clock_nxt <= (others => '0'); seg_state_nxt <= S_SEG_DISPLAY_DEC; else seg_clock_nxt <= seg_clock + to_unsigned(1, seg_clock'length); end if; when S_SEG_DISPLAY_DEC => sevenseg_cs <= '1'; sevenseg_wr <= '1'; sevenseg_dout <= std_ulogic_vector(resize(adc_temperature, sevenseg_dout'length)); seg_state_nxt <= S_SEG_DISPLAY_HEX; when S_SEG_DISPLAY_HEX => sevenseg_cs <= '1'; sevenseg_wr <= '1'; sevenseg_addr <= CV_ADDR_SEVENSEG_HEX4 or CV_ADDR_SEVENSEG_HEX5; sevenseg_dout <= std_ulogic_vector("000000000" & moist_address); seg_state_nxt <= S_SEG_WAIT; end case; end process; -- unused signals audio_cs <= '0'; audio_wr <= '0'; audio_addr <= (others => '0'); audio_dout <= (others => '0'); audio_ack_left <= '0'; audio_ack_right <= '0'; ir_cs <= '0'; ir_wr <= '0'; ir_addr <= (others => '0'); ir_dout <= (others => '0'); ir_ack_rx <= '0'; sram_cs <= '0'; sram_wr <= '0'; sram_addr <= (others => '0'); sram_dout <= (others => '0'); end rtl;
apache-2.0
dcb3d61ad4430c1c1360f9dd4326d98e
0.629593
2.719751
false
false
false
false
Fju/LeafySan
src/vhdl/examples/invent_a_chip_audio_adc_to_gain.vhdl
1
12,897
---------------------------------------------------------------------- -- Project : Invent a Chip -- Authors : Jan Dürre -- Year : 2013 -- Description : This example uses adc-channel 0 as gain-control -- for audio pass-through. Two independent FSMs are -- required, since the lcd is too slow for 44,1kHz -- sampling rate. ---------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.iac_pkg.all; entity invent_a_chip is port ( -- Global Signals clock : in std_ulogic; reset : in std_ulogic; -- Interface Signals -- 7-Seg sevenseg_cs : out std_ulogic; sevenseg_wr : out std_ulogic; sevenseg_addr : out std_ulogic_vector(CW_ADDR_SEVENSEG-1 downto 0); sevenseg_din : in std_ulogic_vector(CW_DATA_SEVENSEG-1 downto 0); sevenseg_dout : out std_ulogic_vector(CW_DATA_SEVENSEG-1 downto 0); -- ADC/DAC adc_dac_cs : out std_ulogic; adc_dac_wr : out std_ulogic; adc_dac_addr : out std_ulogic_vector(CW_ADDR_ADC_DAC-1 downto 0); adc_dac_din : in std_ulogic_vector(CW_DATA_ADC_DAC-1 downto 0); adc_dac_dout : out std_ulogic_vector(CW_DATA_ADC_DAC-1 downto 0); -- AUDIO audio_cs : out std_ulogic; audio_wr : out std_ulogic; audio_addr : out std_ulogic_vector(CW_ADDR_AUDIO-1 downto 0); audio_din : in std_ulogic_vector(CW_DATA_AUDIO-1 downto 0); audio_dout : out std_ulogic_vector(CW_DATA_AUDIO-1 downto 0); audio_irq_left : in std_ulogic; audio_irq_right : in std_ulogic; audio_ack_left : out std_ulogic; audio_ack_right : out std_ulogic; -- Infra-red Receiver ir_cs : out std_ulogic; ir_wr : out std_ulogic; ir_addr : out std_ulogic_vector(CW_ADDR_IR-1 downto 0); ir_din : in std_ulogic_vector(CW_DATA_IR-1 downto 0); ir_dout : out std_ulogic_vector(CW_DATA_IR-1 downto 0); ir_irq_rx : in std_ulogic; ir_ack_rx : out std_ulogic; -- LCD lcd_cs : out std_ulogic; lcd_wr : out std_ulogic; lcd_addr : out std_ulogic_vector(CW_ADDR_LCD-1 downto 0); lcd_din : in std_ulogic_vector(CW_DATA_LCD-1 downto 0); lcd_dout : out std_ulogic_vector(CW_DATA_LCD-1 downto 0); lcd_irq_rdy : in std_ulogic; lcd_ack_rdy : out std_ulogic; -- SRAM sram_cs : out std_ulogic; sram_wr : out std_ulogic; sram_addr : out std_ulogic_vector(CW_ADDR_SRAM-1 downto 0); sram_din : in std_ulogic_vector(CW_DATA_SRAM-1 downto 0); sram_dout : out std_ulogic_vector(CW_DATA_SRAM-1 downto 0); -- UART uart_cs : out std_ulogic; uart_wr : out std_ulogic; uart_addr : out std_ulogic_vector(CW_ADDR_UART-1 downto 0); uart_din : in std_ulogic_vector(CW_DATA_UART-1 downto 0); uart_dout : out std_ulogic_vector(CW_DATA_UART-1 downto 0); uart_irq_rx : in std_ulogic; uart_irq_tx : in std_ulogic; uart_ack_rx : out std_ulogic; uart_ack_tx : out std_ulogic; -- GPIO gp_ctrl : out std_ulogic_vector(15 downto 0); gp_in : in std_ulogic_vector(15 downto 0); gp_out : out std_ulogic_vector(15 downto 0); -- LED/Switches/Keys led_green : out std_ulogic_vector(8 downto 0); led_red : out std_ulogic_vector(17 downto 0); switch : in std_ulogic_vector(17 downto 0); key : in std_ulogic_vector(2 downto 0) ); end invent_a_chip; architecture rtl of invent_a_chip is -- state register for audio control type state_audio_t is (S_INIT, S_WAIT_SAMPLE, S_ADC_READ, S_WRITE_SAMPLE_LEFT, S_WRITE_SAMPLE_RIGHT, S_WRITE_CONFIG); signal state_audio, state_audio_nxt : state_audio_t; -- state register for lcd control type state_lcd_t is (S_WAIT_LCD, S_PRINT_CHARACTERS, S_WAIT_FOR_NEW_GAIN); signal state_lcd, state_lcd_nxt : state_lcd_t; -- register to save audio-sample signal sample, sample_nxt : std_ulogic_vector(CW_AUDIO_SAMPLE-1 downto 0); -- register to save adc-value signal adc_value, adc_value_nxt : std_ulogic_vector(11 downto 0); -- signals to communicate between fsms signal lcd_refresh, lcd_refresh_nxt : std_ulogic; signal lcd_refresh_ack : std_ulogic; -- array of every single character to print out to the lcd signal lcd_cmds, lcd_cmds_nxt : lcd_commands_t(0 to 12); -- counter signal char_count, char_count_nxt : unsigned(to_log2(lcd_cmds'length)-1 downto 0); begin -- sequential process process(clock, reset) begin -- asynchronous reset if reset = '1' then state_audio <= S_INIT; sample <= (others => '0'); adc_value <= (others => '0'); lcd_refresh <= '0'; state_lcd <= S_WAIT_LCD; lcd_cmds <= lcd_cmd(lcd_cursor_pos(0, 0) & asciitext("Volume: XXX%")); char_count <= (others => '0'); elsif rising_edge(clock) then state_audio <= state_audio_nxt; sample <= sample_nxt; adc_value <= adc_value_nxt; lcd_refresh <= lcd_refresh_nxt; state_lcd <= state_lcd_nxt; lcd_cmds <= lcd_cmds_nxt; char_count <= char_count_nxt; end if; end process; -- audio data-path (combinational process contains logic only) process(state_audio, state_lcd, key, adc_dac_din, audio_din, audio_irq_left, audio_irq_right, lcd_refresh, lcd_refresh_ack, sample, adc_value, switch) begin -- default assignment -- leds led_green <= (others => '0'); -- adc/dac interface adc_dac_cs <= '0'; adc_dac_wr <= '0'; adc_dac_addr <= (others => '0'); adc_dac_dout <= (others => '0'); -- audio interface audio_cs <= '0'; audio_wr <= '0'; audio_addr <= (others => '0'); audio_dout <= (others => '0'); audio_ack_left <= '0'; audio_ack_right <= '0'; -- communication between fsms lcd_refresh_nxt <= lcd_refresh; -- hold previous values of all registers state_audio_nxt <= state_audio; sample_nxt <= sample; adc_value_nxt <= adc_value; -- reset lcd_refresh if lcd-fsm acks request if lcd_refresh_ack = '1' then lcd_refresh_nxt <= '0'; end if; -- audio data-path case state_audio is -- initial state when S_INIT => led_green(0) <= '1'; -- wait for key 0 to start work if key(0) = '1' then -- activate ADC channel 0 adc_dac_cs <= '1'; adc_dac_wr <= '1'; adc_dac_addr <= CV_ADDR_ADC_DAC_CTRL; adc_dac_dout(9 downto 0) <= "0000000001"; -- next state state_audio_nxt <= S_WAIT_SAMPLE; end if; -- wait for audio-interrupt signals to indicate new audio-sample when S_WAIT_SAMPLE => led_green(1) <= '1'; -- new audio sample on left or right channel detected if (audio_irq_left = '1') or (audio_irq_right = '1') then -- start reading adc-value state_audio_nxt <= S_ADC_READ; end if; -- read adc value when S_ADC_READ => led_green(2) <= '1'; -- chip select for adc/dac-interface adc_dac_cs <= '1'; -- read mode adc_dac_wr <= '0'; -- address of adc-channel 0 adc_dac_addr <= CV_ADDR_ADC0; -- if adc-value has changed: if adc_dac_din(11 downto 0) /= adc_value then -- save adc-value of selected channel to register adc_value_nxt <= adc_dac_din(11 downto 0); -- initiate rewrite of lcd display lcd_refresh_nxt <= '1'; end if; -- choose correct audio channel if audio_irq_left = '1' then -- chip select for audio-interface audio_cs <= '1'; -- read mode audio_wr <= '0'; -- acknowledge interrupt audio_ack_left <= '1'; -- set address for left channel audio_addr <= CV_ADDR_AUDIO_LEFT_IN; -- save sample to register sample_nxt <= audio_din; -- next state state_audio_nxt <= S_WRITE_SAMPLE_LEFT; end if; if audio_irq_right = '1' then -- chip select for audio-interface audio_cs <= '1'; -- read mode audio_wr <= '0'; -- acknowledge interrupt audio_ack_right <= '1'; -- set address for right channel audio_addr <= CV_ADDR_AUDIO_RIGHT_IN; -- save sample to register sample_nxt <= audio_din; -- next state state_audio_nxt <= S_WRITE_SAMPLE_RIGHT; end if; -- write new sample to left channel when S_WRITE_SAMPLE_LEFT => led_green(5) <= '1'; -- chip select for audio-interface audio_cs <= '1'; -- write mode audio_wr <= '1'; -- set address for left channel audio_addr <= CV_ADDR_AUDIO_LEFT_OUT; -- write sample * gain-factor to audio-interface audio_dout <= std_ulogic_vector(resize(shift_right(signed(sample) * signed('0' & adc_value(11 downto 4)), 7), audio_dout'length)); -- write config to acodec state_audio_nxt <= S_WRITE_CONFIG; -- write new sample to right channel when S_WRITE_SAMPLE_RIGHT => led_green(6) <= '1'; -- chip select for audio-interface audio_cs <= '1'; -- write mode audio_wr <= '1'; -- set address for right channel audio_addr <= CV_ADDR_AUDIO_RIGHT_OUT; -- write sample * gain-factor to audio-interface audio_dout <= std_ulogic_vector(resize(shift_right(signed(sample) * signed('0' & adc_value(11 downto 4)), 7), audio_dout'length)); -- write config to acodec state_audio_nxt <= S_WRITE_CONFIG; when S_WRITE_CONFIG => led_green(7) <= '1'; -- chip select for audio-interface audio_cs <= '1'; -- write mode audio_wr <= '1'; -- set address for config register audio_addr <= CV_ADDR_AUDIO_CONFIG; -- set mic boost & in-select audio_dout <= "00000000000000" & switch(1) & switch(0); -- back to wait-state state_audio_nxt <= S_WAIT_SAMPLE; end case; end process; -- lcd control (combinational process contains logic only) process(state_lcd, lcd_cmds, char_count, lcd_din, lcd_irq_rdy, lcd_refresh, adc_value) variable bcd_value : unsigned(11 downto 0) := (others => '0'); variable adc_recalc : std_ulogic_vector(11 downto 0) := (others => '0'); begin -- default assignment -- leds led_red <= (others => '0'); -- lcd interface lcd_cs <= '0'; lcd_wr <= '0'; lcd_addr <= (others => '0'); lcd_dout <= (others => '0'); lcd_ack_rdy <= '0'; -- communication between FSMs lcd_refresh_ack <= '0'; --registers state_lcd_nxt <= state_lcd; lcd_cmds_nxt <= lcd_cmds; char_count_nxt <= char_count; -- second state machine to generate output on lcd-screen case state_lcd is -- wait for lcd-interface to be 'not busy' / finished writing old commands to lcd when S_WAIT_LCD => led_red(0) <= '1'; -- chip select for lcd-interface lcd_cs <= '1'; -- read mode lcd_wr <= '0'; -- set address for status lcd_addr <= CV_ADDR_LCD_STATUS; -- start printing characters if lcd_din(0) = '0' then state_lcd_nxt <= S_PRINT_CHARACTERS; end if; -- send characters to lcd-interface when S_PRINT_CHARACTERS => led_red(1) <= '1'; -- lcd ready for data if lcd_irq_rdy = '1' then -- chip select for lcd-interface lcd_cs <= '1'; -- write mode lcd_wr <= '1'; -- set address for data register of lcd lcd_addr <= CV_ADDR_LCD_DATA; -- select character from lcd-commands-array lcd_dout(7 downto 0) <= lcd_cmds(to_integer(char_count)); -- decide if every character has been sent if char_count = lcd_cmds'length-1 then state_lcd_nxt <= S_WAIT_FOR_NEW_GAIN; -- continue sending characters to lcd-interface else char_count_nxt <= char_count + 1; end if; end if; when S_WAIT_FOR_NEW_GAIN => led_red(2) <= '1'; -- write new value, only when adc value has changed if lcd_refresh = '1' then -- ack refresh request lcd_refresh_ack <= '1'; -- calculate gain-value adc_recalc := std_ulogic_vector(resize(shift_right( unsigned(adc_value(11 downto 4)) * 200,8), adc_recalc'length)); bcd_value := unsigned(to_bcd(adc_recalc, 3)); -- generate lcd-commands lcd_cmds_nxt <= lcd_cmd(lcd_cursor_pos(0, 0) & asciitext("Volume: ") & ascii(bcd_value(11 downto 8)) & ascii(bcd_value(7 downto 4)) & ascii(bcd_value(3 downto 0)) & asciitext("%")); -- reset char counter char_count_nxt <= (others => '0'); -- start writing to lcd state_lcd_nxt <= S_WAIT_LCD; end if; end case; end process; -- default assignments for unused signals gp_ctrl <= (others => '0'); gp_out <= (others => '0'); sevenseg_cs <= '0'; sevenseg_wr <= '0'; sevenseg_addr <= (others => '0'); sevenseg_dout <= (others => '0'); ir_cs <= '0'; ir_wr <= '0'; ir_addr <= (others => '0'); ir_dout <= (others => '0'); ir_ack_rx <= '0'; sram_cs <= '0'; sram_wr <= '0'; sram_addr <= (others => '0'); sram_dout <= (others => '0'); uart_cs <= '0'; uart_wr <= '0'; uart_addr <= (others => '0'); uart_dout <= (others => '0'); uart_ack_rx <= '0'; uart_ack_tx <= '0'; end rtl;
apache-2.0
679d764a8a0aff6fe9c1881f505fbb29
0.600217
2.842627
false
false
false
false
J-Rios/VHDL_Modules
3.Peripherals/Debounced_Input/TOP.vhd
1
909
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; ------------------------------------------------------------------------- entity TOP is port ( CLK : in STD_LOGIC; SW : in STD_LOGIC_VECTOR (15 downto 0); BTN : in STD_LOGIC_VECTOR (4 downto 0); ); end TOP; ------------------------------------------------------------------------- architecture BEHAVIORAL of TOP is signal db : STD_LOGIC; signal tick_sw : STD_LOGIC; begin -- Debounce module miDEB : entity WORK.DEBOUNCE port map ( c => CLK, r => BTN(0), sw => SW(0), -- Switch input (it can be buttons or switches) db => db ); -- Edge detection module myED : entity WORK.edge_detect port map ( c => MCLK, level => db, tick => tick_sw -- Switch output debounced ); end BEHAVIORAL;
gpl-3.0
67dce8486840520a421cc0a29e8d4069
0.455446
4.18894
false
false
false
false
mjpatter88/fundamentals
01-logic_gates/and/myAnd16_tb.vhdl
1
1,370
library IEEE; use IEEE.Std_Logic_1164.all; entity myAnd16_tb is end myAnd16_tb; architecture behavioral of myAnd16_tb is component myAnd16 port(a: in std_logic_vector(15 downto 0); b: in std_logic_vector(15 downto 0); s: out std_logic_vector(15 downto 0)); end component; -- signals used for testing signal s1: std_logic_vector(15 downto 0); signal s2: std_logic_vector(15 downto 0); signal o1: std_logic_vector(15 downto 0); begin -- component instantiation myAnd16_1: myAnd16 port map(a => s1, b => s2, s => o1); process begin s1 <= "0000000000000000"; s2 <= "0000000000000000"; wait for 1 ns; assert o1 = "0000000000000000" report "and('0000000000000000', '0000000000000000') was not '0000000000000000'" severity error; s1 <= "1111111100000000"; s2 <= "1111111100000000"; wait for 1 ns; assert o1 = "1111111100000000" report "and('1111111100000000', '1111111100000000') was not '1111111100000000'" severity error; s1 <= "1111111111111111"; s2 <= "1111111111111111"; wait for 1 ns; assert o1 = "1111111111111111" report "and('1111111111111111', '1111111111111111') was not '1111111111111111'" severity error; assert false report "test complete" severity note; wait; end process; end behavioral;
mit
cf1b54b67420474746cd582a5ac73443
0.655474
4.005848
false
false
false
false
Fju/LeafySan
src/vhdl/testbench/dac_model.vhdl
1
2,537
----------------------------------------------------------------- -- Project : Invent a Chip -- Module : DAC Model -- Last update : 02.12.2013 ----------------------------------------------------------------- -- Libraries library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library std; use std.textio.all; entity dac_model is generic( SYSTEM_CYCLE_TIME : time := 20 ns; -- 50 MHz FILE_NAME_DUMP : string := "dac_dump.txt" ); port( -- Global Signals end_simulation : in std_ulogic; -- SPI Signals spi_clk : in std_ulogic; spi_mosi : in std_ulogic; spi_cs_n : in std_ulogic; -- DAC Signals dac_ldac_n : in std_ulogic ); end entity dac_model; architecture sim of dac_model is type dac_reg_t is array (0 to 1) of real; signal rx : std_ulogic_vector(15 downto 0); file file_dump : text open write_mode is FILE_NAME_DUMP; begin process variable vref : real := 2.048; variable dac_out : dac_reg_t; variable dac_pre : dac_reg_t; variable v_out : string(1 to 4); variable outLine : line; begin dac_out := (others => (real(0))); dac_pre := (others => (real(0))); rx <= (others => '0'); loop exit when end_simulation = '1'; if spi_cs_n = '0' then for i in 0 to 15 loop wait until spi_clk = '1'; rx <= rx(14 downto 0) & spi_mosi; wait until spi_clk = '0'; end loop; wait until spi_cs_n = '1'; if rx(13) = '1' then dac_pre(to_integer(unsigned(rx(15 downto 15)))) := vref * real(to_integer(unsigned(rx(11 downto 4)))) / real(256); else dac_pre(to_integer(unsigned(rx(15 downto 15)))) := real(2) * vref * real(to_integer(unsigned(rx(11 downto 4)))) / real(256); end if; if dac_pre(to_integer(unsigned(rx(15 downto 15)))) > real(3.3) then dac_pre(to_integer(unsigned(rx(15 downto 15)))) := real(3.3); end if; else wait for SYSTEM_CYCLE_TIME; end if; if dac_ldac_n = '0' then for i in 0 to 1 loop if dac_pre(i) /= dac_out(i) then dac_out(i) := dac_pre(i); v_out := integer'image(integer(dac_out(i)*real(1000))); write(outLine, "DAC" & integer'image(i) & " = " & v_out(1) & "." & v_out(2 to 4) & "V"); writeline(file_dump, outLine); write(outLine, "[DAC] Setting output voltage of DAC" & integer'image(i) & " to " & v_out(1) & "." & v_out(2 to 4) & "V"); writeline(output, outLine); end if; end loop; end if; end loop; file_close(file_dump); wait; end process; end architecture sim;
apache-2.0
da986e57623681a44509e30e1861234d
0.560899
2.856982
false
false
false
false
Fju/LeafySan
src/vhdl/examples/invent_a_chip_lcd_test_switch_commands.vhdl
1
6,072
---------------------------------------------------------------------- -- Project : Invent a Chip -- Authors : Christian Leibold -- Year : 2013 -- Description : With this example it is possible to generate -- control-commands for the LCD-Display by using -- switch 0 to 7. The command is send by pressing -- key 0. ---------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.iac_pkg.all; entity invent_a_chip is port ( -- Global Signals clock : in std_ulogic; reset : in std_ulogic; -- Interface Signals -- 7-Seg sevenseg_cs : out std_ulogic; sevenseg_wr : out std_ulogic; sevenseg_addr : out std_ulogic_vector(CW_ADDR_SEVENSEG-1 downto 0); sevenseg_din : in std_ulogic_vector(CW_DATA_SEVENSEG-1 downto 0); sevenseg_dout : out std_ulogic_vector(CW_DATA_SEVENSEG-1 downto 0); -- ADC/DAC adc_dac_cs : out std_ulogic; adc_dac_wr : out std_ulogic; adc_dac_addr : out std_ulogic_vector(CW_ADDR_ADC_DAC-1 downto 0); adc_dac_din : in std_ulogic_vector(CW_DATA_ADC_DAC-1 downto 0); adc_dac_dout : out std_ulogic_vector(CW_DATA_ADC_DAC-1 downto 0); -- AUDIO audio_cs : out std_ulogic; audio_wr : out std_ulogic; audio_addr : out std_ulogic_vector(CW_ADDR_AUDIO-1 downto 0); audio_din : in std_ulogic_vector(CW_DATA_AUDIO-1 downto 0); audio_dout : out std_ulogic_vector(CW_DATA_AUDIO-1 downto 0); audio_irq_left : in std_ulogic; audio_irq_right : in std_ulogic; audio_ack_left : out std_ulogic; audio_ack_right : out std_ulogic; -- Infra-red Receiver ir_cs : out std_ulogic; ir_wr : out std_ulogic; ir_addr : out std_ulogic_vector(CW_ADDR_IR-1 downto 0); ir_din : in std_ulogic_vector(CW_DATA_IR-1 downto 0); ir_dout : out std_ulogic_vector(CW_DATA_IR-1 downto 0); ir_irq_rx : in std_ulogic; ir_ack_rx : out std_ulogic; -- LCD lcd_cs : out std_ulogic; lcd_wr : out std_ulogic; lcd_addr : out std_ulogic_vector(CW_ADDR_LCD-1 downto 0); lcd_din : in std_ulogic_vector(CW_DATA_LCD-1 downto 0); lcd_dout : out std_ulogic_vector(CW_DATA_LCD-1 downto 0); lcd_irq_rdy : in std_ulogic; lcd_ack_rdy : out std_ulogic; -- SRAM sram_cs : out std_ulogic; sram_wr : out std_ulogic; sram_addr : out std_ulogic_vector(CW_ADDR_SRAM-1 downto 0); sram_din : in std_ulogic_vector(CW_DATA_SRAM-1 downto 0); sram_dout : out std_ulogic_vector(CW_DATA_SRAM-1 downto 0); -- UART uart_cs : out std_ulogic; uart_wr : out std_ulogic; uart_addr : out std_ulogic_vector(CW_ADDR_UART-1 downto 0); uart_din : in std_ulogic_vector(CW_DATA_UART-1 downto 0); uart_dout : out std_ulogic_vector(CW_DATA_UART-1 downto 0); uart_irq_rx : in std_ulogic; uart_irq_tx : in std_ulogic; uart_ack_rx : out std_ulogic; uart_ack_tx : out std_ulogic; -- GPIO gp_ctrl : out std_ulogic_vector(15 downto 0); gp_in : in std_ulogic_vector(15 downto 0); gp_out : out std_ulogic_vector(15 downto 0); -- LED/Switches/Keys led_green : out std_ulogic_vector(8 downto 0); led_red : out std_ulogic_vector(17 downto 0); switch : in std_ulogic_vector(17 downto 0); key : in std_ulogic_vector(2 downto 0) ); end invent_a_chip; architecture rtl of invent_a_chip is -- state register type state_t is (S_WAIT_KEY_PRESS, S_SEND_DATA, S_WAIT_KEY_RELEASE); signal state, state_nxt : state_t; begin -- sequential process process(clock, reset) begin -- asynchronous reset if reset = '1' then state <= S_WAIT_KEY_PRESS; elsif rising_edge(clock) then state <= state_nxt; end if; end process; -- combinational process contains logic only process(state, lcd_irq_rdy, key, switch) begin -- set default values for the internal bus -> zero on all signals means, nothing will happen lcd_cs <= '0'; lcd_wr <= '0'; lcd_dout <= (others => '0'); lcd_addr <= (others => '0'); lcd_ack_rdy <= '0'; -- hold previous values of all registers state_nxt <= state; case state is -- Wait until KEY0 is triggered when S_WAIT_KEY_PRESS => if key(0) = '1' then -- next state state_nxt <= S_SEND_DATA; end if; -- Read value from ADC and save it into the led_out-register when S_SEND_DATA => if lcd_irq_rdy = '1' then -- Enable the Chip-Select signal for the LCD-Module lcd_cs <= '1'; -- Enable the Write-Select signal lcd_wr <= '1'; -- Set address of the LCD interface to print a character lcd_addr <= CV_ADDR_LCD_DATA; -- Take the new data from the first eight switches (SW0 to SW7) lcd_dout(7 downto 0) <= switch(7 downto 0); -- next state state_nxt <= S_WAIT_KEY_RELEASE; end if; -- Wait until KEY0 is released when S_WAIT_KEY_RELEASE => if key(0) = '0' then -- next state state_nxt <= S_WAIT_KEY_PRESS; end if; end case; end process; -- default assignments for unused signals gp_ctrl <= (others => '0'); gp_out <= (others => '0'); led_green <= (others => '0'); led_red <= (others => '0'); sevenseg_cs <= '0'; sevenseg_wr <= '0'; sevenseg_addr <= (others => '0'); sevenseg_dout <= (others => '0'); adc_dac_cs <= '0'; adc_dac_wr <= '0'; adc_dac_addr <= (others => '0'); adc_dac_dout <= (others => '0'); audio_cs <= '0'; audio_wr <= '0'; audio_addr <= (others => '0'); audio_dout <= (others => '0'); audio_ack_left <= '0'; audio_ack_right <= '0'; ir_cs <= '0'; ir_wr <= '0'; ir_addr <= (others => '0'); ir_dout <= (others => '0'); ir_ack_rx <= '0'; sram_cs <= '0'; sram_wr <= '0'; sram_addr <= (others => '0'); sram_dout <= (others => '0'); uart_cs <= '0'; uart_wr <= '0'; uart_addr <= (others => '0'); uart_dout <= (others => '0'); uart_ack_rx <= '0'; uart_ack_tx <= '0'; end rtl;
apache-2.0
d36966c0acb3e612feaa0b56efe8b5f5
0.583827
2.660824
false
false
false
false
Fju/LeafySan
src/vhdl/interfaces/adc_dac.vhdl
1
11,546
----------------------------------------------------------------------------------------- -- Project : Invent a Chip -- Module : ADC/DAC Communication for the extension board -- Author : Christian Leibold / Jan Dürre -- Last update : 24.03.2014 -- Description : - ----------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.iac_pkg.all; entity adc_dac is port ( -- global signals clock : in std_ulogic; reset_n : in std_ulogic; -- bus interface iobus_cs : in std_ulogic; iobus_wr : in std_ulogic; iobus_addr : in std_ulogic_vector(CW_ADDR_ADC_DAC-1 downto 0); iobus_din : in std_ulogic_vector(CW_DATA_ADC_DAC-1 downto 0); iobus_dout : out std_ulogic_vector(CW_DATA_ADC_DAC-1 downto 0); -- adc/dac signals -- spi signals spi_clk : out std_ulogic; spi_mosi : out std_ulogic; spi_miso : in std_ulogic; spi_cs_dac_n : out std_ulogic; spi_cs_adc_n : out std_ulogic; -- switch signals swt_select : out std_ulogic_vector(2 downto 0); swt_enable_n : out std_ulogic; -- dac Signals dac_ldac_n : out std_ulogic ); end adc_dac; architecture rtl of adc_dac is constant MUX_SWITCH_TIME : natural := 20; constant ADC_COOLDOWN_TIME : natural := 4; constant DAC_COOLDOWN_TIME : natural := 4; component spi_master port( clock : in std_ulogic; reset_n : in std_ulogic; spi_clk : out std_ulogic; spi_mosi : out std_ulogic; spi_cs_n : out std_ulogic_vector(1 downto 0); spi_miso : in std_logic; spi_slaveid : in std_ulogic; spi_trenable : in std_ulogic; spi_txdata : in std_ulogic_vector(15 downto 0); spi_rxdata : out std_ulogic_vector(15 downto 0); spi_trcomplete : out std_ulogic ); end component spi_master; signal control_idx, control_idx_nxt : unsigned(to_log2(10)-1 downto 0); signal control_reg, control_reg_nxt : std_ulogic_vector(9 downto 0); -- 8 adc + 2 dac signal dac_control_last, dac_control_last_nxt : std_ulogic_vector(1 downto 0); type adc_reg_t is array (0 to 7) of std_ulogic_vector(11 downto 0); signal adc_reg, adc_reg_nxt : adc_reg_t; type dac_reg_t is array (0 to 1) of std_ulogic_vector(7 downto 0); signal dac_reg, dac_reg_nxt : dac_reg_t; signal adc_select , adc_select_nxt : std_ulogic_vector(3 downto 0); signal dac_select , dac_select_nxt : std_ulogic; signal mux_switch_cnt, mux_switch_cnt_nxt : unsigned(to_log2(MUX_SWITCH_TIME)-1 downto 0); signal adc_cooldown_cnt, adc_cooldown_cnt_nxt : unsigned(to_log2(ADC_COOLDOWN_TIME)-1 downto 0); signal dac_cooldown_cnt, dac_cooldown_cnt_nxt : unsigned(to_log2(DAC_COOLDOWN_TIME)-1 downto 0); type state_t is (S_INIT, S_CONTROL, S_ADC_MUX_SWITCH, S_ADC_READ, S_ADC_WAIT, S_ADC_COOLDOWN, S_DAC_SET, S_DAC_WAIT, S_DAC_COOLDOWN, S_DAC_SHUTDOWN); signal state, state_nxt : state_t; signal txdata, rxdata : std_ulogic_vector(15 downto 0); signal trcomplete : std_ulogic; signal slaveid : std_ulogic; signal trenable : std_ulogic; signal mux_select : std_ulogic_vector(2 downto 0); signal spi_cs_n : std_ulogic_vector(1 downto 0); begin spi_inst : spi_master port map( clock => clock, reset_n => reset_n, spi_clk => spi_clk, spi_mosi => spi_mosi, spi_cs_n => spi_cs_n, spi_miso => spi_miso, spi_slaveid => slaveid, spi_trenable => trenable, spi_txdata => txdata, spi_rxdata => rxdata, spi_trcomplete => trcomplete ); spi_cs_adc_n <= spi_cs_n(0); spi_cs_dac_n <= spi_cs_n(1); process(clock, reset_n) begin if reset_n = '0' then control_idx <= (others => '0'); control_reg <= (others => '0'); dac_control_last <= (others => '1'); adc_reg <= (others => (others => '0')); dac_reg <= (others => (others => '0')); adc_select <= (others => '0'); dac_select <= '0'; mux_switch_cnt <= (others => '0'); adc_cooldown_cnt <= (others => '0'); dac_cooldown_cnt <= (others => '0'); state <= S_INIT; elsif rising_edge(clock) then control_idx <= control_idx_nxt; control_reg <= control_reg_nxt; dac_control_last <= dac_control_last_nxt; adc_reg <= adc_reg_nxt; dac_reg <= dac_reg_nxt; adc_select <= adc_select_nxt; dac_select <= dac_select_nxt; mux_switch_cnt <= mux_switch_cnt_nxt; adc_cooldown_cnt <= adc_cooldown_cnt_nxt; dac_cooldown_cnt <= dac_cooldown_cnt_nxt; state <= state_nxt; end if; end process; process(state, trcomplete, rxdata, control_idx, control_reg, adc_reg, dac_reg, adc_select, dac_select, mux_switch_cnt, adc_cooldown_cnt, dac_cooldown_cnt, dac_control_last) begin txdata <= (others => '0'); trenable <= '0'; slaveid <= '0'; state_nxt <= state; control_idx_nxt <= control_idx; dac_control_last_nxt <= dac_control_last; adc_reg_nxt <= adc_reg; adc_select_nxt <= adc_select; dac_select_nxt <= dac_select; mux_switch_cnt_nxt <= mux_switch_cnt; adc_cooldown_cnt_nxt <= adc_cooldown_cnt; dac_cooldown_cnt_nxt <= dac_cooldown_cnt; case state is when S_INIT => state_nxt <= S_CONTROL; control_idx_nxt <= (others => '0'); adc_select_nxt <= (others => '0'); when S_CONTROL => -- control index counter if control_idx < to_unsigned(10-1, control_idx'length) then control_idx_nxt <= control_idx + 1; else control_idx_nxt <= (others => '0'); end if; -- choose next state -- adc if control_idx < to_unsigned(8, control_idx'length) then if control_reg(to_integer(control_idx)) = '1' then adc_select_nxt <= std_ulogic_vector(control_idx(adc_select'range)); mux_switch_cnt_nxt <= (others => '0'); state_nxt <= S_ADC_MUX_SWITCH; end if; -- dac else if control_idx = to_unsigned(8, control_idx'length) then if control_reg(8) = '0' and dac_control_last(0) = '1' then dac_control_last_nxt(0) <= '0'; state_nxt <= S_DAC_SHUTDOWN; elsif control_reg(8) = '1' then dac_control_last_nxt(0) <= '1'; state_nxt <= S_DAC_SET; end if; dac_select_nxt <= '0'; else if control_reg(9) = '0' and dac_control_last(1) = '1' then dac_control_last_nxt(1) <= '0'; state_nxt <= S_DAC_SHUTDOWN; elsif control_reg(9) = '1' then dac_control_last_nxt(1) <= '1'; state_nxt <= S_DAC_SET; end if; dac_select_nxt <= '1'; end if; end if; when S_ADC_MUX_SWITCH => -- wait for mux to switch if mux_switch_cnt < to_unsigned(MUX_SWITCH_TIME,mux_switch_cnt'length) then mux_switch_cnt_nxt <= mux_switch_cnt + 1; -- start read of adc else state_nxt <= S_ADC_READ; end if; when S_ADC_READ => -- request spi-data from adc trenable <= '1'; slaveid <= '0'; state_nxt <= S_ADC_WAIT; when S_ADC_WAIT => -- transfer finished if trcomplete = '1' then -- save data adc_reg_nxt(to_integer(unsigned(adc_select))) <= rxData(12 downto 1); -- set cooldown counter adc_cooldown_cnt_nxt <= (others => '0'); state_nxt <= S_ADC_COOLDOWN; end if; when S_ADC_COOLDOWN => -- wait for adc cooldown if adc_cooldown_cnt < to_unsigned(ADC_COOLDOWN_TIME, adc_cooldown_cnt'length) then adc_cooldown_cnt_nxt <= adc_cooldown_cnt + 1; -- back to control state else state_nxt <= S_CONTROL; end if; when S_DAC_SET => trenable <= '1'; slaveid <= '1'; -- DAC txdata(15) <= dac_select; txdata(13) <= '0'; txdata(12) <= '1'; if dac_select = '0' then txdata(11 downto 4) <= dac_reg(0); else txdata(11 downto 4) <= dac_reg(1); end if; state_nxt <= S_DAC_WAIT; when S_DAC_WAIT => if trcomplete = '1' then dac_cooldown_cnt_nxt <= (others => '0'); state_nxt <= S_DAC_COOLDOWN; end if; when S_DAC_COOLDOWN => -- wait for dac cooldown if dac_cooldown_cnt < to_unsigned(DAC_COOLDOWN_TIME, dac_cooldown_cnt'length) then dac_cooldown_cnt_nxt <= dac_cooldown_cnt + 1; -- back to control state else state_nxt <= S_CONTROL; end if; when S_DAC_SHUTDOWN => trenable <= '1'; slaveid <= '1'; -- DAC txdata(15) <= dac_select; txdata(13) <= '0'; txdata(12) <= '0'; -- shutdown_n state_nxt <= S_DAC_WAIT; end case; end process; swt_Select <= mux_select; swt_Enable_n <= '1' when unsigned(control_reg(7 downto 0)) = 0 else -- no adc is activated '0'; dac_ldac_n <= '0'; process(iobus_cs, iobus_wr, iobus_addr, iobus_din, adc_reg, dac_reg, control_reg) begin control_reg_nxt <= control_reg; dac_reg_nxt <= dac_reg; iobus_dout <= (others => '0'); if iobus_cs = '1' then if iobus_wr = '1' then if iobus_addr = CV_ADDR_DAC0 then dac_reg_nxt(0) <= iobus_din(7 downto 0); elsif iobus_addr = CV_ADDR_DAC1 then dac_reg_nxt(1) <= iobus_din(7 downto 0); elsif iobus_addr = CV_ADDR_ADC_DAC_CTRL then control_reg_nxt <= iobus_din(control_reg'range); end if; else if iobus_addr = CV_ADDR_DAC0 then iobus_dout(7 downto 0) <= dac_reg(0); elsif iobus_addr = CV_ADDR_DAC1 then iobus_dout(7 downto 0) <= dac_reg(1); elsif iobus_addr = CV_ADDR_ADC0 then iobus_dout(11 downto 0) <= adc_reg(0); elsif iobus_addr = CV_ADDR_ADC1 then iobus_dout(11 downto 0) <= adc_reg(1); elsif iobus_addr = CV_ADDR_ADC2 then iobus_dout(11 downto 0) <= adc_reg(2); elsif iobus_addr = CV_ADDR_ADC3 then iobus_dout(11 downto 0) <= adc_reg(3); elsif iobus_addr = CV_ADDR_ADC4 then iobus_dout(11 downto 0) <= adc_reg(4); elsif iobus_addr = CV_ADDR_ADC5 then iobus_dout(11 downto 0) <= adc_reg(5); elsif iobus_addr = CV_ADDR_ADC6 then iobus_dout(11 downto 0) <= adc_reg(6); elsif iobus_addr = CV_ADDR_ADC7 then iobus_dout(11 downto 0) <= adc_reg(7); elsif iobus_addr = CV_ADDR_ADC_DAC_CTRL then iobus_dout(9 downto 0) <= control_reg; end if; end if; end if; end process; process(adc_select) variable sel : natural; begin sel := to_integer(unsigned(adc_select)); case sel is when 0 => mux_select <= std_ulogic_vector(to_unsigned(7, mux_select'length)); when 1 => mux_select <= std_ulogic_vector(to_unsigned(2, mux_select'length)); when 2 => mux_select <= std_ulogic_vector(to_unsigned(5, mux_select'length)); when 3 => mux_select <= std_ulogic_vector(to_unsigned(1, mux_select'length)); when 4 => mux_select <= std_ulogic_vector(to_unsigned(6, mux_select'length)); when 5 => mux_select <= std_ulogic_vector(to_unsigned(0, mux_select'length)); when 6 => mux_select <= std_ulogic_vector(to_unsigned(4, mux_select'length)); when 7 => mux_select <= std_ulogic_vector(to_unsigned(3, mux_select'length)); when others => mux_select <= std_ulogic_vector(to_unsigned(7, mux_select'length)); end case; end process; end rtl;
apache-2.0
e102dbd7d40ab7b058ee8c252809170d
0.575091
2.751668
false
false
false
false
Fju/LeafySan
src/vhdl/utils/spi_master.vhdl
1
4,456
----------------------------------------------------------------------------------------- -- Project : Invent a Chip -- Module : SPI Master -- Author : Jan Dürre -- Last update : 19.08.2014 -- Description : SPI Master Interface ----------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity spi_master is port( -- global signals clock : in std_ulogic; -- 50MHz Clock reset_n : in std_ulogic; -- Default High -- spi signals spi_clk : out std_ulogic; -- Signale die über den Expansion Header nach außen geführt werden spi_mosi : out std_ulogic; spi_cs_n : out std_ulogic_vector(1 downto 0); spi_miso : in std_logic; -- Signale die über den Expansion Header rein kommen -- interface signals spi_slaveid : in std_ulogic; spi_trenable : in std_ulogic; -- Triggern der Übertragung spi_txdata : in std_ulogic_vector(15 downto 0); -- An den uC zu sendender Befehl, wird mit dem enable Signal übernommen spi_rxdata : out std_ulogic_vector(15 downto 0); -- Vom uC erhaltenes Ergebnis, wird mit dem zurücknehmen des enable Signal übergeben spi_trcomplete : out std_ulogic ); end spi_master; architecture rtl of spi_master is component clock_generator generic ( GV_CLOCK_DIV : natural ); port ( clock : in std_ulogic; reset_n : in std_ulogic; enable : in std_ulogic; clock_out : out std_ulogic ); end component clock_generator; signal rx, rx_nxt : std_ulogic_vector(15 downto 0); signal tx, tx_nxt : std_ulogic_vector(15 downto 0); signal bit_cnt, bit_cnt_nxt : unsigned(3 downto 0); signal spi_clk_now, spi_clk_last : std_ulogic; signal spi_slave_id , spi_slave_id_nxt : std_ulogic; signal activate_slave, activate_slave_nxt : std_ulogic; type state_t is (S_IDLE, S_CLK_FALL, S_CLK_RISE, S_END_TRANSFER); signal state, state_nxt : state_t; begin spiclkgen : clock_generator generic map ( GV_CLOCK_DIV => 50 -- resulting in 1MHz ) port map ( clock => clock, reset_n => reset_n, enable => activate_slave, clock_out => spi_clk_now ); process(clock, reset_n) begin if reset_n = '0' then rx <= (others => '0'); tx <= (others => '0'); bit_cnt <= (others => '0'); spi_clk_last <= '0'; spi_slave_id <= '0'; activate_slave <= '0'; state <= S_IDLE; elsif rising_edge(clock) then rx <= rx_nxt; tx <= tx_nxt; bit_cnt <= bit_cnt_nxt; spi_clk_last <= spi_clk_now; spi_slave_id <= spi_slave_id_nxt; activate_slave <= activate_slave_nxt; state <= state_nxt; end if; end process; process(state, rx, tx, bit_cnt, activate_slave, spi_clk_now, spi_clk_last, spi_miso, spi_trenable, spi_slave_id, spi_txdata, spi_slaveid) begin state_nxt <= state; rx_nxt <= rx; tx_nxt <= tx; bit_cnt_nxt <= bit_cnt; spi_slave_id_nxt <= spi_slave_id; activate_slave_nxt <= activate_slave; spi_trcomplete <= '0'; case state is when S_IDLE => if spi_trenable = '1' then rx_nxt <= (others => '0'); tx_nxt <= spi_txdata; spi_slave_id_nxt <= spi_slaveid; activate_slave_nxt <= '1'; bit_cnt_nxt <= (others => '0'); state_nxt <= S_CLK_RISE; end if; when S_CLK_RISE => if spi_clk_now = '1' and spi_clk_last = '0' then rx_nxt <= rx(14 downto 0) & spi_miso; bit_cnt_nxt <= bit_cnt + to_unsigned(1, bit_cnt'length); state_nxt <= S_CLK_FALL; end if; when S_CLK_FALL => if spi_clk_now = '0' and spi_clk_last = '1' then tx_nxt <= tx(14 downto 0) & '0'; state_nxt <= S_CLK_RISE; if bit_cnt = to_unsigned(0, bit_cnt'length) then state_nxt <= S_END_TRANSFER; end if; end if; when S_END_TRANSFER => spi_trcomplete <= '1'; activate_slave_nxt <= '0'; state_nxt <= S_IDLE; end case; end process; spi_clk <= spi_clk_now; spi_mosi <= tx(15) when activate_slave = '1' else '0'; spi_cs_n(0) <= '0' when spi_slave_id = '0' and activate_slave = '1' else '1'; spi_cs_n(1) <= '0' when spi_slave_id = '1' and activate_slave = '1' else '1'; spi_rxdata <= rx when state = S_END_TRANSFER else (others => '0'); end architecture rtl;
apache-2.0
40c8d7cdfdff3999afa2daf6a924d754
0.563076
2.818124
false
false
false
false
Fju/LeafySan
src/vhdl/testbench/io_model.vhdl
1
2,497
--------------------------------------------------------------------------- -- Project : Invent a Chip -- Module : simple filebased model for GP-In, Switches & Pushbuttons -- Last update : 27.04.2015 --------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library std; use std.textio.all; entity io_model is generic( -- file containing static bit-settings for io's FILE_NAME_SET : string := "io.txt" ); port( -- io's gpio : inout std_logic_vector(15 downto 0); switch : out std_ulogic_vector(17 downto 0); key : out std_ulogic_vector(2 downto 0) ); end io_model; architecture sim of io_model is -- file containing static bit-settings for io's -- order of bits: gp_in(0) ... gp_in(15), switch(0) ... switch(17), key_n(0) ... key_n(2) file file_set : text open read_mode is FILE_NAME_SET; begin process variable active_line : line; variable neol : boolean := false; variable char_value : character := '0'; variable cnt : natural := 0; begin -- preset io's switch <= (others => 'U'); key <= (others => 'U'); -- read bit-settings file while not endfile(file_set) loop -- read line readline(file_set, active_line); -- loop until end of line loop -- read integer from line read(active_line, char_value, neol); -- exit when line has ended exit when not neol; -- chancel when enough data is read exit when cnt = 16 + 18 + 3; -- write data to output if cnt < 16 then -- gpio if char_value = '1' then gpio(cnt) <= '1'; elsif char_value = '0' then gpio(cnt) <= '0'; elsif char_value = 'Z' then gpio(cnt) <= 'Z'; else gpio(cnt) <= 'U'; end if; elsif cnt < 16 + 18 then -- switch if char_value = '1' then switch(cnt-16) <= '1'; elsif char_value = '0' then switch(cnt-16) <= '0'; else switch(cnt-16) <= 'U'; end if; else -- key if char_value = '1' then key(cnt-16-18) <= '1'; elsif char_value = '0' then key(cnt-16-18) <= '0'; else key(cnt-16-18) <= 'U'; end if; end if; -- increment counter cnt := cnt + 1; end loop; end loop; file_close(file_set); wait; end process; end sim;
apache-2.0
467c351cdea538e08681dd5399f446e7
0.506207
3.152778
false
false
false
false
f3zz3h/Embedded-Co-Design
ts7300_top_restored/ts_7300_usercore.vhd
1
13,033
------------------------------------------------------------------------------- -- -- Title : R4B user core -- Design : r4b -- Author : Bulent Selek -- Company : Best Bilgisayar ve Elektronik Sanayi Ticaret -- ------------------------------------------------------------------------------- -- -- File : ts7300_usercore.vhd -- Generated : Fri Jan 8 13:22:36 2007 -- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Modified by Nigel Gunton -- Company : Bristol Institute of Technology -- version 1.0 -- full access to the DIO pins split into 3 registers plus output enable registers ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity ts7300_usercore is -- 75Mhz clock is fed to this module */ port ( wb_clk_i : in std_logic; wb_rst_i : in std_logic; wb_adr_i : in std_logic_vector ( 21 downto 0 ); wb_dat_i : in std_logic_vector ( 31 downto 0 ); wb_cyc_i : in std_logic; wb_stb_i : in std_logic; wb_we_i : in std_logic; wb_ack_o : out std_logic; wb_dat_o : out std_logic_vector ( 31 downto 0 ); headerpin_i : in std_logic_vector( 40 downto 1 ); headerpin_o : out std_logic_vector( 40 downto 1 ); headerpin_oe_o : out std_logic_vector( 40 downto 1 ); irq_o : out std_logic; -- SDRAM sdram_ras_o : out std_logic; -- SDRAM row address strobe sdram_cas_o : out std_logic; -- SDRAM column address strobe sdram_we_n_o : out std_logic; -- SDRAM write enable sdram_ba_o : out std_logic_vector( 1 downto 0 ); -- SDRAM bank address sdram_saddr_o : out std_logic_vector( 11 downto 0 ); -- SDRAM row/column address sdram_sdata_i : in std_logic_vector ( 15 downto 0 ); -- data from SDRAM sdram_sdata_o : out std_logic_vector ( 15 downto 0 ); -- data to SDRAM sdram_sdata_oe : out std_logic -- true if data is output to SDRAM on sDOut ); end ts7300_usercore; architecture ts7300_usercore of ts7300_usercore is --- PWM Stuff --- component pwm port ( clk : in std_logic; duty_cycle : in std_logic_vector(15 downto 0); pwm_enable : in std_logic; resetn : in std_logic; pwm_out : out std_logic); end component; constant deadbeaf : std_logic_vector( 31 downto 0 ) := x"deadcafe"; signal vga_reg : std_logic_vector( 14 downto 0 ); -- only 14 downto 0 valid signal dio2_reg : std_logic_vector( 15 downto 0 ); -- only 15 downto 0 valid signal dummyreg : std_logic_vector( 31 downto 0 ); -- temporary reg signal misc_reg : std_logic_vector( 3 downto 0 ); -- only 3 downto 0 valid signal vga_enable : std_logic_vector( 14 downto 0 ); -- 1 = output enabled signal dio2_enable : std_logic_vector( 15 downto 0 ); -- ditto signal misc_enable : std_logic_vector( 3 downto 0 ); -- ditto signal vga_read : std_logic_vector(14 downto 0); -- read from I/O pins signal dio2_read : std_logic_vector(15 downto 0); -- ditto signal misc_read : std_logic_vector(3 downto 0); -- ditto signal DUTY_CYCLEA : std_logic_vector(15 downto 0) := X"006F"; signal DUTY_CYCLEB : std_logic_vector(15 downto 0) := X"006F"; signal DUTY_CYCLEC : std_logic_vector(15 downto 0) := X"006F"; signal DUTY_CYCLED : std_logic_vector(15 downto 0) := X"006F"; signal PWM_not_reset, PWM_enable_A, PWM_enable_B, PWM_enable_C, PWM_enable_D : std_logic := '1'; --- Read and write cycles of FPGA by and to the Processor begin process ( wb_clk_i ) variable addr : std_logic_vector ( 23 downto 0 ); begin if ( wb_clk_i'event ) and ( wb_clk_i = '1' ) then addr := "00" & wb_adr_i; if (wb_cyc_i = '1' and wb_stb_i = '1' ) and ( wb_we_i = '1') then -- WRITE CYCLE case addr is when x"280000" => vga_reg <= wb_dat_i(14 downto 0); when x"280001" => vga_enable <= wb_dat_i(14 downto 0); when x"280002" => dio2_reg <= wb_dat_i(15 downto 0); when x"280003" => dio2_enable <= wb_dat_i(15 downto 0); when x"280004" => misc_reg <= wb_dat_i(3 downto 0); when x"280005" => misc_enable <= wb_dat_i(3 downto 0); when x"280006" => DUTY_CYCLEA <= wb_dat_i(15 downto 0); when x"280007" => DUTY_CYCLEB <= wb_dat_i(15 downto 0); when x"280008" => DUTY_CYCLEC <= wb_dat_i(15 downto 0); when x"280009" => DUTY_CYCLED <= wb_dat_i(15 downto 0); when x"28000A" => PWM_enable_A <= wb_dat_i(0); when x"28000B" => PWM_enable_B <= wb_dat_i(0); when x"28000C" => PWM_enable_C <= wb_dat_i(0); when x"28000D" => PWM_enable_D <= wb_dat_i(0); when x"28000E" => PWM_not_reset <= wb_dat_i(0); when others => null; end case; end if; -- READ CYCLE case addr is when x"280000" => dummyreg <= "00000000000000000" & vga_read; when x"280001" => dummyreg <= "00000000000000000" & vga_enable; when x"280002" => dummyreg <= "0000000000000000" & dio2_read; when x"280003" => dummyreg <= "0000000000000000" & dio2_enable; when x"280004" => dummyreg <= "0000000000000000000000000000" & misc_read; when x"280005" => dummyreg <= "0000000000000000000000000000" & misc_enable; when x"280006" => dummyreg <= "0000000000000000" & DUTY_CYCLEA; when x"280007" => dummyreg <= "0000000000000000" & DUTY_CYCLEB; when x"280008" => dummyreg <= "0000000000000000" & DUTY_CYCLEC; when x"280009" => dummyreg <= "0000000000000000" & DUTY_CYCLED; when x"28000A" => dummyreg <= "0000000000000000000000000000000" & PWM_enable_A; when x"28000B" => dummyreg <= "0000000000000000000000000000000" & PWM_enable_B; when x"28000C" => dummyreg <= "0000000000000000000000000000000" & PWM_enable_C; when x"28000D" => dummyreg <= "0000000000000000000000000000000" & PWM_enable_D; when x"28000E" => dummyreg <= "0000000000000000000000000000000" & PWM_not_reset ; when others => dummyreg <= x"deadbeef"; end case; end if; end process; wb_ack_o <= wb_cyc_i and wb_stb_i; -- 0-wait state WISHBONE wb_dat_o <= dummyreg; irq_o <= '0'; ------------------------------------------------------------------------------- -- FPGA DIO output enable assignments: 40 downto 1 -- What follows is a pigs ear of assignments as the output enables are in pin -- number order and the enable registers are in data name order ------------------------------------------------------------------------------- headerpin_oe_o(1) <= vga_enable(0); -- blu0 headerpin_oe_o(3) <= vga_enable(1); -- blu1 headerpin_oe_o(5) <= vga_enable(2); -- blu2 headerpin_oe_o(7) <= vga_enable(3); -- blu3 headerpin_oe_o(9) <= vga_enable(4); -- blu4 headerpin_oe_o(11) <= vga_enable(5); -- grn0 headerpin_oe_o(13) <= vga_enable(6); -- grn1 headerpin_oe_o(15) <= vga_enable(7); -- grn2 headerpin_oe_o(17) <= vga_enable(8); -- grn3 headerpin_oe_o(4) <= vga_enable(10); -- red0 headerpin_oe_o(6) <= vga_enable(11); -- red1 headerpin_oe_o(8) <= vga_enable(12); -- red2 headerpin_oe_o(10) <= vga_enable(13); -- red3 headerpin_oe_o(12) <= vga_enable(14); -- red4 --headerpin_oe_o(19) <= '1'; headerpin_oe_o(21) <= '1'; headerpin_oe_o(23) <= '1'; headerpin_oe_o(25) <= '1'; headerpin_oe_o(29) <= '1'; -- headerpin_oe_o(29) <= dio2_enable(4); -- DIO2 headerpin_oe_o(31) <= dio2_enable(5); -- DIO2 headerpin_oe_o(33) <= dio2_enable(6); -- DIO2 headerpin_oe_o(35) <= dio2_enable(7); -- DIO2 headerpin_oe_o(37) <= dio2_enable(8); -- DIO2 -- THE FOLLOWING PIN IS DEDICATED CLK INPUT ONLY -- headerpin_oe_o(39) <= dio2_enable(9); -- DIO2 headerpin_oe_o(24) <= dio2_enable(10); -- DIO2 headerpin_oe_o(26) <= dio2_enable(11); -- DIO2 headerpin_oe_o(28) <= dio2_enable(12); -- DIO2 headerpin_oe_o(30) <= dio2_enable(13); -- DIO2 headerpin_oe_o(32) <= dio2_enable(14); -- DIO2 headerpin_oe_o(34) <= dio2_enable(15); -- DIO2 headerpin_oe_o(14) <= misc_enable(0); -- HSYNC headerpin_oe_o(16) <= misc_enable(1); -- VSYNC headerpin_oe_o(36) <= misc_enable(2); -- RX_LD headerpin_oe_o(38) <= misc_enable(3); -- TX_LD -- the following MUST NOT be altered as they have dedicated use headerpin_oe_o(2) <= '0'; -- GND headerpin_oe_o(18) <= '0'; -- OEV headerpin_oe_o(20) <= '0'; -- +5v fused headerpin_oe_o(22) <= '0'; -- GND headerpin_oe_o(39) <= '0'; -- external clk input only headerpin_oe_o(40) <= '0'; -- +3.3v ------------------------------------------------------------------------------- -- output assignments ------------------------------------------------------------------------------- headerpin_o(1) <= vga_reg(0); --blu0 headerpin_o(3) <= vga_reg(1); --blu1 headerpin_o(5) <= vga_reg(2); --blu2 headerpin_o(6) <= vga_reg(3); --blu3 headerpin_o(7) <= vga_reg(4); --blu4 headerpin_o(11) <= vga_reg(5); --grn0 headerpin_o(13) <= vga_reg(6); --grn1 headerpin_o(15) <= vga_reg(7); --grn2 headerpin_o(17) <= vga_reg(8); --grn3 -- headerpin_o(29) <= dio2_reg(4); -- DIO2 headerpin_o(31) <= dio2_reg(5); -- DIO2 headerpin_o(33) <= dio2_reg(6); -- DIO2 headerpin_o(35) <= dio2_reg(7); -- DIO2 headerpin_o(37) <= dio2_reg(8); -- DIO2 -- THE FOLLOWING PIN IS INPUT ONLY -- headerpin_o(39) <= dio2_reg(9); -- DIO2 headerpin_o(24) <= dio2_reg(10); -- DIO2 headerpin_o(26) <= dio2_reg(11); -- DIO2 headerpin_o(28) <= dio2_reg(12); -- DIO2 headerpin_o(30) <= dio2_reg(13); -- DIO2 headerpin_o(32) <= dio2_reg(14); -- DIO2 headerpin_o(34) <= dio2_reg(15); -- DIO2 headerpin_o(14) <= misc_reg(0); -- HSYNC headerpin_o(16) <= misc_reg(1); -- VSYNC headerpin_o(36) <= misc_reg(2); -- RX_LD headerpin_o(38) <= misc_reg(3); -- TX_LD -- just to keep synthesis warnings down ;) headerpin_o(2) <= '0'; -- GND headerpin_o(18) <= '0'; -- OEV headerpin_o(20) <= '0'; -- +5v fused headerpin_o(22) <= '0'; -- GND headerpin_o(40) <= '0'; -- +3.3v ----------------------------------------------------------------------------- -- read values on the IO pins; the special pins are handled in ts7300_top.v ----------------------------------------------------------------------------- vga_read(0) <= headerpin_i(1); -- blu0 vga_read(1) <= headerpin_i(3); -- blu1 vga_read(2) <= headerpin_i(5); -- blu2 vga_read(3) <= headerpin_i(7); -- blu3 vga_read(4) <= headerpin_i(9); -- blu4 vga_read(5) <= headerpin_i(11); -- grn0 vga_read(6) <= headerpin_i(13); -- grn1 vga_read(7) <= headerpin_i(15); -- grn2 vga_read(8) <= headerpin_i(17); -- grn3 dio2_read(0) <= headerpin_i(21); -- DIO2 dio2_read(1) <= headerpin_i(23); -- DIO2 dio2_read(2) <= headerpin_i(25); -- DIO2 dio2_read(3) <= headerpin_i(27); -- DIO2 dio2_read(4) <= headerpin_i(29); -- DIO2 dio2_read(5) <= headerpin_i(31); -- DIO2 dio2_read(6) <= headerpin_i(33); -- DIO2 dio2_read(7) <= headerpin_i(35); -- DIO2 dio2_read(8) <= headerpin_i(37); -- DIO2 -- dio2_read(9) deliberately left out; see above dio2_read(10) <= headerpin_i(24); -- DIO2 dio2_read(11) <= headerpin_i(26); -- DIO2 dio2_read(12) <= headerpin_i(28); -- DIO2 dio2_read(13) <= headerpin_i(30); -- DIO2 dio2_read(14) <= headerpin_i(32); -- DIO2 dio2_read(15) <= headerpin_i(34); -- DIO2 misc_read(0) <= headerpin_i(14); -- HSYNC misc_read(1) <= headerpin_i(16); -- VSYNC misc_read(2) <= headerpin_i(36); -- RX_LD but not a lot of point misc_read(3) <= headerpin_i(38); -- TX_LD PWM_1:pwm port map ( clk => wb_clk_i, duty_cycle => DUTY_CYCLEA, pwm_enable => PWM_enable_A,--Enable_output_A, resetn => PWM_not_reset, pwm_out => headerpin_o(21)); PWM_2:pwm port map ( clk => wb_clk_i, duty_cycle => DUTY_CYCLEB, pwm_enable => PWM_enable_B,--Enable_output_B, resetn => PWM_not_reset, pwm_out => headerpin_o(23)); PWM_3:pwm port map ( clk => wb_clk_i, duty_cycle => DUTY_CYCLEC, pwm_enable => PWM_enable_C,--Enable_output_C, resetn => PWM_not_reset, pwm_out => headerpin_o(25)); PWM_4:pwm port map ( clk => wb_clk_i, duty_cycle => DUTY_CYCLED, pwm_enable => PWM_enable_D,--Enable_output_D, resetn => PWM_not_reset, pwm_out => headerpin_o(29)); end ts7300_usercore;
gpl-2.0
821a87fe3e47e2d441fc74bd599cccb8
0.533032
2.965415
false
false
false
false
f3zz3h/Embedded-Co-Design
ts7300_top_restored/pwm.vhd
1
2,840
------------------------------------------------------------------------------- -- Title : PWM for robot servos -- Project : ------------------------------------------------------------------------------- -- File : my_pwm.vhd -- Author : <[email protected]> -- Company : FoCEMS, UWE -- Last update: 2011-03-28 -- Platform : Altera Quartus with std_arith library and DE0 board ------------------------------------------------------------------------------- -- Description: A very simple example of a pwm hardware implementation. It has -- three processes, one to divide the 50Mhz clock down to ~1khz, an eight bit -- counter for the full cycle (at 1khz) and a loadable duty cycle register (8 -- bit). Button 0 is the reset, press to start. switches 7 to 0 are the load -- value for the duty cycle register. Switch 9 is the pwm output enable. The -- PWM output is connected to LED0 which will range from always on (100% duty) -- to always off (0% duty). Enjoy. -- ------------------------------------------------------------------------------- -- Revisions : 0 -- Date Version Author Description -- 2007/02/07 1.0 ngunton Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_Arith.all; entity pwm is port ( clk : in std_logic; duty_cycle : in std_logic_vector(15 downto 0); pwm_enable : in std_logic; resetn : in std_logic; pwm_out : out std_logic); end pwm; architecture behavioural of pwm is signal clock_div : unsigned(15 downto 0); signal counter : unsigned(7 downto 0); signal pwm_out_sig, slow_clk : std_logic; signal duty_cycle_reg : unsigned(7 downto 0); begin -- behavioural -- purpose: control the pwm_out -- type : sequential -- inputs : slow_clk, resetn, pwm_enable -- outputs: pwm_out_sig pulse: process (slow_clk, resetn, pwm_enable) begin -- process pulse if resetn = '0' then -- asynchronous reset (active low) pwm_out_sig <= '0'; duty_cycle_reg <= conv_unsigned(X"6F",8); slow_clk <= '0'; -- Resets the clock else if clk'event and clk = '1' then duty_cycle_reg <= unsigned(duty_cycle (7 downto 0)); if clock_div = conv_unsigned(X"0B00",16) then --clock speed clock_div <= conv_unsigned(X"0000",16); slow_clk <= not slow_clk; -- toggle else clock_div <= clock_div + 1; end if; end if; if slow_clk'event and slow_clk = '1' then -- rising clock edge counter <= counter +1; if pwm_enable = '1' and (counter < conv_unsigned(duty_cycle_reg,8)) then pwm_out_sig <= '1'; else pwm_out_sig <= '0'; end if; end if; end if; end process pulse; pwm_out <= pwm_out_sig; end behavioural;
gpl-2.0
d4b5bef5ce999f44aa97eeb7590d0f1d
0.544014
3.722149
false
false
false
false
jchromik/hpi-vhdl-2016
pue2/Prelldemo/VDFF.vhd
1
1,214
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 13:34:39 06/13/2016 -- Design Name: -- Module Name: VDFF - Automat -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; -- vorderflankengetaktetes D-FF, D-Wechsel bei c+ wird NICHT registriert (ALT) -- Automatenebene -- Simulation: ok -- Schaltung: nicht ok (ISE kann keine async. Schaltungen entwerfen) entity VDFF is Port ( R : in std_logic; c : in std_logic; Q : out std_logic; nQ: out std_logic; dummy : out std_logic); end VDFF; architecture Automat of VDFF is signal Z: std_logic := '0'; begin dummy <= c; -- Zustandsberfhrungsfunktion (siehe Automat aus Lsung 7) Delta: process (c,Z) begin if R = '1' then Z <= '0'; elsif c'event and c = '1' then Z <= not Z; end if; end process Delta; -- Y auf Ausgabe-Port legen Q <= Z; nQ <= not Z; end Automat;
mit
cc5da0e62401b85a4a71401df5f1ec90
0.534596
3.186352
false
false
false
false
jdeblese/mwfc
mwfc.srcs/sources_1/counter.vhd
1
8,026
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity counter is Generic ( Tlen : integer; Ilen : integer; measureinterval : integer ); Port ( timer : in STD_LOGIC; input : in STD_LOGIC; tcount : out UNSIGNED (Tlen-1 downto 0); icount : out UNSIGNED (Ilen-1 downto 0); -- overflow : out STD_LOGIC; enable : in STD_LOGIC; strobe : out STD_LOGIC; rst : in STD_LOGIC); end counter; architecture Behavioral of counter is type states is (ST_WAIT, ST_COUNTING, ST_FINISHING, ST_OVERFLOW); -- *** input-based signals *** signal input_en, input_en_next : std_logic; signal M : unsigned (icount'range); signal icount_int, icount_next : unsigned (icount'range); -- Main counter signal iover, iover_next : std_logic; -- Overflow of the 'input' counter signal istate, istate_next : states; -- *** timer-based signals *** signal timer_en, timer_en_next : std_logic; signal N : unsigned (tcount'range); signal tcount_int, tcount_next : unsigned (tcount'range); -- Main counter signal sync_ien : std_logic_vector(1 downto 0); -- Synchronizer for 'input_en' signal tover, tover_next: std_logic; -- Overflow of the 'timer' counter signal tstate, tstate_next : states; signal done, done_next : std_logic; signal strobe_next : std_logic; -- For synchronizing the 'done' signal into the 'input' clock domain -- Introduces a significant delay when the frequency of 'input' is -- low, possibly enough to cause the 'timer' counter to overflow. -- It prevents metastability problems, however, and increasing the -- measurement time will help accuracy. signal sync_done : std_logic_vector(1 downto 0); -- Likewise for the 'timer_en' signal signal sync_ten : std_logic_vector(1 downto 0); begin icount <= icount_int; tcount <= tcount_int; -- overflow <= tover or iover; -- 'input_en' needs to be available in the 'timer' domain so that -- the 'timer' counter knows when to start and stop counting process(rst,timer) begin if rst = '1' then sync_ien <= (others => '0'); elsif rising_edge(timer) then sync_ien <= sync_ien(0) & input_en; end if; end process; -- Main FSM-type programming of the counter comb : process(enable, input_en, sync_ien, icount_int, timer_en, sync_ten, tcount_int, done, sync_done, M, N, tover, iover, istate, tstate) variable input_en_new, timer_en_new, done_new : std_logic; variable tover_new, iover_new : std_logic; variable istate_new, tstate_new : states; begin input_en_new := input_en; timer_en_new := timer_en; done_new := done; tcount_next <= tcount_int; icount_next <= icount_int; tover_new := tover; iover_new := iover; strobe_next <= '0'; istate_new := istate; tstate_new := tstate; case istate is when ST_WAIT => -- DANGER: crosses clock domain -- 'timer_en' and 'done' is in the 'timer' domain if sync_ten(1) = '0' and sync_done(1) = '0' then istate_new := ST_COUNTING; -- 'input' couter is started on rising edge of 'input' input_en_new := '1'; end if; when ST_COUNTING => -- On overflow, turn off counter and wait for timer to stop if M + "1" = "0" then istate_new := ST_OVERFLOW; end if; -- DANGER: crosses clock domain -- input done is in the 'timer' domain if sync_done(1) = '1' then istate_new := ST_WAIT; input_en_new := '0'; -- Disable the counter icount_next <= M + "1"; -- Latch out the counter result iover_new := '0'; -- Clear any overflow end if; when ST_OVERFLOW => iover_new := '1'; input_en_new := '0'; -- Disable the counter istate_new := ST_WAIT; when others => end case; case tstate is when ST_WAIT => done_new := '0'; if sync_ien(1) = '1' then tstate_new := ST_COUNTING; -- 'timer' couter is started on rising edge of 'timer' timer_en_new := '1'; end if; when ST_COUNTING => if N + "1" = "0" then tstate_new := ST_OVERFLOW; end if; -- Indicate when the minimum measurement interval has been reached if N = to_unsigned(measureinterval, N'length) then tstate_new := ST_FINISHING; done_new := '1'; end if; when ST_FINISHING => if N + "1" = "0" then tstate_new := ST_OVERFLOW; end if; -- If the timer's off, signal done and valid output if timer_en = '0' then -- done_new := '0'; strobe_next <= '1'; tstate_new := ST_WAIT; -- Otherwise, turn off the timer when the input counter is off elsif sync_ien(1) = '0' then timer_en_new := '0'; -- Disable the counter tcount_next <= N + "1"; -- Latch out the counter result tover_new := '0'; -- Clear any overflow end if; when ST_OVERFLOW => timer_en_new := '0'; -- Disable the counter tover_new := '1'; done_new := '1'; if sync_ien(1) = '0' then strobe_next <= '1'; tstate_new := ST_WAIT; end if; when others => end case; input_en_next <= input_en_new; timer_en_next <= timer_en_new; done_next <= done_new; tover_next <= tover_new; iover_next <= iover_new; istate_next <= istate_new; tstate_next <= tstate_new; end process; -- Processes synchronous to the 'timer' clock tmem : process(rst, timer) begin if rst = '1' then timer_en <= '0'; done <= '0'; N <= (others => '0'); tcount_int <= (others => '1'); -- Nonzero, avoids 1/0 fault tover <= '0'; strobe <= '0'; tstate <= ST_WAIT; elsif rising_edge(timer) then if timer_en = '1' then N <= N + "1"; else N <= (others => '0'); end if; timer_en <= timer_en_next; done <= done_next; tcount_int <= tcount_next; tover <= tover_next; strobe <= strobe_next; tstate <= tstate_next; end if; end process; -- Processes synchronous to the 'input' clock imem : process(rst, input) begin if rst = '1' then input_en <= '0'; M <= (others => '0'); icount_int <= (others => '0'); iover <= '0'; istate <= ST_WAIT; sync_done <= (others => '0'); sync_ten <= (others => '0'); elsif rising_edge(input) then if input_en = '1' then M <= M + "1"; else M <= (others => '0'); end if; input_en <= input_en_next; icount_int <= icount_next; iover <= iover_next; istate <= istate_next; sync_done <= sync_done(0) & done; sync_ten <= sync_ten(0) & timer_en; end if; end process; end Behavioral;
mit
9976c465d444f0e93668b2c338e0c140
0.488786
4.013
false
false
false
false
maly/fpmi
FPGA/8080/l80pkg.vhd
1
2,977
-------------------------------------------------------------------------------- -- l80pkg.vhdl -- Support package for Light8080 SoC. -- -- Contains functions used to initialize internal BRAM with object code. -- -- This package will be used from the object code package where the program -- initialized RAM constant is defined. If you use script obj2hdl it will -- take care of this for you. -- The package is used in entity l80soc too, and nowhere else. -- -- This file and all the light8080 project files are freeware (See COPYING.TXT) -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; package l80pkg is -- Basic array type for the declaration of initialization constants. -- This type is meant to be used to declare a constant with the object code -- that is to be preprogrammed in an initialized RAM. type obj_code_t is array(integer range <>) of std_logic_vector(7 downto 0); -- Basic array type for the definition of initialized RAMs. type ram_t is array(integer range <>) of std_logic_vector(7 downto 0); -- Builds BRAM initialization constant from a constant CONSTRAINED byte array -- containing the application object code. -- The object code is placed at the beginning of the BRAM and the rest is -- filled with zeros. -- CAN BE USED IN SYNTHESIZABLE CODE to compute a BRAM initialization constant -- from a constant argument. -- -- oC: Object code table (as generated by utility script obj2hdl for instance). -- size: Size of the target memory. -- Returns ram_t value size-bytes long, suitable for synth-time initialization -- of a BRAM. function objcode_to_bram(oC : obj_code_t; size : integer) return ram_t; -- Compute log2(A), rounding up. -- Use this to get the minimum width of the address bus necessary to -- address A locations. function log2(A : natural) return natural; end package; package body l80pkg is -- Builds BRAM initialization constant from a constant CONSTRAINED byte array -- containing the application object code. function objcode_to_bram(oC : obj_code_t; size : integer) return ram_t is variable br : ram_t(integer range 0 to size-1); variable i : integer; variable obj_size : integer; begin -- If the object code table is longer than the array size, truncate code if oC'length > size then obj_size := size; else obj_size := oC'length; end if; -- Copy object code to start of BRAM... for i in 0 to obj_size-1 loop br(i) := oC(i); end loop; -- ... and fill the rest with zeros br(obj_size to size-1) := (others => x"00"); return br; end function objcode_to_bram; function log2(A : natural) return natural is begin for I in 1 to 30 loop -- Works for up to 32 bit integers if(2**I >= A) then return(I); end if; end loop; return(30); end function log2; end package body;
mit
538b92f7feacaad45aa2ae8865cfb765
0.66913
3.948276
false
false
false
false
acarrer/altera-de1-mp3-recorder-vhdl
RegistratorePortatile.vhd
1
22,108
-- ********************************************************** -- Corso di Reti Logiche - "Mp3 Recorder" Project -- Andrea Carrer - 729101 -- Module RegistratorePortatile.vhd -- Version 1.02 - 18.03.2013 -- ********************************************************** -- ********************************************************** -- Main Module, written in VHDL. -- Definisce la logica e le connessioni tra i diversi moduli: -- - PlayRecord: gestione comandi registratore -- - Display: gestione segnali grafica -- - Audio_Controller: interfaccia con il chip WM8731 -- - VGA_Adapter: interfaccia con l'uscita VGA -- - SDRAM: interfaccia con la SDRAM -- E gestisce i componenti I/O della scheda Altera DE1 -- ********************************************************** -- -------------------------------------------------------------------------------------------- -- --------------------------------------------------------------------- Main Module definition -- -------------------------------------------------------------------------------------------- library ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; entity RegistratorePortatile is port( DRAM_DQ: inout std_logic_vector(15 downto 0); -- SDRAM Data bus 16 Bits DRAM_ADDR: out std_logic_vector(11 downto 0); -- SDRAM Address bus 12 Bits DRAM_LDQM: buffer std_logic; -- SDRAM Low-byte Data Mask DRAM_UDQM: buffer std_logic; -- SDRAM High-byte Data Mask DRAM_WE_N: out std_logic; -- SDRAM Write Enable DRAM_CAS_N: out std_logic; -- SDRAM Column Address Strobe DRAM_RAS_N: out std_logic; -- SDRAM Row Address Strobe DRAM_CS_N: out std_logic; -- SDRAM Chip Select DRAM_BA_0: buffer std_logic; -- SDRAM Bank Address 0 DRAM_BA_1: buffer std_logic; -- SDRAM Bank Address 1 DRAM_CLK: out std_logic; -- SDRAM Clock DRAM_CKE: out std_logic; -- SDRAM Clock Enable CLOCK_50: in std_logic; -- On Board 50 MHz KEY: in std_logic_vector(3 downto 0); -- Pushbutton[3:0] SW: in std_logic_vector(9 downto 0); -- Toggle Switch[9:0] HEX0: out std_logic_vector(6 downto 0); -- Seven Segment Digit 0 HEX1: out std_logic_vector(6 downto 0); -- Seven Segment Digit 1 HEX2: out std_logic_vector(6 downto 0); -- Seven Segment Digit 2 HEX3: out std_logic_vector(6 downto 0); -- Seven Segment Digit 3 LEDG: out std_logic_vector(7 downto 0); -- LED Green[7:0] LEDR: out std_logic_vector(9 downto 0); -- LED Red[9:0] AUD_ADCLRCK:inout std_logic; -- Audio CODEC ADC LR Clock AUD_ADCDAT: in std_logic; -- Audio CODEC ADC Data AUD_DACLRCK:inout std_logic; -- Audio CODEC DAC LR Clock AUD_DACDAT: out std_logic; -- Audio CODEC DAC Data AUD_BCLK: inout std_logic; -- Audio CODEC Bit-Stream Clock AUD_XCK: out std_logic; -- Audio CODEC Chip Clock I2C_SDAT: inout std_logic; -- I2C Data I2C_SCLK: out std_logic; -- I2C Clock VGA_CLK: inout std_logic; -- VGA Clock VGA_HS: out std_logic; -- VGA H_SYNC VGA_VS: out std_logic; -- VGA V_SYNC VGA_BLANK: out std_logic; -- VGA BLANK VGA_SYNC: out std_logic; -- VGA SYNC VGA_R: out std_logic_vector(9 downto 0); -- VGA Red[9:0] VGA_G: out std_logic_vector(9 downto 0); -- VGA Green[9:0] VGA_B: out std_logic_vector(9 downto 0) -- VGA Blue[9:0] ); end RegistratorePortatile; -- -------------------------------------------------------------------------------------------- -- ------------------------------------------------------------------------ Definizione segnali -- -------------------------------------------------------------------------------------------- architecture behaviour of RegistratorePortatile is ------------------------------------------------------------------------------------------- -------------------------------------------------------------------------- Componenti usati ------------------------------------------------------------------------------------------- component SDRAM_pll is port( inclk0 : in std_logic; c0 : out std_logic; c1 : out std_logic; c2 : out std_logic ); end component; component sdram is port( az_addr: in std_logic_vector(21 downto 0); az_be_n: in std_logic_vector(1 downto 0); az_cs: in std_logic; az_data: in std_logic_vector(15 downto 0); az_rd_n: in std_logic; az_wr_n: in std_logic; clk: in std_logic; reset_n: in std_logic; za_data: out std_logic_vector(15 downto 0); za_valid: out std_logic; za_waitrequest: out std_logic; zs_addr: out std_logic_vector(11 downto 0); zs_ba: out std_logic_vector(1 downto 0); zs_cas_n: out std_logic; zs_cke: out std_logic; zs_cs_n: out std_logic; zs_dq: inout std_logic_vector(15 downto 0); zs_dqm: out std_logic_vector(1 downto 0); zs_ras_n: out std_logic; zs_we_n: out std_logic ); end component; component Audio_Controller is port( clk: in std_logic; reset: in std_logic; clear_audio_in_memory: in std_logic; read_audio_in: in std_logic; clear_audio_out_memory: in std_logic; left_channel_audio_out: in std_logic_vector(32 downto 1); -- TODO parametrizzare con AUDIO_DATA_WIDTH right_channel_audio_out: in std_logic_vector(32 downto 1); -- TODO parametrizzare con AUDIO_DATA_WIDTH write_audio_out: in std_logic; AUD_ADCDAT: in std_logic; AUD_BCLK: inout std_logic; AUD_ADCLRCK: inout std_logic; AUD_DACLRCK: inout std_logic; I2C_SDAT: inout std_logic; audio_in_available: out std_logic; left_channel_audio_in: buffer std_logic_vector(32 downto 1); -- TODO parametrizzare con AUDIO_DATA_WIDTH right_channel_audio_in: out std_logic_vector(32 downto 1); -- TODO parametrizzare con AUDIO_DATA_WIDTH audio_out_allowed: out std_logic; AUD_XCK: out std_logic; AUD_DACDAT: out std_logic; I2C_SCLK: out std_logic; useMicInput: in std_logic ); end component; component PlayRecord is port ( CLOCK_50 : in std_logic; CLOCK_1S : in std_logic; reset : in std_logic; ram_addr : out std_logic_vector(21 downto 0); ram_data_in : out std_logic_vector(15 downto 0); ram_read : out std_logic; ram_write : out std_logic; ram_data_out : in std_logic_vector(15 downto 0); ram_valid : in std_logic; ram_waitrq : in std_logic; audio_out : out std_logic_vector(15 downto 0); audio_in : in std_logic_vector(15 downto 0); audio_out_allowed : in std_logic; audio_in_available : in std_logic; write_audio_out : out std_logic; read_audio_in : out std_logic; play : in std_logic; rec : in std_logic; pause : in std_logic; speed : in std_logic_vector(1 downto 0); ram_addr_max : in std_logic_vector(21 downto 0); playLimitReached : inout std_logic; secondsCounter : inout std_logic_vector(7 downto 0) ); end component; component VGA_Adapter is port( resetn: in std_logic; clock: in std_logic; clock_25: in std_logic; colour: in std_logic; x: in std_logic_vector(8 downto 0); -- x coordinate y: in std_logic_vector(7 downto 0); -- y coordinate plot: in std_logic; -- Quando e'=1, il pixel (x,y) cambiera' colore (bisogna plottare) -- Segnali per il DAC per pilotare the monitor. VGA_R: out std_logic_vector(9 downto 0); VGA_G: out std_logic_vector(9 downto 0); VGA_B: out std_logic_vector(9 downto 0); VGA_HS: out std_logic; VGA_VS: out std_logic; VGA_BLANK: out std_logic; VGA_SYNC: out std_logic ); end component; component Display is port ( clock : in std_logic; reset : in std_logic; freeze : in std_logic; data : in std_logic_vector(15 downto 0); x : inout std_logic_vector(8 downto 0); y : inout std_logic_vector(7 downto 0); color : inout std_logic; plot : inout std_logic ); end component; component BinaryToBcd is port ( A : in std_logic_vector(7 downto 0); ONES : out std_logic_vector(3 downto 0); TENS : out std_logic_vector(3 downto 0); HUNDREDS : out std_logic_vector(1 downto 0) ); end component; component hex2seg is port ( hex: in std_logic_vector(3 downto 0); seg: out std_logic_vector(6 downto 0) ); end component; -- Segnali usati per leggere e scrivere dalla RAM signal ram_addr: std_logic_vector(21 downto 0); -- Indirizzamento a 22 bit signal ram_data_in, ram_data_out: std_logic_vector(15 downto 0); -- Bus dati a 16 bit I/O signal ram_valid, ram_waitrq, ram_read, ram_write: std_logic; -- Segnali di abilitazione per lettura/scrittura signal ram_addr_max: std_logic_vector(21 downto 0); -- Memorizza l'ultimo banco di RAM memorizzato signal playLimitReached: std_logic; -- A 1 se durante il play si raggiunge la fine della registrazione -- Segnali per gestione lettura/scrittura audio signal audio_out, audio_in: std_logic_vector(15 downto 0); -- Bus a 16 bit signal audio_out_allowed, audio_in_available: std_logic; -- Segnali di controllo abilitazione lettura/scrittura audio signal write_audio_out, read_audio_in: std_logic; -- Segnali per interfaccia con VGA signal vga_color: std_logic; -- Colore (monocromatico, pixel acceso/spento) signal vga_x: std_logic_vector(8 downto 0); -- x massimo = 319 (9 bit) signal vga_y: std_logic_vector(7 downto 0); -- y massimo = 239 (8 bit) signal vga_plot: std_logic; -- Abilitazione a scrittura pixel -- Visualizzo l'uscita se sono in Play, altrimenti visualizzo l'ingresso del microfono signal display_data: std_logic_vector(15 downto 0); signal display_data_scaled: std_logic_vector(15 downto 0); -- Dati in scala usati per VGA e led rossi (volume) signal useMicInput: std_logic; -- Quando e' a 1 usa il microfono, altrimenti il LineIn signal blink_cnt: std_logic_vector(25 downto 0); -- Usato per blink pausa -- Contatore di secondi signal secondsCounter: std_logic_vector(7 downto 0); -- Contatore di secondi durante Play & Rec signal secondsCounter0, secondsCounter1: std_logic_vector(3 downto 0); -- BCD signal secondsCounter2: std_logic_vector(1 downto 0); -- BCD signal seconds_max: std_logic_vector(7 downto 0); -- Memorizza i secondi memorizzati con l'ultima registrazione signal seconds_max0, seconds_max1: std_logic_vector(3 downto 0); -- BCD signal seconds_max2: std_logic_vector(1 downto 0); -- BCD signal cnt_clock: integer; signal CLOCK_1S: std_logic; ----------------------------------------------------------------------------------------------- ------------------------------------------------------------ Definizione input dalla Altera DE1 ----------------------------------------------------------------------------------------------- -- Tasti e switch per comandi signal reset: std_logic := not KEY(0); -- Reset del sistema signal AudioInChanged: std_logic := not KEY(1); -- Gestione del soft reset del chip audio signal DisplayRamAddr: std_logic := not KEY(2); -- Se premuto visualizza l'indirizzo RAM anziche' i secondi signal play_Cmd: std_logic := SW(0); -- Riproduce l'audio signal pause_Cmd: std_logic := SW(1); -- Mette in pausa signal record_Cmd: std_logic := SW(2); -- Registra signal speed: std_logic_vector(1 downto 0) := SW(4 downto 3); -- Settaggi di velocita riproduzione signal scale: std_logic_vector(1 downto 0) := SW(6 downto 5); -- Scala di visualizzazione dell'onda signal showMaxAddr: std_logic := SW(7); -- Visualizzazione del limite dell'ultima registrazione ----------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------- Segnali di buffer ----------------------------------------------------------------------------------------------- -- Segnali per display a 7 segmenti signal h0_sig: std_logic_vector(3 downto 0); signal h1_sig: std_logic_vector(3 downto 0); signal h2_sig: std_logic_vector(3 downto 0); signal h3_sig: std_logic_vector(3 downto 0); signal ramMaxAddr_sig: std_logic_vector(1 downto 0); -- Serve per assegnare h0 e h1 signal zs_ba_sig: std_logic_vector(1 downto 0); signal zs_dqm_sig: std_logic_vector(1 downto 0); signal left_channel_audio_in_sig: std_logic_vector(32 downto 1); begin display_data <= audio_out when play_Cmd='1' else audio_in; ----------------------------------------------------------------------------------------------- --------------------------------------------------- Definizione output diretti sulla Altera DE1 ----------------------------------------------------------------------------------------------- -- Spie per livello audio (volume) sui led rossi LEDR(0) <= '0' when display_data_scaled(15)='1' else display_data_scaled(0); LEDR(1) <= '0' when display_data_scaled(15)='1' else display_data_scaled(2); LEDR(2) <= '0' when display_data_scaled(15)='1' else display_data_scaled(4); LEDR(3) <= '0' when display_data_scaled(15)='1' else display_data_scaled(6); LEDR(4) <= '0' when display_data_scaled(15)='1' else display_data_scaled(8); LEDR(5) <= '0' when display_data_scaled(15)='1' else display_data_scaled(10); LEDR(6) <= '0' when display_data_scaled(15)='1' else display_data_scaled(12); LEDR(7) <= '0' when display_data_scaled(15)='1' else display_data_scaled(14); -- Spia per la pausa LEDG(7) <= blink_cnt(25) when pause_Cmd='1' and (play_Cmd='1' or record_Cmd='1') else '0'; LEDG(6) <= play_Cmd and playLimitReached; -- Spia per reset LEDG(0) <= reset; -- Spia per input audio LEDG(1) <= useMicInput; -- Clock 1S (debug) LEDG(2) <= CLOCK_1S and (play_Cmd or record_Cmd) and (not pause_Cmd) and (not playLimitReached); -- Led non usati LEDR(9 downto 8) <= "00"; LEDG(5 downto 3) <= "000"; ----------------------------------------------------------------------------------------------- ----------------------------------------------------------------------------- Segnali di buffer ----------------------------------------------------------------------------------------------- -- Visualizzo l'uscita se sono in Play, altrimenti visualizzo l'ingresso del microfono display_data <= audio_out when play_Cmd='1' else audio_in; ramMaxAddr_sig <= DisplayRamAddr & showMaxAddr; with ramMaxAddr_sig select h0_sig <= ram_addr_max(17 downto 14) when "11", -- Mostra Max Ram Address ram_addr(17 downto 14) when "10", -- Mostra Ram Address seconds_max0 when "01", -- Mostra Max Secondi secondsCounter0 when "00", -- Mostra secondi "0000" when others; with ramMaxAddr_sig select h1_sig <= ram_addr_max(21 downto 18) when "11", -- Mostra Max Ram Address ram_addr(21 downto 18) when "10", -- Mostra Ram Address seconds_max1 when "01", -- Mostra Max Secondi secondsCounter1 when "00", -- Mostra secondi "0000" when others; h2_sig <= "00" & scale; h3_sig <= "00" & speed; zs_ba_sig <= DRAM_BA_1 & DRAM_BA_0; zs_dqm_sig <= DRAM_UDQM & DRAM_LDQM; left_channel_audio_in_sig <= audio_in & "XXXXXXXXXXXXXXXX"; ----------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------- Processi ----------------------------------------------------------------------------------------------- -- Intercetto il cambio di input per generare un "soft reset" del codec -- Visto che il settaggio della periferica deve essere fatto allo startup del CODEC process (AudioInChanged) begin if rising_edge(AudioInChanged) then useMicInput <= not useMicInput; end if; end process; -- Calcolo dei dati in base alla scala scelta: piu' e' alto il valore di scala piu' -- Viene ridotta l'altezza della forma d'onda visualizzata process (all) begin case(scale) is when "00" => display_data_scaled <= display_data; when "01" => display_data_scaled <= display_data(15) & display_data(15) & display_data(15) & display_data(15) & display_data(15) & display_data(14 downto 4); when "10" => display_data_scaled <= display_data(15) & display_data(15) & display_data(15) & display_data(15) & display_data(15) & display_data(15) & display_data(15) & display_data(15) & display_data(15) & display_data(14 downto 8); when "11" => display_data_scaled <= display_data(15) & display_data(15) & display_data(15) & display_data(15) & display_data(15) & display_data(15) & display_data(15) & display_data(15) & display_data(15) & display_data(15) & display_data(15) & display_data(15) & display_data(15) & display_data(14 downto 12); end case; end process; -- Blinking della pausa process (CLOCK_50) begin if rising_edge(CLOCK_50) then blink_cnt <= blink_cnt + 1; end if; end process; -- Memorizzazione dell'ultimo indirizzo registrato process (record_Cmd) begin if falling_edge(record_Cmd) then ram_addr_max <= ram_addr; seconds_max <= secondsCounter; end if; end process; -- Generazione clock a 2 Hz per contare i secondi process (CLOCK_50) begin if rising_edge(CLOCK_50) then if (cnt_clock = 25000000) then CLOCK_1S <= not CLOCK_1S; cnt_clock <= 0; else cnt_clock <= cnt_clock + 1; end if; end if; end process; ----------------------------------------------------------------------------------------------- ----------------------------------------------------------------------- Collegamento Componenti ----------------------------------------------------------------------------------------------- -- Modulo PLL generato con la megafunction ALTPLL SDRAM_PLL_Entity: SDRAM_PLL port map( inclk0 => CLOCK_50, c0 => DRAM_CLK, c1 => VGA_CLK, c2 => AUD_XCK ); -- Modulo generato dal SOPC builder SDRAM_Entity: sdram port map( az_addr => ram_addr, az_be_n => "00", az_cs => '1', az_data => ram_data_in, az_rd_n => not ram_read, az_wr_n => not ram_write, clk => CLOCK_50, reset_n => not reset, za_data => ram_data_out, za_valid => ram_valid, za_waitrequest => ram_waitrq, zs_addr => DRAM_ADDR, zs_ba => zs_ba_sig, zs_cas_n => DRAM_CAS_N, zs_cke => DRAM_CKE, zs_cs_n => DRAM_CS_N, zs_dq => DRAM_DQ, zs_dqm => zs_dqm_sig, zs_ras_n => DRAM_RAS_N, zs_we_n => DRAM_WE_N ); -- Lettura e scrittura sul chip audio Audio_Controller_Entity: Audio_Controller port map ( clk => CLOCK_50, reset => reset or AudioInChanged, clear_audio_in_memory => '0', read_audio_in => read_audio_in, clear_audio_out_memory => '0', left_channel_audio_out => audio_out & "0000000000000000", right_channel_audio_out => audio_out & "0000000000000000", write_audio_out => write_audio_out, AUD_ADCDAT => AUD_ADCDAT, AUD_BCLK => AUD_BCLK, AUD_ADCLRCK => AUD_ADCLRCK, AUD_DACLRCK => AUD_DACLRCK, I2C_SDAT => I2C_SDAT, audio_in_available => audio_in_available, left_channel_audio_in => left_channel_audio_in_sig, right_channel_audio_in => OPEN, audio_out_allowed => audio_out_allowed, AUD_XCK => OPEN, AUD_DACDAT => AUD_DACDAT, I2C_SCLK => I2C_SCLK, useMicInput => useMicInput ); -- Gestisce registrazione su RAM e riproduzione da RM dell'audio PlayRecord_Entity: PlayRecord port map( CLOCK_50, CLOCK_1S, reset, ram_addr, ram_data_in, ram_read, ram_write, ram_data_out, ram_valid, ram_waitrq, audio_out, audio_in, audio_out_allowed, audio_in_available, write_audio_out, read_audio_in, play_Cmd, record_Cmd, pause_Cmd, speed, ram_addr_max, playLimitReached, secondsCounter ); -- Inizializzazione adattatore monitor VGA VGA_Adapter_Entity: VGA_Adapter port map( NOT reset, CLOCK_50, VGA_CLK, vga_color, vga_x, vga_y, vga_plot, VGA_R, VGA_G, VGA_B, VGA_HS, VGA_VS, VGA_BLANK, VGA_SYNC ); -- Modulo che gestisce il display su monitor VGA Display_Entity: Display port map( CLOCK_50, reset, pause_Cmd, display_data_scaled, vga_x, vga_y, vga_color, vga_plot ); -- Convertitori da Binario a BCD SecondsCounter_Entity: BinaryToBcd port map(secondsCounter, secondsCounter0, secondsCounter1, secondsCounter2); SecondsMax_Entity: BinaryToBcd port map(seconds_max, seconds_max0, seconds_max1, seconds_max2); -- I display a 7 segmenti 0 e 1 sono usati per visualizzare l'indirizzo della RAM o dei secondi (in rec o play) h0_Entity: hex2seg port map(h0_sig, HEX0); h1_Entity: hex2seg port map(h1_sig, HEX1); -- Il display a 7 segmenti 2 e' usato per visualizzare il fattore di scala dell'onda sul monitor VGA h4_Entity: hex2seg port map(h2_sig,HEX2); -- Il display a 7 segmenti 3 viene usato per visualizzare la velocita di riproduzione h3_Entity: hex2seg port map(h3_sig,HEX3); end behaviour;
mit
65178552b796915fe3dcee8bde5f4a79
0.551248
3.156482
false
false
false
false
jchromik/hpi-vhdl-2016
pue3/Keyboard/output_main.vhd
1
3,639
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 14:45:03 07/06/2016 -- Design Name: -- Module Name: output_main - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity output_main is Port ( scancode : in STD_LOGIC_VECTOR(7 downto 0); ready : in STD_LOGIC; reset : in STD_LOGIC; clk : in STD_LOGIC; led_out : out STD_LOGIC_VECTOR(7 downto 0); segment_out : out STD_LOGIC_VECTOR(14 downto 0)); end output_main; architecture Behavioral of output_main is component key2segments is port ( scancode : in STD_LOGIC_VECTOR(7 downto 0); segments : out STD_LOGIC_VECTOR (6 downto 0)); end component; signal isE0, isF0, stw_q: STD_LOGIC; signal segments : STD_LOGIC_VECTOR(6 downto 0); signal mul_ctr : Integer range 0 to 100000; signal cur_led : Integer range 0 to 7; signal led0 : STD_LOGIC_VECTOR (6 downto 0); signal led1 : STD_LOGIC_VECTOR (6 downto 0); signal led2 : STD_LOGIC_VECTOR (6 downto 0); signal led3 : STD_LOGIC_VECTOR (6 downto 0); signal led4 : STD_LOGIC_VECTOR (6 downto 0); signal led5 : STD_LOGIC_VECTOR (6 downto 0); signal led6 : STD_LOGIC_VECTOR (6 downto 0); signal led7 : STD_LOGIC_VECTOR (6 downto 0); begin ef0_detector : process(scancode) begin if(scancode = "11100000") then isE0 <= '1'; else isE0 <= '0'; end if; if(scancode = "11110000") then isF0 <= '1'; else isF0 <= '0'; end if; end process ef0_detector; key2seg1: key2segments port map (scancode, segments); led_out_pipe: process(isE0, isF0, ready, scancode, clk, segments) begin if clk'event and clk = '1' and (not (isE0 = '1' or isF0 = '1')) and ready = '1' then led_out <= scancode; end if; end process led_out_pipe; stw : process (isE0, isF0, ready, clk) begin if clk'event and clk = '1' and ready = '1' then if stw_q = '0' then if isE0 = '0' AND isF0 = '0' then led7 <= led6; led6 <= led5; led5 <= led4; led4 <= led3; led3 <= led2; led2 <= led1; led1 <= led0; led0 <= segments; elsif isE0 = '0' AND isF0 = '1' then stw_q <= '1'; end if; else stw_q <= '0'; end if; end if; end process stw; ctr : process(clk) begin if(clk'event and clk = '1') then if mul_ctr >= 99999 then mul_ctr <= 0; cur_led <= cur_led + 1; else mul_ctr <= mul_ctr + 1; end if; end if; end process ctr; multiplex : process (cur_led) begin if cur_led = 0 then segment_out <= "11111110" & led0; elsif cur_led = 1 then segment_out <= "11111101" & led1; elsif cur_led = 2 then segment_out <= "11111011" & led2; elsif cur_led = 3 then segment_out <= "11110111" & led3; elsif cur_led = 4 then segment_out <= "11101111" & led4; elsif cur_led = 5 then segment_out <= "11011111" & led5; elsif cur_led = 6 then segment_out <= "10111111" & led6; else segment_out <= "01111111" & led7; end if; end process multiplex; end Behavioral;
mit
4c3f6b083c0cffba46ecc5a18317dac6
0.598241
3.022425
false
false
false
false
Fju/LeafySan
src/vhdl/utils/i2c_master.vhdl
1
27,816
----------------------------------------------------------------------------------------- -- Project : Invent a Chip -- Module : I2C Master -- Author : Jan Dürre -- Last update : 13.01.2016 -- Description : This I2C-Master supports 4 modes: -- mode = 00: Only Read Bytes -- mode = 01: Only Write Bytes -- mode = 10: Read Bytes, Repeated Start, Write Bytes -- mode = 11: Write Bytes, Repeated Start, Read Bytes -- Clock Stretching is supported. ----------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.iac_pkg.all; entity i2c_master is generic ( GV_SYS_CLOCK_RATE : natural := 50000000; GV_I2C_CLOCK_RATE : natural := 400000; -- standard mode: (100000) 100 kHz; fast mode: 400000 Hz (400 kHz) GW_SLAVE_ADDR : natural := 7; GV_MAX_BYTES : natural := 16; GB_USE_INOUT : boolean := true; GB_TIMEOUT : boolean := false ); port ( clock : in std_ulogic; reset_n : in std_ulogic; -- i2c master i2c_clk : inout std_logic; -- separated in / out i2c_clk_ctrl : out std_ulogic; i2c_clk_in : in std_ulogic; i2c_clk_out : out std_ulogic; -- inout i2c_dat : inout std_logic; -- separated in / out i2c_dat_ctrl : out std_ulogic; i2c_dat_in : in std_ulogic; i2c_dat_out : out std_ulogic; -- interface busy : out std_ulogic; cs : in std_ulogic; mode : in std_ulogic_vector(1 downto 0); slave_addr : in std_ulogic_vector(GW_SLAVE_ADDR-1 downto 0); bytes_tx : in unsigned(to_log2(GV_MAX_BYTES+1)-1 downto 0); bytes_rx : in unsigned(to_log2(GV_MAX_BYTES+1)-1 downto 0); tx_data : in std_ulogic_vector(7 downto 0); tx_data_valid : in std_ulogic; rx_data : out std_ulogic_vector(7 downto 0); rx_data_valid : out std_ulogic; rx_data_en : in std_ulogic; error : out std_ulogic ); end i2c_master; architecture rtl of i2c_master is constant BIT_PERIOD : natural := GV_SYS_CLOCK_RATE / GV_I2C_CLOCK_RATE; constant BIT_HALFPERIOD : natural := GV_SYS_CLOCK_RATE / (2 * GV_I2C_CLOCK_RATE); constant BIT_QUARTERPERIOD : natural := GV_SYS_CLOCK_RATE / (4 * GV_I2C_CLOCK_RATE); constant BIT_PAUSE : natural := BIT_PERIOD * 3; constant BIT_TIMEOUT : natural := (GV_SYS_CLOCK_RATE / 1000) * 35; -- 35ms -- fifos component fifo is generic ( DEPTH : natural; WORDWIDTH : natural ); port ( clock : in std_ulogic; reset_n : in std_ulogic; write_en : in std_ulogic; data_in : in std_ulogic_vector(WORDWIDTH-1 downto 0); read_en : in std_ulogic; data_out : out std_ulogic_vector(WORDWIDTH-1 downto 0); empty : out std_ulogic; full : out std_ulogic; fill_cnt : out unsigned(to_log2(DEPTH+1)-1 downto 0) ); end component fifo; signal rx_fifo_write_en : std_ulogic; signal rx_fifo_data_in : std_ulogic_vector(7 downto 0); signal rx_fifo_read_en : std_ulogic; signal rx_fifo_data_out : std_ulogic_vector(7 downto 0); signal rx_fifo_empty : std_ulogic; signal tx_fifo_write_en : std_ulogic; signal tx_fifo_data_in : std_ulogic_vector(7 downto 0); signal tx_fifo_read_en : std_ulogic; signal tx_fifo_data_out : std_ulogic_vector(7 downto 0); signal tx_fifo_empty : std_ulogic; -- register to save requests signal mode_reg, mode_reg_nxt : std_ulogic_vector(1 downto 0); signal slave_addr_reg, slave_addr_reg_nxt : std_ulogic_vector(GW_SLAVE_ADDR-1 downto 0); signal bytes_tx_reg, bytes_tx_reg_nxt : unsigned(to_log2(GV_MAX_BYTES+1)-1 downto 0); signal bytes_rx_reg, bytes_rx_reg_nxt : unsigned(to_log2(GV_MAX_BYTES+1)-1 downto 0); -- control fsm type state_t is ( S_IDLE, S_START, S_START_PAUSE, S_TX_SLAVE_ADDR_LF, S_TX_SLAVE_ADDR_H, S_TX_SLAVE_ADDR_LB, S_TX_BYTE_LF, S_TX_BYTE_H, S_TX_BYTE_LB, S_REPEAT_START_L, S_REPEAT_START_H, S_RX_BYTE_L, S_RX_BYTE_H, S_PAUSE, S_RX_ACK_L, S_RX_ACK_H, S_TX_ACK_LF, S_TX_ACK_H, S_TX_ACK_LB, S_STOP_L, S_STOP_H, S_CLEANUP ); signal state, state_nxt : state_t; signal follow_state, follow_state_nxt : state_t; -- data shift register signal i2c_tx_shift_reg, i2c_tx_shift_reg_nxt : std_logic_vector(max(8, GW_SLAVE_ADDR + 1)-1 downto 0); signal i2c_rx_shift_reg, i2c_rx_shift_reg_nxt : std_logic_vector(7 downto 0); -- counter signal tx_byte_cnt, tx_byte_cnt_nxt : unsigned(to_log2(GV_MAX_BYTES+1)-1 downto 0); signal rx_byte_cnt, rx_byte_cnt_nxt : unsigned(to_log2(GV_MAX_BYTES+1)-1 downto 0); signal bit_cnt, bit_cnt_nxt : unsigned(to_log2(max(8, GW_SLAVE_ADDR + 1))-1 downto 0); signal time_cnt, time_cnt_nxt : unsigned(to_log2(10 * BIT_PERIOD)-1 downto 0); signal time_cnt_reset : std_ulogic; signal time_cnt_period : std_ulogic; signal time_cnt_period_tick : std_ulogic; signal time_cnt_halfperiod : std_ulogic; signal time_cnt_halfperiod_tick : std_ulogic; signal time_cnt_quarterperiod : std_ulogic; signal time_cnt_quarterperiod_tick : std_ulogic; signal time_cnt_pause_timeout : std_ulogic; signal timeout_cnt, timeout_cnt_nxt : unsigned(31 downto 0); signal timeout_reset : std_ulogic; signal timeout : std_ulogic; -- error flag signal error_int, error_int_nxt : std_ulogic; -- i2c wires signal i2c_clk_wire : std_logic; signal i2c_clk_in_wire : std_ulogic; signal i2c_dat_wire : std_logic; signal i2c_dat_in_wire : std_ulogic; begin -- check if slave-address-width is 7 or 10 (as in nxp reference definition) assert ((GW_SLAVE_ADDR = 7) or (GW_SLAVE_ADDR = 10)) report "Warning: Most I2C-Interfaces only support 7 or 10 Bit Slave-Addresses!" severity warning; -- fifos rx_buf_inst : fifo generic map ( DEPTH => GV_MAX_BYTES, WORDWIDTH => 8 ) port map ( clock => clock, reset_n => reset_n, write_en => rx_fifo_write_en, data_in => rx_fifo_data_in, read_en => rx_fifo_read_en, data_out => rx_fifo_data_out, empty => rx_fifo_empty, full => open, fill_cnt => open ); tx_buf_inst : fifo generic map ( DEPTH => GV_MAX_BYTES, WORDWIDTH => 8 ) port map ( clock => clock, reset_n => reset_n, write_en => tx_fifo_write_en, data_in => tx_fifo_data_in, read_en => tx_fifo_read_en, data_out => tx_fifo_data_out, empty => tx_fifo_empty, full => open, fill_cnt => open ); -- ff process(clock, reset_n) begin if reset_n = '0' then state <= S_IDLE; follow_state <= S_IDLE; i2c_tx_shift_reg <= (others => '0'); i2c_rx_shift_reg <= (others => '0'); mode_reg <= (others => '0'); slave_addr_reg <= (others => '0'); bytes_tx_reg <= (others => '0'); bytes_rx_reg <= (others => '0'); tx_byte_cnt <= (others => '0'); rx_byte_cnt <= (others => '0'); bit_cnt <= (others => '0'); time_cnt <= (others => '0'); timeout_cnt <= (others => '0'); error_int <= '0'; elsif rising_edge(clock) then state <= state_nxt; follow_state <= follow_state_nxt; i2c_tx_shift_reg <= i2c_tx_shift_reg_nxt; i2c_rx_shift_reg <= i2c_rx_shift_reg_nxt; mode_reg <= mode_reg_nxt; slave_addr_reg <= slave_addr_reg_nxt; bytes_tx_reg <= bytes_tx_reg_nxt; bytes_rx_reg <= bytes_rx_reg_nxt; tx_byte_cnt <= tx_byte_cnt_nxt; rx_byte_cnt <= rx_byte_cnt_nxt; bit_cnt <= bit_cnt_nxt; time_cnt <= time_cnt_nxt; timeout_cnt <= timeout_cnt_nxt; error_int <= error_int_nxt; end if; end process; -- connect entity to fifos tx_fifo_data_in <= tx_data; tx_fifo_write_en <= tx_data_valid; rx_data <= rx_fifo_data_out; rx_data_valid <= not rx_fifo_empty; rx_fifo_read_en <= rx_data_en; -- time counter process(time_cnt, time_cnt_reset) begin time_cnt_period <= '0'; time_cnt_period_tick <= '0'; time_cnt_halfperiod <= '0'; time_cnt_halfperiod_tick <= '0'; time_cnt_quarterperiod <= '0'; time_cnt_quarterperiod_tick <= '0'; time_cnt_pause_timeout <= '0'; if time_cnt_reset = '1' then time_cnt_nxt <= (others => '0'); else time_cnt_nxt <= time_cnt + 1; end if; if time_cnt >= BIT_PERIOD then time_cnt_period <= '1'; end if; if time_cnt = BIT_PERIOD then time_cnt_period_tick <= '1'; end if; if time_cnt >= BIT_HALFPERIOD then time_cnt_halfperiod <= '1'; end if; if time_cnt = BIT_HALFPERIOD then time_cnt_halfperiod_tick <= '1'; end if; if time_cnt >= BIT_QUARTERPERIOD then time_cnt_quarterperiod <= '1'; end if; if time_cnt = BIT_QUARTERPERIOD then time_cnt_quarterperiod_tick <= '1'; end if; if time_cnt >= BIT_PAUSE then time_cnt_pause_timeout <= '1'; end if; end process; -- timeout counter process(timeout_cnt, timeout_reset) begin timeout <= '0'; if timeout_reset = '1' then timeout_cnt_nxt <= (others => '0'); else timeout_cnt_nxt <= timeout_cnt + 1; end if; if GB_TIMEOUT = true then if timeout_cnt = BIT_TIMEOUT then timeout <= '1'; end if; end if; end process; -- i2c lines can only be driven with '0', a logical one is implemented via pull-up -> 'Z' inout_gen : if GB_USE_INOUT = true generate i2c_clk <= '0' when i2c_clk_wire = '0' else 'Z'; i2c_clk_ctrl <= '0'; i2c_clk_out <= 'Z'; i2c_clk_in_wire <= i2c_clk; i2c_dat <= '0' when i2c_dat_wire = '0' else 'Z'; i2c_dat_ctrl <= '0'; i2c_dat_out <= 'Z'; i2c_dat_in_wire <= i2c_dat; end generate inout_gen; sep_inout_gen : if GB_USE_INOUT = false generate i2c_clk <= 'Z'; i2c_clk_in_wire <= i2c_clk_in; i2c_dat <= 'Z'; i2c_dat_in_wire <= i2c_dat_in; process(i2c_clk_wire) begin if i2c_clk_wire = '0' then i2c_clk_ctrl <= '1'; i2c_clk_out <= '0'; else i2c_clk_ctrl <= '0'; i2c_clk_out <= 'Z'; end if; end process; process(i2c_dat_wire) begin if i2c_dat_wire = '0' then i2c_dat_ctrl <= '1'; i2c_dat_out <= '0'; else i2c_dat_ctrl <= '0'; i2c_dat_out <= 'Z'; end if; end process; end generate sep_inout_gen; -- logic process(state, follow_state, i2c_tx_shift_reg, i2c_rx_shift_reg, mode_reg, slave_addr_reg, bytes_tx_reg, bytes_rx_reg, tx_byte_cnt, rx_byte_cnt, bit_cnt, time_cnt_period, time_cnt_period_tick, time_cnt_halfperiod, time_cnt_halfperiod_tick, time_cnt_quarterperiod, time_cnt_quarterperiod_tick, time_cnt_pause_timeout, timeout, error_int, cs, mode, slave_addr, bytes_tx, bytes_rx, tx_fifo_empty, tx_fifo_data_out, i2c_clk_in_wire, i2c_dat_in_wire) begin -- regs state_nxt <= state; follow_state_nxt <= follow_state; i2c_tx_shift_reg_nxt <= i2c_tx_shift_reg; i2c_rx_shift_reg_nxt <= i2c_rx_shift_reg; mode_reg_nxt <= mode_reg; slave_addr_reg_nxt <= slave_addr_reg; bytes_tx_reg_nxt <= bytes_tx_reg; bytes_rx_reg_nxt <= bytes_rx_reg; tx_byte_cnt_nxt <= tx_byte_cnt; rx_byte_cnt_nxt <= rx_byte_cnt; bit_cnt_nxt <= bit_cnt; -- busy signal busy <= '1'; -- count control time_cnt_reset <= '0'; timeout_reset <= '0'; -- i2c i2c_dat_wire <= '1'; i2c_clk_wire <= '1'; -- error error_int_nxt <= error_int; error <= error_int; -- fifo rx_fifo_write_en <= '0'; rx_fifo_data_in <= (others => '0'); tx_fifo_read_en <= '0'; -- fsm case state is ---------- -- Idle -- ---------- when S_IDLE => busy <= '0'; -- work request if cs = '1' then --if i2c_clk_in_wire = '1' and i2c_dat_in_wire = '1' then if i2c_clk_in_wire = '1' then -- save relevant information to registers mode_reg_nxt <= mode; slave_addr_reg_nxt <= slave_addr; bytes_tx_reg_nxt <= bytes_tx; bytes_rx_reg_nxt <= bytes_rx; -- reset error-flag error_int_nxt <= '0'; -- begin with start-condition state_nxt <= S_START; time_cnt_reset <= '1'; timeout_reset <= '1'; else -- something is going on! -- set error-flag error_int_nxt <= '1'; end if; end if; ----------- -- Start -- ----------- when S_START => -- generate start condition i2c_clk_wire <= '1'; i2c_dat_wire <= '0'; if time_cnt_halfperiod = '1' then state_nxt <= S_START_PAUSE;--S_TX_SLAVE_ADDR_LF; time_cnt_reset <= '1'; timeout_reset <= '1'; bit_cnt_nxt <= (others => '0'); tx_byte_cnt_nxt <= (others => '0'); rx_byte_cnt_nxt <= (others => '0'); -- next follows RX if mode_reg = "00" or mode_reg = "10" then i2c_tx_shift_reg_nxt <= std_logic_vector(slave_addr_reg) & '1'; follow_state_nxt <= S_RX_BYTE_L; -- next follows TX elsif mode_reg = "01" or mode_reg = "11" then i2c_tx_shift_reg_nxt <= std_logic_vector(slave_addr_reg) & '0'; follow_state_nxt <= S_TX_BYTE_LF; end if; end if; when S_START_PAUSE => -- pull down clk i2c_clk_wire <= '0'; -- pull down dat i2c_dat_wire <= '0'; if time_cnt_pause_timeout = '1' then state_nxt <= S_TX_SLAVE_ADDR_LF; time_cnt_reset <= '1'; timeout_reset <= '1'; end if; ---------------- -- Slave Addr -- ---------------- when S_TX_SLAVE_ADDR_LF => -- pull clk low i2c_clk_wire <= '0'; -- set data i2c_dat_wire <= i2c_tx_shift_reg(i2c_tx_shift_reg'length-1); -- wait for quarter-period if time_cnt_quarterperiod = '1' then state_nxt <= S_TX_SLAVE_ADDR_H; time_cnt_reset <= '1'; timeout_reset <= '1'; end if; when S_TX_SLAVE_ADDR_H => -- let clk float i2c_clk_wire <= '1'; -- hold data i2c_dat_wire <= i2c_tx_shift_reg(i2c_tx_shift_reg'length-1); -- wait for clk to pull up, reset counter while low if i2c_clk_in_wire = '0' then time_cnt_reset <= '1'; end if; -- wait for a half-period if time_cnt_halfperiod = '1' then state_nxt <= S_TX_SLAVE_ADDR_LB; time_cnt_reset <= '1'; timeout_reset <= '1'; end if; -- reset if timeout = '1' then state_nxt <= S_STOP_L; timeout_reset <= '1'; error_int_nxt <= '1'; end if; when S_TX_SLAVE_ADDR_LB => -- pull clk low again i2c_clk_wire <= '0'; -- set data i2c_dat_wire <= i2c_tx_shift_reg(i2c_tx_shift_reg'length-1); if time_cnt_quarterperiod = '1' then -- shift tx data i2c_tx_shift_reg_nxt <= i2c_tx_shift_reg(i2c_tx_shift_reg'length-2 downto 0) & '0'; -- size of slave address reached if bit_cnt = GW_SLAVE_ADDR then -- reset counter bit_cnt_nxt <= (others => '0'); state_nxt <= S_RX_ACK_L; time_cnt_reset <= '1'; timeout_reset <= '1'; -- fetch byte from fifo when TX is following if follow_state = S_TX_BYTE_LF then if tx_fifo_empty = '0' then tx_fifo_read_en <= '1'; end if; i2c_tx_shift_reg_nxt(i2c_tx_shift_reg'length-1 downto i2c_tx_shift_reg'length-8) <= std_logic_vector(tx_fifo_data_out); end if; else -- addr-bits missing bit_cnt_nxt <= bit_cnt + 1; state_nxt <= S_TX_SLAVE_ADDR_LF; time_cnt_reset <= '1'; timeout_reset <= '1'; end if; end if; ------------- -- TX Byte -- ------------- when S_TX_BYTE_LF => -- pull clk low i2c_clk_wire <= '0'; -- set data i2c_dat_wire <= i2c_tx_shift_reg(i2c_tx_shift_reg'length-1); -- wait for a quarter-period if time_cnt_halfperiod = '1' then state_nxt <= S_TX_BYTE_H; time_cnt_reset <= '1'; timeout_reset <= '1'; end if; when S_TX_BYTE_H => -- let clk float i2c_clk_wire <= '1'; -- hold data i2c_dat_wire <= i2c_tx_shift_reg(i2c_tx_shift_reg'length-1); -- wait for clk to pull up, reset counter while low if i2c_clk_in_wire = '0' then time_cnt_reset <= '1'; end if; -- wait for a half-period if time_cnt_halfperiod = '1' then state_nxt <= S_TX_BYTE_LB; time_cnt_reset <= '1'; timeout_reset <= '1'; end if; -- reset if timeout = '1' then state_nxt <= S_STOP_L; timeout_reset <= '1'; error_int_nxt <= '1'; end if; when S_TX_BYTE_LB => -- pull clk low again i2c_clk_wire <= '0'; -- set data i2c_dat_wire <= i2c_tx_shift_reg(i2c_tx_shift_reg'length-1); if time_cnt_quarterperiod = '1' then -- shift tx data i2c_tx_shift_reg_nxt <= i2c_tx_shift_reg(i2c_tx_shift_reg'length-2 downto 0) & '0'; -- byte complete if bit_cnt = 7 then -- next byte tx_byte_cnt_nxt <= tx_byte_cnt + 1; -- reset counter bit_cnt_nxt <= (others => '0'); -- await ack state_nxt <= S_RX_ACK_L; time_cnt_reset <= '1'; timeout_reset <= '1'; -- all bytes transferred if tx_byte_cnt = bytes_tx_reg - 1 then if mode_reg = "01" or mode_reg = "10" then -- end transfer after ack follow_state_nxt <= S_STOP_L; elsif mode_reg = "11" then -- turn to rx follow_state_nxt <= S_REPEAT_START_L; end if; -- not all bytes transferred else -- fetch new byte from fifo if tx_fifo_empty = '0' then tx_fifo_read_en <= '1'; end if; i2c_tx_shift_reg_nxt(i2c_tx_shift_reg'length-1 downto i2c_tx_shift_reg'length-8) <= std_logic_vector(tx_fifo_data_out); -- continue after ack follow_state_nxt <= S_TX_BYTE_LF; end if; else -- continue byte bit_cnt_nxt <= bit_cnt + 1; state_nxt <= S_TX_BYTE_LF; time_cnt_reset <= '1'; timeout_reset <= '1'; end if; end if; -------------------- -- Repeated Start -- -------------------- when S_REPEAT_START_L => -- pull clk low i2c_clk_wire <= '0'; -- let dat float i2c_dat_wire <= '1'; -- wait for data to pull up, reset counter while low if i2c_dat_in_wire = '0' then time_cnt_reset <= '1'; end if; -- wait for a half-period if time_cnt_halfperiod = '1' then state_nxt <= S_REPEAT_START_H; time_cnt_reset <= '1'; timeout_reset <= '1'; end if; -- reset if timeout = '1' then state_nxt <= S_STOP_L; timeout_reset <= '1'; error_int_nxt <= '1'; end if; when S_REPEAT_START_H => -- let clk float i2c_clk_wire <= '1'; -- let dat float i2c_dat_wire <= '1'; -- wait for clk to pull up, reset counter while low if i2c_clk_in_wire = '0' then time_cnt_reset <= '1'; end if; -- wait for a half-period if time_cnt_halfperiod = '1' then -- pull dat down for repeated start condition i2c_dat_wire <= '0'; end if; -- wait for one period if time_cnt_period = '1' then state_nxt <= S_START_PAUSE; time_cnt_reset <= '1'; timeout_reset <= '1'; -- next follows TX if mode_reg = "10" then i2c_tx_shift_reg_nxt <= std_logic_vector(slave_addr_reg) & '0'; follow_state_nxt <= S_TX_BYTE_LF; -- next follows RX elsif mode_reg = "11" then i2c_tx_shift_reg_nxt <= std_logic_vector(slave_addr_reg) & '1'; follow_state_nxt <= S_RX_BYTE_L; end if; end if; -- reset if timeout = '1' then state_nxt <= S_STOP_L; timeout_reset <= '1'; error_int_nxt <= '1'; end if; ------------- -- RX Byte -- ------------- when S_RX_BYTE_L => -- pull clk down i2c_clk_wire <= '0'; -- let dat float i2c_dat_wire <= '1'; -- wait for a half-period if time_cnt_halfperiod = '1' then state_nxt <= S_RX_BYTE_H; time_cnt_reset <= '1'; timeout_reset <= '1'; end if; when S_RX_BYTE_H => -- let clk float i2c_clk_wire <= '1'; -- let dat float i2c_dat_wire <= '1'; -- wait for clk to pull up, reset counter while low if i2c_clk_in_wire = '0' then time_cnt_reset <= '1'; end if; -- wait for a quarter-period if time_cnt_quarterperiod_tick = '1' then -- shift in data bit i2c_rx_shift_reg_nxt <= i2c_rx_shift_reg(i2c_rx_shift_reg'length-2 downto 0) & i2c_dat_in_wire; end if; -- wait for a half-period if time_cnt_halfperiod = '1' then -- byte complete if bit_cnt = 7 then -- next byte rx_byte_cnt_nxt <= rx_byte_cnt + 1; -- reset counter bit_cnt_nxt <= (others => '0'); -- send ack state_nxt <= S_TX_ACK_LF; time_cnt_reset <= '1'; timeout_reset <= '1'; -- all bytes transferred (register data) if rx_byte_cnt = bytes_rx_reg - 1 then if mode_reg = "00" or mode_reg = "11" then -- stop start after ack-transfer follow_state_nxt <= S_STOP_L; elsif mode_reg = "10" then -- or turn to TX follow_state_nxt <= S_REPEAT_START_L; end if; -- not all bytes transferred else -- continue receiving register data after ack-transfer follow_state_nxt <= S_RX_BYTE_L; end if; else -- continue byte bit_cnt_nxt <= bit_cnt + 1; state_nxt <= S_RX_BYTE_L; time_cnt_reset <= '1'; timeout_reset <= '1'; end if; end if; -- reset if timeout = '1' then state_nxt <= S_STOP_L; timeout_reset <= '1'; error_int_nxt <= '1'; end if; ------------ -- RX Ack -- ------------ when S_RX_ACK_L => -- pull clk down i2c_clk_wire <= '0'; -- let dat float i2c_dat_wire <= '1'; -- wait for a half-period if time_cnt_halfperiod = '1' then state_nxt <= S_RX_ACK_H; time_cnt_reset <= '1'; timeout_reset <= '1'; end if; when S_RX_ACK_H => -- let clk float i2c_clk_wire <= '1'; -- let dat float i2c_dat_wire <= '1'; -- wait for clk to pull up, reset counter while low if i2c_clk_in_wire = '0' then time_cnt_reset <= '1'; end if; -- wait for a quarter-period if time_cnt_quarterperiod_tick = '1' then -- check for nack if i2c_dat_in_wire = '1' then error_int_nxt <= '1'; end if; end if; -- wait for a half-period if time_cnt_halfperiod = '1' then if error_int = '0' then -- continue state_nxt <= S_PAUSE; time_cnt_reset <= '1'; timeout_reset <= '1'; -- negative ack else -- abort state_nxt <= S_STOP_L; time_cnt_reset <= '1'; timeout_reset <= '1'; end if; end if; -- reset if timeout = '1' then state_nxt <= S_STOP_L; timeout_reset <= '1'; error_int_nxt <= '1'; end if; ------------ -- TX Ack -- ------------ when S_TX_ACK_LF => -- pull clk down i2c_clk_wire <= '0'; -- let dat flow i2c_dat_wire <= '1'; -- wait for quarter-period if time_cnt_quarterperiod = '1' then -- only ack when not last rx-byte! if rx_byte_cnt /= bytes_rx_reg then -- pull down dat (ack) i2c_dat_wire <= '0'; end if; end if; -- wait for half-period if time_cnt_halfperiod = '1' then state_nxt <= S_TX_ACK_H; time_cnt_reset <= '1'; timeout_reset <= '1'; end if; when S_TX_ACK_H => -- let clk float i2c_clk_wire <= '1'; -- only ack when not last rx-byte! if rx_byte_cnt /= bytes_rx_reg then -- pull down dat (ack) i2c_dat_wire <= '0'; else -- let dat float (nack) i2c_dat_wire <= '1'; end if; -- wait for clk to pull up, reset counter while low if i2c_clk_in_wire = '0' then time_cnt_reset <= '1'; end if; -- wait for a half-period if time_cnt_halfperiod = '1' then state_nxt <= S_TX_ACK_LB; time_cnt_reset <= '1'; timeout_reset <= '1'; end if; -- reset if timeout = '1' then state_nxt <= S_STOP_L; timeout_reset <= '1'; error_int_nxt <= '1'; end if; when S_TX_ACK_LB => -- pull clk down i2c_clk_wire <= '0'; -- only ack when not last rx-byte! if rx_byte_cnt /= bytes_rx_reg then -- pull down dat (ack) i2c_dat_wire <= '0'; else -- let dat float (nack) i2c_dat_wire <= '1'; end if; -- wait for quarter-period if time_cnt_quarterperiod = '1' then -- save byte to fifo rx_fifo_write_en <= '1'; rx_fifo_data_in <= std_ulogic_vector(i2c_rx_shift_reg); -- continue state_nxt <= S_PAUSE; time_cnt_reset <= '1'; timeout_reset <= '1'; end if; ----------- -- Pause -- ----------- when S_PAUSE => -- let clk float i2c_clk_wire <= '0'; -- let dat float i2c_dat_wire <= '1'; if time_cnt_pause_timeout = '1' then state_nxt <= follow_state; time_cnt_reset <= '1'; timeout_reset <= '1'; end if; ---------- -- Stop -- ---------- when S_STOP_L => -- pull clk down i2c_clk_wire <= '0'; -- pull clk down i2c_dat_wire <= '0'; -- wait for a half-period if time_cnt_halfperiod = '1' then state_nxt <= S_STOP_H; time_cnt_reset <= '1'; timeout_reset <= '1'; end if; when S_STOP_H => -- let clk float i2c_clk_wire <= '1'; -- pull clk down i2c_dat_wire <= '0'; -- wait for clk to pull up, reset counter while low if i2c_clk_in_wire = '0' then time_cnt_reset <= '1'; end if; -- wait for a half-period if time_cnt_halfperiod = '1' then -- let dat float for stop condition i2c_dat_wire <= '1'; end if; -- clean exit if time_cnt_period = '1' then state_nxt <= S_CLEANUP; end if; -- error if timeout = '1' then state_nxt <= S_CLEANUP; error_int_nxt <= '1'; end if; -------------- -- Clean-Up -- -------------- when S_CLEANUP => -- not all bytes transferred, empty tx-fifo if tx_byte_cnt /= bytes_tx_reg then if tx_fifo_empty = '0' then tx_fifo_read_en <= '1'; end if; -- next byte tx_byte_cnt_nxt <= tx_byte_cnt + 1; else state_nxt <= S_IDLE; end if; end case; end process; end rtl;
apache-2.0
cdc507adc8114adfe580842ac3bad5c8
0.51891
2.716406
false
false
false
false
maly/fpmi
FPGA/disp8.vhd
1
2,225
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity DISP8 is port (anode: out std_logic_vector(8 downto 0); cathode: out std_logic_vector(7 downto 0); data1: in std_logic_vector(7 downto 0); --PA data2: in std_logic_vector(7 downto 0); --PA addr: in std_logic_vector(15 downto 0); --PA clk:in std_logic ); end entity; architecture a of DISP8 is signal clkx: unsigned(2 downto 0):="000"; signal bcd:std_logic_vector(3 downto 0); begin process (clk) is variable div:integer:=0; begin if rising_edge(clk) then div:=div+1; if (div=10000) then clkx<=clkx+1; div:=0; end if; case bcd is when "0000"=> cathode <="00111111"; -- '0' when "0001"=> cathode <="00000110"; -- '1' when "0010"=> cathode <="01011011"; -- '2' when "0011"=> cathode <="01001111"; -- '3' when "0100"=> cathode <="01100110"; -- '4' when "0101"=> cathode <="01101101"; -- '5' when "0110"=> cathode <="01111101"; -- '6' when "0111"=> cathode <="00000111"; -- '7' when "1000"=> cathode <="01111111"; -- '8' when "1001"=> cathode <="01101111"; -- '9' when "1010"=> cathode <="01110111"; -- 'a' when "1011"=> cathode <="01111100"; -- 'b' when "1100"=> cathode <="00111001"; -- 'c' when "1101"=> cathode <="01011110"; -- 'd' when "1110"=> cathode <="01111001"; -- 'e' when "1111"=> cathode <="01110001"; -- 'f' --nothing is displayed when a number more than 9 is given as input. when others=> cathode <="00000000"; end case; end if; end process; anode<= "111111110" when clkx="000" else "111111101" when clkx="001" else "111111011" when clkx="010" else "111110111" when clkx="011" else "111101111" when clkx="100" else "111011111" when clkx="101" else "110111111" when clkx="110" else "101111111" when clkx="111" else "111111111"; bcd<= data1(3 downto 0) when clkx="001" else data1(7 downto 4) when clkx="000" else data2(3 downto 0) when clkx="011" else data2(7 downto 4) when clkx="010" else addr(3 downto 0) when clkx="111" else addr(7 downto 4) when clkx="110" else addr(11 downto 8) when clkx="101" else addr(15 downto 12) when clkx="100" else x"0"; end architecture;
mit
36f31d40a7034322e99c2f3df1ca40be
0.615281
3.160511
false
false
false
false
acarrer/altera-de1-mp3-recorder-vhdl
Altpll_Generated_Files/SDRAM_pll.vhd
1
18,000
-- megafunction wizard: %ALTPLL% -- GENERATION: STANDARD -- VERSION: WM1.0 -- MODULE: altpll -- ============================================================ -- File Name: SDRAM_pll.vhd -- Megafunction Name(s): -- altpll -- -- Simulation Library Files(s): -- altera_mf -- ============================================================ -- ************************************************************ -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -- -- 9.1 Build 222 10/21/2009 SJ Full Version -- ************************************************************ --Copyright (C) 1991-2009 Altera Corporation --Your use of Altera Corporation's design tools, logic functions --and other software and tools, and its AMPP partner logic --functions, and any output files from any of the foregoing --(including device programming or simulation files), and any --associated documentation or information are expressly subject --to the terms and conditions of the Altera Program License --Subscription Agreement, Altera MegaCore Function License --Agreement, or other applicable license agreement, including, --without limitation, that your use is for the sole purpose of --programming logic devices manufactured by Altera and sold by --Altera or its authorized distributors. Please refer to the --applicable agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY altera_mf; USE altera_mf.all; ENTITY SDRAM_pll IS PORT ( inclk0 : IN STD_LOGIC := '0'; c0 : OUT STD_LOGIC ; c1 : OUT STD_LOGIC ; c2 : OUT STD_LOGIC ); END SDRAM_pll; ARCHITECTURE SYN OF sdram_pll IS SIGNAL sub_wire0 : STD_LOGIC_VECTOR (5 DOWNTO 0); SIGNAL sub_wire1 : STD_LOGIC ; SIGNAL sub_wire2 : STD_LOGIC ; SIGNAL sub_wire3 : STD_LOGIC ; SIGNAL sub_wire4 : STD_LOGIC ; SIGNAL sub_wire5 : STD_LOGIC_VECTOR (1 DOWNTO 0); SIGNAL sub_wire6_bv : BIT_VECTOR (0 DOWNTO 0); SIGNAL sub_wire6 : STD_LOGIC_VECTOR (0 DOWNTO 0); COMPONENT altpll GENERIC ( clk0_divide_by : NATURAL; clk0_duty_cycle : NATURAL; clk0_multiply_by : NATURAL; clk0_phase_shift : STRING; clk1_divide_by : NATURAL; clk1_duty_cycle : NATURAL; clk1_multiply_by : NATURAL; clk1_phase_shift : STRING; clk2_divide_by : NATURAL; clk2_duty_cycle : NATURAL; clk2_multiply_by : NATURAL; clk2_phase_shift : STRING; compensate_clock : STRING; inclk0_input_frequency : NATURAL; intended_device_family : STRING; lpm_hint : STRING; lpm_type : STRING; operation_mode : STRING; port_activeclock : STRING; port_areset : STRING; port_clkbad0 : STRING; port_clkbad1 : STRING; port_clkloss : STRING; port_clkswitch : STRING; port_configupdate : STRING; port_fbin : STRING; port_inclk0 : STRING; port_inclk1 : STRING; port_locked : STRING; port_pfdena : STRING; port_phasecounterselect : STRING; port_phasedone : STRING; port_phasestep : STRING; port_phaseupdown : STRING; port_pllena : STRING; port_scanaclr : STRING; port_scanclk : STRING; port_scanclkena : STRING; port_scandata : STRING; port_scandataout : STRING; port_scandone : STRING; port_scanread : STRING; port_scanwrite : STRING; port_clk0 : STRING; port_clk1 : STRING; port_clk2 : STRING; port_clk3 : STRING; port_clk4 : STRING; port_clk5 : STRING; port_clkena0 : STRING; port_clkena1 : STRING; port_clkena2 : STRING; port_clkena3 : STRING; port_clkena4 : STRING; port_clkena5 : STRING; port_extclk0 : STRING; port_extclk1 : STRING; port_extclk2 : STRING; port_extclk3 : STRING ); PORT ( inclk : IN STD_LOGIC_VECTOR (1 DOWNTO 0); clk : OUT STD_LOGIC_VECTOR (5 DOWNTO 0) ); END COMPONENT; BEGIN sub_wire6_bv(0 DOWNTO 0) <= "0"; sub_wire6 <= To_stdlogicvector(sub_wire6_bv); sub_wire3 <= sub_wire0(2); sub_wire2 <= sub_wire0(1); sub_wire1 <= sub_wire0(0); c0 <= sub_wire1; c1 <= sub_wire2; c2 <= sub_wire3; sub_wire4 <= inclk0; sub_wire5 <= sub_wire6(0 DOWNTO 0) & sub_wire4; altpll_component : altpll GENERIC MAP ( clk0_divide_by => 1, clk0_duty_cycle => 50, clk0_multiply_by => 1, clk0_phase_shift => "-167", clk1_divide_by => 2, clk1_duty_cycle => 50, clk1_multiply_by => 1, clk1_phase_shift => "0", clk2_divide_by => 4, clk2_duty_cycle => 50, clk2_multiply_by => 1, clk2_phase_shift => "0", compensate_clock => "CLK0", inclk0_input_frequency => 20000, intended_device_family => "Cyclone II", lpm_hint => "CBX_MODULE_PREFIX=SDRAM_pll", lpm_type => "altpll", operation_mode => "NORMAL", port_activeclock => "PORT_UNUSED", port_areset => "PORT_UNUSED", port_clkbad0 => "PORT_UNUSED", port_clkbad1 => "PORT_UNUSED", port_clkloss => "PORT_UNUSED", port_clkswitch => "PORT_UNUSED", port_configupdate => "PORT_UNUSED", port_fbin => "PORT_UNUSED", port_inclk0 => "PORT_USED", port_inclk1 => "PORT_UNUSED", port_locked => "PORT_UNUSED", port_pfdena => "PORT_UNUSED", port_phasecounterselect => "PORT_UNUSED", port_phasedone => "PORT_UNUSED", port_phasestep => "PORT_UNUSED", port_phaseupdown => "PORT_UNUSED", port_pllena => "PORT_UNUSED", port_scanaclr => "PORT_UNUSED", port_scanclk => "PORT_UNUSED", port_scanclkena => "PORT_UNUSED", port_scandata => "PORT_UNUSED", port_scandataout => "PORT_UNUSED", port_scandone => "PORT_UNUSED", port_scanread => "PORT_UNUSED", port_scanwrite => "PORT_UNUSED", port_clk0 => "PORT_USED", port_clk1 => "PORT_USED", port_clk2 => "PORT_USED", port_clk3 => "PORT_UNUSED", port_clk4 => "PORT_UNUSED", port_clk5 => "PORT_UNUSED", port_clkena0 => "PORT_UNUSED", port_clkena1 => "PORT_UNUSED", port_clkena2 => "PORT_UNUSED", port_clkena3 => "PORT_UNUSED", port_clkena4 => "PORT_UNUSED", port_clkena5 => "PORT_UNUSED", port_extclk0 => "PORT_UNUSED", port_extclk1 => "PORT_UNUSED", port_extclk2 => "PORT_UNUSED", port_extclk3 => "PORT_UNUSED" ) PORT MAP ( inclk => sub_wire5, clk => sub_wire0 ); END SYN; -- ============================================================ -- CNX file retrieval info -- ============================================================ -- Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0" -- Retrieval info: PRIVATE: BANDWIDTH STRING "1.000" -- Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "0" -- Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz" -- Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low" -- Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1" -- Retrieval info: PRIVATE: BANDWIDTH_USE_CUSTOM STRING "0" -- Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0" -- Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0" -- Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0" -- Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "1" -- Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0" -- Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0" -- Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0" -- Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0" -- Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "e0" -- Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "7" -- Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1" -- Retrieval info: PRIVATE: DIV_FACTOR1 NUMERIC "2" -- Retrieval info: PRIVATE: DIV_FACTOR2 NUMERIC "4" -- Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000" -- Retrieval info: PRIVATE: DUTY_CYCLE1 STRING "50.00000000" -- Retrieval info: PRIVATE: DUTY_CYCLE2 STRING "50.00000000" -- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "50.000000" -- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE1 STRING "25.000000" -- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE2 STRING "12.500000" -- Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0" -- Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0" -- Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1" -- Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "1" -- Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0" -- Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575" -- Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1" -- Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "50.000" -- Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz" -- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000" -- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1" -- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1" -- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz" -- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II" -- Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1" -- Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "0" -- Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1" -- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "300.000" -- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0" -- Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg" -- Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT1 STRING "deg" -- Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT2 STRING "deg" -- Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any" -- Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0" -- Retrieval info: PRIVATE: MIRROR_CLK1 STRING "0" -- Retrieval info: PRIVATE: MIRROR_CLK2 STRING "0" -- Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "1" -- Retrieval info: PRIVATE: MULT_FACTOR1 NUMERIC "1" -- Retrieval info: PRIVATE: MULT_FACTOR2 NUMERIC "1" -- Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1" -- Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "100.00000000" -- Retrieval info: PRIVATE: OUTPUT_FREQ1 STRING "100.00000000" -- Retrieval info: PRIVATE: OUTPUT_FREQ2 STRING "100.00000000" -- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "0" -- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE1 STRING "0" -- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE2 STRING "0" -- Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz" -- Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT1 STRING "MHz" -- Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT2 STRING "MHz" -- Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "0" -- Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0" -- Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "-3.00000000" -- Retrieval info: PRIVATE: PHASE_SHIFT1 STRING "0.00000000" -- Retrieval info: PRIVATE: PHASE_SHIFT2 STRING "0.00000000" -- Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0" -- Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg" -- Retrieval info: PRIVATE: PHASE_SHIFT_UNIT1 STRING "deg" -- Retrieval info: PRIVATE: PHASE_SHIFT_UNIT2 STRING "deg" -- Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0" -- Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "0" -- Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1" -- Retrieval info: PRIVATE: PLL_ENA_CHECK STRING "0" -- Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0" -- Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0" -- Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0" -- Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0" -- Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0" -- Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0" -- Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0" -- Retrieval info: PRIVATE: RECONFIG_FILE STRING "SDRAM_pll.mif" -- Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0" -- Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "0" -- Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "0" -- Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0" -- Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0" -- Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000" -- Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz" -- Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500" -- Retrieval info: PRIVATE: SPREAD_USE STRING "0" -- Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0" -- Retrieval info: PRIVATE: STICKY_CLK0 STRING "1" -- Retrieval info: PRIVATE: STICKY_CLK1 STRING "1" -- Retrieval info: PRIVATE: STICKY_CLK2 STRING "1" -- Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1" -- Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1" -- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" -- Retrieval info: PRIVATE: USE_CLK0 STRING "1" -- Retrieval info: PRIVATE: USE_CLK1 STRING "1" -- Retrieval info: PRIVATE: USE_CLK2 STRING "1" -- Retrieval info: PRIVATE: USE_CLKENA0 STRING "0" -- Retrieval info: PRIVATE: USE_CLKENA1 STRING "0" -- Retrieval info: PRIVATE: USE_CLKENA2 STRING "0" -- Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0" -- Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0" -- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -- Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "1" -- Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50" -- Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "1" -- Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "-167" -- Retrieval info: CONSTANT: CLK1_DIVIDE_BY NUMERIC "2" -- Retrieval info: CONSTANT: CLK1_DUTY_CYCLE NUMERIC "50" -- Retrieval info: CONSTANT: CLK1_MULTIPLY_BY NUMERIC "1" -- Retrieval info: CONSTANT: CLK1_PHASE_SHIFT STRING "0" -- Retrieval info: CONSTANT: CLK2_DIVIDE_BY NUMERIC "4" -- Retrieval info: CONSTANT: CLK2_DUTY_CYCLE NUMERIC "50" -- Retrieval info: CONSTANT: CLK2_MULTIPLY_BY NUMERIC "1" -- Retrieval info: CONSTANT: CLK2_PHASE_SHIFT STRING "0" -- Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0" -- Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "20000" -- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone II" -- Retrieval info: CONSTANT: LPM_TYPE STRING "altpll" -- Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL" -- Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED" -- Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED" -- Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_USED" -- Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_USED" -- Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED" -- Retrieval info: USED_PORT: @clk 0 0 6 0 OUTPUT_CLK_EXT VCC "@clk[5..0]" -- Retrieval info: USED_PORT: @extclk 0 0 4 0 OUTPUT_CLK_EXT VCC "@extclk[3..0]" -- Retrieval info: USED_PORT: @inclk 0 0 2 0 INPUT_CLK_EXT VCC "@inclk[1..0]" -- Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0" -- Retrieval info: USED_PORT: c1 0 0 0 0 OUTPUT_CLK_EXT VCC "c1" -- Retrieval info: USED_PORT: c2 0 0 0 0 OUTPUT_CLK_EXT VCC "c2" -- Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0" -- Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0 -- Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0 -- Retrieval info: CONNECT: c1 0 0 0 0 @clk 0 0 1 1 -- Retrieval info: CONNECT: c2 0 0 0 0 @clk 0 0 1 2 -- Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0 -- Retrieval info: GEN_FILE: TYPE_NORMAL SDRAM_pll.vhd TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL SDRAM_pll.ppf TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL SDRAM_pll.inc FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL SDRAM_pll.cmp FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL SDRAM_pll.bsf FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL SDRAM_pll_inst.vhd FALSE -- Retrieval info: LIB_FILE: altera_mf -- Retrieval info: CBX_MODULE_PREFIX: ON
mit
b3593b07dd66213cb14adf5a699533dd
0.685333
3.251445
false
false
false
false
maly/fpmi
FPGA/8255/pia8255.vhd
1
4,252
library IEEE; use IEEE.std_logic_1164.all; Use IEEE.std_logic_unsigned.all; use ieee.numeric_std.all; entity pia8255 is port ( -- uC interface clk : in std_logic; clken : in std_logic; reset : in std_logic; a : in std_logic_vector(1 downto 0); d_i : in std_logic_vector(7 downto 0); d_o : out std_logic_vector(7 downto 0); cs : in std_logic; rd : in std_logic; wr : in std_logic; -- I/O interface pa_i : in std_logic_vector(7 downto 0); pb_i : in std_logic_vector(7 downto 0); pc_i : in std_logic_vector(7 downto 0); pa_o : out std_logic_vector(7 downto 0); pb_o : out std_logic_vector(7 downto 0); pc_o : out std_logic_vector(7 downto 0) ); end pia8255; architecture SYN of pia8255 is type byte_vector is array (natural range <>) of std_logic_vector(7 downto 0); signal ctrl : std_logic_vector(7 downto 0); signal pa_oen : std_logic; signal pb_oen : std_logic; signal pcl_oen : std_logic; signal pch_oen : std_logic; signal pa_d : std_logic_vector(7 downto 0); signal pb_d : std_logic_vector(7 downto 0); signal pc_d : std_logic_vector(7 downto 0); begin pa_o <= pa_d when (reset = '0' and pa_oen = '1') else X"FF"; pb_o <= pb_d when (reset = '0' and pb_oen = '1') else X"FF"; pc_o(7 downto 4) <= pc_d(7 downto 4) when (reset = '0' and pch_oen = '1') else X"F"; pc_o(3 downto 0) <= pc_d(3 downto 0) when (reset = '0' and pcl_oen = '1') else X"F"; -- Synchronous logic process(clk, reset) variable ctrl_r : std_logic_vector(7 downto 0); variable csel : integer; begin pa_oen <= not ctrl_r(4); pb_oen <= not ctrl_r(1); pcl_oen <= not ctrl_r(0); pch_oen <= not ctrl_r(3); ctrl <= ctrl_r; -- Reset values if reset = '1' then ctrl_r := X"9B"; pa_d <= X"00"; pb_d <= X"00"; pc_d <= X"00"; -- Handle register writes elsif rising_edge(clk) and clken = '1' and cs = '1' and wr = '1' then if a = "00" then pa_d <= d_i; end if; if a = "01" then pb_d <= d_i; end if; if a = "10" then pc_d <= d_i; end if; if a = "11" then -- D7=1, write control if d_i(7) = '1' then ctrl_r := d_i; pa_d <= X"00"; pb_d <= X"00"; pc_d <= X"00"; -- D7=0, write C bit else csel := conv_integer(d_i(3 downto 1)); pc_d(csel) <= d_i(0); end if; end if; end if; end process; -- Data out mux process(a, cs, rd) variable data_out : std_logic_vector(7 downto 0); begin if cs = '1' and rd = '1' then case a is when "00" => data_out := pa_i; when "01" => data_out := pb_i; when "10" => data_out := pc_i; when "11" => data_out := ctrl; when others => data_out := (others => 'X'); end case; else data_out := (others => 'X'); end if; d_o <= data_out; end process; end SYN; library IEEE; use IEEE.std_logic_1164.all; Use IEEE.std_logic_unsigned.all; use ieee.numeric_std.all; entity pia8255_n is port ( -- uC interface clk : in std_logic; clken : in std_logic; reset : in std_logic; a : in std_logic_vector(1 downto 0); d_i : in std_logic_vector(7 downto 0); d_o : out std_logic_vector(7 downto 0); cs_n : in std_logic; rd_n : in std_logic; wr_n : in std_logic; -- I/O interface pa_i : in std_logic_vector(7 downto 0); pb_i : in std_logic_vector(7 downto 0); pc_i : in std_logic_vector(7 downto 0); pa_o : out std_logic_vector(7 downto 0); pb_o : out std_logic_vector(7 downto 0); pc_o : out std_logic_vector(7 downto 0) ); end pia8255_n; architecture SYN of pia8255_n is signal cs : std_logic; signal rd : std_logic; signal wr : std_logic; begin cs <= not cs_n; rd <= not rd_n; wr <= not wr_n; pia_inst : entity work.pia8255 port map ( -- uC interface clk => clk, clken => clken, reset => reset, a => a, d_i => d_i, d_o => d_o, cs => cs, rd => rd, wr => wr, -- I/O interface pa_i => pa_i, pb_i => pb_i, pc_i => pc_i, pa_o => pa_o, pb_o => pb_o, pc_o => pc_o ); end SYN;
mit
9b1787765eae9c3e4a5c0d7a3d26c456
0.540452
2.504122
false
false
false
false
jdeblese/mwfc
mwfc.srcs/sim_1/hex2bcd_tb.vhd
1
1,458
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity hex2bcd_tb is end hex2bcd_tb; architecture Behavioral of hex2bcd_tb is constant clk_period : time := 10 ns; signal clk : std_logic := '0'; signal rst : std_logic := '1'; signal strobe : std_logic := '0'; signal hex : unsigned(12 downto 0); begin clk <= not clk after clk_period/2; stim : process begin wait for clk_period * 10; rst <= '0'; wait for clk_period; hex <= to_unsigned(400, hex'length); strobe <= '1'; wait for clk_period; strobe <= '0'; wait for clk_period * 15; hex <= to_unsigned(8191, hex'length); strobe <= '1'; wait for clk_period; strobe <= '0'; wait for clk_period * 15; hex <= to_unsigned(4096, hex'length); strobe <= '1'; wait for clk_period; strobe <= '0'; wait for clk_period * 15; hex <= to_unsigned(1, hex'length); strobe <= '1'; wait for clk_period; strobe <= '0'; wait for clk_period * 15; wait; end process; dut : entity work.hex2bcd generic map ( precision => hex'length, width => 16, bits => 4 ) port map ( hex => hex, bcd => open, strobe => strobe, rst => rst, clk => clk ); end Behavioral;
mit
bc2fc9b65d9dd5803986193e4d84d4a8
0.501372
3.777202
false
false
false
false
mjpatter88/fundamentals
01-logic_gates/or/myOr16_tb.vhdl
1
1,361
library IEEE; use IEEE.Std_Logic_1164.all; entity myOr16_tb is end myOr16_tb; architecture behavioral of myOr16_tb is component myOr16 port(a: in std_logic_vector(15 downto 0); b: in std_logic_vector(15 downto 0); s: out std_logic_vector(15 downto 0)); end component; -- signals used for testing signal s1: std_logic_vector(15 downto 0); signal s2: std_logic_vector(15 downto 0); signal o1: std_logic_vector(15 downto 0); begin -- component instantiation myOr16_1: myOr16 port map(a => s1, b => s2, s => o1); process begin s1 <= "0000000000000000"; s2 <= "0000000000000000"; wait for 1 ns; assert o1 = "0000000000000000" report "or('0000000000000000', '0000000000000000') was not '0000000000000000'" severity error; s1 <= "1111111100000000"; s2 <= "0000000011111111"; wait for 1 ns; assert o1 = "1111111111111111" report "or('1111111100000000', '0000000011111111') was not '1111111111111111'" severity error; s1 <= "0000111100001111"; s2 <= "0000111111110000"; wait for 1 ns; assert o1 = "0000111111111111" report "or('0000111100001111', '0000111111110000') was not '0000111111111111'" severity error; assert false report "test complete" severity note; wait; end process; end behavioral;
mit
a5f54294526d5f573e861f28cb9d5314
0.653196
3.933526
false
false
false
false
J-Rios/VHDL_Modules
3.Peripherals/Debounced_Input/DEBOUNCE_bb.vhd
1
17,292
-------------------------------------------------------- -- INSTANCE TEMPLATE -------------------------------------------------------- -- deb_inst : entity work.DEBOUNCE -- port map ( -- c => , -- r => , -- sw => , --input -- db => --debounced output -- ); library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity DEBOUNCE is port( c : in std_logic; r : in std_logic; sw : in std_logic; db : out std_logic ); end DEBOUNCE; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- NO MODIFICAR --------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library UNISIM; use UNISIM.VCOMPONENTS.ALL; architecture BlackBox of DEBOUNCE is signal Mcount_q_reg_cy_10_rt_2 : STD_LOGIC; signal Mcount_q_reg_cy_11_rt_4 : STD_LOGIC; signal Mcount_q_reg_cy_12_rt_6 : STD_LOGIC; signal Mcount_q_reg_cy_13_rt_8 : STD_LOGIC; signal Mcount_q_reg_cy_14_rt_10 : STD_LOGIC; signal Mcount_q_reg_cy_15_rt_12 : STD_LOGIC; signal Mcount_q_reg_cy_16_rt_14 : STD_LOGIC; signal Mcount_q_reg_cy_17_rt_16 : STD_LOGIC; signal Mcount_q_reg_cy_1_rt_18 : STD_LOGIC; signal Mcount_q_reg_cy_2_rt_20 : STD_LOGIC; signal Mcount_q_reg_cy_3_rt_22 : STD_LOGIC; signal Mcount_q_reg_cy_4_rt_24 : STD_LOGIC; signal Mcount_q_reg_cy_5_rt_26 : STD_LOGIC; signal Mcount_q_reg_cy_6_rt_28 : STD_LOGIC; signal Mcount_q_reg_cy_7_rt_30 : STD_LOGIC; signal Mcount_q_reg_cy_8_rt_32 : STD_LOGIC; signal Mcount_q_reg_cy_9_rt_34 : STD_LOGIC; signal Mcount_q_reg_xor_18_rt_36 : STD_LOGIC; signal N10 : STD_LOGIC; signal N12 : STD_LOGIC; signal N2 : STD_LOGIC; signal N3 : STD_LOGIC; signal N5 : STD_LOGIC; signal N9 : STD_LOGIC; signal NlwRenamedSig_OI_db : STD_LOGIC; signal m_tick : STD_LOGIC; signal state_reg_FSM_FFd1_In_93 : STD_LOGIC; signal state_reg_FSM_FFd2_94 : STD_LOGIC; signal state_reg_FSM_FFd2_In_95 : STD_LOGIC; signal state_reg_FSM_FFd3_96 : STD_LOGIC; signal state_reg_FSM_FFd3_In_97 : STD_LOGIC; signal Mcount_q_reg_cy : STD_LOGIC_VECTOR ( 17 downto 0 ); signal Mcount_q_reg_lut : STD_LOGIC_VECTOR ( 0 downto 0 ); signal Result : STD_LOGIC_VECTOR ( 18 downto 1 ); signal m_tick_cmp_eq0000_wg_cy : STD_LOGIC_VECTOR ( 3 downto 0 ); signal m_tick_cmp_eq0000_wg_lut : STD_LOGIC_VECTOR ( 4 downto 0 ); signal q_reg : STD_LOGIC_VECTOR ( 18 downto 0 ); begin db <= NlwRenamedSig_OI_db; XST_GND : GND port map ( G => N2 ); XST_VCC : VCC port map ( P => N3 ); q_reg_0 : FD port map ( C => c, D => Mcount_q_reg_lut(0), Q => q_reg(0) ); q_reg_1 : FD port map ( C => c, D => Result(1), Q => q_reg(1) ); q_reg_2 : FD port map ( C => c, D => Result(2), Q => q_reg(2) ); q_reg_3 : FD port map ( C => c, D => Result(3), Q => q_reg(3) ); q_reg_4 : FD port map ( C => c, D => Result(4), Q => q_reg(4) ); q_reg_5 : FD port map ( C => c, D => Result(5), Q => q_reg(5) ); q_reg_6 : FD port map ( C => c, D => Result(6), Q => q_reg(6) ); q_reg_7 : FD port map ( C => c, D => Result(7), Q => q_reg(7) ); q_reg_8 : FD port map ( C => c, D => Result(8), Q => q_reg(8) ); q_reg_9 : FD port map ( C => c, D => Result(9), Q => q_reg(9) ); q_reg_10 : FD port map ( C => c, D => Result(10), Q => q_reg(10) ); q_reg_11 : FD port map ( C => c, D => Result(11), Q => q_reg(11) ); q_reg_12 : FD port map ( C => c, D => Result(12), Q => q_reg(12) ); q_reg_13 : FD port map ( C => c, D => Result(13), Q => q_reg(13) ); q_reg_14 : FD port map ( C => c, D => Result(14), Q => q_reg(14) ); q_reg_15 : FD port map ( C => c, D => Result(15), Q => q_reg(15) ); q_reg_16 : FD port map ( C => c, D => Result(16), Q => q_reg(16) ); q_reg_17 : FD port map ( C => c, D => Result(17), Q => q_reg(17) ); q_reg_18 : FD port map ( C => c, D => Result(18), Q => q_reg(18) ); Mcount_q_reg_cy_0_Q : MUXCY port map ( CI => N2, DI => N3, S => Mcount_q_reg_lut(0), O => Mcount_q_reg_cy(0) ); Mcount_q_reg_cy_1_Q : MUXCY port map ( CI => Mcount_q_reg_cy(0), DI => N2, S => Mcount_q_reg_cy_1_rt_18, O => Mcount_q_reg_cy(1) ); Mcount_q_reg_xor_1_Q : XORCY port map ( CI => Mcount_q_reg_cy(0), LI => Mcount_q_reg_cy_1_rt_18, O => Result(1) ); Mcount_q_reg_cy_2_Q : MUXCY port map ( CI => Mcount_q_reg_cy(1), DI => N2, S => Mcount_q_reg_cy_2_rt_20, O => Mcount_q_reg_cy(2) ); Mcount_q_reg_xor_2_Q : XORCY port map ( CI => Mcount_q_reg_cy(1), LI => Mcount_q_reg_cy_2_rt_20, O => Result(2) ); Mcount_q_reg_cy_3_Q : MUXCY port map ( CI => Mcount_q_reg_cy(2), DI => N2, S => Mcount_q_reg_cy_3_rt_22, O => Mcount_q_reg_cy(3) ); Mcount_q_reg_xor_3_Q : XORCY port map ( CI => Mcount_q_reg_cy(2), LI => Mcount_q_reg_cy_3_rt_22, O => Result(3) ); Mcount_q_reg_cy_4_Q : MUXCY port map ( CI => Mcount_q_reg_cy(3), DI => N2, S => Mcount_q_reg_cy_4_rt_24, O => Mcount_q_reg_cy(4) ); Mcount_q_reg_xor_4_Q : XORCY port map ( CI => Mcount_q_reg_cy(3), LI => Mcount_q_reg_cy_4_rt_24, O => Result(4) ); Mcount_q_reg_cy_5_Q : MUXCY port map ( CI => Mcount_q_reg_cy(4), DI => N2, S => Mcount_q_reg_cy_5_rt_26, O => Mcount_q_reg_cy(5) ); Mcount_q_reg_xor_5_Q : XORCY port map ( CI => Mcount_q_reg_cy(4), LI => Mcount_q_reg_cy_5_rt_26, O => Result(5) ); Mcount_q_reg_cy_6_Q : MUXCY port map ( CI => Mcount_q_reg_cy(5), DI => N2, S => Mcount_q_reg_cy_6_rt_28, O => Mcount_q_reg_cy(6) ); Mcount_q_reg_xor_6_Q : XORCY port map ( CI => Mcount_q_reg_cy(5), LI => Mcount_q_reg_cy_6_rt_28, O => Result(6) ); Mcount_q_reg_cy_7_Q : MUXCY port map ( CI => Mcount_q_reg_cy(6), DI => N2, S => Mcount_q_reg_cy_7_rt_30, O => Mcount_q_reg_cy(7) ); Mcount_q_reg_xor_7_Q : XORCY port map ( CI => Mcount_q_reg_cy(6), LI => Mcount_q_reg_cy_7_rt_30, O => Result(7) ); Mcount_q_reg_cy_8_Q : MUXCY port map ( CI => Mcount_q_reg_cy(7), DI => N2, S => Mcount_q_reg_cy_8_rt_32, O => Mcount_q_reg_cy(8) ); Mcount_q_reg_xor_8_Q : XORCY port map ( CI => Mcount_q_reg_cy(7), LI => Mcount_q_reg_cy_8_rt_32, O => Result(8) ); Mcount_q_reg_cy_9_Q : MUXCY port map ( CI => Mcount_q_reg_cy(8), DI => N2, S => Mcount_q_reg_cy_9_rt_34, O => Mcount_q_reg_cy(9) ); Mcount_q_reg_xor_9_Q : XORCY port map ( CI => Mcount_q_reg_cy(8), LI => Mcount_q_reg_cy_9_rt_34, O => Result(9) ); Mcount_q_reg_cy_10_Q : MUXCY port map ( CI => Mcount_q_reg_cy(9), DI => N2, S => Mcount_q_reg_cy_10_rt_2, O => Mcount_q_reg_cy(10) ); Mcount_q_reg_xor_10_Q : XORCY port map ( CI => Mcount_q_reg_cy(9), LI => Mcount_q_reg_cy_10_rt_2, O => Result(10) ); Mcount_q_reg_cy_11_Q : MUXCY port map ( CI => Mcount_q_reg_cy(10), DI => N2, S => Mcount_q_reg_cy_11_rt_4, O => Mcount_q_reg_cy(11) ); Mcount_q_reg_xor_11_Q : XORCY port map ( CI => Mcount_q_reg_cy(10), LI => Mcount_q_reg_cy_11_rt_4, O => Result(11) ); Mcount_q_reg_cy_12_Q : MUXCY port map ( CI => Mcount_q_reg_cy(11), DI => N2, S => Mcount_q_reg_cy_12_rt_6, O => Mcount_q_reg_cy(12) ); Mcount_q_reg_xor_12_Q : XORCY port map ( CI => Mcount_q_reg_cy(11), LI => Mcount_q_reg_cy_12_rt_6, O => Result(12) ); Mcount_q_reg_cy_13_Q : MUXCY port map ( CI => Mcount_q_reg_cy(12), DI => N2, S => Mcount_q_reg_cy_13_rt_8, O => Mcount_q_reg_cy(13) ); Mcount_q_reg_xor_13_Q : XORCY port map ( CI => Mcount_q_reg_cy(12), LI => Mcount_q_reg_cy_13_rt_8, O => Result(13) ); Mcount_q_reg_cy_14_Q : MUXCY port map ( CI => Mcount_q_reg_cy(13), DI => N2, S => Mcount_q_reg_cy_14_rt_10, O => Mcount_q_reg_cy(14) ); Mcount_q_reg_xor_14_Q : XORCY port map ( CI => Mcount_q_reg_cy(13), LI => Mcount_q_reg_cy_14_rt_10, O => Result(14) ); Mcount_q_reg_cy_15_Q : MUXCY port map ( CI => Mcount_q_reg_cy(14), DI => N2, S => Mcount_q_reg_cy_15_rt_12, O => Mcount_q_reg_cy(15) ); Mcount_q_reg_xor_15_Q : XORCY port map ( CI => Mcount_q_reg_cy(14), LI => Mcount_q_reg_cy_15_rt_12, O => Result(15) ); Mcount_q_reg_cy_16_Q : MUXCY port map ( CI => Mcount_q_reg_cy(15), DI => N2, S => Mcount_q_reg_cy_16_rt_14, O => Mcount_q_reg_cy(16) ); Mcount_q_reg_xor_16_Q : XORCY port map ( CI => Mcount_q_reg_cy(15), LI => Mcount_q_reg_cy_16_rt_14, O => Result(16) ); Mcount_q_reg_cy_17_Q : MUXCY port map ( CI => Mcount_q_reg_cy(16), DI => N2, S => Mcount_q_reg_cy_17_rt_16, O => Mcount_q_reg_cy(17) ); Mcount_q_reg_xor_17_Q : XORCY port map ( CI => Mcount_q_reg_cy(16), LI => Mcount_q_reg_cy_17_rt_16, O => Result(17) ); Mcount_q_reg_xor_18_Q : XORCY port map ( CI => Mcount_q_reg_cy(17), LI => Mcount_q_reg_xor_18_rt_36, O => Result(18) ); state_reg_FSM_FFd3 : FDR generic map( INIT => '0' ) port map ( C => c, D => state_reg_FSM_FFd3_In_97, R => r, Q => state_reg_FSM_FFd3_96 ); state_reg_FSM_FFd1 : FDR generic map( INIT => '0' ) port map ( C => c, D => state_reg_FSM_FFd1_In_93, R => r, Q => NlwRenamedSig_OI_db ); state_reg_FSM_FFd2 : FDR generic map( INIT => '0' ) port map ( C => c, D => state_reg_FSM_FFd2_In_95, R => r, Q => state_reg_FSM_FFd2_94 ); m_tick_cmp_eq0000_wg_lut_0_Q : LUT3 generic map( INIT => X"01" ) port map ( I0 => q_reg(7), I1 => q_reg(4), I2 => q_reg(5), O => m_tick_cmp_eq0000_wg_lut(0) ); m_tick_cmp_eq0000_wg_cy_0_Q : MUXCY port map ( CI => N3, DI => N2, S => m_tick_cmp_eq0000_wg_lut(0), O => m_tick_cmp_eq0000_wg_cy(0) ); m_tick_cmp_eq0000_wg_lut_1_Q : LUT4 generic map( INIT => X"0001" ) port map ( I0 => q_reg(6), I1 => q_reg(8), I2 => q_reg(3), I3 => q_reg(9), O => m_tick_cmp_eq0000_wg_lut(1) ); m_tick_cmp_eq0000_wg_cy_1_Q : MUXCY port map ( CI => m_tick_cmp_eq0000_wg_cy(0), DI => N2, S => m_tick_cmp_eq0000_wg_lut(1), O => m_tick_cmp_eq0000_wg_cy(1) ); m_tick_cmp_eq0000_wg_lut_2_Q : LUT4 generic map( INIT => X"0001" ) port map ( I0 => q_reg(12), I1 => q_reg(10), I2 => q_reg(1), I3 => q_reg(11), O => m_tick_cmp_eq0000_wg_lut(2) ); m_tick_cmp_eq0000_wg_cy_2_Q : MUXCY port map ( CI => m_tick_cmp_eq0000_wg_cy(1), DI => N2, S => m_tick_cmp_eq0000_wg_lut(2), O => m_tick_cmp_eq0000_wg_cy(2) ); m_tick_cmp_eq0000_wg_lut_3_Q : LUT4 generic map( INIT => X"0001" ) port map ( I0 => q_reg(13), I1 => q_reg(14), I2 => q_reg(0), I3 => q_reg(15), O => m_tick_cmp_eq0000_wg_lut(3) ); m_tick_cmp_eq0000_wg_cy_3_Q : MUXCY port map ( CI => m_tick_cmp_eq0000_wg_cy(2), DI => N2, S => m_tick_cmp_eq0000_wg_lut(3), O => m_tick_cmp_eq0000_wg_cy(3) ); m_tick_cmp_eq0000_wg_lut_4_Q : LUT4 generic map( INIT => X"0001" ) port map ( I0 => q_reg(16), I1 => q_reg(17), I2 => q_reg(2), I3 => q_reg(18), O => m_tick_cmp_eq0000_wg_lut(4) ); m_tick_cmp_eq0000_wg_cy_4_Q : MUXCY port map ( CI => m_tick_cmp_eq0000_wg_cy(3), DI => N2, S => m_tick_cmp_eq0000_wg_lut(4), O => m_tick ); state_reg_FSM_FFd1_In : LUT4 generic map( INIT => X"D8CC" ) port map ( I0 => state_reg_FSM_FFd3_96, I1 => NlwRenamedSig_OI_db, I2 => N12, I3 => m_tick, O => state_reg_FSM_FFd1_In_93 ); state_reg_FSM_FFd2_In : LUT4 generic map( INIT => X"B8F0" ) port map ( I0 => sw, I1 => state_reg_FSM_FFd3_96, I2 => N5, I3 => m_tick, O => state_reg_FSM_FFd2_In_95 ); state_reg_FSM_FFd3_In_SW0 : LUT3 generic map( INIT => X"E7" ) port map ( I0 => state_reg_FSM_FFd2_94, I1 => NlwRenamedSig_OI_db, I2 => sw, O => N9 ); state_reg_FSM_FFd3_In : LUT4 generic map( INIT => X"331B" ) port map ( I0 => state_reg_FSM_FFd3_96, I1 => N9, I2 => N10, I3 => m_tick, O => state_reg_FSM_FFd3_In_97 ); Mcount_q_reg_cy_1_rt : LUT1 generic map( INIT => X"2" ) port map ( I0 => q_reg(1), O => Mcount_q_reg_cy_1_rt_18 ); Mcount_q_reg_cy_2_rt : LUT1 generic map( INIT => X"2" ) port map ( I0 => q_reg(2), O => Mcount_q_reg_cy_2_rt_20 ); Mcount_q_reg_cy_3_rt : LUT1 generic map( INIT => X"2" ) port map ( I0 => q_reg(3), O => Mcount_q_reg_cy_3_rt_22 ); Mcount_q_reg_cy_4_rt : LUT1 generic map( INIT => X"2" ) port map ( I0 => q_reg(4), O => Mcount_q_reg_cy_4_rt_24 ); Mcount_q_reg_cy_5_rt : LUT1 generic map( INIT => X"2" ) port map ( I0 => q_reg(5), O => Mcount_q_reg_cy_5_rt_26 ); Mcount_q_reg_cy_6_rt : LUT1 generic map( INIT => X"2" ) port map ( I0 => q_reg(6), O => Mcount_q_reg_cy_6_rt_28 ); Mcount_q_reg_cy_7_rt : LUT1 generic map( INIT => X"2" ) port map ( I0 => q_reg(7), O => Mcount_q_reg_cy_7_rt_30 ); Mcount_q_reg_cy_8_rt : LUT1 generic map( INIT => X"2" ) port map ( I0 => q_reg(8), O => Mcount_q_reg_cy_8_rt_32 ); Mcount_q_reg_cy_9_rt : LUT1 generic map( INIT => X"2" ) port map ( I0 => q_reg(9), O => Mcount_q_reg_cy_9_rt_34 ); Mcount_q_reg_cy_10_rt : LUT1 generic map( INIT => X"2" ) port map ( I0 => q_reg(10), O => Mcount_q_reg_cy_10_rt_2 ); Mcount_q_reg_cy_11_rt : LUT1 generic map( INIT => X"2" ) port map ( I0 => q_reg(11), O => Mcount_q_reg_cy_11_rt_4 ); Mcount_q_reg_cy_12_rt : LUT1 generic map( INIT => X"2" ) port map ( I0 => q_reg(12), O => Mcount_q_reg_cy_12_rt_6 ); Mcount_q_reg_cy_13_rt : LUT1 generic map( INIT => X"2" ) port map ( I0 => q_reg(13), O => Mcount_q_reg_cy_13_rt_8 ); Mcount_q_reg_cy_14_rt : LUT1 generic map( INIT => X"2" ) port map ( I0 => q_reg(14), O => Mcount_q_reg_cy_14_rt_10 ); Mcount_q_reg_cy_15_rt : LUT1 generic map( INIT => X"2" ) port map ( I0 => q_reg(15), O => Mcount_q_reg_cy_15_rt_12 ); Mcount_q_reg_cy_16_rt : LUT1 generic map( INIT => X"2" ) port map ( I0 => q_reg(16), O => Mcount_q_reg_cy_16_rt_14 ); Mcount_q_reg_cy_17_rt : LUT1 generic map( INIT => X"2" ) port map ( I0 => q_reg(17), O => Mcount_q_reg_cy_17_rt_16 ); Mcount_q_reg_xor_18_rt : LUT1 generic map( INIT => X"2" ) port map ( I0 => q_reg(18), O => Mcount_q_reg_xor_18_rt_36 ); Mcount_q_reg_lut_0_INV_0 : INV port map ( I => q_reg(0), O => Mcount_q_reg_lut(0) ); state_reg_FSM_FFd1_In_SW0 : LUT3_D generic map( INIT => X"E8" ) port map ( I0 => state_reg_FSM_FFd2_94, I1 => NlwRenamedSig_OI_db, I2 => sw, LO => N12, O => N5 ); state_reg_FSM_FFd3_In_SW1 : LUT2_L generic map( INIT => X"9" ) port map ( I0 => NlwRenamedSig_OI_db, I1 => sw, LO => N10 ); end BlackBox;
gpl-3.0
202b170c5e9d80289cba6d9edc8f1d0b
0.452406
2.664817
false
false
false
false
jchromik/hpi-vhdl-2016
pue3/Keyboard/key2segments.vhd
1
1,939
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 15:08:31 07/07/2016 -- Design Name: -- Module Name: key2segments - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity key2segments is Port ( scancode : in STD_LOGIC_VECTOR(7 downto 0); segments : out STD_LOGIC_VECTOR (6 downto 0)); end key2segments; architecture Behavioral of key2segments is begin process (scancode) begin case scancode is when "00010110" => segments <= "1001111"; --1 when "00011110" => segments <= "0010010"; --2 when "00100110" => segments <= "0000110"; --3 when "00100101" => segments <= "1001100"; --4 when "00101110" => segments <= "0100100"; --5 when "00110110" => segments <= "0100000"; --6 when "00111101" => segments <= "0001111"; --7 when "00111110" => segments <= "0000000"; --8 when "01000110" => segments <= "0000100"; --9 when "01000101" => segments <= "0000001"; --0 when "00011100" => segments <= "0001000"; --A when "00110010" => segments <= "1100000"; --b when "00100001" => segments <= "0110001"; --c when "00100011" => segments <= "1000010"; --d when "00100100" => segments <= "0110000"; --E when "00101011" => segments <= "0111000"; --F when others => segments <= "1000001"; --u; end case; end process; end Behavioral;
mit
2c015996f60c87dd778129238562134e
0.585869
3.816929
false
false
false
false
Fju/LeafySan
src/vhdl/testbench/lcd_model.vhdl
1
6,600
----------------------------------------------------------------- -- Project : Invent a Chip -- Module : LCD-Display Model -- Last update : 04.12.2013 ----------------------------------------------------------------- -- Libraries library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library std; use std.textio.all; entity lcd_model is generic( SYSTEM_CYCLE_TIME : time := 20 ns; -- 50 MHz FULL_DEBUG : natural := 0 ); port( -- Global Signals end_simulation : in std_ulogic; -- LCD Signals disp_en : in std_ulogic; disp_rs : in std_ulogic; disp_rw : in std_ulogic; disp_dat : in std_ulogic_vector(7 downto 0) ); end entity lcd_model; architecture sim of lcd_model is type lcd_t is array (0 to 1) of string(1 to 16); begin process variable lcd : lcd_t; variable col, row : integer; variable outLine : line; variable disp_on : std_ulogic; variable cursor_on : std_ulogic; variable blink_on : std_ulogic; begin lcd := (others => (others => ' ')); col := 0; row := 0; disp_on := '0'; cursor_on := '0'; blink_on := '0'; loop exit when end_simulation = '1'; if disp_en = '1' then -- Function setting if disp_rs = '0' then -- Set DDRAM Address (Set Cursor) if disp_dat(7) = '1' then col := to_integer(unsigned(disp_dat(3 downto 0))); row := to_integer(unsigned(disp_dat(6 downto 6))); if FULL_DEBUG = 1 then write(outLine, "[LCD] Setting cursor to position " & integer'image(col) & " and line " & integer'image(row)); writeline(output, outLine); end if; -- Set CDRAM Address (can't happen) elsif disp_dat(6) = '1' then -- not implemented yet -- Function set elsif disp_dat(5) = '1' then if FULL_DEBUG = 1 then write(outLine, string'("[LCD] Function setting: ")); writeline(output, outLine); if disp_dat(4) = '1' then write(outLine, string'(" Data width -> 8 bit")); else write(outLine, string'(" Data width -> 4 bit")); end if; writeline(output, outLine); if disp_dat(3) = '1' then write(outLine, string'(" Number lines -> 2")); else write(outLine, string'(" Number lines -> 1")); end if; writeline(output, outLine); if disp_dat(2) = '1' then write(outLine, string'(" Dots format -> 5x11")); else write(outLine, string'(" Dots format -> 5x8")); end if; writeline(output, outLine); end if; -- Cursor or Display Shift elsif disp_dat(4) = '1' then if FULL_DEBUG = 1 then write(outLine, string'("[LCD] Setting ")); if disp_dat(3) = '1' then write(outLine, string'("shift all the display ")); else write(outLine, string'("shift cursor ")); end if; if disp_dat(2) = '1' then write(outLine, string'("to right")); else write(outLine, string'("to left")); end if; writeline(output, outLine); end if; -- Display On/Off Control elsif disp_dat(3) = '1' then -- Display on/off if disp_dat(2) /= disp_on then disp_on := disp_dat(2); if FULL_DEBUG = 1 then if disp_dat(2) = '1' then write(outLine, string'("[LCD] Setting display on")); else write(outLine, string'("[LCD] Setting display off")); end if; writeline(output, outLine); end if; end if; -- Cursor on/off if disp_dat(1) /= cursor_on then cursor_on := disp_dat(1); if FULL_DEBUG = 1 then if disp_dat(1) = '1' then write(outLine, string'("[LCD] Setting cursor on")); else write(outLine, string'("[LCD] Setting cursor off")); end if; writeline(output, outLine); end if; end if; -- Blink on/off if disp_dat(0) /= blink_on then blink_on := disp_dat(0); if FULL_DEBUG = 1 then if disp_dat(0) = '1' then write(outLine, string'("[LCD] Setting blink on")); else write(outLine, string'("[LCD] Setting blink off")); end if; writeline(output, outLine); end if; end if; -- Entry mode set elsif disp_dat(2) = '1' then if FULL_DEBUG = 1 then write(outLine, string'("[LCD] Setting ")); if disp_dat(1) = '1' then write(outLine, string'("DDRAM increment mode, ")); else write(outLine, string'("DDRAM decrement mode, ")); end if; if disp_dat(0) = '1' then write(outLine, string'("shift entire display on")); else write(outLine, string'("shift entire display off")); end if; writeline(output, outLine); end if; -- Return Home elsif disp_dat(1) = '1' then col := 0; row := 0; if FULL_DEBUG = 1 then write(outLine, string'("[LCD] Setting cursor to position " & integer'image(col) & " and line " & integer'image(row))); writeline(output, outLine); end if; -- Clear Display else lcd := (others => (others => ' ')); col := 0; row := 0; write(outLine, string'("[LCD] Clear Display")); writeline(output, outLine); write(outLine, string'("[LCD] Actual display:")); writeline(output, outLine); write(outLine, string'(" Line 0: |" & lcd(0) & "|")); writeline(output, outLine); write(outLine, string'(" Line 1: |" & lcd(1) & "|")); writeline(output, outLine); if FULL_DEBUG = 1 then write(outLine, string'("[LCD] Setting cursor to position " & integer'image(col) & " and line " & integer'image(row))); writeline(output, outLine); end if; end if; -- Print charachter else lcd(row)(col+1) := character'val(to_integer(unsigned(disp_dat))); write(outLine, string'("[LCD] Printing character '" & lcd(row)(col+1) & "' on LCD")); writeline(output, outLine); write(outLine, string'("[LCD] Actual display:")); writeline(output, outLine); write(outLine, string'(" Line 0: |" & lcd(0) & "|")); writeline(output, outLine); write(outLine, string'(" Line 1: |" & lcd(1) & "|")); writeline(output, outLine); end if; wait until disp_en = '0'; else wait for SYSTEM_CYCLE_TIME; end if; end loop; wait; end process; end architecture sim;
apache-2.0
32d1c554d9f79aea70554731f7806940
0.530606
3.407331
false
false
false
false
maly/fpmi
FPGA/ram2.vhd
1
9,287
-- megafunction wizard: %RAM: 2-PORT% -- GENERATION: STANDARD -- VERSION: WM1.0 -- MODULE: altsyncram -- ============================================================ -- File Name: ram2.vhd -- Megafunction Name(s): -- altsyncram -- -- Simulation Library Files(s): -- altera_mf -- ============================================================ -- ************************************************************ -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -- -- 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition -- ************************************************************ --Copyright (C) 1991-2013 Altera Corporation --Your use of Altera Corporation's design tools, logic functions --and other software and tools, and its AMPP partner logic --functions, and any output files from any of the foregoing --(including device programming or simulation files), and any --associated documentation or information are expressly subject --to the terms and conditions of the Altera Program License --Subscription Agreement, Altera MegaCore Function License --Agreement, or other applicable license agreement, including, --without limitation, that your use is for the sole purpose of --programming logic devices manufactured by Altera and sold by --Altera or its authorized distributors. Please refer to the --applicable agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY altera_mf; USE altera_mf.all; ENTITY ram2 IS PORT ( clock : IN STD_LOGIC := '1'; data : IN STD_LOGIC_VECTOR (7 DOWNTO 0); rdaddress : IN STD_LOGIC_VECTOR (9 DOWNTO 0); wraddress : IN STD_LOGIC_VECTOR (9 DOWNTO 0); wren : IN STD_LOGIC := '0'; q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0) ); END ram2; ARCHITECTURE SYN OF ram2 IS SIGNAL sub_wire0 : STD_LOGIC_VECTOR (7 DOWNTO 0); COMPONENT altsyncram GENERIC ( address_reg_b : STRING; clock_enable_input_a : STRING; clock_enable_input_b : STRING; clock_enable_output_a : STRING; clock_enable_output_b : STRING; intended_device_family : STRING; lpm_type : STRING; numwords_a : NATURAL; numwords_b : NATURAL; operation_mode : STRING; outdata_aclr_b : STRING; outdata_reg_b : STRING; power_up_uninitialized : STRING; ram_block_type : STRING; read_during_write_mode_mixed_ports : STRING; widthad_a : NATURAL; widthad_b : NATURAL; width_a : NATURAL; width_b : NATURAL; width_byteena_a : NATURAL ); PORT ( address_a : IN STD_LOGIC_VECTOR (9 DOWNTO 0); clock0 : IN STD_LOGIC ; data_a : IN STD_LOGIC_VECTOR (7 DOWNTO 0); q_b : OUT STD_LOGIC_VECTOR (7 DOWNTO 0); wren_a : IN STD_LOGIC ; address_b : IN STD_LOGIC_VECTOR (9 DOWNTO 0) ); END COMPONENT; BEGIN q <= sub_wire0(7 DOWNTO 0); altsyncram_component : altsyncram GENERIC MAP ( address_reg_b => "CLOCK0", clock_enable_input_a => "BYPASS", clock_enable_input_b => "BYPASS", clock_enable_output_a => "BYPASS", clock_enable_output_b => "BYPASS", intended_device_family => "Cyclone II", lpm_type => "altsyncram", numwords_a => 1024, numwords_b => 1024, operation_mode => "DUAL_PORT", outdata_aclr_b => "NONE", outdata_reg_b => "CLOCK0", power_up_uninitialized => "FALSE", ram_block_type => "M4K", read_during_write_mode_mixed_ports => "DONT_CARE", widthad_a => 10, widthad_b => 10, width_a => 8, width_b => 8, width_byteena_a => 1 ) PORT MAP ( address_a => wraddress, clock0 => clock, data_a => data, wren_a => wren, address_b => rdaddress, q_b => sub_wire0 ); END SYN; -- ============================================================ -- CNX file retrieval info -- ============================================================ -- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0" -- Retrieval info: PRIVATE: ADDRESSSTALL_B NUMERIC "0" -- Retrieval info: PRIVATE: BYTEENA_ACLR_A NUMERIC "0" -- Retrieval info: PRIVATE: BYTEENA_ACLR_B NUMERIC "0" -- Retrieval info: PRIVATE: BYTE_ENABLE_A NUMERIC "0" -- Retrieval info: PRIVATE: BYTE_ENABLE_B NUMERIC "0" -- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8" -- Retrieval info: PRIVATE: BlankMemory NUMERIC "1" -- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_B NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_B NUMERIC "0" -- Retrieval info: PRIVATE: CLRdata NUMERIC "0" -- Retrieval info: PRIVATE: CLRq NUMERIC "0" -- Retrieval info: PRIVATE: CLRrdaddress NUMERIC "0" -- Retrieval info: PRIVATE: CLRrren NUMERIC "0" -- Retrieval info: PRIVATE: CLRwraddress NUMERIC "0" -- Retrieval info: PRIVATE: CLRwren NUMERIC "0" -- Retrieval info: PRIVATE: Clock NUMERIC "0" -- Retrieval info: PRIVATE: Clock_A NUMERIC "0" -- Retrieval info: PRIVATE: Clock_B NUMERIC "0" -- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0" -- Retrieval info: PRIVATE: INDATA_ACLR_B NUMERIC "0" -- Retrieval info: PRIVATE: INDATA_REG_B NUMERIC "0" -- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_B" -- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0" -- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II" -- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0" -- Retrieval info: PRIVATE: JTAG_ID STRING "NONE" -- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0" -- Retrieval info: PRIVATE: MEMSIZE NUMERIC "8192" -- Retrieval info: PRIVATE: MEM_IN_BITS NUMERIC "0" -- Retrieval info: PRIVATE: MIFfilename STRING "" -- Retrieval info: PRIVATE: OPERATION_MODE NUMERIC "2" -- Retrieval info: PRIVATE: OUTDATA_ACLR_B NUMERIC "0" -- Retrieval info: PRIVATE: OUTDATA_REG_B NUMERIC "1" -- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "2" -- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_MIXED_PORTS NUMERIC "2" -- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "3" -- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_B NUMERIC "3" -- Retrieval info: PRIVATE: REGdata NUMERIC "1" -- Retrieval info: PRIVATE: REGq NUMERIC "1" -- Retrieval info: PRIVATE: REGrdaddress NUMERIC "1" -- Retrieval info: PRIVATE: REGrren NUMERIC "1" -- Retrieval info: PRIVATE: REGwraddress NUMERIC "1" -- Retrieval info: PRIVATE: REGwren NUMERIC "1" -- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" -- Retrieval info: PRIVATE: USE_DIFF_CLKEN NUMERIC "0" -- Retrieval info: PRIVATE: UseDPRAM NUMERIC "1" -- Retrieval info: PRIVATE: VarWidth NUMERIC "0" -- Retrieval info: PRIVATE: WIDTH_READ_A NUMERIC "8" -- Retrieval info: PRIVATE: WIDTH_READ_B NUMERIC "8" -- Retrieval info: PRIVATE: WIDTH_WRITE_A NUMERIC "8" -- Retrieval info: PRIVATE: WIDTH_WRITE_B NUMERIC "8" -- Retrieval info: PRIVATE: WRADDR_ACLR_B NUMERIC "0" -- Retrieval info: PRIVATE: WRADDR_REG_B NUMERIC "0" -- Retrieval info: PRIVATE: WRCTRL_ACLR_B NUMERIC "0" -- Retrieval info: PRIVATE: enable NUMERIC "0" -- Retrieval info: PRIVATE: rden NUMERIC "0" -- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -- Retrieval info: CONSTANT: ADDRESS_REG_B STRING "CLOCK0" -- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS" -- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_B STRING "BYPASS" -- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS" -- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_B STRING "BYPASS" -- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone II" -- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram" -- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "1024" -- Retrieval info: CONSTANT: NUMWORDS_B NUMERIC "1024" -- Retrieval info: CONSTANT: OPERATION_MODE STRING "DUAL_PORT" -- Retrieval info: CONSTANT: OUTDATA_ACLR_B STRING "NONE" -- Retrieval info: CONSTANT: OUTDATA_REG_B STRING "CLOCK0" -- Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE" -- Retrieval info: CONSTANT: RAM_BLOCK_TYPE STRING "M4K" -- Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_MIXED_PORTS STRING "DONT_CARE" -- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "10" -- Retrieval info: CONSTANT: WIDTHAD_B NUMERIC "10" -- Retrieval info: CONSTANT: WIDTH_A NUMERIC "8" -- Retrieval info: CONSTANT: WIDTH_B NUMERIC "8" -- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1" -- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock" -- Retrieval info: USED_PORT: data 0 0 8 0 INPUT NODEFVAL "data[7..0]" -- Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL "q[7..0]" -- Retrieval info: USED_PORT: rdaddress 0 0 10 0 INPUT NODEFVAL "rdaddress[9..0]" -- Retrieval info: USED_PORT: wraddress 0 0 10 0 INPUT NODEFVAL "wraddress[9..0]" -- Retrieval info: USED_PORT: wren 0 0 0 0 INPUT GND "wren" -- Retrieval info: CONNECT: @address_a 0 0 10 0 wraddress 0 0 10 0 -- Retrieval info: CONNECT: @address_b 0 0 10 0 rdaddress 0 0 10 0 -- Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0 -- Retrieval info: CONNECT: @data_a 0 0 8 0 data 0 0 8 0 -- Retrieval info: CONNECT: @wren_a 0 0 0 0 wren 0 0 0 0 -- Retrieval info: CONNECT: q 0 0 8 0 @q_b 0 0 8 0 -- Retrieval info: GEN_FILE: TYPE_NORMAL ram2.vhd TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL ram2.inc FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL ram2.cmp TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL ram2.bsf FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL ram2_inst.vhd FALSE -- Retrieval info: LIB_FILE: altera_mf
mit
973e4c68abdbc3892892378f1fe3e2a8
0.680521
3.426937
false
false
false
false