repo_name
stringlengths 6
79
| path
stringlengths 6
236
| copies
int64 1
472
| size
int64 137
1.04M
| content
stringlengths 137
1.04M
| license
stringclasses 15
values | hash
stringlengths 32
32
| alpha_frac
float64 0.25
0.96
| ratio
float64 1.51
17.5
| autogenerated
bool 1
class | config_or_test
bool 2
classes | has_no_keywords
bool 1
class | has_few_assignments
bool 1
class |
---|---|---|---|---|---|---|---|---|---|---|---|---|
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/common/rd_pe_as.vhd | 9 | 25,068 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Nq4WdAQ+0qB6yw3jBRApltZkz91kAnnt9+yVgdR8gK7bQdcBGZUtq1bwBE6KJebphmA9J2S8b85c
0kwA5U6vzw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Sc/j+0nK88K6kYXfqlWAWPEyOzK6BuD5gMbaugXCcHEduQ2NOe9csvbMsyhb8NodvCY+JEEWYJl2
oaRyi5Td0I07q5JNUVN2CKL2Q2dJmESMqw22XR6sf90KwcBkVi0nvd3KePEKYVuJVjVU1NoCSPRr
FphXiBzo5eLuw5T2DNA=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
xqDZqAdw7Fst10m0Hi9vribN84lFg3qdqFFACUG5URUVjx1Ve/FLH+WFx2/edJ/S7BkpJb7sjv1S
FvxyuqgJ6MflMvudJAvPfVXFzipMUELjgNDljX5M41AiwpGxPJgO4KGbu27jocj/fyZEFfUT5SgH
BGuACJoxEMqZGiK0EtKAgm9ixsJSE5hdxUpgRiZD5PhcPqsbB0XhUz6mAxkdmiXUXeIh2SFPzXgk
65k870cgtZ5GuibKxgYT15TrCsmfMYYVuzVF2LH+xKFfWoV1tAfbujjvxn37nvdJrGG3pcxyOOAV
ePDs5o5Ba8C6WRbVeZQuaNye9HHA/P85RszbPA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
xXlLUmv7SMkHdopBtXdi6tsUHhqdlmDgttpYy+ZlnWQkbos+YvAVNB+tB9f2zdOwpFvxaFR8OLTF
HQdsVdJmg2kMBOhaJSYhRnQ4rRABclkcsQ37YZC7a6Qgqxy5FCyFI+nAxrA1q16E3UFT6hbdboem
SQt1KplHjN1t2IDqkCg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
KSp+OtA+LDat25OH+/GS6tLZDSU1hBsyvQAO1ZqUygYf1zeeWh6OvrOU4tRu7LMJ/fVYqESSd1xc
iOmJ1/L+6a6b4xpG6+zjqqs3G0hrf1Vvj1kEXPJfrmfqIDGDSOJTTjpUF7bbE2K2cMmRCQKFvNnG
d8uAOk43O8w1izUbYrvjtjASyuNZrZrJoa8vIt62lqrgJw9nU17QNmXwn78i4gzQMfluNVFAhOWV
NM1TMkk2BoWZSf7qbNLiQ2oqbyO+r0cqQfGkpeRerL6gebL4mkxtLjXsmsXZ6Erm7DHiJeII7nZk
mweQteOepqxuykdcZHE8M1cjvvl4thng9sj/BQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16816)
`protect data_block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`protect end_protected
| gpl-3.0 | 088049b8e70995a35cb30da3c99d1873 | 0.942995 | 1.82273 | false | false | false | false |
inmcm/Simon_Speck_Ciphers | VHDL/Speck.vhd | 3 | 11,909 | -- Speck.vhd
-- Copyright 2016 Michael Calvin McCoy
-- [email protected]
-- see LICENSE.md
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.numeric_std.all;
use work.SPECK_CONSTANTS.all;
entity SPECK_CIPHER is
Generic(KEY_SIZE : integer range 0 to 256 := 256;
BLOCK_SIZE : integer range 0 to 128 := 128;
ROUND_LIMIT: integer range 0 to 34 := 34);
Port (SYS_CLK,RST : in std_logic;
BUSY : out std_logic;
CONTROL : in std_logic_vector(1 downto 0);
KEY : in std_logic_vector (KEY_SIZE - 1 downto 0);
BLOCK_INPUT : in std_logic_vector (BLOCK_SIZE - 1 downto 0);
BLOCK_OUTPUT : out std_logic_vector (BLOCK_SIZE - 1 downto 0));
end SPECK_CIPHER;
architecture Behavioral of SPECK_CIPHER is
-------------------------------------------------------------
-- Cipher Constants
constant WORD_SIZE : integer range 0 to 64 := BLOCK_SIZE / 2;
constant KEY_WORDS_M : integer range 0 to 4 := KEY_SIZE / WORD_SIZE;
constant ALPHA_SHIFT : integer range 0 to 15 := Alpha_Lookup(KEY_SIZE, BLOCK_SIZE);
constant BETA_SHIFT : integer range 0 to 3 := Beta_Lookup(KEY_SIZE, BLOCK_SIZE);
-------------------------------------------------------------
-- Key Schedule Storage Array
type ARRAY_ROUNDxWORDSIZE is array(0 to (ROUND_LIMIT - 1)) of std_logic_vector(WORD_SIZE - 1 downto 0);
signal key_schedule: ARRAY_ROUNDxWORDSIZE;
signal round_key : std_logic_vector(WORD_SIZE - 1 downto 0);
type ARRAY_PARTKEYxWORD is array (0 to KEY_WORDS_M-1) of std_logic_vector(WORD_SIZE - 1 downto 0);
signal key_l : ARRAY_PARTKEYxWORD;
signal key_feedback : ARRAY_PARTKEYxWORD;
signal key_gen_round_output : STD_LOGIC_VECTOR(BLOCK_SIZE - 1 downto 0);
------------------------------------------------------
-- Fiestel Structure Signals
signal b_buf : STD_LOGIC_VECTOR(WORD_SIZE - 1 downto 0);
signal a_buf : STD_LOGIC_VECTOR(WORD_SIZE - 1 downto 0);
signal encryption_round_output : STD_LOGIC_VECTOR(BLOCK_SIZE - 1 downto 0);
signal decryption_round_output : STD_LOGIC_VECTOR(BLOCK_SIZE - 1 downto 0);
--------------------------------------------------------
--------------------------------------------------------
-- State Machine Signals
type state is (Reset,Idle,Key_Schedule_Generation_Run,Key_Schedule_Generation_Finish,
Cipher_Start,Cipher_Run,Cipher_Finish_1,Cipher_Finish_2,Cipher_Latch);
signal pr_state,nx_state : state;
--------------------------------------------------------
--------------------------------------------------------
-- Round Counting Signals
signal round_count : integer range 0 to (ROUND_LIMIT - 1);
signal inv_round_count : integer range 0 to (ROUND_LIMIT - 1);
signal round_count_mux : integer range 0 to (ROUND_LIMIT - 1);
signal cipher_direction : std_logic;
--------------------------------------------------------
function Encrypt_Round(b, a, key_i : std_logic_vector(WORD_SIZE -1 downto 0)) return std_logic_vector is
variable b_unsigned : unsigned(WORD_SIZE - 1 downto 0);
variable a_unsigned : unsigned(WORD_SIZE - 1 downto 0);
variable r_shift_alpha : unsigned(WORD_SIZE - 1 downto 0);
variable l_shift_beta : unsigned(WORD_SIZE - 1 downto 0);
variable adder: unsigned(WORD_SIZE - 1 downto 0);
variable key_xor : unsigned(WORD_SIZE - 1 downto 0);
variable cross_xor : unsigned(WORD_SIZE - 1 downto 0);
variable encrypt_output : std_logic_vector(BLOCK_SIZE - 1 downto 0);
begin
b_unsigned := unsigned(b);
a_unsigned := unsigned(a);
r_shift_alpha := b_unsigned(ALPHA_SHIFT - 1 downto 0) & b_unsigned(WORD_SIZE -1 downto ALPHA_SHIFT);
l_shift_beta := a_unsigned(WORD_SIZE - (BETA_SHIFT + 1) downto 0) & a_unsigned((WORD_SIZE -1) downto (WORD_SIZE - BETA_SHIFT));
adder := r_shift_alpha + a_unsigned;
key_xor := adder xor unsigned(key_i);
cross_xor := l_shift_beta xor key_xor;
encrypt_output := std_logic_vector(key_xor) & std_logic_vector(cross_xor);
return encrypt_output;
end Encrypt_Round;
function Decrypt_Round(b, a, key_i : std_logic_vector(WORD_SIZE -1 downto 0)) return std_logic_vector is
variable b_unsigned : unsigned(WORD_SIZE - 1 downto 0);
variable a_unsigned : unsigned(WORD_SIZE - 1 downto 0);
variable l_shift_alpha : unsigned(WORD_SIZE - 1 downto 0);
variable r_shift_beta : unsigned(WORD_SIZE - 1 downto 0);
variable subtractor: unsigned(WORD_SIZE - 1 downto 0);
variable key_xor : unsigned(WORD_SIZE - 1 downto 0);
variable cross_xor : unsigned(WORD_SIZE - 1 downto 0);
variable decrypt_output : std_logic_vector(BLOCK_SIZE - 1 downto 0);
begin
b_unsigned := unsigned(b);
a_unsigned := unsigned(a);
cross_xor := b_unsigned xor a_unsigned;
r_shift_beta := cross_xor(BETA_SHIFT - 1 downto 0) & cross_xor(WORD_SIZE -1 downto BETA_SHIFT);
key_xor := b_unsigned xor unsigned(key_i);
subtractor := key_xor - r_shift_beta;
l_shift_alpha := subtractor(WORD_SIZE - (ALPHA_SHIFT + 1) downto 0) & subtractor((WORD_SIZE -1) downto (WORD_SIZE - ALPHA_SHIFT));
decrypt_output := std_logic_vector(l_shift_alpha) & std_logic_vector(r_shift_beta);
return decrypt_output;
end Decrypt_Round;
begin
----------------------------------------------------------------------
-- State Machine Processes
----------------------------------------------------------------------
State_Machine_Head : process (SYS_CLK) ----State Machine Master Control
begin
if (SYS_CLK'event and SYS_CLK='1') then
if (RST = '1') then
pr_state <= RESET;
else
pr_state <= nx_state;
end if;
end if;
end process; -- State_Machine_Head
State_Machine_Body : process (CONTROL, round_count, pr_state) ---State Machine State Definitions
begin
case pr_state is
when Reset => --Master Reset State
nx_state <= Idle;
when Idle =>
if (CONTROL = "01") then
nx_state <= Key_Schedule_Generation_Run;
elsif (CONTROL = "11" or CONTROL = "10") then
nx_state <= Cipher_Start;
else
nx_state <= Idle;
end if;
when Key_Schedule_Generation_Run =>
if (round_count = ROUND_LIMIT - 2) then
nx_state <= Key_Schedule_Generation_Finish;
else
nx_state <= Key_Schedule_Generation_Run;
end if;
when Key_Schedule_Generation_Finish =>
nx_state <= Idle;
when Cipher_Start =>
nx_state <= Cipher_Run;
when Cipher_Run =>
if (round_count = ROUND_LIMIT - 2) then
nx_state <= Cipher_Finish_1;
else
nx_state <= Cipher_Run;
end if;
when Cipher_Finish_1 =>
nx_state <= Cipher_Finish_2;
when Cipher_Finish_2 =>
nx_state <= Cipher_Latch;
when Cipher_Latch =>
nx_state <= Idle;
end case;
end process;
----------------------------------------------------------------------
-- END State Machine Processes
----------------------------------------------------------------------
----------------------------------------------------------------------
-- Register Processes
----------------------------------------------------------------------
Cipher_Direction_Flag : process(SYS_CLK)
begin
if SYS_CLK'event and SYS_CLK = '1' then
if (pr_state = Reset) then
cipher_direction <= '0';
elsif (pr_state = Idle) then
cipher_direction <= CONTROL(0);
end if ;
end if;
end process;
Busy_Flag_Generator : process(SYS_CLK)
begin
if SYS_CLK'event and SYS_CLK = '1' then
if (pr_state = Reset or (pr_state = Idle and CONTROL /= "00")) then
BUSY <= '1';
elsif ((pr_state = Idle and CONTROL = "00") or pr_state = Cipher_Latch or pr_state = Key_Schedule_Generation_Finish) then
BUSY <= '0';
end if;
end if;
end process ; -- Busy_Flag_Generator
Key_Schedule_Generator : process(SYS_CLK)
begin
if SYS_CLK'event and SYS_CLK = '1' then
if (pr_state = Idle) then
Init_Gen_Regs : for i in 0 to (KEY_WORDS_M -1) loop
key_l(i) <= key(((i + 1) * WORD_SIZE) - 1 downto (i * WORD_SIZE));
end loop ; -- Update_Gen_Regs
elsif (pr_state = Key_Schedule_Generation_Run or pr_state = Key_Schedule_Generation_Finish) then
for i in 0 to (KEY_WORDS_M - 1) loop
key_l(i) <= key_feedback(i);
end loop;
end if;
end if;
end process ; -- Key_Schedule_Generator
Main_Cipher_Process : process(SYS_CLK)
begin
if SYS_CLK'event and SYS_CLK = '1' then
-- Load for Encryption/Decryption
if (pr_state = Idle) then
if (CONTROL(1) = '1') then
a_buf <= BLOCK_INPUT(WORD_SIZE - 1 downto 0);
b_buf <= BLOCK_INPUT(BLOCK_SIZE - 1 downto WORD_SIZE);
end if;
-- Run Cipher Engine
elsif (pr_state = Cipher_Run or pr_state = Cipher_Finish_1 or pr_state = Cipher_Finish_2) then
if (cipher_direction = '1') then -- Encryption
a_buf <= encryption_round_output(WORD_SIZE - 1 downto 0);
b_buf <= encryption_round_output(BLOCK_SIZE - 1 downto WORD_SIZE);
else -- Decryption
a_buf <= decryption_round_output(WORD_SIZE - 1 downto 0);
b_buf <= decryption_round_output(BLOCK_SIZE - 1 downto WORD_SIZE);
end if;
end if;
end if;
end process ;
Output_Buffer : process(SYS_CLK)
begin
if SYS_CLK'event and SYS_CLK = '1' then
if (pr_state = Cipher_Latch) then
BLOCK_OUTPUT <= b_buf & a_buf;
end if;
end if;
end process ; -- Output_Buffer
----------------------------------------------------------------------
-- END Register Processes
----------------------------------------------------------------------
----------------------------------------------------------------------
-- RAM Processes
----------------------------------------------------------------------
Key_Schedule_Array: process (SYS_CLK)
begin
if (SYS_CLK'event and SYS_CLK = '1') then
round_key <= key_schedule(round_count_mux);
if (pr_state = Key_Schedule_Generation_Run or pr_state = Key_Schedule_Generation_Finish) then
key_schedule(round_count) <= key_l(0);
end if;
end if;
end process;
----------------------------------------------------------------------
-- End RAM Processes
----------------------------------------------------------------------
----------------------------------------------------------------------
-- Counter Processes
----------------------------------------------------------------------
Round_Counter : process(SYS_CLK)
begin
if (SYS_CLK'event and SYS_CLK = '1') then
if (pr_state = Reset) then
round_count <= 0;
inv_round_count <= 0;
elsif (pr_state = Idle) then
round_count <= 0;
inv_round_count <= ROUND_LIMIT - 1;
elsif (pr_state = Cipher_Start or pr_state = Cipher_Run or pr_state = Key_Schedule_Generation_Run) then
round_count <= round_count + 1;
inv_round_count <= inv_round_count - 1;
end if ;
end if ;
end process;
----------------------------------------------------------------------
-- END Counter Processes
----------------------------------------------------------------------
----------------------------------------------------------------------
-- Async Signals
----------------------------------------------------------------------
round_count_mux <= round_count when cipher_direction = '1' else inv_round_count;
key_gen_round_output <= Encrypt_Round(key_l(1), key_l(0), std_logic_vector(to_unsigned(round_count, WORD_SIZE)));
encryption_round_output <= Encrypt_Round(b_buf, a_buf, round_key);
decryption_round_output <= Decrypt_Round(b_buf, a_buf, round_key);
key_feedback(0) <= key_gen_round_output(WORD_SIZE - 1 downto 0);
key_feedback(KEY_WORDS_M - 1) <= key_gen_round_output(BLOCK_SIZE - 1 downto WORD_SIZE);
Keys_3 : if (KEY_WORDS_M = 3) generate
begin
key_feedback(1) <= key_l(2);
end generate;
Keys_4 : if (KEY_WORDS_M = 4) generate
begin
key_feedback(1) <= key_l(2);
key_feedback(2) <= key_l(3);
end generate;
end Behavioral;
| mit | 2b5f11ceb199fd3cd59bbb4971a8f3aa | 0.555546 | 3.516091 | false | false | false | false |
ymei/TMSPlane | Firmware/src/ten_gig_eth/TE07412C1/fifo/ten_gig_eth_mac_0_xgmac_fifo.vhd | 3 | 10,927 | -------------------------------------------------------------------------------
-- Title : XG MAC Tx/Rx FIFO Wrapper
-- Project : 10 Gig Ethernet MAC Core
-------------------------------------------------------------------------------
-- File : ten_gig_eth_mac_0_xgmac_fifo.vhd
-- Author : Xilinx Inc.
-------------------------------------------------------------------------------
-- Description:
-- This module is the top level entity for the 10 Gig Ethernet MAC FIFO
-- This top level connects together the lower hierarchial
-- entities which create this design. This is illustrated below.
-------------------------------------------------------------------------------
--
-- .---------------------------------------------.
-- | |
-- | .----------------------------. |
-- | | TRANSMIT_FIFO | |
-- ---------|------>| |--------|-------> MAC Tx
-- | | | | Interface
-- | '----------------------------' |
-- | |
-- | |
-- | |
-- External | |
-- AXI-S | |
-- Interface | |
-- | |
-- | .----------------------------. |
-- | | RECEIVE_FIFO | |
-- <--------|-------| |<-------|-------- MAC Rx Interface
-- | | | |
-- | '----------------------------' |
-- | |
-- | |
-- | |
-- | |
-- | |
-- '---------------------------------------------'
--
-------------------------------------------------------------------------------
-- Functionality:
--
-- 1. TRANSMIT_FIFO accepts 64-bit data from the client and writes
-- this into the Transmitter FIFO. The logic will then extract this from
-- the FIFO and write this data to the MAC Transmitter in 64-bit words.
--
-- 2. RECEIVE_FIFO accepts 64-bit data from the MAC Receiver and
-- writes this into the Receiver FIFO. The client inferface can then
-- read 64-bit words from this FIFO.
--
-------------------------------------------------------------------------------
-- (c) Copyright 2001-2014 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library work;
use work.xgmac_fifo_pack.all;
entity ten_gig_eth_mac_0_xgmac_fifo is
generic (
TX_FIFO_SIZE : integer := 512; -- valid fifo sizes: 512, 1024, 2048, 4096, 8192, 16384 words.
RX_FIFO_SIZE : integer := 512); -- valid fifo sizes: 512, 1024, 2048, 4096, 8192, 16384 words.
port (
----------------------------------------------------------------
-- client interface --
----------------------------------------------------------------
-- tx_wr_clk domain
tx_axis_fifo_aresetn : in std_logic; -- the transmit client clock.
tx_axis_fifo_aclk : in std_logic;
tx_axis_fifo_tdata : in std_logic_vector(63 downto 0);
tx_axis_fifo_tkeep : in std_logic_vector(7 downto 0);
tx_axis_fifo_tvalid : in std_logic;
tx_axis_fifo_tlast : in std_logic;
tx_axis_fifo_tready : out std_logic;
tx_fifo_full : out std_logic;
tx_fifo_status : out std_logic_vector(3 downto 0);
--rx_rd_clk domain
rx_axis_fifo_aresetn : in std_logic;
rx_axis_fifo_aclk : in std_logic;
rx_axis_fifo_tdata : out std_logic_vector(63 downto 0);
rx_axis_fifo_tkeep : out std_logic_vector(7 downto 0);
rx_axis_fifo_tvalid : out std_logic;
rx_axis_fifo_tlast : out std_logic;
rx_axis_fifo_tready : in std_logic;
rx_fifo_status : out std_logic_vector(3 downto 0);
---------------------------------------------------------------------------
-- mac transmitter interface --
---------------------------------------------------------------------------
tx_axis_mac_aresetn : in std_logic;
tx_axis_mac_aclk : in std_logic;
tx_axis_mac_tdata : out std_logic_vector(63 downto 0);
tx_axis_mac_tkeep : out std_logic_vector(7 downto 0);
tx_axis_mac_tvalid : out std_logic;
tx_axis_mac_tlast : out std_logic;
tx_axis_mac_tready : in std_logic;
---------------------------------------------------------------------------
-- mac receiver interface --
---------------------------------------------------------------------------
rx_axis_mac_aresetn : in std_logic;
rx_axis_mac_aclk : in std_logic;
rx_axis_mac_tdata : in std_logic_vector(63 downto 0);
rx_axis_mac_tkeep : in std_logic_vector(7 downto 0);
rx_axis_mac_tvalid : in std_logic;
rx_axis_mac_tlast : in std_logic;
rx_axis_mac_tuser : in std_logic;
rx_fifo_full : out std_logic
);
end ten_gig_eth_mac_0_xgmac_fifo;
architecture rtl of ten_gig_eth_mac_0_xgmac_fifo is
component ten_gig_eth_mac_0_axi_fifo is
generic (
FIFO_SIZE : integer := 512;
WR_FLOW_CTRL : boolean := false);
port (
-- FIFO write domain
wr_axis_aresetn : in std_logic;
wr_axis_aclk : in std_logic;
wr_axis_tdata : in std_logic_vector(63 downto 0);
wr_axis_tkeep : in std_logic_vector(7 downto 0);
wr_axis_tvalid : in std_logic;
wr_axis_tlast : in std_logic;
wr_axis_tready : out std_logic;
wr_axis_tuser : in std_logic;
-- FIFO read domain
rd_axis_aresetn : in std_logic;
rd_axis_aclk : in std_logic;
rd_axis_tdata : out std_logic_vector(63 downto 0);
rd_axis_tkeep : out std_logic_vector(7 downto 0);
rd_axis_tvalid : out std_logic;
rd_axis_tlast : out std_logic;
rd_axis_tready : in std_logic;
-- FIFO Status Signals
fifo_status : out std_logic_vector(3 downto 0);
fifo_full : out std_logic );
end component;
begin
--Instance the transmit fifo.
i_tx_fifo : ten_gig_eth_mac_0_axi_fifo
generic map(
FIFO_SIZE => TX_FIFO_SIZE,
WR_FLOW_CTRL => true)
port map (
wr_axis_aresetn => tx_axis_fifo_aresetn,
wr_axis_aclk => tx_axis_fifo_aclk,
wr_axis_tdata => tx_axis_fifo_tdata,
wr_axis_tkeep => tx_axis_fifo_tkeep,
wr_axis_tvalid => tx_axis_fifo_tvalid,
wr_axis_tlast => tx_axis_fifo_tlast,
wr_axis_tready => tx_axis_fifo_tready,
wr_axis_tuser => tx_axis_fifo_tlast,
rd_axis_aresetn => tx_axis_mac_aresetn,
rd_axis_aclk => tx_axis_mac_aclk,
rd_axis_tdata => tx_axis_mac_tdata,
rd_axis_tkeep => tx_axis_mac_tkeep,
rd_axis_tvalid => tx_axis_mac_tvalid,
rd_axis_tlast => tx_axis_mac_tlast,
rd_axis_tready => tx_axis_mac_tready,
fifo_status => tx_fifo_status,
fifo_full => tx_fifo_full);
--Instance the receive fifo
rx_fifo_inst : ten_gig_eth_mac_0_axi_fifo
generic map (
FIFO_SIZE => RX_FIFO_SIZE,
WR_FLOW_CTRL => false)
port map (
wr_axis_aresetn => rx_axis_mac_aresetn,
wr_axis_aclk => rx_axis_mac_aclk,
wr_axis_tdata => rx_axis_mac_tdata,
wr_axis_tkeep => rx_axis_mac_tkeep,
wr_axis_tvalid => rx_axis_mac_tvalid,
wr_axis_tlast => rx_axis_mac_tlast,
wr_axis_tready => open,
wr_axis_tuser => rx_axis_mac_tuser,
rd_axis_aresetn => rx_axis_fifo_aresetn,
rd_axis_aclk => rx_axis_fifo_aclk,
rd_axis_tdata => rx_axis_fifo_tdata,
rd_axis_tkeep => rx_axis_fifo_tkeep,
rd_axis_tvalid => rx_axis_fifo_tvalid,
rd_axis_tlast => rx_axis_fifo_tlast,
rd_axis_tready => rx_axis_fifo_tready,
fifo_status => rx_fifo_status,
fifo_full => rx_fifo_full);
end rtl;
| bsd-3-clause | 39780fc90c2feefad427877771b3916a | 0.486867 | 4.142153 | false | false | false | false |
shailcoolboy/Warp-Trinity | edk_user_repository/WARP/pcores/linkport_v1_00_a/hdl/vhdl/sym_gen.vhd | 4 | 13,857 | --
-- Project: Aurora Module Generator version 2.4
--
-- Date: $Date: 2005/11/07 21:30:55 $
-- Tag: $Name: i+IP+98818 $
-- File: $RCSfile: sym_gen_vhd.ejava,v $
-- Rev: $Revision: 1.1.2.4 $
--
-- Company: Xilinx
-- Contributors: R. K. Awalt, B. L. Woodard, N. Gulstone
--
-- Disclaimer: XILINX IS PROVIDING THIS DESIGN, CODE, OR
-- INFORMATION "AS IS" SOLELY FOR USE IN DEVELOPING
-- PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS
-- ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE,
-- APPLICATION OR STANDARD, XILINX IS MAKING NO
-- REPRESENTATION THAT THIS IMPLEMENTATION IS FREE
-- FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE
-- RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY
-- REQUIRE FOR YOUR IMPLEMENTATION. XILINX
-- EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH
-- RESPECT TO THE ADEQUACY OF THE IMPLEMENTATION,
-- INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE
-- FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES
-- OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE.
--
-- (c) Copyright 2004 Xilinx, Inc.
-- All rights reserved.
--
--
-- SYM_GEN
--
-- Author: Nigel Gulstone
-- Xilinx - Embedded Networking System Engineering Group
--
-- Description: The SYM_GEN module is a symbol generator for 2-byte Aurora Lanes.
-- Its inputs request the transmission of specific symbols, and its
-- outputs drive the MGT interface to fulfil those requests.
--
-- All generation request inputs must be asserted exclusively
-- except for the GEN_K, GEN_R and GEN_A signals from the Global
-- Logic, and the GEN_PAD and TX_PE_DATA_V signals from TX_LL.
--
-- GEN_K, GEN_R and GEN_A can be asserted anytime, but they are
-- ignored when other signals are being asserted. This allows the
-- idle generator in the Global Logic to run continuosly without
-- feedback, but requires the TX_LL and Lane Init SM modules to
-- be quiescent during Channel Bonding and Verification.
--
-- The GEN_PAD signal is only valid while the TX_PE_DATA_V signal
-- is asserted. This allows padding to be specified for the LSB of
-- the data transmission. GEN_PAD must not be asserted when
-- TX_PE_DATA_V is not asserted - this will generate errors.
--
-- This module supports Immediate Mode Native Flow Control.
--
--
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity SYM_GEN is
port (
-- TX_LL Interface -- See description for info about GEN_PAD and TX_PE_DATA_V.
GEN_SCP : in std_logic; -- Generate SCP.
GEN_ECP : in std_logic; -- Generate ECP.
GEN_SNF : in std_logic; -- Generate SNF using code given by FC_NB.
GEN_PAD : in std_logic; -- Replace LSB with Pad character.
FC_NB : in std_logic_vector(0 to 3); -- Size code for Flow Control messages.
TX_PE_DATA : in std_logic_vector(0 to 15); -- Data. Transmitted when TX_PE_DATA_V is asserted.
TX_PE_DATA_V : in std_logic; -- Transmit data.
GEN_CC : in std_logic; -- Generate Clock Correction symbols.
-- Global Logic Interface -- See description for info about GEN_K,GEN_R and GEN_A.
GEN_A : in std_logic; -- Generate A character for selected bytes.
GEN_K : in std_logic_vector(0 to 1); -- Generate K character for selected bytes.
GEN_R : in std_logic_vector(0 to 1); -- Generate R character for selected bytes.
GEN_V : in std_logic_vector(0 to 1); -- Generate Ver data character on selected bytes.
-- Lane Init SM Interface
GEN_K_FSM : in std_logic; -- Generate K character on byte 0.
GEN_SP_DATA : in std_logic_vector(0 to 1); -- Generate SP data character on selected bytes.
GEN_SPA_DATA : in std_logic_vector(0 to 1); -- Generate SPA data character on selected bytes.
-- MGT Interface
TX_CHAR_IS_K : out std_logic_vector(1 downto 0); -- Transmit TX_DATA as a control character.
TX_DATA : out std_logic_vector(15 downto 0); -- Data to MGT for transmission to channel partner.
-- System Interface
USER_CLK : in std_logic -- Clock for all non-MGT Aurora Logic.
);
end SYM_GEN;
architecture RTL of SYM_GEN is
-- Parameter Declarations --
constant DLY : time := 1 ns;
-- External Register Declarations --
signal TX_CHAR_IS_K_Buffer : std_logic_vector(1 downto 0);
signal TX_DATA_Buffer : std_logic_vector(15 downto 0);
-- Internal Register Declarations --
-- Slack registers. Allow slack for routing delay and automatic retiming.
signal gen_scp_r : std_logic;
signal gen_ecp_r : std_logic;
signal gen_snf_r : std_logic;
signal gen_pad_r : std_logic;
signal fc_nb_r : std_logic_vector(0 to 3);
signal tx_pe_data_r : std_logic_vector(0 to 15);
signal tx_pe_data_v_r : std_logic;
signal gen_cc_r : std_logic;
signal gen_a_r : std_logic;
signal gen_k_r : std_logic_vector(0 to 1);
signal gen_r_r : std_logic_vector(0 to 1);
signal gen_v_r : std_logic_vector(0 to 1);
signal gen_k_fsm_r : std_logic;
signal gen_sp_data_r : std_logic_vector(0 to 1);
signal gen_spa_data_r : std_logic_vector(0 to 1);
-- Wire Declarations --
signal idle_c : std_logic_vector(0 to 1);
begin
TX_CHAR_IS_K <= TX_CHAR_IS_K_Buffer;
TX_DATA <= TX_DATA_Buffer;
-- Main Body of Code --
-- Register all inputs with the slack registers.
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
gen_scp_r <= GEN_SCP after DLY;
gen_ecp_r <= GEN_ECP after DLY;
gen_snf_r <= GEN_SNF after DLY;
gen_pad_r <= GEN_PAD after DLY;
fc_nb_r <= FC_NB after DLY;
tx_pe_data_r <= TX_PE_DATA after DLY;
tx_pe_data_v_r <= TX_PE_DATA_V after DLY;
gen_cc_r <= GEN_CC after DLY;
gen_a_r <= GEN_A after DLY;
gen_k_r <= GEN_K after DLY;
gen_r_r <= GEN_R after DLY;
gen_v_r <= GEN_V after DLY;
gen_k_fsm_r <= GEN_K_FSM after DLY;
gen_sp_data_r <= GEN_SP_DATA after DLY;
gen_spa_data_r <= GEN_SPA_DATA after DLY;
end if;
end process;
-- When none of the msb non_idle inputs are asserted, allow idle characters.
idle_c(0) <= not (gen_scp_r or
gen_ecp_r or
gen_snf_r or
tx_pe_data_v_r or
gen_cc_r or
gen_k_fsm_r or
gen_sp_data_r(0) or
gen_spa_data_r(0) or
gen_v_r(0));
-- Generate data for MSB. Note that all inputs must be asserted exclusively, except
-- for the GEN_A, GEN_K and GEN_R inputs which are ignored when other characters
-- are asserted.
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
if (gen_scp_r = '1') then
TX_DATA_Buffer(15 downto 8) <= X"5C" after DLY; -- K28.2(SCP)
end if;
if (gen_ecp_r = '1') then
TX_DATA_Buffer(15 downto 8) <= X"FD" after DLY; -- K29.7(ECP)
end if;
if (gen_snf_r = '1') then
TX_DATA_Buffer(15 downto 8) <= X"DC" after DLY; -- K28.6(SNF)
end if;
if (tx_pe_data_v_r = '1') then
TX_DATA_Buffer(15 downto 8) <= tx_pe_data_r(0 to 7) after DLY; -- DATA
end if;
if (gen_cc_r = '1') then
TX_DATA_Buffer(15 downto 8) <= X"F7" after DLY; -- K23.7(CC)
end if;
if ((idle_c(0) and gen_a_r) = '1') then
TX_DATA_Buffer(15 downto 8) <= X"7C" after DLY; -- K28.3(A)
end if;
if ((idle_c(0) and gen_k_r(0)) = '1') then
TX_DATA_Buffer(15 downto 8) <= X"BC" after DLY; -- K28.5(K)
end if;
if ((idle_c(0) and gen_r_r(0)) = '1') then
TX_DATA_Buffer(15 downto 8) <= X"1C" after DLY; -- K28.0(R)
end if;
if (gen_k_fsm_r = '1') then
TX_DATA_Buffer(15 downto 8) <= X"BC" after DLY; -- K28.5(K)
end if;
if (gen_sp_data_r(0) = '1') then
TX_DATA_Buffer(15 downto 8) <= X"4A" after DLY; -- D10.2(SP data)
end if;
if (gen_spa_data_r(0) = '1') then
TX_DATA_Buffer(15 downto 8) <= X"2C" after DLY; -- D12.1(SPA data)
end if;
if (gen_v_r(0) = '1') then
TX_DATA_Buffer(15 downto 8) <= X"E8" after DLY; -- D8.7(Ver data)
end if;
end if;
end process;
-- Generate control signal for MSB.
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
TX_CHAR_IS_K_Buffer(1) <= not (tx_pe_data_v_r or
gen_sp_data_r(0) or
gen_spa_data_r(0) or
gen_v_r(0)) after DLY;
end if;
end process;
-- When none of the msb non_idle inputs are asserted, allow idle characters. Note that
-- because gen_pad is only valid with the data valid signal, we only look at the data
-- valid signal.
idle_c(1) <= not (gen_scp_r or
gen_ecp_r or
gen_snf_r or
tx_pe_data_v_r or
gen_cc_r or
gen_sp_data_r(1) or
gen_spa_data_r(1) or
gen_v_r(1));
-- Generate data for LSB. Note that all inputs must be asserted exclusively except for
-- the GEN_PAD signal and the GEN_K and GEN_R. GEN_PAD can be asserted
-- at the same time as TX_DATA_VALID. This will override TX_DATA and replace the
-- lsb user data with a PAD character. The GEN_K and GEN_R inputs are ignored
-- if any other input is asserted.
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
if (gen_scp_r = '1') then
TX_DATA_Buffer(7 downto 0) <= X"FB" after DLY; -- K27.7(SCP)
end if;
if (gen_ecp_r = '1') then
TX_DATA_Buffer(7 downto 0) <= X"FE" after DLY; -- K30.7(ECP)
end if;
if (gen_snf_r = '1') then
TX_DATA_Buffer(7 downto 0) <= fc_nb_r & "0000" after DLY; -- SNF Data
end if;
if ((tx_pe_data_v_r and gen_pad_r) = '1') then
TX_DATA_Buffer(7 downto 0) <= X"9C" after DLY; -- K28.4(PAD)
end if;
if ((tx_pe_data_v_r and not gen_pad_r) = '1') then
TX_DATA_Buffer(7 downto 0) <= tx_pe_data_r(8 to 15) after DLY; -- DATA
end if;
if (gen_cc_r = '1') then
TX_DATA_Buffer(7 downto 0) <= X"F7" after DLY; -- K23.7(CC)
end if;
if ((idle_c(1) and gen_k_r(1)) = '1') then
TX_DATA_Buffer(7 downto 0) <= X"BC" after DLY; -- K28.5(K)
end if;
if ((idle_c(1) and gen_r_r(1)) = '1') then
TX_DATA_Buffer(7 downto 0) <= X"1C" after DLY; -- K28.0(R)
end if;
if (gen_sp_data_r(1) = '1') then
TX_DATA_Buffer(7 downto 0) <= X"4A" after DLY; -- D10.2(SP data)
end if;
if (gen_spa_data_r(1) = '1') then
TX_DATA_Buffer(7 downto 0) <= X"2C" after DLY; -- D12.1(SPA data)
end if;
if (gen_v_r(1) = '1') then
TX_DATA_Buffer(7 downto 0) <= X"E8" after DLY; -- D8.7(Ver data)
end if;
end if;
end process;
-- Generate control signal for LSB.
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
TX_CHAR_IS_K_Buffer(0) <= not ((tx_pe_data_v_r and not gen_pad_r) or
gen_snf_r or
gen_sp_data_r(1) or
gen_spa_data_r(1) or
gen_v_r(1)) after DLY;
end if;
end process;
end RTL;
| bsd-2-clause | 9328bab09c940d6fbb79a5f29cb71e7d | 0.486108 | 3.709047 | false | false | false | false |
timvideos/HDMI2USB-jahanzeb-firmware | ipcore_dir/cmdfifo/simulation/cmdfifo_dverif.vhd | 3 | 5,496 | --------------------------------------------------------------------------------
--
-- FIFO Generator Core Demo Testbench
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: cmdfifo_dverif.vhd
--
-- Description:
-- Used for FIFO read interface stimulus generation and data checking
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.all;
USE IEEE.std_logic_arith.all;
USE IEEE.std_logic_misc.all;
LIBRARY work;
USE work.cmdfifo_pkg.ALL;
ENTITY cmdfifo_dverif IS
GENERIC(
C_DIN_WIDTH : INTEGER := 0;
C_DOUT_WIDTH : INTEGER := 0;
C_USE_EMBEDDED_REG : INTEGER := 0;
C_CH_TYPE : INTEGER := 0;
TB_SEED : INTEGER := 2
);
PORT(
RESET : IN STD_LOGIC;
RD_CLK : IN STD_LOGIC;
PRC_RD_EN : IN STD_LOGIC;
EMPTY : IN STD_LOGIC;
DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0);
RD_EN : OUT STD_LOGIC;
DOUT_CHK : OUT STD_LOGIC
);
END ENTITY;
ARCHITECTURE fg_dv_arch OF cmdfifo_dverif IS
CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH);
CONSTANT EXTRA_WIDTH : INTEGER := if_then_else(C_CH_TYPE = 2,1,0);
CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH+EXTRA_WIDTH,8);
SIGNAL expected_dout : STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
SIGNAL data_chk : STD_LOGIC := '1';
SIGNAL rand_num : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 downto 0);
SIGNAL rd_en_i : STD_LOGIC := '0';
SIGNAL pr_r_en : STD_LOGIC := '0';
SIGNAL rd_en_d1 : STD_LOGIC := '1';
BEGIN
DOUT_CHK <= data_chk;
RD_EN <= rd_en_i;
rd_en_i <= PRC_RD_EN;
rd_en_d1 <= '1';
data_fifo_chk:IF(C_CH_TYPE /=2) GENERATE
-------------------------------------------------------
-- Expected data generation and checking for data_fifo
-------------------------------------------------------
pr_r_en <= rd_en_i AND NOT EMPTY AND rd_en_d1;
expected_dout <= rand_num(C_DOUT_WIDTH-1 DOWNTO 0);
gen_num:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE
rd_gen_inst2:cmdfifo_rng
GENERIC MAP(
WIDTH => 8,
SEED => TB_SEED+N
)
PORT MAP(
CLK => RD_CLK,
RESET => RESET,
RANDOM_NUM => rand_num(8*(N+1)-1 downto 8*N),
ENABLE => pr_r_en
);
END GENERATE;
PROCESS (RD_CLK,RESET)
BEGIN
IF(RESET = '1') THEN
data_chk <= '0';
ELSIF (RD_CLK'event AND RD_CLK='1') THEN
IF(EMPTY = '0') THEN
IF(DATA_OUT = expected_dout) THEN
data_chk <= '0';
ELSE
data_chk <= '1';
END IF;
END IF;
END IF;
END PROCESS;
END GENERATE data_fifo_chk;
END ARCHITECTURE;
| bsd-2-clause | d166fd6a45404cbe6eb7a3d2c3fbaffd | 0.575328 | 4.129226 | false | false | false | false |
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/ramfifo/axi_reg_slice.vhd | 9 | 17,112 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
m+Eqi0upM5HOWodeE4I9RJ4zVG75NJ9MTic6W3XR9C4TyVt0NrAO6Jw4ePje9YJ1wIrMMFdFVHI4
tHUTkIYeyw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
hILQbYZyegh8H+VB0XQvgPJ//NPBXFxTHdrpAutiP1t+1ZgDD/2Atf1Z0biTRY/MNYG3KKPbJLpb
2Bl9WsaoCD7uQrxObgEERAzP/51IxPHwrwdGab7R+VVaW8HgYu7EHmaxlSEStsmhJMmDJCuxOkJ2
nIvVfnOwN+x3uqZQaaw=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
OVOrkc3H7dJPltDNKs2RzlfJajFwJHcDHWMXX3bi6fXqkNMLmwX8uSzxbP+mKqXGCoMjPdqpvArG
9NLR4d/VMjjDo1Ge6vqNN1d5qz65DBONPMW8IrrnaFOuv9bgPneyodhyh7Y6SbHgxg63RpF2UA9T
FeI81gZcOSSulF0MeERqrWlurUziWXUP62ola6qx2CPJ+kL8qKp7XOYp3tHhfExKFkkYf7odIHHx
I7we0Pxu5L8vdEGBuoFBbBwafkgoL6m2Q1VBijYB6DbTwR5wdKv//k8T/t0+pHbwHdsR/rdn5ew1
QPKLnFg6fdo/Pm631lUG3Yu2Vp+aoXX3wXXaiQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Rox1DYFAWrmARf9Wt35Dcl0gJYw7Rnx6DgTSHZ1DUkVw1x3QckPIGyTQfFrIcXzuJN35CRMYbCMT
xxCaZLmOUDL1JzvuUILrcMXQGO/F46MoYUIzLNB9BM3SAS5S4LI9M9zNUfcog9fgw0lAi7GNbmvL
uQuNZxad074P2Hys1lo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fXQEvjNGp4qjnBKotsGoFRBwIBcLcP8fIaHNDen0UkAz5PLzPrkeyPciAgkSGTV0/qLnguI8Seoz
iLW7p62IGMgbG3LzWLxAfKIHWW+6RQ0RGhLMwIDvx7TuaXb3GqnpfbKPOX0XHdsMybWAAQJfoAOx
Px6mY97ekY6tDcOxaxDsfZDRtaaLKTdfKCc61O/0bqFKUgSwIGzIQ55YqV8pH0fltxf4nqlqc3vA
Wx/42JGlAfkMkbjLs8uTNOyA9l7ne0LMuo+RURpMRhASNU2c5ZBax7iWIsbRNa7GsIc/uPNOWQIO
ElplMAWuOIuinI9mAIg2jsMCZRG7UdHS+gpeeQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10928)
`protect data_block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`protect end_protected
| gpl-3.0 | 0c20a000946e94154bc9a52fb1eb78da | 0.938055 | 1.854959 | false | false | false | false |
shailcoolboy/Warp-Trinity | edk_user_repository/WARP/pcores/user_io_board_controller_plbw_v1_01_a/hdl/vhdl/dual_port_block_memory_virtex2p_6_3_fb7cc8c2a7e578f8.vhd | 4 | 5,975 | --------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used --
-- solely for design, simulation, implementation and creation of --
-- design files limited to Xilinx devices or technologies. Use --
-- with non-Xilinx devices or technologies is expressly prohibited --
-- and immediately terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" --
-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR --
-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION --
-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION --
-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS --
-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, --
-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE --
-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY --
-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS --
-- FOR A PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support --
-- appliances, devices, or systems. Use in such applications are --
-- expressly prohibited. --
-- --
-- (c) Copyright 1995-2007 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
-- You must compile the wrapper file dual_port_block_memory_virtex2p_6_3_fb7cc8c2a7e578f8.vhd when simulating
-- the core, dual_port_block_memory_virtex2p_6_3_fb7cc8c2a7e578f8. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
Library XilinxCoreLib;
-- synthesis translate_on
ENTITY dual_port_block_memory_virtex2p_6_3_fb7cc8c2a7e578f8 IS
port (
addra: IN std_logic_VECTOR(7 downto 0);
addrb: IN std_logic_VECTOR(7 downto 0);
clka: IN std_logic;
clkb: IN std_logic;
dina: IN std_logic_VECTOR(31 downto 0);
dinb: IN std_logic_VECTOR(31 downto 0);
douta: OUT std_logic_VECTOR(31 downto 0);
doutb: OUT std_logic_VECTOR(31 downto 0);
ena: IN std_logic;
enb: IN std_logic;
wea: IN std_logic;
web: IN std_logic);
END dual_port_block_memory_virtex2p_6_3_fb7cc8c2a7e578f8;
ARCHITECTURE dual_port_block_memory_virtex2p_6_3_fb7cc8c2a7e578f8_a OF dual_port_block_memory_virtex2p_6_3_fb7cc8c2a7e578f8 IS
-- synthesis translate_off
component wrapped_dual_port_block_memory_virtex2p_6_3_fb7cc8c2a7e578f8
port (
addra: IN std_logic_VECTOR(7 downto 0);
addrb: IN std_logic_VECTOR(7 downto 0);
clka: IN std_logic;
clkb: IN std_logic;
dina: IN std_logic_VECTOR(31 downto 0);
dinb: IN std_logic_VECTOR(31 downto 0);
douta: OUT std_logic_VECTOR(31 downto 0);
doutb: OUT std_logic_VECTOR(31 downto 0);
ena: IN std_logic;
enb: IN std_logic;
wea: IN std_logic;
web: IN std_logic);
end component;
-- Configuration specification
for all : wrapped_dual_port_block_memory_virtex2p_6_3_fb7cc8c2a7e578f8 use entity XilinxCoreLib.blkmemdp_v6_3(behavioral)
generic map(
c_reg_inputsb => 0,
c_reg_inputsa => 0,
c_has_ndb => 0,
c_has_nda => 0,
c_ytop_addr => "1024",
c_has_rfdb => 0,
c_has_rfda => 0,
c_ywea_is_high => 1,
c_yena_is_high => 1,
c_yclka_is_rising => 1,
c_yhierarchy => "hierarchy1",
c_ysinita_is_high => 1,
c_ybottom_addr => "0",
c_width_b => 32,
c_width_a => 32,
c_sinita_value => "0",
c_sinitb_value => "0",
c_limit_data_pitch => 18,
c_write_modeb => 0,
c_write_modea => 0,
c_has_rdyb => 0,
c_yuse_single_primitive => 0,
c_has_rdya => 0,
c_addra_width => 8,
c_addrb_width => 8,
c_has_limit_data_pitch => 0,
c_default_data => "0",
c_pipe_stages_b => 0,
c_yweb_is_high => 1,
c_yenb_is_high => 1,
c_pipe_stages_a => 0,
c_yclkb_is_rising => 1,
c_yydisable_warnings => 1,
c_enable_rlocs => 0,
c_ysinitb_is_high => 1,
c_has_web => 1,
c_has_default_data => 0,
c_has_sinitb => 0,
c_has_wea => 1,
c_has_sinita => 0,
c_has_dinb => 1,
c_has_dina => 1,
c_ymake_bmm => 0,
c_sim_collision_check => "NONE",
c_has_enb => 1,
c_has_ena => 1,
c_mem_init_file => "dual_port_block_memory_virtex2p_6_3_fb7cc8c2a7e578f8.mif",
c_depth_b => 256,
c_depth_a => 256,
c_has_doutb => 1,
c_has_douta => 1,
c_yprimitive_type => "16kx1");
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_dual_port_block_memory_virtex2p_6_3_fb7cc8c2a7e578f8
port map (
addra => addra,
addrb => addrb,
clka => clka,
clkb => clkb,
dina => dina,
dinb => dinb,
douta => douta,
doutb => doutb,
ena => ena,
enb => enb,
wea => wea,
web => web);
-- synthesis translate_on
END dual_port_block_memory_virtex2p_6_3_fb7cc8c2a7e578f8_a;
| bsd-2-clause | 4156b041d577942c1271437c507682a7 | 0.583598 | 3.263244 | false | false | false | false |
timvideos/HDMI2USB-jahanzeb-firmware | ipcore_dir/image_selector_fifo/simulation/image_selector_fifo_tb.vhd | 3 | 6,442 | --------------------------------------------------------------------------------
--
-- FIFO Generator Core Demo Testbench
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: image_selector_fifo_tb.vhd
--
-- Description:
-- This is the demo testbench top file for fifo_generator core.
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY ieee;
LIBRARY std;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.ALL;
USE IEEE.std_logic_arith.ALL;
USE IEEE.std_logic_misc.ALL;
USE ieee.numeric_std.ALL;
USE ieee.std_logic_textio.ALL;
USE std.textio.ALL;
LIBRARY work;
USE work.image_selector_fifo_pkg.ALL;
ENTITY image_selector_fifo_tb IS
END ENTITY;
ARCHITECTURE image_selector_fifo_arch OF image_selector_fifo_tb IS
SIGNAL status : STD_LOGIC_VECTOR(7 DOWNTO 0) := "00000000";
SIGNAL wr_clk : STD_LOGIC;
SIGNAL rd_clk : STD_LOGIC;
SIGNAL reset : STD_LOGIC;
SIGNAL sim_done : STD_LOGIC := '0';
SIGNAL end_of_sim : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0');
-- Write and Read clock periods
CONSTANT wr_clk_period_by_2 : TIME := 200 ns;
CONSTANT rd_clk_period_by_2 : TIME := 100 ns;
-- Procedures to display strings
PROCEDURE disp_str(CONSTANT str:IN STRING) IS
variable dp_l : line := null;
BEGIN
write(dp_l,str);
writeline(output,dp_l);
END PROCEDURE;
PROCEDURE disp_hex(signal hex:IN STD_LOGIC_VECTOR(7 DOWNTO 0)) IS
variable dp_lx : line := null;
BEGIN
hwrite(dp_lx,hex);
writeline(output,dp_lx);
END PROCEDURE;
BEGIN
-- Generation of clock
PROCESS BEGIN
WAIT FOR 400 ns; -- Wait for global reset
WHILE 1 = 1 LOOP
wr_clk <= '0';
WAIT FOR wr_clk_period_by_2;
wr_clk <= '1';
WAIT FOR wr_clk_period_by_2;
END LOOP;
END PROCESS;
PROCESS BEGIN
WAIT FOR 200 ns;-- Wait for global reset
WHILE 1 = 1 LOOP
rd_clk <= '0';
WAIT FOR rd_clk_period_by_2;
rd_clk <= '1';
WAIT FOR rd_clk_period_by_2;
END LOOP;
END PROCESS;
-- Generation of Reset
PROCESS BEGIN
reset <= '1';
WAIT FOR 4200 ns;
reset <= '0';
WAIT;
END PROCESS;
-- Error message printing based on STATUS signal from image_selector_fifo_synth
PROCESS(status)
BEGIN
IF(status /= "0" AND status /= "1") THEN
disp_str("STATUS:");
disp_hex(status);
END IF;
IF(status(7) = '1') THEN
assert false
report "Data mismatch found"
severity error;
END IF;
IF(status(1) = '1') THEN
END IF;
IF(status(3) = '1') THEN
assert false
report "Almost Empty flag Mismatch/timeout"
severity error;
END IF;
IF(status(4) = '1') THEN
assert false
report "Almost Full flag Mismatch/timeout"
severity error;
END IF;
IF(status(5) = '1') THEN
assert false
report "Empty flag Mismatch/timeout"
severity error;
END IF;
IF(status(6) = '1') THEN
assert false
report "Full Flag Mismatch/timeout"
severity error;
END IF;
END PROCESS;
PROCESS
BEGIN
wait until sim_done = '1';
IF(status /= "0" AND status /= "1") THEN
assert false
report "Simulation failed"
severity failure;
ELSE
assert false
report "Test Completed Successfully"
severity failure;
END IF;
END PROCESS;
PROCESS
BEGIN
wait for 400 ms;
assert false
report "Test bench timed out"
severity failure;
END PROCESS;
-- Instance of image_selector_fifo_synth
image_selector_fifo_synth_inst:image_selector_fifo_synth
GENERIC MAP(
FREEZEON_ERROR => 0,
TB_STOP_CNT => 2,
TB_SEED => 28
)
PORT MAP(
WR_CLK => wr_clk,
RD_CLK => rd_clk,
RESET => reset,
SIM_DONE => sim_done,
STATUS => status
);
END ARCHITECTURE;
| bsd-2-clause | 3818127e69a53fc3cf0ca9cec936655b | 0.615647 | 4.142765 | false | false | false | false |
ymei/TMSPlane | Firmware/test_bench/i2c/i2c_master_tb.vhd | 1 | 5,116 | -------------------------------------------------------------------------------
-- Title : I2C Master Testbench
-- Project : MIMOSA readout
-------------------------------------------------------------------------------
-- File : i2c_master_tb.vhd
-- Author : Dong Wang
-- Company : CCNU, LBNL
-- Created : 2016-11-30
-- Last update:
-- Platform : Linux, Xilinx Vivado 2015.4.2
-- Target : KC705
-- Standard : VHDL'93/02
-------------------------------------------------------------------------------
-- Description: I2C master testbench
-------------------------------------------------------------------------------
-- Copyright (c) 2016
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author Description
-- 2016-11-30 1.0 Dong Wang Created
-- 2017-08-17 Yuan Mei Add extra test cases
-------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY i2c_master_tb IS
END i2c_master_tb;
ARCHITECTURE behavior OF i2c_master_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT i2c_master
GENERIC (
INPUT_CLK_FREQENCY : integer := 50_000_000;
-- BUS CLK freqency should be divided by multiples of 4 from input frequency
BUS_CLK_FREQUENCY : integer := 50_000
);
PORT (
CLK : IN std_logic; -- system clock 50Mhz
RESET : IN std_logic; -- active high reset
START : IN std_logic; -- the rising edge trigger a start, generate by config_reg
MODE : IN std_logic_vector(1 DOWNTO 0); -- "00" : 1 bytes read or write, "01" : 2 bytes r/w, "10" : 3 bytes write only;
SL_RW : IN std_logic; -- '0' is write, '1' is read
SL_ADDR : IN std_logic_vector(6 DOWNTO 0); -- slave addr
REG_ADDR : IN std_logic_vector(7 DOWNTO 0); -- chip internal addr for read and write
WR_DATA0 : IN std_logic_vector(7 DOWNTO 0); -- first data byte to write
WR_DATA1 : IN std_logic_vector(7 DOWNTO 0); -- second data byte to write
RD_DATA0 : OUT std_logic_vector(7 DOWNTO 0); -- first byte readout
RD_DATA1 : OUT std_logic_vector(7 DOWNTO 0); -- second byte readout
ACK_ERROR : OUT std_logic; -- i2c has unexpected ack
BUSY : OUT std_logic; -- indicates transaction in progress
SDA_in : IN std_logic; -- serial data input of i2c bus
SDA_out : OUT std_logic; -- serial data output of i2c bus
SDA_T : OUT std_logic; -- serial data direction of i2c bus
SCL : OUT std_logic -- serial clock output of i2c bus
);
END COMPONENT;
--Inputs
SIGNAL CLK : std_logic := '0';
SIGNAL RESET : std_logic := '0';
SIGNAL START : std_logic := '0';
SIGNAL MODE : std_logic_vector(1 DOWNTO 0) := "00";
SIGNAL SL_RW : std_logic := '0';
SIGNAL SL_ADDR : std_logic_vector(6 DOWNTO 0) := (OTHERS => '0');
SIGNAL REG_ADDR : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0');
SIGNAL WR_DATA0 : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0');
SIGNAL WR_DATA1 : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0');
SIGNAL SDA_in : std_logic := '0';
--Outputs
SIGNAL ACK_ERROR : std_logic;
SIGNAL BUSY : std_logic;
SIGNAL SDA_out : std_logic;
SIGNAL SDA_T : std_logic;
SIGNAL SCL : std_logic;
SIGNAL RD_DATA0 : std_logic_vector(7 DOWNTO 0);
SIGNAL RD_DATA1 : std_logic_vector(7 DOWNTO 0);
-- Clock period definitions
CONSTANT CLK_period : time := 20 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut : i2c_master
GENERIC MAP (
INPUT_CLK_FREQENCY => 50_000_000,
BUS_CLK_FREQUENCY => 100_000
)
PORT MAP (
CLK => CLK,
RESET => RESET,
START => START,
MODE => MODE,
SL_RW => SL_RW,
SL_ADDR => SL_ADDR,
REG_ADDR => REG_ADDR,
WR_DATA0 => WR_DATA0,
WR_DATA1 => WR_DATA1,
RD_DATA0 => RD_DATA0,
RD_DATA1 => RD_DATA1,
BUSY => BUSY,
ACK_ERROR => ACK_ERROR,
SDA_in => SDA_in,
SDA_out => SDA_out,
SDA_T => SDA_T,
SCL => SCL
);
-- Clock process definitions
CLK_process : PROCESS
BEGIN
CLK <= '0';
WAIT FOR CLK_period/2;
CLK <= '1';
WAIT FOR CLK_period/2;
END PROCESS;
-- Stimulus process
stim_proc : PROCESS
BEGIN
-- initial values:
SL_ADDR <= "0100010";
REG_ADDR <= "10000010";
WR_DATA0 <= x"ab";
WR_DATA1 <= x"31";
START <= '0';
MODE <= "10";
SL_RW <= '0';
SDA_in <= '1';
-- hold reset state for 100 ns.
WAIT FOR 1000 ns;
RESET <= '1';
WAIT FOR 100 ns;
RESET <= '0';
-- stimulate START
WAIT FOR CLK_period * 10;
START <= '1';
WAIT FOR CLK_period * 2;
START <= '0';
WAIT;
END PROCESS;
END;
| bsd-3-clause | f3b29a3ca18b8c3656f95f7f187b03e9 | 0.498045 | 3.643875 | false | false | false | false |
timvideos/HDMI2USB-jahanzeb-firmware | ipcore_dir/bytefifoFPGA/simulation/bytefifoFPGA_synth.vhd | 3 | 11,634 | --------------------------------------------------------------------------------
--
-- FIFO Generator Core Demo Testbench
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: bytefifoFPGA_synth.vhd
--
-- Description:
-- This is the demo testbench for fifo_generator core.
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.STD_LOGIC_1164.ALL;
USE ieee.STD_LOGIC_unsigned.ALL;
USE IEEE.STD_LOGIC_arith.ALL;
USE ieee.numeric_std.ALL;
USE ieee.STD_LOGIC_misc.ALL;
LIBRARY std;
USE std.textio.ALL;
LIBRARY work;
USE work.bytefifoFPGA_pkg.ALL;
--------------------------------------------------------------------------------
-- Entity Declaration
--------------------------------------------------------------------------------
ENTITY bytefifoFPGA_synth IS
GENERIC(
FREEZEON_ERROR : INTEGER := 0;
TB_STOP_CNT : INTEGER := 0;
TB_SEED : INTEGER := 1
);
PORT(
WR_CLK : IN STD_LOGIC;
RD_CLK : IN STD_LOGIC;
RESET : IN STD_LOGIC;
SIM_DONE : OUT STD_LOGIC;
STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END ENTITY;
ARCHITECTURE simulation_arch OF bytefifoFPGA_synth IS
-- FIFO interface signal declarations
SIGNAL wr_clk_i : STD_LOGIC;
SIGNAL rd_clk_i : STD_LOGIC;
SIGNAL almost_full : STD_LOGIC;
SIGNAL almost_empty : STD_LOGIC;
SIGNAL rst : STD_LOGIC;
SIGNAL prog_full : STD_LOGIC;
SIGNAL overflow : STD_LOGIC;
SIGNAL underflow : STD_LOGIC;
SIGNAL wr_en : STD_LOGIC;
SIGNAL rd_en : STD_LOGIC;
SIGNAL din : STD_LOGIC_VECTOR(8-1 DOWNTO 0);
SIGNAL dout : STD_LOGIC_VECTOR(8-1 DOWNTO 0);
SIGNAL full : STD_LOGIC;
SIGNAL empty : STD_LOGIC;
-- TB Signals
SIGNAL wr_data : STD_LOGIC_VECTOR(8-1 DOWNTO 0);
SIGNAL dout_i : STD_LOGIC_VECTOR(8-1 DOWNTO 0);
SIGNAL wr_en_i : STD_LOGIC := '0';
SIGNAL rd_en_i : STD_LOGIC := '0';
SIGNAL full_i : STD_LOGIC := '0';
SIGNAL empty_i : STD_LOGIC := '0';
SIGNAL almost_full_i : STD_LOGIC := '0';
SIGNAL almost_empty_i : STD_LOGIC := '0';
SIGNAL prc_we_i : STD_LOGIC := '0';
SIGNAL prc_re_i : STD_LOGIC := '0';
SIGNAL dout_chk_i : STD_LOGIC := '0';
SIGNAL rst_int_rd : STD_LOGIC := '0';
SIGNAL rst_int_wr : STD_LOGIC := '0';
SIGNAL rst_s_wr1 : STD_LOGIC := '0';
SIGNAL rst_s_wr2 : STD_LOGIC := '0';
SIGNAL rst_gen_rd : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
SIGNAL rst_s_wr3 : STD_LOGIC := '0';
SIGNAL rst_s_rd : STD_LOGIC := '0';
SIGNAL reset_en : STD_LOGIC := '0';
SIGNAL rst_async_wr1 : STD_LOGIC := '0';
SIGNAL rst_async_wr2 : STD_LOGIC := '0';
SIGNAL rst_async_wr3 : STD_LOGIC := '0';
SIGNAL rst_async_rd1 : STD_LOGIC := '0';
SIGNAL rst_async_rd2 : STD_LOGIC := '0';
SIGNAL rst_async_rd3 : STD_LOGIC := '0';
BEGIN
---- Reset generation logic -----
rst_int_wr <= rst_async_wr3 OR rst_s_wr3;
rst_int_rd <= rst_async_rd3 OR rst_s_rd;
--Testbench reset synchronization
PROCESS(rd_clk_i,RESET)
BEGIN
IF(RESET = '1') THEN
rst_async_rd1 <= '1';
rst_async_rd2 <= '1';
rst_async_rd3 <= '1';
ELSIF(rd_clk_i'event AND rd_clk_i='1') THEN
rst_async_rd1 <= RESET;
rst_async_rd2 <= rst_async_rd1;
rst_async_rd3 <= rst_async_rd2;
END IF;
END PROCESS;
PROCESS(wr_clk_i,RESET)
BEGIN
IF(RESET = '1') THEN
rst_async_wr1 <= '1';
rst_async_wr2 <= '1';
rst_async_wr3 <= '1';
ELSIF(wr_clk_i'event AND wr_clk_i='1') THEN
rst_async_wr1 <= RESET;
rst_async_wr2 <= rst_async_wr1;
rst_async_wr3 <= rst_async_wr2;
END IF;
END PROCESS;
--Soft reset for core and testbench
PROCESS(rd_clk_i)
BEGIN
IF(rd_clk_i'event AND rd_clk_i='1') THEN
rst_gen_rd <= rst_gen_rd + "1";
IF(reset_en = '1' AND AND_REDUCE(rst_gen_rd) = '1') THEN
rst_s_rd <= '1';
assert false
report "Reset applied..Memory Collision checks are not valid"
severity note;
ELSE
IF(AND_REDUCE(rst_gen_rd) = '1' AND rst_s_rd = '1') THEN
rst_s_rd <= '0';
END IF;
END IF;
END IF;
END PROCESS;
PROCESS(wr_clk_i)
BEGIN
IF(wr_clk_i'event AND wr_clk_i='1') THEN
rst_s_wr1 <= rst_s_rd;
rst_s_wr2 <= rst_s_wr1;
rst_s_wr3 <= rst_s_wr2;
IF(rst_s_wr3 = '1' AND rst_s_wr2 = '0') THEN
assert false
report "Reset removed..Memory Collision checks are valid"
severity note;
END IF;
END IF;
END PROCESS;
------------------
---- Clock buffers for testbench ----
wr_clk_i <= WR_CLK;
rd_clk_i <= RD_CLK;
------------------
rst <= RESET OR rst_s_rd AFTER 12 ns;
din <= wr_data;
dout_i <= dout;
wr_en <= wr_en_i;
rd_en <= rd_en_i;
full_i <= full;
empty_i <= empty;
almost_empty_i <= almost_empty;
almost_full_i <= almost_full;
fg_dg_nv: bytefifoFPGA_dgen
GENERIC MAP (
C_DIN_WIDTH => 8,
C_DOUT_WIDTH => 8,
TB_SEED => TB_SEED,
C_CH_TYPE => 0
)
PORT MAP ( -- Write Port
RESET => rst_int_wr,
WR_CLK => wr_clk_i,
PRC_WR_EN => prc_we_i,
FULL => full_i,
WR_EN => wr_en_i,
WR_DATA => wr_data
);
fg_dv_nv: bytefifoFPGA_dverif
GENERIC MAP (
C_DOUT_WIDTH => 8,
C_DIN_WIDTH => 8,
C_USE_EMBEDDED_REG => 0,
TB_SEED => TB_SEED,
C_CH_TYPE => 0
)
PORT MAP(
RESET => rst_int_rd,
RD_CLK => rd_clk_i,
PRC_RD_EN => prc_re_i,
RD_EN => rd_en_i,
EMPTY => empty_i,
DATA_OUT => dout_i,
DOUT_CHK => dout_chk_i
);
fg_pc_nv: bytefifoFPGA_pctrl
GENERIC MAP (
AXI_CHANNEL => "Native",
C_APPLICATION_TYPE => 0,
C_DOUT_WIDTH => 8,
C_DIN_WIDTH => 8,
C_WR_PNTR_WIDTH => 15,
C_RD_PNTR_WIDTH => 15,
C_CH_TYPE => 0,
FREEZEON_ERROR => FREEZEON_ERROR,
TB_SEED => TB_SEED,
TB_STOP_CNT => TB_STOP_CNT
)
PORT MAP(
RESET_WR => rst_int_wr,
RESET_RD => rst_int_rd,
RESET_EN => reset_en,
WR_CLK => wr_clk_i,
RD_CLK => rd_clk_i,
PRC_WR_EN => prc_we_i,
PRC_RD_EN => prc_re_i,
FULL => full_i,
ALMOST_FULL => almost_full_i,
ALMOST_EMPTY => almost_empty_i,
DOUT_CHK => dout_chk_i,
EMPTY => empty_i,
DATA_IN => wr_data,
DATA_OUT => dout,
SIM_DONE => SIM_DONE,
STATUS => STATUS
);
bytefifoFPGA_inst : bytefifoFPGA_exdes
PORT MAP (
WR_CLK => wr_clk_i,
RD_CLK => rd_clk_i,
ALMOST_FULL => almost_full,
ALMOST_EMPTY => almost_empty,
RST => rst,
PROG_FULL => prog_full,
OVERFLOW => overflow,
UNDERFLOW => underflow,
WR_EN => wr_en,
RD_EN => rd_en,
DIN => din,
DOUT => dout,
FULL => full,
EMPTY => empty);
END ARCHITECTURE;
| bsd-2-clause | 9d1dd1f95d53258fbbe1aa1d8ad9697d | 0.456421 | 4.053659 | false | false | false | false |
timvideos/HDMI2USB-jahanzeb-firmware | hdl/usb/raw_uvc.vhd | 3 | 8,175 | -- //////////////////////////////////////////////////////////////////////////////
-- /// Copyright (c) 2013, Jahanzeb Ahmad
-- /// All rights reserved.
-- ///
-- // Redistribution and use in source and binary forms, with or without modification,
-- /// are permitted provided that the following conditions are met:
-- ///
-- /// * Redistributions of source code must retain the above copyright notice,
-- /// this list of conditions and the following disclaimer.
-- /// * Redistributions in binary form must reproduce the above copyright notice,
-- /// this list of conditions and the following disclaimer in the documentation and/or
-- /// other materials provided with the distribution.
-- ///
-- /// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY
-- /// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES
-- /// OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT
-- /// SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- /// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT
-- /// LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR
-- /// PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
-- /// WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- /// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- /// POSSIBILITY OF SUCH DAMAGE.
-- ///
-- ///
-- /// * http://opensource.org/licenses/MIT
-- /// * http://copyfree.org/licenses/mit/license.txt
-- ///
-- //////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
USE ieee.std_logic_unsigned.all;
entity raw_uvc is
port (
-- raw signals
raw_en : in std_logic;
raw_bytes : in std_logic_vector(23 downto 0);
raw_fifo_full : out std_logic;
error : out std_logic;
raw_clk : in std_logic;
raw_enable : in std_logic;
-- USB signals
slwr : out std_logic;
pktend : out std_logic;
fdata : out std_logic_vector(7 downto 0);
flag_full : in std_logic;
ifclk : in std_logic;
faddr : in std_logic_vector(1 downto 0);
uvcin : in std_logic_vector(1 downto 0);
header : in std_logic;
to_send : in std_logic_vector(23 downto 0);
-- others
uvc_in_free : out std_logic;
uvc_rst : in std_logic
);
end entity raw_uvc;
architecture rtl of raw_uvc is
COMPONENT rawUVCfifo
PORT (
rst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(23 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(23 DOWNTO 0);
full : OUT STD_LOGIC;
almost_full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
almost_empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC;
prog_full : OUT STD_LOGIC
);
END COMPONENT;
signal fid : std_logic;
signal eof : std_logic;
signal total_send: std_logic_vector(23 downto 0);
signal dout: std_logic_vector(23 downto 0);
signal wrightcount: std_logic_vector(11 downto 0);
signal watchdog: std_logic_vector(5 downto 0);
signal count: std_logic_vector(1 downto 0);
signal raw_en_i : std_logic;
signal full,empty,almost_empty,valid,rd_en : std_logic;
type states is (wait_for_uvc,uvc_wait,uvc_in_pktend,uvc_send_data,s_reset,free_uvc,s_skip);
signal ps : states;
begin
syncProc: process(uvc_rst,ifclk)
begin
if uvc_rst = '1' then
slwr <= '1';
pktend <= '1';
rd_en <= '0';
fid <= '0';
uvc_in_free <= '1';
wrightcount <= (others => '0');
watchdog <= (others => '0');
ps <= s_reset;
eof <= '0';
elsif falling_edge(ifclk) then
slwr <= '1';
pktend <= '1';
rd_en <= '0';
case ps is
when s_reset =>
slwr <= '1';
pktend <= '1';
rd_en <= '0';
fid <= '0';
uvc_in_free <= '1';
ps <= wait_for_uvc;
fdata <= (others => '0');
watchdog <= (others => '0');
wrightcount <= (others => '0');
total_send <= (others => '0');
count <= (others => '0');
when wait_for_uvc =>
if faddr = uvcin and raw_enable = '1' then
ps <= uvc_wait;
uvc_in_free <= '0';
end if;
when uvc_send_data =>
if empty = '0' and flag_full = '1' then
wrightcount <= wrightcount +1;
if header = '1' then
if wrightcount = X"400" then
ps <= uvc_wait;
wrightcount <= (others => '0');
elsif wrightcount = X"000" then
slwr <= '0';
fdata <= X"0C"; -- header length
elsif wrightcount = X"001" then
slwr <= '0';
fdata <= ( "100000" & eof & fid ); -- EOH ERR STI RES SCR PTS EOF FID
eof <= '0';
elsif wrightcount = X"002" then
slwr <= '0';
fdata <= X"00";
elsif wrightcount = X"003" then
slwr <= '0';
fdata <= X"00";
elsif wrightcount = X"004" then
slwr <= '0';
fdata <= X"00";
elsif wrightcount = X"005" then
slwr <= '0';
fdata <= X"00";
elsif wrightcount = X"006" then
slwr <= '0';
fdata <= X"00";
elsif wrightcount = X"007" then
slwr <= '0';
fdata <= X"00";
elsif wrightcount = X"008" then
slwr <= '0';
fdata <= X"00";
elsif wrightcount = X"009" then
slwr <= '0';
fdata <= X"00";
elsif wrightcount = X"00A" then
slwr <= '0';
fdata <= X"00";
elsif wrightcount = X"00B" then
slwr <= '0';
fdata <= X"00";
else -- header sent
total_send <= total_send + 1;
if total_send = to_send then
fid <= not fid;
ps <= uvc_in_pktend;
wrightcount <= (others => '0');
total_send <= (others => '0');
else
slwr <= '0';
count <= count+1;
if count = "00" then
fdata <= dout(7 downto 0);
elsif count = "01" then
fdata <= dout(15 downto 8);
rd_en <= '1';
elsif count = "10" then
fdata <= dout(7 downto 0);
else
fdata <= dout(23 downto 16);
rd_en <= '1';
end if;
end if; -- to_send
if (total_send = to_send - 1012) then
eof <= '1';
end if;
end if;
else -- if header not send
if wrightcount = X"400" then
ps <= uvc_wait;
wrightcount <= (others => '0');
else
total_send <= total_send + 1;
if total_send = to_send then
fid <= not fid;
ps <= uvc_in_pktend;
wrightcount <= (others => '0');
total_send <= (others => '0');
else
slwr <= '0';
count <= count+1;
if count = "00" then
fdata <= dout(7 downto 0);
elsif count = "01" then
fdata <= dout(15 downto 8);
rd_en <= '1';
elsif count = "10" then
fdata <= dout(7 downto 0);
else
fdata <= dout(23 downto 16);
rd_en <= '1';
end if;
end if; -- to_send
end if; -- end if header
end if; -- end if empty
-- else
-- ps <= uvc_wait;
end if;
when uvc_wait =>
watchdog <= watchdog + 1;
if empty = '0' and flag_full = '1' then
ps <= uvc_send_data;
watchdog <= (others => '0');
elsif watchdog(watchdog'range) = (watchdog'range => '1') then
ps <= free_uvc;
watchdog <= (others => '0');
end if;
when uvc_in_pktend =>
pktend <= '0';
ps <= free_uvc;
when free_uvc =>
uvc_in_free <= '1';
ps <= s_skip;
when s_skip =>
ps <= wait_for_uvc;
when others =>
ps <= s_reset;
end case;
end if;
end process;
raw_en_i <= (raw_en and raw_enable);
rawUVCfifo_Comp : rawUVCfifo
PORT MAP (
rst => uvc_rst,
wr_clk => raw_clk,
rd_clk => ifclk,
din => raw_bytes,
wr_en => raw_en_i,
rd_en => rd_en,
dout => dout,
full => full,
-- almost_full => raw_fifo_full,
prog_full => raw_fifo_full,
empty => empty,
almost_empty => almost_empty,
valid => valid
);
end rtl; | bsd-2-clause | 74471218ead745df68c9e257f5311d19 | 0.543731 | 3.102467 | false | false | false | false |
shailcoolboy/Warp-Trinity | edk_user_repository/WARP/pcores/warp_timer_plbw_v1_00_a/hdl/vhdl/warp_timer.vhd | 4 | 209,538 | --------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used --
-- solely for design, simulation, implementation and creation of --
-- design files limited to Xilinx devices or technologies. Use --
-- with non-Xilinx devices or technologies is expressly prohibited --
-- and immediately terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" --
-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR --
-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION --
-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION --
-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS --
-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, --
-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE --
-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY --
-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS --
-- FOR A PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support --
-- appliances, devices, or systems. Use in such applications are --
-- expressly prohibited. --
-- --
-- (c) Copyright 1995-2007 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
-- You must compile the wrapper file adder_subtracter_virtex2p_7_0_f7ee8f7e7f94515e.vhd when simulating
-- the core, adder_subtracter_virtex2p_7_0_f7ee8f7e7f94515e. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
Library XilinxCoreLib;
-- synthesis translate_on
ENTITY adder_subtracter_virtex2p_7_0_f7ee8f7e7f94515e IS
port (
A: IN std_logic_VECTOR(32 downto 0);
B: IN std_logic_VECTOR(32 downto 0);
S: OUT std_logic_VECTOR(32 downto 0));
END adder_subtracter_virtex2p_7_0_f7ee8f7e7f94515e;
ARCHITECTURE adder_subtracter_virtex2p_7_0_f7ee8f7e7f94515e_a OF adder_subtracter_virtex2p_7_0_f7ee8f7e7f94515e IS
-- synthesis translate_off
component wrapped_adder_subtracter_virtex2p_7_0_f7ee8f7e7f94515e
port (
A: IN std_logic_VECTOR(32 downto 0);
B: IN std_logic_VECTOR(32 downto 0);
S: OUT std_logic_VECTOR(32 downto 0));
end component;
-- Configuration specification
for all : wrapped_adder_subtracter_virtex2p_7_0_f7ee8f7e7f94515e use entity XilinxCoreLib.C_ADDSUB_V7_0(behavioral)
generic map(
c_has_bypass_with_cin => 0,
c_a_type => 0,
c_has_sclr => 0,
c_sync_priority => 1,
c_has_aset => 0,
c_has_b_out => 0,
c_has_s => 1,
c_has_q => 0,
c_bypass_enable => 0,
c_b_constant => 0,
c_has_ovfl => 0,
c_high_bit => 32,
c_latency => 0,
c_sinit_val => "0",
c_has_bypass => 0,
c_pipe_stages => 1,
c_has_sset => 0,
c_has_ainit => 0,
c_has_a_signed => 0,
c_has_q_c_out => 0,
c_b_type => 0,
c_has_add => 0,
c_has_sinit => 0,
c_has_b_in => 0,
c_has_b_signed => 0,
c_bypass_low => 0,
c_enable_rlocs => 1,
c_b_value => "0",
c_add_mode => 1,
c_has_aclr => 0,
c_out_width => 33,
c_ainit_val => "0000",
c_low_bit => 0,
c_has_q_ovfl => 0,
c_has_q_b_out => 0,
c_has_c_out => 0,
c_b_width => 33,
c_a_width => 33,
c_sync_enable => 0,
c_has_ce => 1,
c_has_c_in => 0);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_adder_subtracter_virtex2p_7_0_f7ee8f7e7f94515e
port map (
A => A,
B => B,
S => S);
-- synthesis translate_on
END adder_subtracter_virtex2p_7_0_f7ee8f7e7f94515e_a;
--------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used --
-- solely for design, simulation, implementation and creation of --
-- design files limited to Xilinx devices or technologies. Use --
-- with non-Xilinx devices or technologies is expressly prohibited --
-- and immediately terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" --
-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR --
-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION --
-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION --
-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS --
-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, --
-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE --
-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY --
-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS --
-- FOR A PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support --
-- appliances, devices, or systems. Use in such applications are --
-- expressly prohibited. --
-- --
-- (c) Copyright 1995-2007 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
-- You must compile the wrapper file binary_counter_virtex2p_7_0_b57302a6bcbb6876.vhd when simulating
-- the core, binary_counter_virtex2p_7_0_b57302a6bcbb6876. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
Library XilinxCoreLib;
-- synthesis translate_on
ENTITY binary_counter_virtex2p_7_0_b57302a6bcbb6876 IS
port (
Q: OUT std_logic_VECTOR(31 downto 0);
CLK: IN std_logic;
CE: IN std_logic;
SINIT: IN std_logic);
END binary_counter_virtex2p_7_0_b57302a6bcbb6876;
ARCHITECTURE binary_counter_virtex2p_7_0_b57302a6bcbb6876_a OF binary_counter_virtex2p_7_0_b57302a6bcbb6876 IS
-- synthesis translate_off
component wrapped_binary_counter_virtex2p_7_0_b57302a6bcbb6876
port (
Q: OUT std_logic_VECTOR(31 downto 0);
CLK: IN std_logic;
CE: IN std_logic;
SINIT: IN std_logic);
end component;
-- Configuration specification
for all : wrapped_binary_counter_virtex2p_7_0_b57302a6bcbb6876 use entity XilinxCoreLib.C_COUNTER_BINARY_V7_0(behavioral)
generic map(
c_count_mode => 0,
c_load_enable => 1,
c_has_aset => 0,
c_load_low => 0,
c_count_to => "1111111111111111",
c_sync_priority => 1,
c_has_iv => 0,
c_restrict_count => 0,
c_has_sclr => 0,
c_width => 32,
c_has_q_thresh1 => 0,
c_enable_rlocs => 0,
c_has_q_thresh0 => 0,
c_thresh1_value => "1111111111111111",
c_has_load => 0,
c_thresh_early => 1,
c_has_up => 0,
c_has_thresh1 => 0,
c_has_thresh0 => 0,
c_ainit_val => "0000",
c_has_ce => 1,
c_pipe_stages => 0,
c_has_aclr => 0,
c_sync_enable => 0,
c_has_ainit => 0,
c_sinit_val => "0000",
c_has_sset => 0,
c_has_sinit => 1,
c_count_by => "0001",
c_has_l => 0,
c_thresh0_value => "1111111111111111");
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_binary_counter_virtex2p_7_0_b57302a6bcbb6876
port map (
Q => Q,
CLK => CLK,
CE => CE,
SINIT => SINIT);
-- synthesis translate_on
END binary_counter_virtex2p_7_0_b57302a6bcbb6876_a;
-------------------------------------------------------------------
-- System Generator version 10.1.2 VHDL source file.
--
-- Copyright(C) 2008 by Xilinx, Inc. All rights reserved. This
-- text/file contains proprietary, confidential information of Xilinx,
-- Inc., is distributed under license from Xilinx, Inc., and may be used,
-- copied and/or disclosed only pursuant to the terms of a valid license
-- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use
-- this text/file solely for design, simulation, implementation and
-- creation of design files limited to Xilinx devices or technologies.
-- Use with non-Xilinx devices or technologies is expressly prohibited
-- and immediately terminates your license unless covered by a separate
-- agreement.
--
-- Xilinx is providing this design, code, or information "as is" solely
-- for use in developing programs and solutions for Xilinx devices. By
-- providing this design, code, or information as one possible
-- implementation of this feature, application or standard, Xilinx is
-- making no representation that this implementation is free from any
-- claims of infringement. You are responsible for obtaining any rights
-- you may require for your implementation. Xilinx expressly disclaims
-- any warranty whatsoever with respect to the adequacy of the
-- implementation, including but not limited to warranties of
-- merchantability or fitness for a particular purpose.
--
-- Xilinx products are not intended for use in life support appliances,
-- devices, or systems. Use in such applications is expressly prohibited.
--
-- Any modifications that are made to the source code are done at the user's
-- sole risk and will be unsupported.
--
-- This copyright and support notice must be retained as part of this
-- text at all times. (c) Copyright 1995-2008 Xilinx, Inc. All rights
-- reserved.
-------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
package conv_pkg is
constant simulating : boolean := false
-- synopsys translate_off
or true
-- synopsys translate_on
;
constant xlUnsigned : integer := 1;
constant xlSigned : integer := 2;
constant xlWrap : integer := 1;
constant xlSaturate : integer := 2;
constant xlTruncate : integer := 1;
constant xlRound : integer := 2;
constant xlRoundBanker : integer := 3;
constant xlAddMode : integer := 1;
constant xlSubMode : integer := 2;
attribute black_box : boolean;
attribute syn_black_box : boolean;
attribute fpga_dont_touch: string;
attribute box_type : string;
attribute keep : string;
attribute syn_keep : boolean;
function std_logic_vector_to_unsigned(inp : std_logic_vector) return unsigned;
function unsigned_to_std_logic_vector(inp : unsigned) return std_logic_vector;
function std_logic_vector_to_signed(inp : std_logic_vector) return signed;
function signed_to_std_logic_vector(inp : signed) return std_logic_vector;
function unsigned_to_signed(inp : unsigned) return signed;
function signed_to_unsigned(inp : signed) return unsigned;
function pos(inp : std_logic_vector; arith : INTEGER) return boolean;
function all_same(inp: std_logic_vector) return boolean;
function all_zeros(inp: std_logic_vector) return boolean;
function is_point_five(inp: std_logic_vector) return boolean;
function all_ones(inp: std_logic_vector) return boolean;
function convert_type (inp : std_logic_vector; old_width, old_bin_pt,
old_arith, new_width, new_bin_pt, new_arith,
quantization, overflow : INTEGER)
return std_logic_vector;
function cast (inp : std_logic_vector; old_bin_pt,
new_width, new_bin_pt, new_arith : INTEGER)
return std_logic_vector;
function vec_slice (inp : std_logic_vector; upper, lower : INTEGER)
return std_logic_vector;
function s2u_slice (inp : signed; upper, lower : INTEGER)
return unsigned;
function u2u_slice (inp : unsigned; upper, lower : INTEGER)
return unsigned;
function s2s_cast (inp : signed; old_bin_pt,
new_width, new_bin_pt : INTEGER)
return signed;
function u2s_cast (inp : unsigned; old_bin_pt,
new_width, new_bin_pt : INTEGER)
return signed;
function s2u_cast (inp : signed; old_bin_pt,
new_width, new_bin_pt : INTEGER)
return unsigned;
function u2u_cast (inp : unsigned; old_bin_pt,
new_width, new_bin_pt : INTEGER)
return unsigned;
function u2v_cast (inp : unsigned; old_bin_pt,
new_width, new_bin_pt : INTEGER)
return std_logic_vector;
function s2v_cast (inp : signed; old_bin_pt,
new_width, new_bin_pt : INTEGER)
return std_logic_vector;
function trunc (inp : std_logic_vector; old_width, old_bin_pt, old_arith,
new_width, new_bin_pt, new_arith : INTEGER)
return std_logic_vector;
function round_towards_inf (inp : std_logic_vector; old_width, old_bin_pt,
old_arith, new_width, new_bin_pt,
new_arith : INTEGER) return std_logic_vector;
function round_towards_even (inp : std_logic_vector; old_width, old_bin_pt,
old_arith, new_width, new_bin_pt,
new_arith : INTEGER) return std_logic_vector;
function max_signed(width : INTEGER) return std_logic_vector;
function min_signed(width : INTEGER) return std_logic_vector;
function saturation_arith(inp: std_logic_vector; old_width, old_bin_pt,
old_arith, new_width, new_bin_pt, new_arith
: INTEGER) return std_logic_vector;
function wrap_arith(inp: std_logic_vector; old_width, old_bin_pt,
old_arith, new_width, new_bin_pt, new_arith : INTEGER)
return std_logic_vector;
function fractional_bits(a_bin_pt, b_bin_pt: INTEGER) return INTEGER;
function integer_bits(a_width, a_bin_pt, b_width, b_bin_pt: INTEGER)
return INTEGER;
function sign_ext(inp : std_logic_vector; new_width : INTEGER)
return std_logic_vector;
function zero_ext(inp : std_logic_vector; new_width : INTEGER)
return std_logic_vector;
function zero_ext(inp : std_logic; new_width : INTEGER)
return std_logic_vector;
function extend_MSB(inp : std_logic_vector; new_width, arith : INTEGER)
return std_logic_vector;
function align_input(inp : std_logic_vector; old_width, delta, new_arith,
new_width: INTEGER)
return std_logic_vector;
function pad_LSB(inp : std_logic_vector; new_width: integer)
return std_logic_vector;
function pad_LSB(inp : std_logic_vector; new_width, arith : integer)
return std_logic_vector;
function max(L, R: INTEGER) return INTEGER;
function min(L, R: INTEGER) return INTEGER;
function "="(left,right: STRING) return boolean;
function boolean_to_signed (inp : boolean; width: integer)
return signed;
function boolean_to_unsigned (inp : boolean; width: integer)
return unsigned;
function boolean_to_vector (inp : boolean)
return std_logic_vector;
function std_logic_to_vector (inp : std_logic)
return std_logic_vector;
function integer_to_std_logic_vector (inp : integer; width, arith : integer)
return std_logic_vector;
function std_logic_vector_to_integer (inp : std_logic_vector; arith : integer)
return integer;
function std_logic_to_integer(constant inp : std_logic := '0')
return integer;
function bin_string_element_to_std_logic_vector (inp : string; width, index : integer)
return std_logic_vector;
function bin_string_to_std_logic_vector (inp : string)
return std_logic_vector;
function hex_string_to_std_logic_vector (inp : string; width : integer)
return std_logic_vector;
function makeZeroBinStr (width : integer) return STRING;
function and_reduce(inp: std_logic_vector) return std_logic;
-- synopsys translate_off
function is_binary_string_invalid (inp : string)
return boolean;
function is_binary_string_undefined (inp : string)
return boolean;
function is_XorU(inp : std_logic_vector)
return boolean;
function to_real(inp : std_logic_vector; bin_pt : integer; arith : integer)
return real;
function std_logic_to_real(inp : std_logic; bin_pt : integer; arith : integer)
return real;
function real_to_std_logic_vector (inp : real; width, bin_pt, arith : integer)
return std_logic_vector;
function real_string_to_std_logic_vector (inp : string; width, bin_pt, arith : integer)
return std_logic_vector;
constant display_precision : integer := 20;
function real_to_string (inp : real) return string;
function valid_bin_string(inp : string) return boolean;
function std_logic_vector_to_bin_string(inp : std_logic_vector) return string;
function std_logic_to_bin_string(inp : std_logic) return string;
function std_logic_vector_to_bin_string_w_point(inp : std_logic_vector; bin_pt : integer)
return string;
function real_to_bin_string(inp : real; width, bin_pt, arith : integer)
return string;
type stdlogic_to_char_t is array(std_logic) of character;
constant to_char : stdlogic_to_char_t := (
'U' => 'U',
'X' => 'X',
'0' => '0',
'1' => '1',
'Z' => 'Z',
'W' => 'W',
'L' => 'L',
'H' => 'H',
'-' => '-');
-- synopsys translate_on
end conv_pkg;
package body conv_pkg is
function std_logic_vector_to_unsigned(inp : std_logic_vector)
return unsigned
is
begin
return unsigned (inp);
end;
function unsigned_to_std_logic_vector(inp : unsigned)
return std_logic_vector
is
begin
return std_logic_vector(inp);
end;
function std_logic_vector_to_signed(inp : std_logic_vector)
return signed
is
begin
return signed (inp);
end;
function signed_to_std_logic_vector(inp : signed)
return std_logic_vector
is
begin
return std_logic_vector(inp);
end;
function unsigned_to_signed (inp : unsigned)
return signed
is
begin
return signed(std_logic_vector(inp));
end;
function signed_to_unsigned (inp : signed)
return unsigned
is
begin
return unsigned(std_logic_vector(inp));
end;
function pos(inp : std_logic_vector; arith : INTEGER)
return boolean
is
constant width : integer := inp'length;
variable vec : std_logic_vector(width-1 downto 0);
begin
vec := inp;
if arith = xlUnsigned then
return true;
else
if vec(width-1) = '0' then
return true;
else
return false;
end if;
end if;
return true;
end;
function max_signed(width : INTEGER)
return std_logic_vector
is
variable ones : std_logic_vector(width-2 downto 0);
variable result : std_logic_vector(width-1 downto 0);
begin
ones := (others => '1');
result(width-1) := '0';
result(width-2 downto 0) := ones;
return result;
end;
function min_signed(width : INTEGER)
return std_logic_vector
is
variable zeros : std_logic_vector(width-2 downto 0);
variable result : std_logic_vector(width-1 downto 0);
begin
zeros := (others => '0');
result(width-1) := '1';
result(width-2 downto 0) := zeros;
return result;
end;
function and_reduce(inp: std_logic_vector) return std_logic
is
variable result: std_logic;
constant width : integer := inp'length;
variable vec : std_logic_vector(width-1 downto 0);
begin
vec := inp;
result := vec(0);
if width > 1 then
for i in 1 to width-1 loop
result := result and vec(i);
end loop;
end if;
return result;
end;
function all_same(inp: std_logic_vector) return boolean
is
variable result: boolean;
constant width : integer := inp'length;
variable vec : std_logic_vector(width-1 downto 0);
begin
vec := inp;
result := true;
if width > 0 then
for i in 1 to width-1 loop
if vec(i) /= vec(0) then
result := false;
end if;
end loop;
end if;
return result;
end;
function all_zeros(inp: std_logic_vector)
return boolean
is
constant width : integer := inp'length;
variable vec : std_logic_vector(width-1 downto 0);
variable zero : std_logic_vector(width-1 downto 0);
variable result : boolean;
begin
zero := (others => '0');
vec := inp;
-- synopsys translate_off
if (is_XorU(vec)) then
return false;
end if;
-- synopsys translate_on
if (std_logic_vector_to_unsigned(vec) = std_logic_vector_to_unsigned(zero)) then
result := true;
else
result := false;
end if;
return result;
end;
function is_point_five(inp: std_logic_vector)
return boolean
is
constant width : integer := inp'length;
variable vec : std_logic_vector(width-1 downto 0);
variable result : boolean;
begin
vec := inp;
-- synopsys translate_off
if (is_XorU(vec)) then
return false;
end if;
-- synopsys translate_on
if (width > 1) then
if ((vec(width-1) = '1') and (all_zeros(vec(width-2 downto 0)) = true)) then
result := true;
else
result := false;
end if;
else
if (vec(width-1) = '1') then
result := true;
else
result := false;
end if;
end if;
return result;
end;
function all_ones(inp: std_logic_vector)
return boolean
is
constant width : integer := inp'length;
variable vec : std_logic_vector(width-1 downto 0);
variable one : std_logic_vector(width-1 downto 0);
variable result : boolean;
begin
one := (others => '1');
vec := inp;
-- synopsys translate_off
if (is_XorU(vec)) then
return false;
end if;
-- synopsys translate_on
if (std_logic_vector_to_unsigned(vec) = std_logic_vector_to_unsigned(one)) then
result := true;
else
result := false;
end if;
return result;
end;
function full_precision_num_width(quantization, overflow, old_width,
old_bin_pt, old_arith,
new_width, new_bin_pt, new_arith : INTEGER)
return integer
is
variable result : integer;
begin
result := old_width + 2;
return result;
end;
function quantized_num_width(quantization, overflow, old_width, old_bin_pt,
old_arith, new_width, new_bin_pt, new_arith
: INTEGER)
return integer
is
variable right_of_dp, left_of_dp, result : integer;
begin
right_of_dp := max(new_bin_pt, old_bin_pt);
left_of_dp := max((new_width - new_bin_pt), (old_width - old_bin_pt));
result := (old_width + 2) + (new_bin_pt - old_bin_pt);
return result;
end;
function convert_type (inp : std_logic_vector; old_width, old_bin_pt,
old_arith, new_width, new_bin_pt, new_arith,
quantization, overflow : INTEGER)
return std_logic_vector
is
constant fp_width : integer :=
full_precision_num_width(quantization, overflow, old_width,
old_bin_pt, old_arith, new_width,
new_bin_pt, new_arith);
constant fp_bin_pt : integer := old_bin_pt;
constant fp_arith : integer := old_arith;
variable full_precision_result : std_logic_vector(fp_width-1 downto 0);
constant q_width : integer :=
quantized_num_width(quantization, overflow, old_width, old_bin_pt,
old_arith, new_width, new_bin_pt, new_arith);
constant q_bin_pt : integer := new_bin_pt;
constant q_arith : integer := old_arith;
variable quantized_result : std_logic_vector(q_width-1 downto 0);
variable result : std_logic_vector(new_width-1 downto 0);
begin
result := (others => '0');
full_precision_result := cast(inp, old_bin_pt, fp_width, fp_bin_pt,
fp_arith);
if (quantization = xlRound) then
quantized_result := round_towards_inf(full_precision_result,
fp_width, fp_bin_pt,
fp_arith, q_width, q_bin_pt,
q_arith);
elsif (quantization = xlRoundBanker) then
quantized_result := round_towards_even(full_precision_result,
fp_width, fp_bin_pt,
fp_arith, q_width, q_bin_pt,
q_arith);
else
quantized_result := trunc(full_precision_result, fp_width, fp_bin_pt,
fp_arith, q_width, q_bin_pt, q_arith);
end if;
if (overflow = xlSaturate) then
result := saturation_arith(quantized_result, q_width, q_bin_pt,
q_arith, new_width, new_bin_pt, new_arith);
else
result := wrap_arith(quantized_result, q_width, q_bin_pt, q_arith,
new_width, new_bin_pt, new_arith);
end if;
return result;
end;
function cast (inp : std_logic_vector; old_bin_pt, new_width,
new_bin_pt, new_arith : INTEGER)
return std_logic_vector
is
constant old_width : integer := inp'length;
constant left_of_dp : integer := (new_width - new_bin_pt)
- (old_width - old_bin_pt);
constant right_of_dp : integer := (new_bin_pt - old_bin_pt);
variable vec : std_logic_vector(old_width-1 downto 0);
variable result : std_logic_vector(new_width-1 downto 0);
variable j : integer;
begin
vec := inp;
for i in new_width-1 downto 0 loop
j := i - right_of_dp;
if ( j > old_width-1) then
if (new_arith = xlUnsigned) then
result(i) := '0';
else
result(i) := vec(old_width-1);
end if;
elsif ( j >= 0) then
result(i) := vec(j);
else
result(i) := '0';
end if;
end loop;
return result;
end;
function vec_slice (inp : std_logic_vector; upper, lower : INTEGER)
return std_logic_vector
is
begin
return inp(upper downto lower);
end;
function s2u_slice (inp : signed; upper, lower : INTEGER)
return unsigned
is
begin
return unsigned(vec_slice(std_logic_vector(inp), upper, lower));
end;
function u2u_slice (inp : unsigned; upper, lower : INTEGER)
return unsigned
is
begin
return unsigned(vec_slice(std_logic_vector(inp), upper, lower));
end;
function s2s_cast (inp : signed; old_bin_pt, new_width, new_bin_pt : INTEGER)
return signed
is
begin
return signed(cast(std_logic_vector(inp), old_bin_pt, new_width, new_bin_pt, xlSigned));
end;
function s2u_cast (inp : signed; old_bin_pt, new_width,
new_bin_pt : INTEGER)
return unsigned
is
begin
return unsigned(cast(std_logic_vector(inp), old_bin_pt, new_width, new_bin_pt, xlSigned));
end;
function u2s_cast (inp : unsigned; old_bin_pt, new_width,
new_bin_pt : INTEGER)
return signed
is
begin
return signed(cast(std_logic_vector(inp), old_bin_pt, new_width, new_bin_pt, xlUnsigned));
end;
function u2u_cast (inp : unsigned; old_bin_pt, new_width,
new_bin_pt : INTEGER)
return unsigned
is
begin
return unsigned(cast(std_logic_vector(inp), old_bin_pt, new_width, new_bin_pt, xlUnsigned));
end;
function u2v_cast (inp : unsigned; old_bin_pt, new_width,
new_bin_pt : INTEGER)
return std_logic_vector
is
begin
return cast(std_logic_vector(inp), old_bin_pt, new_width, new_bin_pt, xlUnsigned);
end;
function s2v_cast (inp : signed; old_bin_pt, new_width,
new_bin_pt : INTEGER)
return std_logic_vector
is
begin
return cast(std_logic_vector(inp), old_bin_pt, new_width, new_bin_pt, xlSigned);
end;
function boolean_to_signed (inp : boolean; width : integer)
return signed
is
variable result : signed(width - 1 downto 0);
begin
result := (others => '0');
if inp then
result(0) := '1';
else
result(0) := '0';
end if;
return result;
end;
function boolean_to_unsigned (inp : boolean; width : integer)
return unsigned
is
variable result : unsigned(width - 1 downto 0);
begin
result := (others => '0');
if inp then
result(0) := '1';
else
result(0) := '0';
end if;
return result;
end;
function boolean_to_vector (inp : boolean)
return std_logic_vector
is
variable result : std_logic_vector(1 - 1 downto 0);
begin
result := (others => '0');
if inp then
result(0) := '1';
else
result(0) := '0';
end if;
return result;
end;
function std_logic_to_vector (inp : std_logic)
return std_logic_vector
is
variable result : std_logic_vector(1 - 1 downto 0);
begin
result(0) := inp;
return result;
end;
function trunc (inp : std_logic_vector; old_width, old_bin_pt, old_arith,
new_width, new_bin_pt, new_arith : INTEGER)
return std_logic_vector
is
constant right_of_dp : integer := (old_bin_pt - new_bin_pt);
variable vec : std_logic_vector(old_width-1 downto 0);
variable result : std_logic_vector(new_width-1 downto 0);
begin
vec := inp;
if right_of_dp >= 0 then
if new_arith = xlUnsigned then
result := zero_ext(vec(old_width-1 downto right_of_dp), new_width);
else
result := sign_ext(vec(old_width-1 downto right_of_dp), new_width);
end if;
else
if new_arith = xlUnsigned then
result := zero_ext(pad_LSB(vec, old_width +
abs(right_of_dp)), new_width);
else
result := sign_ext(pad_LSB(vec, old_width +
abs(right_of_dp)), new_width);
end if;
end if;
return result;
end;
function round_towards_inf (inp : std_logic_vector; old_width, old_bin_pt,
old_arith, new_width, new_bin_pt, new_arith
: INTEGER)
return std_logic_vector
is
constant right_of_dp : integer := (old_bin_pt - new_bin_pt);
constant expected_new_width : integer := old_width - right_of_dp + 1;
variable vec : std_logic_vector(old_width-1 downto 0);
variable one_or_zero : std_logic_vector(new_width-1 downto 0);
variable truncated_val : std_logic_vector(new_width-1 downto 0);
variable result : std_logic_vector(new_width-1 downto 0);
begin
vec := inp;
if right_of_dp >= 0 then
if new_arith = xlUnsigned then
truncated_val := zero_ext(vec(old_width-1 downto right_of_dp),
new_width);
else
truncated_val := sign_ext(vec(old_width-1 downto right_of_dp),
new_width);
end if;
else
if new_arith = xlUnsigned then
truncated_val := zero_ext(pad_LSB(vec, old_width +
abs(right_of_dp)), new_width);
else
truncated_val := sign_ext(pad_LSB(vec, old_width +
abs(right_of_dp)), new_width);
end if;
end if;
one_or_zero := (others => '0');
if (new_arith = xlSigned) then
if (vec(old_width-1) = '0') then
one_or_zero(0) := '1';
end if;
if (right_of_dp >= 2) and (right_of_dp <= old_width) then
if (all_zeros(vec(right_of_dp-2 downto 0)) = false) then
one_or_zero(0) := '1';
end if;
end if;
if (right_of_dp >= 1) and (right_of_dp <= old_width) then
if vec(right_of_dp-1) = '0' then
one_or_zero(0) := '0';
end if;
else
one_or_zero(0) := '0';
end if;
else
if (right_of_dp >= 1) and (right_of_dp <= old_width) then
one_or_zero(0) := vec(right_of_dp-1);
end if;
end if;
if new_arith = xlSigned then
result := signed_to_std_logic_vector(std_logic_vector_to_signed(truncated_val) +
std_logic_vector_to_signed(one_or_zero));
else
result := unsigned_to_std_logic_vector(std_logic_vector_to_unsigned(truncated_val) +
std_logic_vector_to_unsigned(one_or_zero));
end if;
return result;
end;
function round_towards_even (inp : std_logic_vector; old_width, old_bin_pt,
old_arith, new_width, new_bin_pt, new_arith
: INTEGER)
return std_logic_vector
is
constant right_of_dp : integer := (old_bin_pt - new_bin_pt);
constant expected_new_width : integer := old_width - right_of_dp + 1;
variable vec : std_logic_vector(old_width-1 downto 0);
variable one_or_zero : std_logic_vector(new_width-1 downto 0);
variable truncated_val : std_logic_vector(new_width-1 downto 0);
variable result : std_logic_vector(new_width-1 downto 0);
begin
vec := inp;
if right_of_dp >= 0 then
if new_arith = xlUnsigned then
truncated_val := zero_ext(vec(old_width-1 downto right_of_dp),
new_width);
else
truncated_val := sign_ext(vec(old_width-1 downto right_of_dp),
new_width);
end if;
else
if new_arith = xlUnsigned then
truncated_val := zero_ext(pad_LSB(vec, old_width +
abs(right_of_dp)), new_width);
else
truncated_val := sign_ext(pad_LSB(vec, old_width +
abs(right_of_dp)), new_width);
end if;
end if;
one_or_zero := (others => '0');
if (right_of_dp >= 1) and (right_of_dp <= old_width) then
if (is_point_five(vec(right_of_dp-1 downto 0)) = false) then
one_or_zero(0) := vec(right_of_dp-1);
else
one_or_zero(0) := vec(right_of_dp);
end if;
end if;
if new_arith = xlSigned then
result := signed_to_std_logic_vector(std_logic_vector_to_signed(truncated_val) +
std_logic_vector_to_signed(one_or_zero));
else
result := unsigned_to_std_logic_vector(std_logic_vector_to_unsigned(truncated_val) +
std_logic_vector_to_unsigned(one_or_zero));
end if;
return result;
end;
function saturation_arith(inp: std_logic_vector; old_width, old_bin_pt,
old_arith, new_width, new_bin_pt, new_arith
: INTEGER)
return std_logic_vector
is
constant left_of_dp : integer := (old_width - old_bin_pt) -
(new_width - new_bin_pt);
variable vec : std_logic_vector(old_width-1 downto 0);
variable result : std_logic_vector(new_width-1 downto 0);
variable overflow : boolean;
begin
vec := inp;
overflow := true;
result := (others => '0');
if (new_width >= old_width) then
overflow := false;
end if;
if ((old_arith = xlSigned and new_arith = xlSigned) and (old_width > new_width)) then
if all_same(vec(old_width-1 downto new_width-1)) then
overflow := false;
end if;
end if;
if (old_arith = xlSigned and new_arith = xlUnsigned) then
if (old_width > new_width) then
if all_zeros(vec(old_width-1 downto new_width)) then
overflow := false;
end if;
else
if (old_width = new_width) then
if (vec(new_width-1) = '0') then
overflow := false;
end if;
end if;
end if;
end if;
if (old_arith = xlUnsigned and new_arith = xlUnsigned) then
if (old_width > new_width) then
if all_zeros(vec(old_width-1 downto new_width)) then
overflow := false;
end if;
else
if (old_width = new_width) then
overflow := false;
end if;
end if;
end if;
if ((old_arith = xlUnsigned and new_arith = xlSigned) and (old_width > new_width)) then
if all_same(vec(old_width-1 downto new_width-1)) then
overflow := false;
end if;
end if;
if overflow then
if new_arith = xlSigned then
if vec(old_width-1) = '0' then
result := max_signed(new_width);
else
result := min_signed(new_width);
end if;
else
if ((old_arith = xlSigned) and vec(old_width-1) = '1') then
result := (others => '0');
else
result := (others => '1');
end if;
end if;
else
if (old_arith = xlSigned) and (new_arith = xlUnsigned) then
if (vec(old_width-1) = '1') then
vec := (others => '0');
end if;
end if;
if new_width <= old_width then
result := vec(new_width-1 downto 0);
else
if new_arith = xlUnsigned then
result := zero_ext(vec, new_width);
else
result := sign_ext(vec, new_width);
end if;
end if;
end if;
return result;
end;
function wrap_arith(inp: std_logic_vector; old_width, old_bin_pt,
old_arith, new_width, new_bin_pt, new_arith : INTEGER)
return std_logic_vector
is
variable result : std_logic_vector(new_width-1 downto 0);
variable result_arith : integer;
begin
if (old_arith = xlSigned) and (new_arith = xlUnsigned) then
result_arith := xlSigned;
end if;
result := cast(inp, old_bin_pt, new_width, new_bin_pt, result_arith);
return result;
end;
function fractional_bits(a_bin_pt, b_bin_pt: INTEGER) return INTEGER is
begin
return max(a_bin_pt, b_bin_pt);
end;
function integer_bits(a_width, a_bin_pt, b_width, b_bin_pt: INTEGER)
return INTEGER is
begin
return max(a_width - a_bin_pt, b_width - b_bin_pt);
end;
function pad_LSB(inp : std_logic_vector; new_width: integer)
return STD_LOGIC_VECTOR
is
constant orig_width : integer := inp'length;
variable vec : std_logic_vector(orig_width-1 downto 0);
variable result : std_logic_vector(new_width-1 downto 0);
variable pos : integer;
constant pad_pos : integer := new_width - orig_width - 1;
begin
vec := inp;
pos := new_width-1;
if (new_width >= orig_width) then
for i in orig_width-1 downto 0 loop
result(pos) := vec(i);
pos := pos - 1;
end loop;
if pad_pos >= 0 then
for i in pad_pos downto 0 loop
result(i) := '0';
end loop;
end if;
end if;
return result;
end;
function sign_ext(inp : std_logic_vector; new_width : INTEGER)
return std_logic_vector
is
constant old_width : integer := inp'length;
variable vec : std_logic_vector(old_width-1 downto 0);
variable result : std_logic_vector(new_width-1 downto 0);
begin
vec := inp;
if new_width >= old_width then
result(old_width-1 downto 0) := vec;
if new_width-1 >= old_width then
for i in new_width-1 downto old_width loop
result(i) := vec(old_width-1);
end loop;
end if;
else
result(new_width-1 downto 0) := vec(new_width-1 downto 0);
end if;
return result;
end;
function zero_ext(inp : std_logic_vector; new_width : INTEGER)
return std_logic_vector
is
constant old_width : integer := inp'length;
variable vec : std_logic_vector(old_width-1 downto 0);
variable result : std_logic_vector(new_width-1 downto 0);
begin
vec := inp;
if new_width >= old_width then
result(old_width-1 downto 0) := vec;
if new_width-1 >= old_width then
for i in new_width-1 downto old_width loop
result(i) := '0';
end loop;
end if;
else
result(new_width-1 downto 0) := vec(new_width-1 downto 0);
end if;
return result;
end;
function zero_ext(inp : std_logic; new_width : INTEGER)
return std_logic_vector
is
variable result : std_logic_vector(new_width-1 downto 0);
begin
result(0) := inp;
for i in new_width-1 downto 1 loop
result(i) := '0';
end loop;
return result;
end;
function extend_MSB(inp : std_logic_vector; new_width, arith : INTEGER)
return std_logic_vector
is
constant orig_width : integer := inp'length;
variable vec : std_logic_vector(orig_width-1 downto 0);
variable result : std_logic_vector(new_width-1 downto 0);
begin
vec := inp;
if arith = xlUnsigned then
result := zero_ext(vec, new_width);
else
result := sign_ext(vec, new_width);
end if;
return result;
end;
function pad_LSB(inp : std_logic_vector; new_width, arith: integer)
return STD_LOGIC_VECTOR
is
constant orig_width : integer := inp'length;
variable vec : std_logic_vector(orig_width-1 downto 0);
variable result : std_logic_vector(new_width-1 downto 0);
variable pos : integer;
begin
vec := inp;
pos := new_width-1;
if (arith = xlUnsigned) then
result(pos) := '0';
pos := pos - 1;
else
result(pos) := vec(orig_width-1);
pos := pos - 1;
end if;
if (new_width >= orig_width) then
for i in orig_width-1 downto 0 loop
result(pos) := vec(i);
pos := pos - 1;
end loop;
if pos >= 0 then
for i in pos downto 0 loop
result(i) := '0';
end loop;
end if;
end if;
return result;
end;
function align_input(inp : std_logic_vector; old_width, delta, new_arith,
new_width: INTEGER)
return std_logic_vector
is
variable vec : std_logic_vector(old_width-1 downto 0);
variable padded_inp : std_logic_vector((old_width + delta)-1 downto 0);
variable result : std_logic_vector(new_width-1 downto 0);
begin
vec := inp;
if delta > 0 then
padded_inp := pad_LSB(vec, old_width+delta);
result := extend_MSB(padded_inp, new_width, new_arith);
else
result := extend_MSB(vec, new_width, new_arith);
end if;
return result;
end;
function max(L, R: INTEGER) return INTEGER is
begin
if L > R then
return L;
else
return R;
end if;
end;
function min(L, R: INTEGER) return INTEGER is
begin
if L < R then
return L;
else
return R;
end if;
end;
function "="(left,right: STRING) return boolean is
begin
if (left'length /= right'length) then
return false;
else
test : for i in 1 to left'length loop
if left(i) /= right(i) then
return false;
end if;
end loop test;
return true;
end if;
end;
-- synopsys translate_off
function is_binary_string_invalid (inp : string)
return boolean
is
variable vec : string(1 to inp'length);
variable result : boolean;
begin
vec := inp;
result := false;
for i in 1 to vec'length loop
if ( vec(i) = 'X' ) then
result := true;
end if;
end loop;
return result;
end;
function is_binary_string_undefined (inp : string)
return boolean
is
variable vec : string(1 to inp'length);
variable result : boolean;
begin
vec := inp;
result := false;
for i in 1 to vec'length loop
if ( vec(i) = 'U' ) then
result := true;
end if;
end loop;
return result;
end;
function is_XorU(inp : std_logic_vector)
return boolean
is
constant width : integer := inp'length;
variable vec : std_logic_vector(width-1 downto 0);
variable result : boolean;
begin
vec := inp;
result := false;
for i in 0 to width-1 loop
if (vec(i) = 'U') or (vec(i) = 'X') then
result := true;
end if;
end loop;
return result;
end;
function to_real(inp : std_logic_vector; bin_pt : integer; arith : integer)
return real
is
variable vec : std_logic_vector(inp'length-1 downto 0);
variable result, shift_val, undefined_real : real;
variable neg_num : boolean;
begin
vec := inp;
result := 0.0;
neg_num := false;
if vec(inp'length-1) = '1' then
neg_num := true;
end if;
for i in 0 to inp'length-1 loop
if vec(i) = 'U' or vec(i) = 'X' then
return undefined_real;
end if;
if arith = xlSigned then
if neg_num then
if vec(i) = '0' then
result := result + 2.0**i;
end if;
else
if vec(i) = '1' then
result := result + 2.0**i;
end if;
end if;
else
if vec(i) = '1' then
result := result + 2.0**i;
end if;
end if;
end loop;
if arith = xlSigned then
if neg_num then
result := result + 1.0;
result := result * (-1.0);
end if;
end if;
shift_val := 2.0**(-1*bin_pt);
result := result * shift_val;
return result;
end;
function std_logic_to_real(inp : std_logic; bin_pt : integer; arith : integer)
return real
is
variable result : real := 0.0;
begin
if inp = '1' then
result := 1.0;
end if;
if arith = xlSigned then
assert false
report "It doesn't make sense to convert a 1 bit number to a signed real.";
end if;
return result;
end;
-- synopsys translate_on
function integer_to_std_logic_vector (inp : integer; width, arith : integer)
return std_logic_vector
is
variable result : std_logic_vector(width-1 downto 0);
variable unsigned_val : unsigned(width-1 downto 0);
variable signed_val : signed(width-1 downto 0);
begin
if (arith = xlSigned) then
signed_val := to_signed(inp, width);
result := signed_to_std_logic_vector(signed_val);
else
unsigned_val := to_unsigned(inp, width);
result := unsigned_to_std_logic_vector(unsigned_val);
end if;
return result;
end;
function std_logic_vector_to_integer (inp : std_logic_vector; arith : integer)
return integer
is
constant width : integer := inp'length;
variable unsigned_val : unsigned(width-1 downto 0);
variable signed_val : signed(width-1 downto 0);
variable result : integer;
begin
if (arith = xlSigned) then
signed_val := std_logic_vector_to_signed(inp);
result := to_integer(signed_val);
else
unsigned_val := std_logic_vector_to_unsigned(inp);
result := to_integer(unsigned_val);
end if;
return result;
end;
function std_logic_to_integer(constant inp : std_logic := '0')
return integer
is
begin
if inp = '1' then
return 1;
else
return 0;
end if;
end;
function makeZeroBinStr (width : integer) return STRING is
variable result : string(1 to width+3);
begin
result(1) := '0';
result(2) := 'b';
for i in 3 to width+2 loop
result(i) := '0';
end loop;
result(width+3) := '.';
return result;
end;
-- synopsys translate_off
function real_string_to_std_logic_vector (inp : string; width, bin_pt, arith : integer)
return std_logic_vector
is
variable result : std_logic_vector(width-1 downto 0);
begin
result := (others => '0');
return result;
end;
function real_to_std_logic_vector (inp : real; width, bin_pt, arith : integer)
return std_logic_vector
is
variable real_val : real;
variable int_val : integer;
variable result : std_logic_vector(width-1 downto 0) := (others => '0');
variable unsigned_val : unsigned(width-1 downto 0) := (others => '0');
variable signed_val : signed(width-1 downto 0) := (others => '0');
begin
real_val := inp;
int_val := integer(real_val * 2.0**(bin_pt));
if (arith = xlSigned) then
signed_val := to_signed(int_val, width);
result := signed_to_std_logic_vector(signed_val);
else
unsigned_val := to_unsigned(int_val, width);
result := unsigned_to_std_logic_vector(unsigned_val);
end if;
return result;
end;
-- synopsys translate_on
function valid_bin_string (inp : string)
return boolean
is
variable vec : string(1 to inp'length);
begin
vec := inp;
if (vec(1) = '0' and vec(2) = 'b') then
return true;
else
return false;
end if;
end;
function hex_string_to_std_logic_vector(inp: string; width : integer)
return std_logic_vector is
constant strlen : integer := inp'LENGTH;
variable result : std_logic_vector(width-1 downto 0);
variable bitval : std_logic_vector((strlen*4)-1 downto 0);
variable posn : integer;
variable ch : character;
variable vec : string(1 to strlen);
begin
vec := inp;
result := (others => '0');
posn := (strlen*4)-1;
for i in 1 to strlen loop
ch := vec(i);
case ch is
when '0' => bitval(posn downto posn-3) := "0000";
when '1' => bitval(posn downto posn-3) := "0001";
when '2' => bitval(posn downto posn-3) := "0010";
when '3' => bitval(posn downto posn-3) := "0011";
when '4' => bitval(posn downto posn-3) := "0100";
when '5' => bitval(posn downto posn-3) := "0101";
when '6' => bitval(posn downto posn-3) := "0110";
when '7' => bitval(posn downto posn-3) := "0111";
when '8' => bitval(posn downto posn-3) := "1000";
when '9' => bitval(posn downto posn-3) := "1001";
when 'A' | 'a' => bitval(posn downto posn-3) := "1010";
when 'B' | 'b' => bitval(posn downto posn-3) := "1011";
when 'C' | 'c' => bitval(posn downto posn-3) := "1100";
when 'D' | 'd' => bitval(posn downto posn-3) := "1101";
when 'E' | 'e' => bitval(posn downto posn-3) := "1110";
when 'F' | 'f' => bitval(posn downto posn-3) := "1111";
when others => bitval(posn downto posn-3) := "XXXX";
-- synopsys translate_off
ASSERT false
REPORT "Invalid hex value" SEVERITY ERROR;
-- synopsys translate_on
end case;
posn := posn - 4;
end loop;
if (width <= strlen*4) then
result := bitval(width-1 downto 0);
else
result((strlen*4)-1 downto 0) := bitval;
end if;
return result;
end;
function bin_string_to_std_logic_vector (inp : string)
return std_logic_vector
is
variable pos : integer;
variable vec : string(1 to inp'length);
variable result : std_logic_vector(inp'length-1 downto 0);
begin
vec := inp;
pos := inp'length-1;
result := (others => '0');
for i in 1 to vec'length loop
-- synopsys translate_off
if (pos < 0) and (vec(i) = '0' or vec(i) = '1' or vec(i) = 'X' or vec(i) = 'U') then
assert false
report "Input string is larger than output std_logic_vector. Truncating output.";
return result;
end if;
-- synopsys translate_on
if vec(i) = '0' then
result(pos) := '0';
pos := pos - 1;
end if;
if vec(i) = '1' then
result(pos) := '1';
pos := pos - 1;
end if;
-- synopsys translate_off
if (vec(i) = 'X' or vec(i) = 'U') then
result(pos) := 'U';
pos := pos - 1;
end if;
-- synopsys translate_on
end loop;
return result;
end;
function bin_string_element_to_std_logic_vector (inp : string; width, index : integer)
return std_logic_vector
is
constant str_width : integer := width + 4;
constant inp_len : integer := inp'length;
constant num_elements : integer := (inp_len + 1)/str_width;
constant reverse_index : integer := (num_elements-1) - index;
variable left_pos : integer;
variable right_pos : integer;
variable vec : string(1 to inp'length);
variable result : std_logic_vector(width-1 downto 0);
begin
vec := inp;
result := (others => '0');
if (reverse_index = 0) and (reverse_index < num_elements) and (inp_len-3 >= width) then
left_pos := 1;
right_pos := width + 3;
result := bin_string_to_std_logic_vector(vec(left_pos to right_pos));
end if;
if (reverse_index > 0) and (reverse_index < num_elements) and (inp_len-3 >= width) then
left_pos := (reverse_index * str_width) + 1;
right_pos := left_pos + width + 2;
result := bin_string_to_std_logic_vector(vec(left_pos to right_pos));
end if;
return result;
end;
-- synopsys translate_off
function std_logic_vector_to_bin_string(inp : std_logic_vector)
return string
is
variable vec : std_logic_vector(1 to inp'length);
variable result : string(vec'range);
begin
vec := inp;
for i in vec'range loop
result(i) := to_char(vec(i));
end loop;
return result;
end;
function std_logic_to_bin_string(inp : std_logic)
return string
is
variable result : string(1 to 3);
begin
result(1) := '0';
result(2) := 'b';
result(3) := to_char(inp);
return result;
end;
function std_logic_vector_to_bin_string_w_point(inp : std_logic_vector; bin_pt : integer)
return string
is
variable width : integer := inp'length;
variable vec : std_logic_vector(width-1 downto 0);
variable str_pos : integer;
variable result : string(1 to width+3);
begin
vec := inp;
str_pos := 1;
result(str_pos) := '0';
str_pos := 2;
result(str_pos) := 'b';
str_pos := 3;
for i in width-1 downto 0 loop
if (((width+3) - bin_pt) = str_pos) then
result(str_pos) := '.';
str_pos := str_pos + 1;
end if;
result(str_pos) := to_char(vec(i));
str_pos := str_pos + 1;
end loop;
if (bin_pt = 0) then
result(str_pos) := '.';
end if;
return result;
end;
function real_to_bin_string(inp : real; width, bin_pt, arith : integer)
return string
is
variable result : string(1 to width);
variable vec : std_logic_vector(width-1 downto 0);
begin
vec := real_to_std_logic_vector(inp, width, bin_pt, arith);
result := std_logic_vector_to_bin_string(vec);
return result;
end;
function real_to_string (inp : real) return string
is
variable result : string(1 to display_precision) := (others => ' ');
begin
result(real'image(inp)'range) := real'image(inp);
return result;
end;
-- synopsys translate_on
end conv_pkg;
library IEEE;
use IEEE.std_logic_1164.all;
package clock_pkg is
-- synopsys translate_off
signal int_clk : std_logic;
-- synopsys translate_on
end clock_pkg;
-------------------------------------------------------------------
-- System Generator version 10.1.2 VHDL source file.
--
-- Copyright(C) 2008 by Xilinx, Inc. All rights reserved. This
-- text/file contains proprietary, confidential information of Xilinx,
-- Inc., is distributed under license from Xilinx, Inc., and may be used,
-- copied and/or disclosed only pursuant to the terms of a valid license
-- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use
-- this text/file solely for design, simulation, implementation and
-- creation of design files limited to Xilinx devices or technologies.
-- Use with non-Xilinx devices or technologies is expressly prohibited
-- and immediately terminates your license unless covered by a separate
-- agreement.
--
-- Xilinx is providing this design, code, or information "as is" solely
-- for use in developing programs and solutions for Xilinx devices. By
-- providing this design, code, or information as one possible
-- implementation of this feature, application or standard, Xilinx is
-- making no representation that this implementation is free from any
-- claims of infringement. You are responsible for obtaining any rights
-- you may require for your implementation. Xilinx expressly disclaims
-- any warranty whatsoever with respect to the adequacy of the
-- implementation, including but not limited to warranties of
-- merchantability or fitness for a particular purpose.
--
-- Xilinx products are not intended for use in life support appliances,
-- devices, or systems. Use in such applications is expressly prohibited.
--
-- Any modifications that are made to the source code are done at the user's
-- sole risk and will be unsupported.
--
-- This copyright and support notice must be retained as part of this
-- text at all times. (c) Copyright 1995-2008 Xilinx, Inc. All rights
-- reserved.
-------------------------------------------------------------------
-- synopsys translate_off
library unisim;
use unisim.vcomponents.all;
-- synopsys translate_on
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
entity srl17e is
generic (width : integer:=16;
latency : integer :=8);
port (clk : in std_logic;
ce : in std_logic;
d : in std_logic_vector(width-1 downto 0);
q : out std_logic_vector(width-1 downto 0));
end srl17e;
architecture structural of srl17e is
component SRL16E
port (D : in STD_ULOGIC;
CE : in STD_ULOGIC;
CLK : in STD_ULOGIC;
A0 : in STD_ULOGIC;
A1 : in STD_ULOGIC;
A2 : in STD_ULOGIC;
A3 : in STD_ULOGIC;
Q : out STD_ULOGIC);
end component;
attribute syn_black_box of SRL16E : component is true;
attribute fpga_dont_touch of SRL16E : component is "true";
component FDE
port(
Q : out STD_ULOGIC;
D : in STD_ULOGIC;
C : in STD_ULOGIC;
CE : in STD_ULOGIC);
end component;
attribute syn_black_box of FDE : component is true;
attribute fpga_dont_touch of FDE : component is "true";
constant a : std_logic_vector(4 downto 0) :=
integer_to_std_logic_vector(latency-2,5,xlSigned);
signal d_delayed : std_logic_vector(width-1 downto 0);
signal srl16_out : std_logic_vector(width-1 downto 0);
begin
d_delayed <= d after 200 ps;
reg_array : for i in 0 to width-1 generate
srl16_used: if latency > 1 generate
u1 : srl16e port map(clk => clk,
d => d_delayed(i),
q => srl16_out(i),
ce => ce,
a0 => a(0),
a1 => a(1),
a2 => a(2),
a3 => a(3));
end generate;
srl16_not_used: if latency <= 1 generate
srl16_out(i) <= d_delayed(i);
end generate;
fde_used: if latency /= 0 generate
u2 : fde port map(c => clk,
d => srl16_out(i),
q => q(i),
ce => ce);
end generate;
fde_not_used: if latency = 0 generate
q(i) <= srl16_out(i);
end generate;
end generate;
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
entity synth_reg is
generic (width : integer := 8;
latency : integer := 1);
port (i : in std_logic_vector(width-1 downto 0);
ce : in std_logic;
clr : in std_logic;
clk : in std_logic;
o : out std_logic_vector(width-1 downto 0));
end synth_reg;
architecture structural of synth_reg is
component srl17e
generic (width : integer:=16;
latency : integer :=8);
port (clk : in std_logic;
ce : in std_logic;
d : in std_logic_vector(width-1 downto 0);
q : out std_logic_vector(width-1 downto 0));
end component;
function calc_num_srl17es (latency : integer)
return integer
is
variable remaining_latency : integer;
variable result : integer;
begin
result := latency / 17;
remaining_latency := latency - (result * 17);
if (remaining_latency /= 0) then
result := result + 1;
end if;
return result;
end;
constant complete_num_srl17es : integer := latency / 17;
constant num_srl17es : integer := calc_num_srl17es(latency);
constant remaining_latency : integer := latency - (complete_num_srl17es * 17);
type register_array is array (num_srl17es downto 0) of
std_logic_vector(width-1 downto 0);
signal z : register_array;
begin
z(0) <= i;
complete_ones : if complete_num_srl17es > 0 generate
srl17e_array: for i in 0 to complete_num_srl17es-1 generate
delay_comp : srl17e
generic map (width => width,
latency => 17)
port map (clk => clk,
ce => ce,
d => z(i),
q => z(i+1));
end generate;
end generate;
partial_one : if remaining_latency > 0 generate
last_srl17e : srl17e
generic map (width => width,
latency => remaining_latency)
port map (clk => clk,
ce => ce,
d => z(num_srl17es-1),
q => z(num_srl17es));
end generate;
o <= z(num_srl17es);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
entity synth_reg_reg is
generic (width : integer := 8;
latency : integer := 1);
port (i : in std_logic_vector(width-1 downto 0);
ce : in std_logic;
clr : in std_logic;
clk : in std_logic;
o : out std_logic_vector(width-1 downto 0));
end synth_reg_reg;
architecture behav of synth_reg_reg is
type reg_array_type is array (latency-1 downto 0) of std_logic_vector(width -1 downto 0);
signal reg_bank : reg_array_type := (others => (others => '0'));
signal reg_bank_in : reg_array_type := (others => (others => '0'));
attribute syn_allow_retiming : boolean;
attribute syn_srlstyle : string;
attribute syn_allow_retiming of reg_bank : signal is true;
attribute syn_allow_retiming of reg_bank_in : signal is true;
attribute syn_srlstyle of reg_bank : signal is "registers";
attribute syn_srlstyle of reg_bank_in : signal is "registers";
begin
latency_eq_0: if latency = 0 generate
o <= i;
end generate latency_eq_0;
latency_gt_0: if latency >= 1 generate
o <= reg_bank(latency-1);
reg_bank_in(0) <= i;
loop_gen: for idx in latency-2 downto 0 generate
reg_bank_in(idx+1) <= reg_bank(idx);
end generate loop_gen;
sync_loop: for sync_idx in latency-1 downto 0 generate
sync_proc: process (clk)
begin
if clk'event and clk = '1' then
if ce = '1' then
reg_bank(sync_idx) <= reg_bank_in(sync_idx);
end if;
end if;
end process sync_proc;
end generate sync_loop;
end generate latency_gt_0;
end behav;
-------------------------------------------------------------------
-- System Generator version 10.1.2 VHDL source file.
--
-- Copyright(C) 2008 by Xilinx, Inc. All rights reserved. This
-- text/file contains proprietary, confidential information of Xilinx,
-- Inc., is distributed under license from Xilinx, Inc., and may be used,
-- copied and/or disclosed only pursuant to the terms of a valid license
-- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use
-- this text/file solely for design, simulation, implementation and
-- creation of design files limited to Xilinx devices or technologies.
-- Use with non-Xilinx devices or technologies is expressly prohibited
-- and immediately terminates your license unless covered by a separate
-- agreement.
--
-- Xilinx is providing this design, code, or information "as is" solely
-- for use in developing programs and solutions for Xilinx devices. By
-- providing this design, code, or information as one possible
-- implementation of this feature, application or standard, Xilinx is
-- making no representation that this implementation is free from any
-- claims of infringement. You are responsible for obtaining any rights
-- you may require for your implementation. Xilinx expressly disclaims
-- any warranty whatsoever with respect to the adequacy of the
-- implementation, including but not limited to warranties of
-- merchantability or fitness for a particular purpose.
--
-- Xilinx products are not intended for use in life support appliances,
-- devices, or systems. Use in such applications is expressly prohibited.
--
-- Any modifications that are made to the source code are done at the user's
-- sole risk and will be unsupported.
--
-- This copyright and support notice must be retained as part of this
-- text at all times. (c) Copyright 1995-2008 Xilinx, Inc. All rights
-- reserved.
-------------------------------------------------------------------
-- synopsys translate_off
library unisim;
use unisim.vcomponents.all;
-- synopsys translate_on
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
entity single_reg_w_init is
generic (
width: integer := 8;
init_index: integer := 0;
init_value: bit_vector := b"0000"
);
port (
i: in std_logic_vector(width - 1 downto 0);
ce: in std_logic;
clr: in std_logic;
clk: in std_logic;
o: out std_logic_vector(width - 1 downto 0)
);
end single_reg_w_init;
architecture structural of single_reg_w_init is
function build_init_const(width: integer;
init_index: integer;
init_value: bit_vector)
return std_logic_vector
is
variable result: std_logic_vector(width - 1 downto 0);
begin
if init_index = 0 then
result := (others => '0');
elsif init_index = 1 then
result := (others => '0');
result(0) := '1';
else
result := to_stdlogicvector(init_value);
end if;
return result;
end;
component fdre
port (
q: out std_ulogic;
d: in std_ulogic;
c: in std_ulogic;
ce: in std_ulogic;
r: in std_ulogic
);
end component;
attribute syn_black_box of fdre: component is true;
attribute fpga_dont_touch of fdre: component is "true";
component fdse
port (
q: out std_ulogic;
d: in std_ulogic;
c: in std_ulogic;
ce: in std_ulogic;
s: in std_ulogic
);
end component;
attribute syn_black_box of fdse: component is true;
attribute fpga_dont_touch of fdse: component is "true";
constant init_const: std_logic_vector(width - 1 downto 0)
:= build_init_const(width, init_index, init_value);
begin
fd_prim_array: for index in 0 to width - 1 generate
bit_is_0: if (init_const(index) = '0') generate
fdre_comp: fdre
port map (
c => clk,
d => i(index),
q => o(index),
ce => ce,
r => clr
);
end generate;
bit_is_1: if (init_const(index) = '1') generate
fdse_comp: fdse
port map (
c => clk,
d => i(index),
q => o(index),
ce => ce,
s => clr
);
end generate;
end generate;
end architecture structural;
-- synopsys translate_off
library unisim;
use unisim.vcomponents.all;
-- synopsys translate_on
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
entity synth_reg_w_init is
generic (
width: integer := 8;
init_index: integer := 0;
init_value: bit_vector := b"0000";
latency: integer := 1
);
port (
i: in std_logic_vector(width - 1 downto 0);
ce: in std_logic;
clr: in std_logic;
clk: in std_logic;
o: out std_logic_vector(width - 1 downto 0)
);
end synth_reg_w_init;
architecture structural of synth_reg_w_init is
component single_reg_w_init
generic (
width: integer := 8;
init_index: integer := 0;
init_value: bit_vector := b"0000"
);
port (
i: in std_logic_vector(width - 1 downto 0);
ce: in std_logic;
clr: in std_logic;
clk: in std_logic;
o: out std_logic_vector(width - 1 downto 0)
);
end component;
signal dly_i: std_logic_vector((latency + 1) * width - 1 downto 0);
signal dly_clr: std_logic;
begin
latency_eq_0: if (latency = 0) generate
o <= i;
end generate;
latency_gt_0: if (latency >= 1) generate
dly_i((latency + 1) * width - 1 downto latency * width) <= i
after 200 ps;
dly_clr <= clr after 200 ps;
fd_array: for index in latency downto 1 generate
reg_comp: single_reg_w_init
generic map (
width => width,
init_index => init_index,
init_value => init_value
)
port map (
clk => clk,
i => dly_i((index + 1) * width - 1 downto index * width),
o => dly_i(index * width - 1 downto (index - 1) * width),
ce => ce,
clr => dly_clr
);
end generate;
o <= dly_i(width - 1 downto 0);
end generate;
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity constant_963ed6358a is
port (
op : out std_logic_vector((1 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end constant_963ed6358a;
architecture behavior of constant_963ed6358a is
begin
op <= "0";
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity mcode_block_b389f41afb is
port (
plbrst : in std_logic_vector((1 - 1) downto 0);
plbabus : in std_logic_vector((32 - 1) downto 0);
plbpavalid : in std_logic_vector((1 - 1) downto 0);
plbrnw : in std_logic_vector((1 - 1) downto 0);
plbwrdbus : in std_logic_vector((32 - 1) downto 0);
rddata : in std_logic_vector((32 - 1) downto 0);
addrpref : in std_logic_vector((20 - 1) downto 0);
wrdbusreg : out std_logic_vector((32 - 1) downto 0);
addrack : out std_logic_vector((1 - 1) downto 0);
rdcomp : out std_logic_vector((1 - 1) downto 0);
wrdack : out std_logic_vector((1 - 1) downto 0);
bankaddr : out std_logic_vector((2 - 1) downto 0);
rnwreg : out std_logic_vector((1 - 1) downto 0);
rddack : out std_logic_vector((1 - 1) downto 0);
rddbus : out std_logic_vector((32 - 1) downto 0);
linearaddr : out std_logic_vector((8 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end mcode_block_b389f41afb;
architecture behavior of mcode_block_b389f41afb is
signal plbrst_2_20: unsigned((1 - 1) downto 0);
signal plbabus_2_28: unsigned((32 - 1) downto 0);
signal plbpavalid_2_37: unsigned((1 - 1) downto 0);
signal plbrnw_2_49: unsigned((1 - 1) downto 0);
signal plbwrdbus_2_57: unsigned((32 - 1) downto 0);
signal rddata_2_68: unsigned((32 - 1) downto 0);
signal addrpref_2_76: unsigned((20 - 1) downto 0);
signal plbrstreg_13_24_next: boolean;
signal plbrstreg_13_24: boolean := false;
signal plbabusreg_14_25_next: unsigned((32 - 1) downto 0);
signal plbabusreg_14_25: unsigned((32 - 1) downto 0) := "00000000000000000000000000000000";
signal plbpavalidreg_15_28_next: boolean;
signal plbpavalidreg_15_28: boolean := false;
signal plbrnwreg_16_24_next: unsigned((1 - 1) downto 0);
signal plbrnwreg_16_24: unsigned((1 - 1) downto 0) := "0";
signal plbwrdbusreg_17_27_next: unsigned((32 - 1) downto 0);
signal plbwrdbusreg_17_27: unsigned((32 - 1) downto 0) := "00000000000000000000000000000000";
signal avalidreg_29_23_next: boolean;
signal avalidreg_29_23: boolean := false;
signal ps1reg_40_20_next: boolean;
signal ps1reg_40_20: boolean := false;
signal psreg_48_19_next: boolean;
signal psreg_48_19: boolean := false;
type array_type_rdcompdelay_59_25 is array (0 to (3 - 1)) of unsigned((1 - 1) downto 0);
signal rdcompdelay_59_25: array_type_rdcompdelay_59_25 := (
"0",
"0",
"0");
signal rdcompdelay_59_25_front_din: unsigned((1 - 1) downto 0);
signal rdcompdelay_59_25_back: unsigned((1 - 1) downto 0);
signal rdcompdelay_59_25_push_front_pop_back_en: std_logic;
signal rdcompreg_63_23_next: unsigned((1 - 1) downto 0);
signal rdcompreg_63_23: unsigned((1 - 1) downto 0) := "0";
signal rddackreg_67_23_next: unsigned((1 - 1) downto 0);
signal rddackreg_67_23: unsigned((1 - 1) downto 0) := "0";
signal wrdackreg_71_23_next: unsigned((1 - 1) downto 0);
signal wrdackreg_71_23: unsigned((1 - 1) downto 0) := "0";
signal rddbusreg_85_23_next: unsigned((32 - 1) downto 0);
signal rddbusreg_85_23: unsigned((32 - 1) downto 0) := "00000000000000000000000000000000";
signal bankaddr_21_1_slice: unsigned((2 - 1) downto 0);
signal linearaddr_22_1_slice: unsigned((8 - 1) downto 0);
signal addrpref_in_33_1_slice: unsigned((20 - 1) downto 0);
signal rel_34_4: boolean;
signal ps1_join_34_1: boolean;
signal ps_43_1_bit: boolean;
signal bitnot_50_49: boolean;
signal bitnot_50_73: boolean;
signal bit_50_49: boolean;
signal addrack_50_1_convert: unsigned((1 - 1) downto 0);
signal bit_56_43: unsigned((1 - 1) downto 0);
signal bitnot_73_35: unsigned((1 - 1) downto 0);
signal wrdackreg_73_1_bit: unsigned((1 - 1) downto 0);
signal rdsel_77_1_bit: unsigned((1 - 1) downto 0);
signal rel_79_4: boolean;
signal rddbus1_join_79_1: unsigned((32 - 1) downto 0);
signal plbwrdbusreg_98_1_slice: unsigned((32 - 1) downto 0);
signal plbrstreg_13_24_next_x_000000: boolean;
signal plbpavalidreg_15_28_next_x_000000: boolean;
begin
plbrst_2_20 <= std_logic_vector_to_unsigned(plbrst);
plbabus_2_28 <= std_logic_vector_to_unsigned(plbabus);
plbpavalid_2_37 <= std_logic_vector_to_unsigned(plbpavalid);
plbrnw_2_49 <= std_logic_vector_to_unsigned(plbrnw);
plbwrdbus_2_57 <= std_logic_vector_to_unsigned(plbwrdbus);
rddata_2_68 <= std_logic_vector_to_unsigned(rddata);
addrpref_2_76 <= std_logic_vector_to_unsigned(addrpref);
proc_plbrstreg_13_24: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
plbrstreg_13_24 <= plbrstreg_13_24_next;
end if;
end if;
end process proc_plbrstreg_13_24;
proc_plbabusreg_14_25: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
plbabusreg_14_25 <= plbabusreg_14_25_next;
end if;
end if;
end process proc_plbabusreg_14_25;
proc_plbpavalidreg_15_28: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
plbpavalidreg_15_28 <= plbpavalidreg_15_28_next;
end if;
end if;
end process proc_plbpavalidreg_15_28;
proc_plbrnwreg_16_24: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
plbrnwreg_16_24 <= plbrnwreg_16_24_next;
end if;
end if;
end process proc_plbrnwreg_16_24;
proc_plbwrdbusreg_17_27: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
plbwrdbusreg_17_27 <= plbwrdbusreg_17_27_next;
end if;
end if;
end process proc_plbwrdbusreg_17_27;
proc_avalidreg_29_23: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
avalidreg_29_23 <= avalidreg_29_23_next;
end if;
end if;
end process proc_avalidreg_29_23;
proc_ps1reg_40_20: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
ps1reg_40_20 <= ps1reg_40_20_next;
end if;
end if;
end process proc_ps1reg_40_20;
proc_psreg_48_19: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
psreg_48_19 <= psreg_48_19_next;
end if;
end if;
end process proc_psreg_48_19;
rdcompdelay_59_25_back <= rdcompdelay_59_25(2);
proc_rdcompdelay_59_25: process (clk)
is
variable i: integer;
begin
if (clk'event and (clk = '1')) then
if ((ce = '1') and (rdcompdelay_59_25_push_front_pop_back_en = '1')) then
for i in 2 downto 1 loop
rdcompdelay_59_25(i) <= rdcompdelay_59_25(i-1);
end loop;
rdcompdelay_59_25(0) <= rdcompdelay_59_25_front_din;
end if;
end if;
end process proc_rdcompdelay_59_25;
proc_rdcompreg_63_23: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
rdcompreg_63_23 <= rdcompreg_63_23_next;
end if;
end if;
end process proc_rdcompreg_63_23;
proc_rddackreg_67_23: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
rddackreg_67_23 <= rddackreg_67_23_next;
end if;
end if;
end process proc_rddackreg_67_23;
proc_wrdackreg_71_23: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
wrdackreg_71_23 <= wrdackreg_71_23_next;
end if;
end if;
end process proc_wrdackreg_71_23;
proc_rddbusreg_85_23: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
rddbusreg_85_23 <= rddbusreg_85_23_next;
end if;
end if;
end process proc_rddbusreg_85_23;
bankaddr_21_1_slice <= u2u_slice(plbabusreg_14_25, 11, 10);
linearaddr_22_1_slice <= u2u_slice(plbabusreg_14_25, 9, 2);
addrpref_in_33_1_slice <= u2u_slice(plbabusreg_14_25, 31, 12);
rel_34_4 <= addrpref_in_33_1_slice = addrpref_2_76;
proc_if_34_1: process (rel_34_4)
is
begin
if rel_34_4 then
ps1_join_34_1 <= true;
else
ps1_join_34_1 <= false;
end if;
end process proc_if_34_1;
ps_43_1_bit <= ((boolean_to_vector(ps1_join_34_1) and boolean_to_vector(plbpavalidreg_15_28)) = "1");
bitnot_50_49 <= ((not boolean_to_vector(plbrstreg_13_24)) = "1");
bitnot_50_73 <= ((not boolean_to_vector(psreg_48_19)) = "1");
bit_50_49 <= ((boolean_to_vector(bitnot_50_49) and boolean_to_vector(ps_43_1_bit) and boolean_to_vector(bitnot_50_73)) = "1");
addrack_50_1_convert <= u2u_cast(std_logic_vector_to_unsigned(boolean_to_vector(bit_50_49)), 0, 1, 0);
bit_56_43 <= std_logic_vector_to_unsigned(unsigned_to_std_logic_vector(addrack_50_1_convert) and unsigned_to_std_logic_vector(plbrnwreg_16_24));
bitnot_73_35 <= std_logic_vector_to_unsigned(not unsigned_to_std_logic_vector(plbrnwreg_16_24));
wrdackreg_73_1_bit <= std_logic_vector_to_unsigned(unsigned_to_std_logic_vector(addrack_50_1_convert) and unsigned_to_std_logic_vector(bitnot_73_35));
rdsel_77_1_bit <= std_logic_vector_to_unsigned(unsigned_to_std_logic_vector(rdcompdelay_59_25_back) or unsigned_to_std_logic_vector(rdcompreg_63_23));
rel_79_4 <= rdsel_77_1_bit = std_logic_vector_to_unsigned("1");
proc_if_79_1: process (rddata_2_68, rel_79_4)
is
begin
if rel_79_4 then
rddbus1_join_79_1 <= rddata_2_68;
else
rddbus1_join_79_1 <= std_logic_vector_to_unsigned("00000000000000000000000000000000");
end if;
end process proc_if_79_1;
plbwrdbusreg_98_1_slice <= u2u_slice(plbwrdbus_2_57, 31, 0);
plbrstreg_13_24_next_x_000000 <= (plbrst_2_20 /= "0");
plbrstreg_13_24_next <= plbrstreg_13_24_next_x_000000;
plbabusreg_14_25_next <= plbabus_2_28;
plbpavalidreg_15_28_next_x_000000 <= (plbpavalid_2_37 /= "0");
plbpavalidreg_15_28_next <= plbpavalidreg_15_28_next_x_000000;
plbrnwreg_16_24_next <= plbrnw_2_49;
plbwrdbusreg_17_27_next <= plbwrdbusreg_98_1_slice;
avalidreg_29_23_next <= plbpavalidreg_15_28;
ps1reg_40_20_next <= ps1_join_34_1;
psreg_48_19_next <= ps_43_1_bit;
rdcompdelay_59_25_front_din <= bit_56_43;
rdcompdelay_59_25_push_front_pop_back_en <= '1';
rdcompreg_63_23_next <= rdcompdelay_59_25_back;
rddackreg_67_23_next <= rdcompreg_63_23;
wrdackreg_71_23_next <= wrdackreg_73_1_bit;
rddbusreg_85_23_next <= rddbus1_join_79_1;
wrdbusreg <= unsigned_to_std_logic_vector(plbwrdbusreg_17_27);
addrack <= unsigned_to_std_logic_vector(addrack_50_1_convert);
rdcomp <= unsigned_to_std_logic_vector(rdcompreg_63_23);
wrdack <= unsigned_to_std_logic_vector(wrdackreg_71_23);
bankaddr <= unsigned_to_std_logic_vector(bankaddr_21_1_slice);
rnwreg <= unsigned_to_std_logic_vector(plbrnwreg_16_24);
rddack <= unsigned_to_std_logic_vector(rddackreg_67_23);
rddbus <= unsigned_to_std_logic_vector(rddbusreg_85_23);
linearaddr <= unsigned_to_std_logic_vector(linearaddr_22_1_slice);
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity mcode_block_b59e0d51fc is
port (
wrdbus : in std_logic_vector((32 - 1) downto 0);
bankaddr : in std_logic_vector((2 - 1) downto 0);
linearaddr : in std_logic_vector((8 - 1) downto 0);
rnwreg : in std_logic_vector((1 - 1) downto 0);
addrack : in std_logic_vector((1 - 1) downto 0);
sm_timer0_timeleft : in std_logic_vector((32 - 1) downto 0);
sm_timer1_timeleft : in std_logic_vector((32 - 1) downto 0);
sm_timer2_timeleft : in std_logic_vector((32 - 1) downto 0);
sm_timer3_timeleft : in std_logic_vector((32 - 1) downto 0);
sm_timer_control_r : in std_logic_vector((32 - 1) downto 0);
sm_timer_status : in std_logic_vector((32 - 1) downto 0);
sm_timer0_countto : in std_logic_vector((32 - 1) downto 0);
sm_timer1_countto : in std_logic_vector((32 - 1) downto 0);
sm_timer2_countto : in std_logic_vector((32 - 1) downto 0);
sm_timer3_countto : in std_logic_vector((32 - 1) downto 0);
sm_timer_control_w : in std_logic_vector((32 - 1) downto 0);
read_bank_out : out std_logic_vector((32 - 1) downto 0);
sm_timer0_countto_din : out std_logic_vector((32 - 1) downto 0);
sm_timer0_countto_en : out std_logic_vector((1 - 1) downto 0);
sm_timer1_countto_din : out std_logic_vector((32 - 1) downto 0);
sm_timer1_countto_en : out std_logic_vector((1 - 1) downto 0);
sm_timer2_countto_din : out std_logic_vector((32 - 1) downto 0);
sm_timer2_countto_en : out std_logic_vector((1 - 1) downto 0);
sm_timer3_countto_din : out std_logic_vector((32 - 1) downto 0);
sm_timer3_countto_en : out std_logic_vector((1 - 1) downto 0);
sm_timer_control_w_din : out std_logic_vector((32 - 1) downto 0);
sm_timer_control_w_en : out std_logic_vector((1 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end mcode_block_b59e0d51fc;
architecture behavior of mcode_block_b59e0d51fc is
signal wrdbus_1_273: unsigned((32 - 1) downto 0);
signal bankaddr_1_281: unsigned((2 - 1) downto 0);
signal linearaddr_1_291: unsigned((8 - 1) downto 0);
signal rnwreg_1_303: unsigned((1 - 1) downto 0);
signal addrack_1_311: unsigned((1 - 1) downto 0);
signal sm_timer0_timeleft_1_320: unsigned((32 - 1) downto 0);
signal sm_timer1_timeleft_1_340: unsigned((32 - 1) downto 0);
signal sm_timer2_timeleft_1_360: unsigned((32 - 1) downto 0);
signal sm_timer3_timeleft_1_380: unsigned((32 - 1) downto 0);
signal sm_timer_control_r_1_400: unsigned((32 - 1) downto 0);
signal sm_timer_status_1_420: unsigned((32 - 1) downto 0);
signal sm_timer0_countto_1_437: unsigned((32 - 1) downto 0);
signal sm_timer1_countto_1_456: unsigned((32 - 1) downto 0);
signal sm_timer2_countto_1_475: unsigned((32 - 1) downto 0);
signal sm_timer3_countto_1_494: unsigned((32 - 1) downto 0);
signal sm_timer_control_w_1_513: unsigned((32 - 1) downto 0);
signal reg_bank_out_reg_47_30_next: unsigned((32 - 1) downto 0);
signal reg_bank_out_reg_47_30: unsigned((32 - 1) downto 0) := "00000000000000000000000000000000";
signal read_bank_out_reg_158_31_next: unsigned((32 - 1) downto 0);
signal read_bank_out_reg_158_31: unsigned((32 - 1) downto 0) := "00000000000000000000000000000000";
signal bankaddr_reg_161_26_next: unsigned((2 - 1) downto 0);
signal bankaddr_reg_161_26: unsigned((2 - 1) downto 0) := "00";
signal rel_50_4: boolean;
signal rel_52_8: boolean;
signal rel_54_8: boolean;
signal rel_56_8: boolean;
signal rel_58_8: boolean;
signal rel_60_8: boolean;
signal rel_62_8: boolean;
signal rel_64_8: boolean;
signal rel_66_8: boolean;
signal rel_68_8: boolean;
signal rel_70_8: boolean;
signal reg_bank_out_reg_join_50_1: unsigned((32 - 1) downto 0);
signal opcode_81_1_concat: unsigned((12 - 1) downto 0);
signal rel_102_4: boolean;
signal sm_timer0_countto_en_join_102_1: boolean;
signal rel_108_4: boolean;
signal sm_timer1_countto_en_join_108_1: boolean;
signal rel_114_4: boolean;
signal sm_timer2_countto_en_join_114_1: boolean;
signal rel_120_4: boolean;
signal sm_timer3_countto_en_join_120_1: boolean;
signal rel_126_4: boolean;
signal sm_timer_control_w_en_join_126_1: boolean;
signal slice_141_42: unsigned((32 - 1) downto 0);
signal slice_144_42: unsigned((32 - 1) downto 0);
signal slice_147_42: unsigned((32 - 1) downto 0);
signal slice_150_42: unsigned((32 - 1) downto 0);
signal slice_153_43: unsigned((32 - 1) downto 0);
signal rel_163_4: boolean;
signal rel_166_8: boolean;
signal rel_169_8: boolean;
signal rel_172_8: boolean;
signal read_bank_out_reg_join_163_1: unsigned((32 - 1) downto 0);
begin
wrdbus_1_273 <= std_logic_vector_to_unsigned(wrdbus);
bankaddr_1_281 <= std_logic_vector_to_unsigned(bankaddr);
linearaddr_1_291 <= std_logic_vector_to_unsigned(linearaddr);
rnwreg_1_303 <= std_logic_vector_to_unsigned(rnwreg);
addrack_1_311 <= std_logic_vector_to_unsigned(addrack);
sm_timer0_timeleft_1_320 <= std_logic_vector_to_unsigned(sm_timer0_timeleft);
sm_timer1_timeleft_1_340 <= std_logic_vector_to_unsigned(sm_timer1_timeleft);
sm_timer2_timeleft_1_360 <= std_logic_vector_to_unsigned(sm_timer2_timeleft);
sm_timer3_timeleft_1_380 <= std_logic_vector_to_unsigned(sm_timer3_timeleft);
sm_timer_control_r_1_400 <= std_logic_vector_to_unsigned(sm_timer_control_r);
sm_timer_status_1_420 <= std_logic_vector_to_unsigned(sm_timer_status);
sm_timer0_countto_1_437 <= std_logic_vector_to_unsigned(sm_timer0_countto);
sm_timer1_countto_1_456 <= std_logic_vector_to_unsigned(sm_timer1_countto);
sm_timer2_countto_1_475 <= std_logic_vector_to_unsigned(sm_timer2_countto);
sm_timer3_countto_1_494 <= std_logic_vector_to_unsigned(sm_timer3_countto);
sm_timer_control_w_1_513 <= std_logic_vector_to_unsigned(sm_timer_control_w);
proc_reg_bank_out_reg_47_30: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
reg_bank_out_reg_47_30 <= reg_bank_out_reg_47_30_next;
end if;
end if;
end process proc_reg_bank_out_reg_47_30;
proc_read_bank_out_reg_158_31: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
read_bank_out_reg_158_31 <= read_bank_out_reg_158_31_next;
end if;
end if;
end process proc_read_bank_out_reg_158_31;
proc_bankaddr_reg_161_26: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
bankaddr_reg_161_26 <= bankaddr_reg_161_26_next;
end if;
end if;
end process proc_bankaddr_reg_161_26;
rel_50_4 <= linearaddr_1_291 = std_logic_vector_to_unsigned("00000101");
rel_52_8 <= linearaddr_1_291 = std_logic_vector_to_unsigned("00000110");
rel_54_8 <= linearaddr_1_291 = std_logic_vector_to_unsigned("00000111");
rel_56_8 <= linearaddr_1_291 = std_logic_vector_to_unsigned("00001000");
rel_58_8 <= linearaddr_1_291 = std_logic_vector_to_unsigned("00001001");
rel_60_8 <= linearaddr_1_291 = std_logic_vector_to_unsigned("00001010");
rel_62_8 <= linearaddr_1_291 = std_logic_vector_to_unsigned("00000000");
rel_64_8 <= linearaddr_1_291 = std_logic_vector_to_unsigned("00000001");
rel_66_8 <= linearaddr_1_291 = std_logic_vector_to_unsigned("00000010");
rel_68_8 <= linearaddr_1_291 = std_logic_vector_to_unsigned("00000011");
rel_70_8 <= linearaddr_1_291 = std_logic_vector_to_unsigned("00000100");
proc_if_50_1: process (reg_bank_out_reg_47_30, rel_50_4, rel_52_8, rel_54_8, rel_56_8, rel_58_8, rel_60_8, rel_62_8, rel_64_8, rel_66_8, rel_68_8, rel_70_8, sm_timer0_countto_1_437, sm_timer0_timeleft_1_320, sm_timer1_countto_1_456, sm_timer1_timeleft_1_340, sm_timer2_countto_1_475, sm_timer2_timeleft_1_360, sm_timer3_countto_1_494, sm_timer3_timeleft_1_380, sm_timer_control_r_1_400, sm_timer_control_w_1_513, sm_timer_status_1_420)
is
begin
if rel_50_4 then
reg_bank_out_reg_join_50_1 <= sm_timer0_timeleft_1_320;
elsif rel_52_8 then
reg_bank_out_reg_join_50_1 <= sm_timer1_timeleft_1_340;
elsif rel_54_8 then
reg_bank_out_reg_join_50_1 <= sm_timer2_timeleft_1_360;
elsif rel_56_8 then
reg_bank_out_reg_join_50_1 <= sm_timer3_timeleft_1_380;
elsif rel_58_8 then
reg_bank_out_reg_join_50_1 <= sm_timer_control_r_1_400;
elsif rel_60_8 then
reg_bank_out_reg_join_50_1 <= sm_timer_status_1_420;
elsif rel_62_8 then
reg_bank_out_reg_join_50_1 <= sm_timer0_countto_1_437;
elsif rel_64_8 then
reg_bank_out_reg_join_50_1 <= sm_timer1_countto_1_456;
elsif rel_66_8 then
reg_bank_out_reg_join_50_1 <= sm_timer2_countto_1_475;
elsif rel_68_8 then
reg_bank_out_reg_join_50_1 <= sm_timer3_countto_1_494;
elsif rel_70_8 then
reg_bank_out_reg_join_50_1 <= sm_timer_control_w_1_513;
else
reg_bank_out_reg_join_50_1 <= reg_bank_out_reg_47_30;
end if;
end process proc_if_50_1;
opcode_81_1_concat <= std_logic_vector_to_unsigned(unsigned_to_std_logic_vector(addrack_1_311) & unsigned_to_std_logic_vector(rnwreg_1_303) & unsigned_to_std_logic_vector(bankaddr_1_281) & unsigned_to_std_logic_vector(linearaddr_1_291));
rel_102_4 <= opcode_81_1_concat = std_logic_vector_to_unsigned("101000000000");
proc_if_102_1: process (rel_102_4)
is
begin
if rel_102_4 then
sm_timer0_countto_en_join_102_1 <= true;
else
sm_timer0_countto_en_join_102_1 <= false;
end if;
end process proc_if_102_1;
rel_108_4 <= opcode_81_1_concat = std_logic_vector_to_unsigned("101000000001");
proc_if_108_1: process (rel_108_4)
is
begin
if rel_108_4 then
sm_timer1_countto_en_join_108_1 <= true;
else
sm_timer1_countto_en_join_108_1 <= false;
end if;
end process proc_if_108_1;
rel_114_4 <= opcode_81_1_concat = std_logic_vector_to_unsigned("101000000010");
proc_if_114_1: process (rel_114_4)
is
begin
if rel_114_4 then
sm_timer2_countto_en_join_114_1 <= true;
else
sm_timer2_countto_en_join_114_1 <= false;
end if;
end process proc_if_114_1;
rel_120_4 <= opcode_81_1_concat = std_logic_vector_to_unsigned("101000000011");
proc_if_120_1: process (rel_120_4)
is
begin
if rel_120_4 then
sm_timer3_countto_en_join_120_1 <= true;
else
sm_timer3_countto_en_join_120_1 <= false;
end if;
end process proc_if_120_1;
rel_126_4 <= opcode_81_1_concat = std_logic_vector_to_unsigned("101000000100");
proc_if_126_1: process (rel_126_4)
is
begin
if rel_126_4 then
sm_timer_control_w_en_join_126_1 <= true;
else
sm_timer_control_w_en_join_126_1 <= false;
end if;
end process proc_if_126_1;
slice_141_42 <= u2u_slice(wrdbus_1_273, 31, 0);
slice_144_42 <= u2u_slice(wrdbus_1_273, 31, 0);
slice_147_42 <= u2u_slice(wrdbus_1_273, 31, 0);
slice_150_42 <= u2u_slice(wrdbus_1_273, 31, 0);
slice_153_43 <= u2u_slice(wrdbus_1_273, 31, 0);
rel_163_4 <= bankaddr_reg_161_26 = std_logic_vector_to_unsigned("00");
rel_166_8 <= bankaddr_reg_161_26 = std_logic_vector_to_unsigned("01");
rel_169_8 <= bankaddr_reg_161_26 = std_logic_vector_to_unsigned("10");
rel_172_8 <= bankaddr_reg_161_26 = std_logic_vector_to_unsigned("11");
proc_if_163_1: process (read_bank_out_reg_158_31, reg_bank_out_reg_47_30, rel_163_4, rel_166_8, rel_169_8, rel_172_8)
is
begin
if rel_163_4 then
read_bank_out_reg_join_163_1 <= std_logic_vector_to_unsigned("00000000000000000000000000000000");
elsif rel_166_8 then
read_bank_out_reg_join_163_1 <= std_logic_vector_to_unsigned("00000000000000000000000000000000");
elsif rel_169_8 then
read_bank_out_reg_join_163_1 <= reg_bank_out_reg_47_30;
elsif rel_172_8 then
read_bank_out_reg_join_163_1 <= std_logic_vector_to_unsigned("00000000000000000000000000000000");
else
read_bank_out_reg_join_163_1 <= read_bank_out_reg_158_31;
end if;
end process proc_if_163_1;
reg_bank_out_reg_47_30_next <= reg_bank_out_reg_join_50_1;
read_bank_out_reg_158_31_next <= read_bank_out_reg_join_163_1;
bankaddr_reg_161_26_next <= bankaddr_1_281;
read_bank_out <= unsigned_to_std_logic_vector(read_bank_out_reg_158_31);
sm_timer0_countto_din <= unsigned_to_std_logic_vector(slice_141_42);
sm_timer0_countto_en <= boolean_to_vector(sm_timer0_countto_en_join_102_1);
sm_timer1_countto_din <= unsigned_to_std_logic_vector(slice_144_42);
sm_timer1_countto_en <= boolean_to_vector(sm_timer1_countto_en_join_108_1);
sm_timer2_countto_din <= unsigned_to_std_logic_vector(slice_147_42);
sm_timer2_countto_en <= boolean_to_vector(sm_timer2_countto_en_join_114_1);
sm_timer3_countto_din <= unsigned_to_std_logic_vector(slice_150_42);
sm_timer3_countto_en <= boolean_to_vector(sm_timer3_countto_en_join_120_1);
sm_timer_control_w_din <= unsigned_to_std_logic_vector(slice_153_43);
sm_timer_control_w_en <= boolean_to_vector(sm_timer_control_w_en_join_126_1);
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity inverter_e5b38cca3b is
port (
ip : in std_logic_vector((1 - 1) downto 0);
op : out std_logic_vector((1 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end inverter_e5b38cca3b;
architecture behavior of inverter_e5b38cca3b is
signal ip_1_26: boolean;
type array_type_op_mem_22_20 is array (0 to (1 - 1)) of boolean;
signal op_mem_22_20: array_type_op_mem_22_20 := (
0 => false);
signal op_mem_22_20_front_din: boolean;
signal op_mem_22_20_back: boolean;
signal op_mem_22_20_push_front_pop_back_en: std_logic;
signal internal_ip_12_1_bitnot: boolean;
begin
ip_1_26 <= ((ip) = "1");
op_mem_22_20_back <= op_mem_22_20(0);
proc_op_mem_22_20: process (clk)
is
variable i: integer;
begin
if (clk'event and (clk = '1')) then
if ((ce = '1') and (op_mem_22_20_push_front_pop_back_en = '1')) then
op_mem_22_20(0) <= op_mem_22_20_front_din;
end if;
end if;
end process proc_op_mem_22_20;
internal_ip_12_1_bitnot <= ((not boolean_to_vector(ip_1_26)) = "1");
op_mem_22_20_push_front_pop_back_en <= '0';
op <= boolean_to_vector(internal_ip_12_1_bitnot);
end behavior;
-------------------------------------------------------------------
-- System Generator version 10.1.2 VHDL source file.
--
-- Copyright(C) 2008 by Xilinx, Inc. All rights reserved. This
-- text/file contains proprietary, confidential information of Xilinx,
-- Inc., is distributed under license from Xilinx, Inc., and may be used,
-- copied and/or disclosed only pursuant to the terms of a valid license
-- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use
-- this text/file solely for design, simulation, implementation and
-- creation of design files limited to Xilinx devices or technologies.
-- Use with non-Xilinx devices or technologies is expressly prohibited
-- and immediately terminates your license unless covered by a separate
-- agreement.
--
-- Xilinx is providing this design, code, or information "as is" solely
-- for use in developing programs and solutions for Xilinx devices. By
-- providing this design, code, or information as one possible
-- implementation of this feature, application or standard, Xilinx is
-- making no representation that this implementation is free from any
-- claims of infringement. You are responsible for obtaining any rights
-- you may require for your implementation. Xilinx expressly disclaims
-- any warranty whatsoever with respect to the adequacy of the
-- implementation, including but not limited to warranties of
-- merchantability or fitness for a particular purpose.
--
-- Xilinx products are not intended for use in life support appliances,
-- devices, or systems. Use in such applications is expressly prohibited.
--
-- Any modifications that are made to the source code are done at the user's
-- sole risk and will be unsupported.
--
-- This copyright and support notice must be retained as part of this
-- text at all times. (c) Copyright 1995-2008 Xilinx, Inc. All rights
-- reserved.
-------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
entity xlregister is
generic (d_width : integer := 5;
init_value : bit_vector := b"00");
port (d : in std_logic_vector (d_width-1 downto 0);
rst : in std_logic_vector(0 downto 0) := "0";
en : in std_logic_vector(0 downto 0) := "1";
ce : in std_logic;
clk : in std_logic;
q : out std_logic_vector (d_width-1 downto 0));
end xlregister;
architecture behavior of xlregister is
component synth_reg_w_init
generic (width : integer;
init_index : integer;
init_value : bit_vector;
latency : integer);
port (i : in std_logic_vector(width-1 downto 0);
ce : in std_logic;
clr : in std_logic;
clk : in std_logic;
o : out std_logic_vector(width-1 downto 0));
end component;
-- synopsys translate_off
signal real_d, real_q : real;
-- synopsys translate_on
signal internal_clr : std_logic;
signal internal_ce : std_logic;
begin
internal_clr <= rst(0) and ce;
internal_ce <= en(0) and ce;
synth_reg_inst : synth_reg_w_init
generic map (width => d_width,
init_index => 2,
init_value => init_value,
latency => 1)
port map (i => d,
ce => internal_ce,
clr => internal_clr,
clk => clk,
o => q);
end architecture behavior;
-------------------------------------------------------------------
-- System Generator version 10.1.2 VHDL source file.
--
-- Copyright(C) 2008 by Xilinx, Inc. All rights reserved. This
-- text/file contains proprietary, confidential information of Xilinx,
-- Inc., is distributed under license from Xilinx, Inc., and may be used,
-- copied and/or disclosed only pursuant to the terms of a valid license
-- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use
-- this text/file solely for design, simulation, implementation and
-- creation of design files limited to Xilinx devices or technologies.
-- Use with non-Xilinx devices or technologies is expressly prohibited
-- and immediately terminates your license unless covered by a separate
-- agreement.
--
-- Xilinx is providing this design, code, or information "as is" solely
-- for use in developing programs and solutions for Xilinx devices. By
-- providing this design, code, or information as one possible
-- implementation of this feature, application or standard, Xilinx is
-- making no representation that this implementation is free from any
-- claims of infringement. You are responsible for obtaining any rights
-- you may require for your implementation. Xilinx expressly disclaims
-- any warranty whatsoever with respect to the adequacy of the
-- implementation, including but not limited to warranties of
-- merchantability or fitness for a particular purpose.
--
-- Xilinx products are not intended for use in life support appliances,
-- devices, or systems. Use in such applications is expressly prohibited.
--
-- Any modifications that are made to the source code are done at the user's
-- sole risk and will be unsupported.
--
-- This copyright and support notice must be retained as part of this
-- text at all times. (c) Copyright 1995-2008 Xilinx, Inc. All rights
-- reserved.
-------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
entity xldelay is
generic(width : integer := -1;
latency : integer := -1;
reg_retiming : integer := 0);
port(d : in std_logic_vector (width-1 downto 0);
ce : in std_logic;
clk : in std_logic;
en : in std_logic;
q : out std_logic_vector (width-1 downto 0));
end xldelay;
architecture behavior of xldelay is
component synth_reg
generic (width : integer;
latency : integer);
port (i : in std_logic_vector(width-1 downto 0);
ce : in std_logic;
clr : in std_logic;
clk : in std_logic;
o : out std_logic_vector(width-1 downto 0));
end component;
component synth_reg_reg
generic (width : integer;
latency : integer);
port (i : in std_logic_vector(width-1 downto 0);
ce : in std_logic;
clr : in std_logic;
clk : in std_logic;
o : out std_logic_vector(width-1 downto 0));
end component;
signal internal_ce : std_logic;
begin
internal_ce <= ce and en;
srl_delay: if (reg_retiming = 0) or (latency < 1) generate
synth_reg_srl_inst : synth_reg
generic map (
width => width,
latency => latency)
port map (
i => d,
ce => internal_ce,
clr => '0',
clk => clk,
o => q);
end generate srl_delay;
reg_delay: if (reg_retiming = 1) and (latency >= 1) generate
synth_reg_reg_inst : synth_reg_reg
generic map (
width => width,
latency => latency)
port map (
i => d,
ce => internal_ce,
clr => '0',
clk => clk,
o => q);
end generate reg_delay;
end architecture behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity logical_80f90b97d0 is
port (
d0 : in std_logic_vector((1 - 1) downto 0);
d1 : in std_logic_vector((1 - 1) downto 0);
y : out std_logic_vector((1 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end logical_80f90b97d0;
architecture behavior of logical_80f90b97d0 is
signal d0_1_24: std_logic;
signal d1_1_27: std_logic;
signal fully_2_1_bit: std_logic;
begin
d0_1_24 <= d0(0);
d1_1_27 <= d1(0);
fully_2_1_bit <= d0_1_24 and d1_1_27;
y <= std_logic_to_vector(fully_2_1_bit);
end behavior;
-------------------------------------------------------------------
-- System Generator version 10.1.2 VHDL source file.
--
-- Copyright(C) 2008 by Xilinx, Inc. All rights reserved. This
-- text/file contains proprietary, confidential information of Xilinx,
-- Inc., is distributed under license from Xilinx, Inc., and may be used,
-- copied and/or disclosed only pursuant to the terms of a valid license
-- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use
-- this text/file solely for design, simulation, implementation and
-- creation of design files limited to Xilinx devices or technologies.
-- Use with non-Xilinx devices or technologies is expressly prohibited
-- and immediately terminates your license unless covered by a separate
-- agreement.
--
-- Xilinx is providing this design, code, or information "as is" solely
-- for use in developing programs and solutions for Xilinx devices. By
-- providing this design, code, or information as one possible
-- implementation of this feature, application or standard, Xilinx is
-- making no representation that this implementation is free from any
-- claims of infringement. You are responsible for obtaining any rights
-- you may require for your implementation. Xilinx expressly disclaims
-- any warranty whatsoever with respect to the adequacy of the
-- implementation, including but not limited to warranties of
-- merchantability or fitness for a particular purpose.
--
-- Xilinx products are not intended for use in life support appliances,
-- devices, or systems. Use in such applications is expressly prohibited.
--
-- Any modifications that are made to the source code are done at the user's
-- sole risk and will be unsupported.
--
-- This copyright and support notice must be retained as part of this
-- text at all times. (c) Copyright 1995-2008 Xilinx, Inc. All rights
-- reserved.
-------------------------------------------------------------------
-- synopsys translate_off
library XilinxCoreLib;
-- synopsys translate_on
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use work.conv_pkg.all;
entity xladdsub is
generic (
core_name0: string := "";
a_width: integer := 16;
a_bin_pt: integer := 4;
a_arith: integer := xlUnsigned;
c_in_width: integer := 16;
c_in_bin_pt: integer := 4;
c_in_arith: integer := xlUnsigned;
c_out_width: integer := 16;
c_out_bin_pt: integer := 4;
c_out_arith: integer := xlUnsigned;
b_width: integer := 8;
b_bin_pt: integer := 2;
b_arith: integer := xlUnsigned;
s_width: integer := 17;
s_bin_pt: integer := 4;
s_arith: integer := xlUnsigned;
rst_width: integer := 1;
rst_bin_pt: integer := 0;
rst_arith: integer := xlUnsigned;
en_width: integer := 1;
en_bin_pt: integer := 0;
en_arith: integer := xlUnsigned;
full_s_width: integer := 17;
full_s_arith: integer := xlUnsigned;
mode: integer := xlAddMode;
extra_registers: integer := 0;
latency: integer := 0;
quantization: integer := xlTruncate;
overflow: integer := xlWrap;
c_latency: integer := 0;
c_output_width: integer := 17;
c_has_q : integer := 1;
c_has_s : integer := 0;
c_has_c_out : integer := 0;
c_has_q_c_out : integer := 0;
c_has_b_out : integer := 0;
c_has_q_b_out : integer := 0;
c_has_q_ovfl : integer := 0;
c_has_ovfl : integer := 0
);
port (
a: in std_logic_vector(a_width - 1 downto 0);
b: in std_logic_vector(b_width - 1 downto 0);
c_in : in std_logic_vector (0 downto 0) := "0";
ce: in std_logic;
clr: in std_logic := '0';
clk: in std_logic;
rst: in std_logic_vector(rst_width - 1 downto 0) := "0";
en: in std_logic_vector(en_width - 1 downto 0) := "1";
c_out : out std_logic_vector (0 downto 0);
s: out std_logic_vector(s_width - 1 downto 0)
);
end xladdsub ;
architecture behavior of xladdsub is
component synth_reg
generic (
width: integer := 16;
latency: integer := 5
);
port (
i: in std_logic_vector(width - 1 downto 0);
ce: in std_logic;
clr: in std_logic;
clk: in std_logic;
o: out std_logic_vector(width - 1 downto 0)
);
end component;
function format_input(inp: std_logic_vector; old_width, delta, new_arith,
new_width: integer)
return std_logic_vector
is
variable vec: std_logic_vector(old_width-1 downto 0);
variable padded_inp: std_logic_vector((old_width + delta)-1 downto 0);
variable result: std_logic_vector(new_width-1 downto 0);
begin
vec := inp;
if delta > 0 then
padded_inp := pad_LSB(vec, old_width+delta);
result := extend_MSB(padded_inp, new_width, new_arith);
else
result := extend_MSB(vec, new_width, new_arith);
end if;
return result;
end;
constant full_s_bin_pt: integer := fractional_bits(a_bin_pt, b_bin_pt);
constant full_a_width: integer := full_s_width;
constant full_b_width: integer := full_s_width;
signal full_a: std_logic_vector(full_a_width - 1 downto 0);
signal full_b: std_logic_vector(full_b_width - 1 downto 0);
signal core_s: std_logic_vector(full_s_width - 1 downto 0);
signal conv_s: std_logic_vector(s_width - 1 downto 0);
signal temp_cout : std_logic;
signal internal_clr: std_logic;
signal internal_ce: std_logic;
signal extra_reg_ce: std_logic;
signal override: std_logic;
signal logic1: std_logic_vector(0 downto 0);
component adder_subtracter_virtex2p_7_0_f7ee8f7e7f94515e
port (
a: in std_logic_vector( 33 - 1 downto 0);
s: out std_logic_vector(c_output_width - 1 downto 0);
b: in std_logic_vector(33 - 1 downto 0)
);
end component;
attribute syn_black_box of adder_subtracter_virtex2p_7_0_f7ee8f7e7f94515e:
component is true;
attribute fpga_dont_touch of adder_subtracter_virtex2p_7_0_f7ee8f7e7f94515e:
component is "true";
attribute box_type of adder_subtracter_virtex2p_7_0_f7ee8f7e7f94515e:
component is "black_box";
begin
internal_clr <= (clr or (rst(0))) and ce;
internal_ce <= ce and en(0);
logic1(0) <= '1';
addsub_process: process(a, b, core_s)
begin
full_a <= format_input(a, a_width, b_bin_pt - a_bin_pt, a_arith,
full_a_width);
full_b <= format_input(b, b_width, a_bin_pt - b_bin_pt, b_arith,
full_b_width);
conv_s <= convert_type(core_s, full_s_width, full_s_bin_pt, full_s_arith,
s_width, s_bin_pt, s_arith, quantization, overflow);
end process addsub_process;
comp0: if ((core_name0 = "adder_subtracter_virtex2p_7_0_f7ee8f7e7f94515e")) generate
core_instance0: adder_subtracter_virtex2p_7_0_f7ee8f7e7f94515e
port map (
a => full_a,
s => core_s,
b => full_b
);
end generate;
latency_test: if (extra_registers > 0) generate
override_test: if (c_latency > 1) generate
override_pipe: synth_reg
generic map (
width => 1,
latency => c_latency)
port map (
i => logic1,
ce => internal_ce,
clr => internal_clr,
clk => clk,
o(0) => override);
extra_reg_ce <= ce and en(0) and override;
end generate override_test;
no_override: if (c_latency = 0) or (c_latency = 1) generate
extra_reg_ce <= ce and en(0);
end generate no_override;
extra_reg: synth_reg
generic map (
width => s_width,
latency => extra_registers
)
port map (
i => conv_s,
ce => extra_reg_ce,
clr => internal_clr,
clk => clk,
o => s
);
cout_test : if((c_has_c_out = 1) or
(c_has_b_out = 1) or
(c_has_q_c_out = 1) or
(c_has_q_b_out = 1)) generate
c_out_extra_reg: synth_reg
generic map (
width => 1,
latency => extra_registers
)
port map (
i(0) => temp_cout,
ce => extra_reg_ce,
clr => internal_clr,
clk => clk,
o => c_out
);
end generate cout_test;
end generate;
latency_s: if ((latency = 0) or (extra_registers = 0)) generate
s <= conv_s;
end generate latency_s;
latency0: if ( ((latency = 0) or (extra_registers = 0)) and
((c_has_b_out = 1) or
(c_has_q_c_out = 1) or
(c_has_c_out = 1) or
(c_has_q_b_out = 1))) generate
c_out(0) <= temp_cout;
end generate latency0;
tie_dangling_cout: if ((c_has_c_out = 0) and
(c_has_b_out = 0) and
(c_has_q_c_out = 0) and
(c_has_q_b_out = 0)) generate
c_out <= "0";
end generate tie_dangling_cout;
end architecture behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity constant_6293007044 is
port (
op : out std_logic_vector((1 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end constant_6293007044;
architecture behavior of constant_6293007044 is
begin
op <= "1";
end behavior;
-------------------------------------------------------------------
-- System Generator version 10.1.2 VHDL source file.
--
-- Copyright(C) 2008 by Xilinx, Inc. All rights reserved. This
-- text/file contains proprietary, confidential information of Xilinx,
-- Inc., is distributed under license from Xilinx, Inc., and may be used,
-- copied and/or disclosed only pursuant to the terms of a valid license
-- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use
-- this text/file solely for design, simulation, implementation and
-- creation of design files limited to Xilinx devices or technologies.
-- Use with non-Xilinx devices or technologies is expressly prohibited
-- and immediately terminates your license unless covered by a separate
-- agreement.
--
-- Xilinx is providing this design, code, or information "as is" solely
-- for use in developing programs and solutions for Xilinx devices. By
-- providing this design, code, or information as one possible
-- implementation of this feature, application or standard, Xilinx is
-- making no representation that this implementation is free from any
-- claims of infringement. You are responsible for obtaining any rights
-- you may require for your implementation. Xilinx expressly disclaims
-- any warranty whatsoever with respect to the adequacy of the
-- implementation, including but not limited to warranties of
-- merchantability or fitness for a particular purpose.
--
-- Xilinx products are not intended for use in life support appliances,
-- devices, or systems. Use in such applications is expressly prohibited.
--
-- Any modifications that are made to the source code are done at the user's
-- sole risk and will be unsupported.
--
-- This copyright and support notice must be retained as part of this
-- text at all times. (c) Copyright 1995-2008 Xilinx, Inc. All rights
-- reserved.
-------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
entity convert_func_call is
generic (
din_width : integer := 16;
din_bin_pt : integer := 4;
din_arith : integer := xlUnsigned;
dout_width : integer := 8;
dout_bin_pt : integer := 2;
dout_arith : integer := xlUnsigned;
quantization : integer := xlTruncate;
overflow : integer := xlWrap);
port (
din : in std_logic_vector (din_width-1 downto 0);
result : out std_logic_vector (dout_width-1 downto 0));
end convert_func_call;
architecture behavior of convert_func_call is
begin
result <= convert_type(din, din_width, din_bin_pt, din_arith,
dout_width, dout_bin_pt, dout_arith,
quantization, overflow);
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
entity xlconvert is
generic (
din_width : integer := 16;
din_bin_pt : integer := 4;
din_arith : integer := xlUnsigned;
dout_width : integer := 8;
dout_bin_pt : integer := 2;
dout_arith : integer := xlUnsigned;
bool_conversion : integer :=0;
latency : integer := 0;
quantization : integer := xlTruncate;
overflow : integer := xlWrap);
port (
din : in std_logic_vector (din_width-1 downto 0);
ce : in std_logic;
clr : in std_logic;
clk : in std_logic;
dout : out std_logic_vector (dout_width-1 downto 0));
end xlconvert;
architecture behavior of xlconvert is
component synth_reg
generic (width : integer;
latency : integer);
port (i : in std_logic_vector(width-1 downto 0);
ce : in std_logic;
clr : in std_logic;
clk : in std_logic;
o : out std_logic_vector(width-1 downto 0));
end component;
component convert_func_call
generic (
din_width : integer := 16;
din_bin_pt : integer := 4;
din_arith : integer := xlUnsigned;
dout_width : integer := 8;
dout_bin_pt : integer := 2;
dout_arith : integer := xlUnsigned;
quantization : integer := xlTruncate;
overflow : integer := xlWrap);
port (
din : in std_logic_vector (din_width-1 downto 0);
result : out std_logic_vector (dout_width-1 downto 0));
end component;
-- synopsys translate_off
signal real_din, real_dout : real;
-- synopsys translate_on
signal result : std_logic_vector(dout_width-1 downto 0);
begin
-- synopsys translate_off
-- synopsys translate_on
bool_conversion_generate : if (bool_conversion = 1)
generate
result <= din;
end generate;
std_conversion_generate : if (bool_conversion = 0)
generate
convert : convert_func_call
generic map (
din_width => din_width,
din_bin_pt => din_bin_pt,
din_arith => din_arith,
dout_width => dout_width,
dout_bin_pt => dout_bin_pt,
dout_arith => dout_arith,
quantization => quantization,
overflow => overflow)
port map (
din => din,
result => result);
end generate;
latency_test : if (latency > 0)
generate
reg : synth_reg
generic map ( width => dout_width,
latency => latency)
port map (i => result,
ce => ce,
clr => clr,
clk => clk,
o => dout);
end generate;
latency0 : if (latency = 0)
generate
dout <= result;
end generate latency0;
end behavior;
-------------------------------------------------------------------
-- System Generator version 10.1.2 VHDL source file.
--
-- Copyright(C) 2008 by Xilinx, Inc. All rights reserved. This
-- text/file contains proprietary, confidential information of Xilinx,
-- Inc., is distributed under license from Xilinx, Inc., and may be used,
-- copied and/or disclosed only pursuant to the terms of a valid license
-- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use
-- this text/file solely for design, simulation, implementation and
-- creation of design files limited to Xilinx devices or technologies.
-- Use with non-Xilinx devices or technologies is expressly prohibited
-- and immediately terminates your license unless covered by a separate
-- agreement.
--
-- Xilinx is providing this design, code, or information "as is" solely
-- for use in developing programs and solutions for Xilinx devices. By
-- providing this design, code, or information as one possible
-- implementation of this feature, application or standard, Xilinx is
-- making no representation that this implementation is free from any
-- claims of infringement. You are responsible for obtaining any rights
-- you may require for your implementation. Xilinx expressly disclaims
-- any warranty whatsoever with respect to the adequacy of the
-- implementation, including but not limited to warranties of
-- merchantability or fitness for a particular purpose.
--
-- Xilinx products are not intended for use in life support appliances,
-- devices, or systems. Use in such applications is expressly prohibited.
--
-- Any modifications that are made to the source code are done at the user's
-- sole risk and will be unsupported.
--
-- This copyright and support notice must be retained as part of this
-- text at all times. (c) Copyright 1995-2008 Xilinx, Inc. All rights
-- reserved.
-------------------------------------------------------------------
-- synopsys translate_off
library XilinxCoreLib;
-- synopsys translate_on
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
entity xlcounter_free is
generic (
core_name0: string := "";
op_width: integer := 5;
op_arith: integer := xlSigned
);
port (
ce: in std_logic;
clr: in std_logic;
clk: in std_logic;
op: out std_logic_vector(op_width - 1 downto 0);
up: in std_logic_vector(0 downto 0) := (others => '0');
load: in std_logic_vector(0 downto 0) := (others => '0');
din: in std_logic_vector(op_width - 1 downto 0) := (others => '0');
en: in std_logic_vector(0 downto 0);
rst: in std_logic_vector(0 downto 0)
);
end xlcounter_free ;
architecture behavior of xlcounter_free is
component binary_counter_virtex2p_7_0_b57302a6bcbb6876
port (
clk: in std_logic;
ce: in std_logic;
sinit: in std_logic;
q: out std_logic_vector(op_width - 1 downto 0)
);
end component;
attribute syn_black_box of binary_counter_virtex2p_7_0_b57302a6bcbb6876:
component is true;
attribute fpga_dont_touch of binary_counter_virtex2p_7_0_b57302a6bcbb6876:
component is "true";
attribute box_type of binary_counter_virtex2p_7_0_b57302a6bcbb6876:
component is "black_box";
-- synopsys translate_off
constant zeroVec: std_logic_vector(op_width - 1 downto 0) := (others => '0');
constant oneVec: std_logic_vector(op_width - 1 downto 0) := (others => '1');
constant zeroStr: string(1 to op_width) :=
std_logic_vector_to_bin_string(zeroVec);
constant oneStr: string(1 to op_width) :=
std_logic_vector_to_bin_string(oneVec);
-- synopsys translate_on
signal core_sinit: std_logic;
signal core_ce: std_logic;
signal op_net: std_logic_vector(op_width - 1 downto 0);
begin
core_ce <= ce and en(0);
core_sinit <= (clr or rst(0)) and ce;
op <= op_net;
comp0: if ((core_name0 = "binary_counter_virtex2p_7_0_b57302a6bcbb6876")) generate
core_instance0: binary_counter_virtex2p_7_0_b57302a6bcbb6876
port map (
clk => clk,
ce => core_ce,
sinit => core_sinit,
q => op_net
);
end generate;
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity logical_6cb8f0ce02 is
port (
d0 : in std_logic_vector((1 - 1) downto 0);
d1 : in std_logic_vector((1 - 1) downto 0);
d2 : in std_logic_vector((1 - 1) downto 0);
y : out std_logic_vector((1 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end logical_6cb8f0ce02;
architecture behavior of logical_6cb8f0ce02 is
signal d0_1_24: std_logic;
signal d1_1_27: std_logic;
signal d2_1_30: std_logic;
signal fully_2_1_bit: std_logic;
begin
d0_1_24 <= d0(0);
d1_1_27 <= d1(0);
d2_1_30 <= d2(0);
fully_2_1_bit <= d0_1_24 or d1_1_27 or d2_1_30;
y <= std_logic_to_vector(fully_2_1_bit);
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity logical_aacf6e1b0e is
port (
d0 : in std_logic_vector((1 - 1) downto 0);
d1 : in std_logic_vector((1 - 1) downto 0);
y : out std_logic_vector((1 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end logical_aacf6e1b0e;
architecture behavior of logical_aacf6e1b0e is
signal d0_1_24: std_logic;
signal d1_1_27: std_logic;
signal fully_2_1_bit: std_logic;
begin
d0_1_24 <= d0(0);
d1_1_27 <= d1(0);
fully_2_1_bit <= d0_1_24 or d1_1_27;
y <= std_logic_to_vector(fully_2_1_bit);
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity mux_112ed141f4 is
port (
sel : in std_logic_vector((1 - 1) downto 0);
d0 : in std_logic_vector((1 - 1) downto 0);
d1 : in std_logic_vector((1 - 1) downto 0);
y : out std_logic_vector((1 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end mux_112ed141f4;
architecture behavior of mux_112ed141f4 is
signal sel_1_20: std_logic;
signal d0_1_24: std_logic_vector((1 - 1) downto 0);
signal d1_1_27: std_logic_vector((1 - 1) downto 0);
signal sel_internal_2_1_convert: std_logic_vector((1 - 1) downto 0);
signal unregy_join_6_1: std_logic_vector((1 - 1) downto 0);
begin
sel_1_20 <= sel(0);
d0_1_24 <= d0;
d1_1_27 <= d1;
sel_internal_2_1_convert <= cast(std_logic_to_vector(sel_1_20), 0, 1, 0, xlUnsigned);
proc_switch_6_1: process (d0_1_24, d1_1_27, sel_internal_2_1_convert)
is
begin
case sel_internal_2_1_convert is
when "0" =>
unregy_join_6_1 <= d0_1_24;
when others =>
unregy_join_6_1 <= d1_1_27;
end case;
end process proc_switch_6_1;
y <= unregy_join_6_1;
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity relational_3ffd1d0a40 is
port (
a : in std_logic_vector((32 - 1) downto 0);
b : in std_logic_vector((32 - 1) downto 0);
op : out std_logic_vector((1 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end relational_3ffd1d0a40;
architecture behavior of relational_3ffd1d0a40 is
signal a_1_31: unsigned((32 - 1) downto 0);
signal b_1_34: unsigned((32 - 1) downto 0);
signal result_12_3_rel: boolean;
begin
a_1_31 <= std_logic_vector_to_unsigned(a);
b_1_34 <= std_logic_vector_to_unsigned(b);
result_12_3_rel <= a_1_31 = b_1_34;
op <= boolean_to_vector(result_12_3_rel);
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity relational_34fc311f5b is
port (
a : in std_logic_vector((32 - 1) downto 0);
b : in std_logic_vector((32 - 1) downto 0);
op : out std_logic_vector((1 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end relational_34fc311f5b;
architecture behavior of relational_34fc311f5b is
signal a_1_31: unsigned((32 - 1) downto 0);
signal b_1_34: unsigned((32 - 1) downto 0);
type array_type_op_mem_32_22 is array (0 to (1 - 1)) of boolean;
signal op_mem_32_22: array_type_op_mem_32_22 := (
0 => false);
signal op_mem_32_22_front_din: boolean;
signal op_mem_32_22_back: boolean;
signal op_mem_32_22_push_front_pop_back_en: std_logic;
signal result_18_3_rel: boolean;
begin
a_1_31 <= std_logic_vector_to_unsigned(a);
b_1_34 <= std_logic_vector_to_unsigned(b);
op_mem_32_22_back <= op_mem_32_22(0);
proc_op_mem_32_22: process (clk)
is
variable i: integer;
begin
if (clk'event and (clk = '1')) then
if ((ce = '1') and (op_mem_32_22_push_front_pop_back_en = '1')) then
op_mem_32_22(0) <= op_mem_32_22_front_din;
end if;
end if;
end process proc_op_mem_32_22;
result_18_3_rel <= a_1_31 > b_1_34;
op_mem_32_22_front_din <= result_18_3_rel;
op_mem_32_22_push_front_pop_back_en <= '1';
op <= boolean_to_vector(op_mem_32_22_back);
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity constant_37567836aa is
port (
op : out std_logic_vector((32 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end constant_37567836aa;
architecture behavior of constant_37567836aa is
begin
op <= "00000000000000000000000000000000";
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity concat_a1e126f11c is
port (
in0 : in std_logic_vector((8 - 1) downto 0);
in1 : in std_logic_vector((8 - 1) downto 0);
in2 : in std_logic_vector((8 - 1) downto 0);
in3 : in std_logic_vector((8 - 1) downto 0);
y : out std_logic_vector((32 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end concat_a1e126f11c;
architecture behavior of concat_a1e126f11c is
signal in0_1_23: unsigned((8 - 1) downto 0);
signal in1_1_27: unsigned((8 - 1) downto 0);
signal in2_1_31: unsigned((8 - 1) downto 0);
signal in3_1_35: unsigned((8 - 1) downto 0);
signal y_2_1_concat: unsigned((32 - 1) downto 0);
begin
in0_1_23 <= std_logic_vector_to_unsigned(in0);
in1_1_27 <= std_logic_vector_to_unsigned(in1);
in2_1_31 <= std_logic_vector_to_unsigned(in2);
in3_1_35 <= std_logic_vector_to_unsigned(in3);
y_2_1_concat <= std_logic_vector_to_unsigned(unsigned_to_std_logic_vector(in0_1_23) & unsigned_to_std_logic_vector(in1_1_27) & unsigned_to_std_logic_vector(in2_1_31) & unsigned_to_std_logic_vector(in3_1_35));
y <= unsigned_to_std_logic_vector(y_2_1_concat);
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity concat_09e13b86e0 is
port (
in0 : in std_logic_vector((1 - 1) downto 0);
in1 : in std_logic_vector((1 - 1) downto 0);
in2 : in std_logic_vector((1 - 1) downto 0);
y : out std_logic_vector((3 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end concat_09e13b86e0;
architecture behavior of concat_09e13b86e0 is
signal in0_1_23: boolean;
signal in1_1_27: boolean;
signal in2_1_31: boolean;
signal y_2_1_concat: unsigned((3 - 1) downto 0);
begin
in0_1_23 <= ((in0) = "1");
in1_1_27 <= ((in1) = "1");
in2_1_31 <= ((in2) = "1");
y_2_1_concat <= std_logic_vector_to_unsigned(boolean_to_vector(in0_1_23) & boolean_to_vector(in1_1_27) & boolean_to_vector(in2_1_31));
y <= unsigned_to_std_logic_vector(y_2_1_concat);
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity logical_a6d07705dd is
port (
d0 : in std_logic_vector((1 - 1) downto 0);
d1 : in std_logic_vector((1 - 1) downto 0);
d2 : in std_logic_vector((1 - 1) downto 0);
d3 : in std_logic_vector((1 - 1) downto 0);
y : out std_logic_vector((1 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end logical_a6d07705dd;
architecture behavior of logical_a6d07705dd is
signal d0_1_24: std_logic;
signal d1_1_27: std_logic;
signal d2_1_30: std_logic;
signal d3_1_33: std_logic;
signal fully_2_1_bit: std_logic;
begin
d0_1_24 <= d0(0);
d1_1_27 <= d1(0);
d2_1_30 <= d2(0);
d3_1_33 <= d3(0);
fully_2_1_bit <= d0_1_24 or d1_1_27 or d2_1_30 or d3_1_33;
y <= std_logic_to_vector(fully_2_1_bit);
end behavior;
-------------------------------------------------------------------
-- System Generator version 10.1.2 VHDL source file.
--
-- Copyright(C) 2008 by Xilinx, Inc. All rights reserved. This
-- text/file contains proprietary, confidential information of Xilinx,
-- Inc., is distributed under license from Xilinx, Inc., and may be used,
-- copied and/or disclosed only pursuant to the terms of a valid license
-- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use
-- this text/file solely for design, simulation, implementation and
-- creation of design files limited to Xilinx devices or technologies.
-- Use with non-Xilinx devices or technologies is expressly prohibited
-- and immediately terminates your license unless covered by a separate
-- agreement.
--
-- Xilinx is providing this design, code, or information "as is" solely
-- for use in developing programs and solutions for Xilinx devices. By
-- providing this design, code, or information as one possible
-- implementation of this feature, application or standard, Xilinx is
-- making no representation that this implementation is free from any
-- claims of infringement. You are responsible for obtaining any rights
-- you may require for your implementation. Xilinx expressly disclaims
-- any warranty whatsoever with respect to the adequacy of the
-- implementation, including but not limited to warranties of
-- merchantability or fitness for a particular purpose.
--
-- Xilinx products are not intended for use in life support appliances,
-- devices, or systems. Use in such applications is expressly prohibited.
--
-- Any modifications that are made to the source code are done at the user's
-- sole risk and will be unsupported.
--
-- This copyright and support notice must be retained as part of this
-- text at all times. (c) Copyright 1995-2008 Xilinx, Inc. All rights
-- reserved.
-------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use work.conv_pkg.all;
entity xlslice is
generic (
new_msb : integer := 9;
new_lsb : integer := 1;
x_width : integer := 16;
y_width : integer := 8);
port (
x : in std_logic_vector (x_width-1 downto 0);
y : out std_logic_vector (y_width-1 downto 0));
end xlslice;
architecture behavior of xlslice is
begin
y <= x(new_msb downto new_lsb);
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
-- Generated from Simulink block "warp_timer/EDK Processor"
entity edk_processor_entity_cddda35d8e is
port (
ce_1: in std_logic;
clk_1: in std_logic;
from_register: in std_logic_vector(31 downto 0);
from_register1: in std_logic_vector(31 downto 0);
from_register2: in std_logic_vector(31 downto 0);
from_register3: in std_logic_vector(31 downto 0);
from_register4: in std_logic_vector(31 downto 0);
from_register5: in std_logic_vector(31 downto 0);
plb_abus: in std_logic_vector(31 downto 0);
plb_pavalid: in std_logic;
plb_rnw: in std_logic;
plb_wrdbus: in std_logic_vector(31 downto 0);
sg_plb_addrpref: in std_logic_vector(19 downto 0);
splb_rst: in std_logic;
to_register: in std_logic_vector(31 downto 0);
to_register1: in std_logic_vector(31 downto 0);
to_register2: in std_logic_vector(31 downto 0);
to_register3: in std_logic_vector(31 downto 0);
to_register4: in std_logic_vector(31 downto 0);
constant5_x0: out std_logic;
plb_decode_x0: out std_logic;
plb_decode_x1: out std_logic;
plb_decode_x2: out std_logic;
plb_decode_x3: out std_logic;
plb_decode_x4: out std_logic_vector(31 downto 0);
plb_memmap_x0: out std_logic_vector(31 downto 0);
plb_memmap_x1: out std_logic;
plb_memmap_x2: out std_logic_vector(31 downto 0);
plb_memmap_x3: out std_logic;
plb_memmap_x4: out std_logic_vector(31 downto 0);
plb_memmap_x5: out std_logic;
plb_memmap_x6: out std_logic_vector(31 downto 0);
plb_memmap_x7: out std_logic;
plb_memmap_x8: out std_logic_vector(31 downto 0);
plb_memmap_x9: out std_logic
);
end edk_processor_entity_cddda35d8e;
architecture structural of edk_processor_entity_cddda35d8e is
signal bankaddr: std_logic_vector(1 downto 0);
signal ce_1_sg_x0: std_logic;
signal clk_1_sg_x0: std_logic;
signal linearaddr: std_logic_vector(7 downto 0);
signal plb_abus_net_x0: std_logic_vector(31 downto 0);
signal plb_pavalid_net_x0: std_logic;
signal plb_rnw_net_x0: std_logic;
signal plb_wrdbus_net_x0: std_logic_vector(31 downto 0);
signal rddata: std_logic_vector(31 downto 0);
signal rnwreg: std_logic;
signal sg_plb_addrpref_net_x0: std_logic_vector(19 downto 0);
signal sl_addrack_x0: std_logic;
signal sl_rdcomp_x0: std_logic;
signal sl_rddack_x0: std_logic;
signal sl_rddbus_x0: std_logic_vector(31 downto 0);
signal sl_wait_x0: std_logic;
signal sl_wrdack_x0: std_logic;
signal splb_rst_net_x0: std_logic;
signal timer0_countto_din_x0: std_logic_vector(31 downto 0);
signal timer0_countto_dout_x0: std_logic_vector(31 downto 0);
signal timer0_countto_en_x0: std_logic;
signal timer0_timeleft_dout_x0: std_logic_vector(31 downto 0);
signal timer1_countto_din_x0: std_logic_vector(31 downto 0);
signal timer1_countto_dout_x0: std_logic_vector(31 downto 0);
signal timer1_countto_en_x0: std_logic;
signal timer1_timeleft_dout_x0: std_logic_vector(31 downto 0);
signal timer2_countto_din_x0: std_logic_vector(31 downto 0);
signal timer2_countto_dout_x0: std_logic_vector(31 downto 0);
signal timer2_countto_en_x0: std_logic;
signal timer2_timeleft_dout_x0: std_logic_vector(31 downto 0);
signal timer3_countto_din_x0: std_logic_vector(31 downto 0);
signal timer3_countto_dout_x0: std_logic_vector(31 downto 0);
signal timer3_countto_en_x0: std_logic;
signal timer3_timeleft_dout_x0: std_logic_vector(31 downto 0);
signal timer_control_r_dout_x0: std_logic_vector(31 downto 0);
signal timer_control_w_din_x0: std_logic_vector(31 downto 0);
signal timer_control_w_dout_x0: std_logic_vector(31 downto 0);
signal timer_control_w_en_x0: std_logic;
signal timer_status_dout_x0: std_logic_vector(31 downto 0);
signal wrdbusreg: std_logic_vector(31 downto 0);
begin
ce_1_sg_x0 <= ce_1;
clk_1_sg_x0 <= clk_1;
timer0_timeleft_dout_x0 <= from_register;
timer1_timeleft_dout_x0 <= from_register1;
timer2_timeleft_dout_x0 <= from_register2;
timer3_timeleft_dout_x0 <= from_register3;
timer_control_r_dout_x0 <= from_register4;
timer_status_dout_x0 <= from_register5;
plb_abus_net_x0 <= plb_abus;
plb_pavalid_net_x0 <= plb_pavalid;
plb_rnw_net_x0 <= plb_rnw;
plb_wrdbus_net_x0 <= plb_wrdbus;
sg_plb_addrpref_net_x0 <= sg_plb_addrpref;
splb_rst_net_x0 <= splb_rst;
timer0_countto_dout_x0 <= to_register;
timer1_countto_dout_x0 <= to_register1;
timer2_countto_dout_x0 <= to_register2;
timer3_countto_dout_x0 <= to_register3;
timer_control_w_dout_x0 <= to_register4;
constant5_x0 <= sl_wait_x0;
plb_decode_x0 <= sl_addrack_x0;
plb_decode_x1 <= sl_rdcomp_x0;
plb_decode_x2 <= sl_wrdack_x0;
plb_decode_x3 <= sl_rddack_x0;
plb_decode_x4 <= sl_rddbus_x0;
plb_memmap_x0 <= timer0_countto_din_x0;
plb_memmap_x1 <= timer0_countto_en_x0;
plb_memmap_x2 <= timer1_countto_din_x0;
plb_memmap_x3 <= timer1_countto_en_x0;
plb_memmap_x4 <= timer2_countto_din_x0;
plb_memmap_x5 <= timer2_countto_en_x0;
plb_memmap_x6 <= timer3_countto_din_x0;
plb_memmap_x7 <= timer3_countto_en_x0;
plb_memmap_x8 <= timer_control_w_din_x0;
plb_memmap_x9 <= timer_control_w_en_x0;
constant5: entity work.constant_963ed6358a
port map (
ce => '0',
clk => '0',
clr => '0',
op(0) => sl_wait_x0
);
plb_decode: entity work.mcode_block_b389f41afb
port map (
addrpref => sg_plb_addrpref_net_x0,
ce => ce_1_sg_x0,
clk => clk_1_sg_x0,
clr => '0',
plbabus => plb_abus_net_x0,
plbpavalid(0) => plb_pavalid_net_x0,
plbrnw(0) => plb_rnw_net_x0,
plbrst(0) => splb_rst_net_x0,
plbwrdbus => plb_wrdbus_net_x0,
rddata => rddata,
addrack(0) => sl_addrack_x0,
bankaddr => bankaddr,
linearaddr => linearaddr,
rdcomp(0) => sl_rdcomp_x0,
rddack(0) => sl_rddack_x0,
rddbus => sl_rddbus_x0,
rnwreg(0) => rnwreg,
wrdack(0) => sl_wrdack_x0,
wrdbusreg => wrdbusreg
);
plb_memmap: entity work.mcode_block_b59e0d51fc
port map (
addrack(0) => sl_addrack_x0,
bankaddr => bankaddr,
ce => ce_1_sg_x0,
clk => clk_1_sg_x0,
clr => '0',
linearaddr => linearaddr,
rnwreg(0) => rnwreg,
sm_timer0_countto => timer0_countto_dout_x0,
sm_timer0_timeleft => timer0_timeleft_dout_x0,
sm_timer1_countto => timer1_countto_dout_x0,
sm_timer1_timeleft => timer1_timeleft_dout_x0,
sm_timer2_countto => timer2_countto_dout_x0,
sm_timer2_timeleft => timer2_timeleft_dout_x0,
sm_timer3_countto => timer3_countto_dout_x0,
sm_timer3_timeleft => timer3_timeleft_dout_x0,
sm_timer_control_r => timer_control_r_dout_x0,
sm_timer_control_w => timer_control_w_dout_x0,
sm_timer_status => timer_status_dout_x0,
wrdbus => wrdbusreg,
read_bank_out => rddata,
sm_timer0_countto_din => timer0_countto_din_x0,
sm_timer0_countto_en(0) => timer0_countto_en_x0,
sm_timer1_countto_din => timer1_countto_din_x0,
sm_timer1_countto_en(0) => timer1_countto_en_x0,
sm_timer2_countto_din => timer2_countto_din_x0,
sm_timer2_countto_en(0) => timer2_countto_en_x0,
sm_timer3_countto_din => timer3_countto_din_x0,
sm_timer3_countto_en(0) => timer3_countto_en_x0,
sm_timer_control_w_din => timer_control_w_din_x0,
sm_timer_control_w_en(0) => timer_control_w_en_x0
);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
-- Generated from Simulink block "warp_timer/timer/S-R_Latch1"
entity s_r_latch1_entity_5f9ce35768 is
port (
ce_1: in std_logic;
clk_1: in std_logic;
r: in std_logic;
s: in std_logic;
q: out std_logic
);
end s_r_latch1_entity_5f9ce35768;
architecture structural of s_r_latch1_entity_5f9ce35768 is
signal ce_1_sg_x1: std_logic;
signal clk_1_sg_x1: std_logic;
signal inverter_op_net: std_logic;
signal logical2_y_net_x0: std_logic;
signal logical3_y_net_x0: std_logic;
signal register_q_net_x0: std_logic;
begin
ce_1_sg_x1 <= ce_1;
clk_1_sg_x1 <= clk_1;
logical2_y_net_x0 <= r;
logical3_y_net_x0 <= s;
q <= register_q_net_x0;
inverter: entity work.inverter_e5b38cca3b
port map (
ce => ce_1_sg_x1,
clk => clk_1_sg_x1,
clr => '0',
ip(0) => register_q_net_x0,
op(0) => inverter_op_net
);
register_x0: entity work.xlregister
generic map (
d_width => 1,
init_value => b"0"
)
port map (
ce => ce_1_sg_x1,
clk => clk_1_sg_x1,
d(0) => logical3_y_net_x0,
en(0) => inverter_op_net,
rst(0) => logical2_y_net_x0,
q(0) => register_q_net_x0
);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
-- Generated from Simulink block "warp_timer/timer/posedge"
entity posedge_entity_8c50a6be04 is
port (
ce_1: in std_logic;
clk_1: in std_logic;
in_x0: in std_logic;
out_x0: out std_logic
);
end posedge_entity_8c50a6be04;
architecture structural of posedge_entity_8c50a6be04 is
signal ce_1_sg_x3: std_logic;
signal clk_1_sg_x3: std_logic;
signal delay_q_net: std_logic;
signal inverter_op_net: std_logic;
signal logical_y_net_x0: std_logic;
signal slice_y_net_x0: std_logic;
begin
ce_1_sg_x3 <= ce_1;
clk_1_sg_x3 <= clk_1;
slice_y_net_x0 <= in_x0;
out_x0 <= logical_y_net_x0;
delay: entity work.xldelay
generic map (
latency => 1,
reg_retiming => 0,
width => 1
)
port map (
ce => ce_1_sg_x3,
clk => clk_1_sg_x3,
d(0) => slice_y_net_x0,
en => '1',
q(0) => delay_q_net
);
inverter: entity work.inverter_e5b38cca3b
port map (
ce => ce_1_sg_x3,
clk => clk_1_sg_x3,
clr => '0',
ip(0) => delay_q_net,
op(0) => inverter_op_net
);
logical: entity work.logical_80f90b97d0
port map (
ce => '0',
clk => '0',
clr => '0',
d0(0) => slice_y_net_x0,
d1(0) => inverter_op_net,
y(0) => logical_y_net_x0
);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
-- Generated from Simulink block "warp_timer/timer"
entity timer_entity_fee90fe8e7 is
port (
ce_1: in std_logic;
clk_1: in std_logic;
countto: in std_logic_vector(31 downto 0);
idlefordifs_inp: in std_logic;
interruptreset: in std_logic;
mode: in std_logic;
pause: in std_logic;
resume: in std_logic;
start: in std_logic;
stop: in std_logic;
active: out std_logic;
interrupt: out std_logic;
paused: out std_logic;
timeleft: out std_logic_vector(31 downto 0)
);
end timer_entity_fee90fe8e7;
architecture structural of timer_entity_fee90fe8e7 is
signal addsub_s_net_x0: std_logic_vector(31 downto 0);
signal ce_1_sg_x5: std_logic;
signal clk_1_sg_x5: std_logic;
signal constant1_op_net: std_logic;
signal constant_op_net: std_logic_vector(31 downto 0);
signal convert1_dout_net: std_logic;
signal counter_op_net: std_logic_vector(31 downto 0);
signal from_register1_data_out_net_x0: std_logic_vector(31 downto 0);
signal idlefordifs_net_x0: std_logic;
signal inverter_op_net: std_logic;
signal logical1_y_net: std_logic;
signal logical2_y_net_x0: std_logic;
signal logical3_y_net_x0: std_logic;
signal logical4_y_net_x0: std_logic;
signal logical_y_net: std_logic;
signal logical_y_net_x0: std_logic;
signal logical_y_net_x1: std_logic;
signal mux_y_net: std_logic;
signal register_q_net_x2: std_logic;
signal register_q_net_x3: std_logic;
signal relational1_op_net: std_logic;
signal relational_op_net_x0: std_logic;
signal slice1_y_net_x0: std_logic;
signal slice2_y_net_x1: std_logic;
signal slice3_y_net_x0: std_logic;
signal slice4_y_net_x0: std_logic;
signal slice5_y_net_x1: std_logic;
signal slice_y_net_x1: std_logic;
begin
ce_1_sg_x5 <= ce_1;
clk_1_sg_x5 <= clk_1;
from_register1_data_out_net_x0 <= countto;
idlefordifs_net_x0 <= idlefordifs_inp;
slice5_y_net_x1 <= interruptreset;
slice4_y_net_x0 <= mode;
slice3_y_net_x0 <= pause;
slice2_y_net_x1 <= resume;
slice_y_net_x1 <= start;
slice1_y_net_x0 <= stop;
active <= register_q_net_x2;
interrupt <= register_q_net_x3;
paused <= logical4_y_net_x0;
timeleft <= addsub_s_net_x0;
addsub: entity work.xladdsub
generic map (
a_arith => xlUnsigned,
a_bin_pt => 0,
a_width => 32,
b_arith => xlUnsigned,
b_bin_pt => 0,
b_width => 32,
c_has_b_out => 0,
c_has_c_out => 0,
c_has_q => 0,
c_has_q_b_out => 0,
c_has_q_c_out => 0,
c_has_s => 1,
c_latency => 0,
c_output_width => 33,
core_name0 => "adder_subtracter_virtex2p_7_0_f7ee8f7e7f94515e",
extra_registers => 0,
full_s_arith => 2,
full_s_width => 33,
latency => 0,
mode => 2,
overflow => 1,
quantization => 1,
s_arith => xlUnsigned,
s_bin_pt => 0,
s_width => 32
)
port map (
a => from_register1_data_out_net_x0,
b => counter_op_net,
ce => ce_1_sg_x5,
clk => clk_1_sg_x5,
clr => '0',
en => "1",
s => addsub_s_net_x0
);
constant1: entity work.constant_6293007044
port map (
ce => '0',
clk => '0',
clr => '0',
op(0) => constant1_op_net
);
constant_x0: entity work.constant_37567836aa
port map (
ce => '0',
clk => '0',
clr => '0',
op => constant_op_net
);
convert1: entity work.xlconvert
generic map (
bool_conversion => 1,
din_arith => 1,
din_bin_pt => 0,
din_width => 1,
dout_arith => 1,
dout_bin_pt => 0,
dout_width => 1,
latency => 0,
overflow => xlWrap,
quantization => xlTruncate
)
port map (
ce => '0',
clk => '0',
clr => '0',
din(0) => mux_y_net,
dout(0) => convert1_dout_net
);
counter: entity work.xlcounter_free
generic map (
core_name0 => "binary_counter_virtex2p_7_0_b57302a6bcbb6876",
op_arith => xlUnsigned,
op_width => 32
)
port map (
ce => ce_1_sg_x5,
clk => clk_1_sg_x5,
clr => '0',
en(0) => logical_y_net,
rst(0) => logical1_y_net,
op => counter_op_net
);
inverter: entity work.inverter_e5b38cca3b
port map (
ce => ce_1_sg_x5,
clk => clk_1_sg_x5,
clr => '0',
ip(0) => register_q_net_x2,
op(0) => inverter_op_net
);
logical: entity work.logical_80f90b97d0
port map (
ce => '0',
clk => '0',
clr => '0',
d0(0) => convert1_dout_net,
d1(0) => register_q_net_x2,
y(0) => logical_y_net
);
logical1: entity work.logical_6cb8f0ce02
port map (
ce => '0',
clk => '0',
clr => '0',
d0(0) => relational_op_net_x0,
d1(0) => slice1_y_net_x0,
d2(0) => logical_y_net_x0,
y(0) => logical1_y_net
);
logical2: entity work.logical_6cb8f0ce02
port map (
ce => '0',
clk => '0',
clr => '0',
d0(0) => slice1_y_net_x0,
d1(0) => slice3_y_net_x0,
d2(0) => relational_op_net_x0,
y(0) => logical2_y_net_x0
);
logical3: entity work.logical_aacf6e1b0e
port map (
ce => '0',
clk => '0',
clr => '0',
d0(0) => logical_y_net_x0,
d1(0) => logical_y_net_x1,
y(0) => logical3_y_net_x0
);
logical4: entity work.logical_80f90b97d0
port map (
ce => '0',
clk => '0',
clr => '0',
d0(0) => relational1_op_net,
d1(0) => inverter_op_net,
y(0) => logical4_y_net_x0
);
mux: entity work.mux_112ed141f4
port map (
ce => '0',
clk => '0',
clr => '0',
d0(0) => constant1_op_net,
d1(0) => idlefordifs_net_x0,
sel(0) => slice4_y_net_x0,
y(0) => mux_y_net
);
posedge1_8332b77348: entity work.posedge_entity_8c50a6be04
port map (
ce_1 => ce_1_sg_x5,
clk_1 => clk_1_sg_x5,
in_x0 => slice2_y_net_x1,
out_x0 => logical_y_net_x1
);
posedge_8c50a6be04: entity work.posedge_entity_8c50a6be04
port map (
ce_1 => ce_1_sg_x5,
clk_1 => clk_1_sg_x5,
in_x0 => slice_y_net_x1,
out_x0 => logical_y_net_x0
);
relational: entity work.relational_3ffd1d0a40
port map (
a => from_register1_data_out_net_x0,
b => counter_op_net,
ce => '0',
clk => '0',
clr => '0',
op(0) => relational_op_net_x0
);
relational1: entity work.relational_34fc311f5b
port map (
a => counter_op_net,
b => constant_op_net,
ce => ce_1_sg_x5,
clk => clk_1_sg_x5,
clr => '0',
op(0) => relational1_op_net
);
s_r_latch1_5f9ce35768: entity work.s_r_latch1_entity_5f9ce35768
port map (
ce_1 => ce_1_sg_x5,
clk_1 => clk_1_sg_x5,
r => logical2_y_net_x0,
s => logical3_y_net_x0,
q => register_q_net_x2
);
s_r_latch2_722d862217: entity work.s_r_latch1_entity_5f9ce35768
port map (
ce_1 => ce_1_sg_x5,
clk_1 => clk_1_sg_x5,
r => slice5_y_net_x1,
s => relational_op_net_x0,
q => register_q_net_x3
);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
-- Generated from Simulink block "warp_timer/timer_control"
entity timer_control_entity_09b11c57d8 is
port (
constant6_x0: out std_logic
);
end timer_control_entity_09b11c57d8;
architecture structural of timer_control_entity_09b11c57d8 is
signal constant6_op_net_x0: std_logic;
begin
constant6_x0 <= constant6_op_net_x0;
constant6: entity work.constant_6293007044
port map (
ce => '0',
clk => '0',
clr => '0',
op(0) => constant6_op_net_x0
);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
-- Generated from Simulink block "warp_timer"
entity warp_timer is
port (
ce_1: in std_logic;
clk_1: in std_logic;
data_out: in std_logic_vector(31 downto 0);
data_out_x0: in std_logic_vector(31 downto 0);
data_out_x1: in std_logic_vector(31 downto 0);
data_out_x2: in std_logic_vector(31 downto 0);
data_out_x3: in std_logic_vector(31 downto 0);
data_out_x4: in std_logic_vector(31 downto 0);
data_out_x5: in std_logic_vector(31 downto 0);
data_out_x6: in std_logic_vector(31 downto 0);
data_out_x7: in std_logic_vector(31 downto 0);
data_out_x8: in std_logic_vector(31 downto 0);
data_out_x9: in std_logic_vector(31 downto 0);
dout_x4: in std_logic_vector(31 downto 0);
dout_x5: in std_logic_vector(31 downto 0);
dout_x6: in std_logic_vector(31 downto 0);
dout_x7: in std_logic_vector(31 downto 0);
dout_x8: in std_logic_vector(31 downto 0);
idlefordifs: in std_logic;
plb_abus: in std_logic_vector(31 downto 0);
plb_pavalid: in std_logic;
plb_rnw: in std_logic;
plb_wrdbus: in std_logic_vector(31 downto 0);
sg_plb_addrpref: in std_logic_vector(19 downto 0);
splb_rst: in std_logic;
data_in: out std_logic_vector(31 downto 0);
data_in_x0: out std_logic_vector(31 downto 0);
data_in_x1: out std_logic_vector(31 downto 0);
data_in_x2: out std_logic_vector(31 downto 0);
data_in_x3: out std_logic_vector(31 downto 0);
data_in_x4: out std_logic_vector(31 downto 0);
data_in_x5: out std_logic_vector(31 downto 0);
data_in_x6: out std_logic_vector(31 downto 0);
data_in_x7: out std_logic_vector(31 downto 0);
data_in_x8: out std_logic_vector(31 downto 0);
data_in_x9: out std_logic_vector(31 downto 0);
en: out std_logic;
en_x0: out std_logic;
en_x1: out std_logic;
en_x2: out std_logic;
en_x3: out std_logic;
en_x4: out std_logic;
en_x5: out std_logic;
en_x6: out std_logic;
en_x7: out std_logic;
en_x8: out std_logic;
en_x9: out std_logic;
sl_addrack: out std_logic;
sl_rdcomp: out std_logic;
sl_rddack: out std_logic;
sl_rddbus: out std_logic_vector(31 downto 0);
sl_wait: out std_logic;
sl_wrcomp: out std_logic;
sl_wrdack: out std_logic;
timer0_active: out std_logic;
timer1_active: out std_logic;
timer2_active: out std_logic;
timer3_active: out std_logic;
timerexpire: out std_logic
);
end warp_timer;
architecture structural of warp_timer is
signal ce_1_sg_x21: std_logic;
signal clk_1_sg_x21: std_logic;
signal concat1_y_net: std_logic_vector(2 downto 0);
signal concat2_y_net: std_logic_vector(2 downto 0);
signal concat3_y_net: std_logic_vector(2 downto 0);
signal concat4_y_net: std_logic_vector(2 downto 0);
signal convert1_dout_net: std_logic_vector(7 downto 0);
signal convert2_dout_net: std_logic_vector(7 downto 0);
signal convert3_dout_net: std_logic_vector(7 downto 0);
signal convert_dout_net: std_logic_vector(7 downto 0);
signal data_in_net: std_logic_vector(31 downto 0);
signal data_in_x0_net: std_logic_vector(31 downto 0);
signal data_in_x1_net: std_logic_vector(31 downto 0);
signal data_in_x2_net: std_logic_vector(31 downto 0);
signal data_in_x3_net: std_logic_vector(31 downto 0);
signal data_in_x4_net: std_logic_vector(31 downto 0);
signal data_in_x5_net: std_logic_vector(31 downto 0);
signal data_in_x6_net: std_logic_vector(31 downto 0);
signal data_in_x7_net: std_logic_vector(31 downto 0);
signal data_in_x8_net: std_logic_vector(31 downto 0);
signal data_out_net: std_logic_vector(31 downto 0);
signal data_out_x0_net: std_logic_vector(31 downto 0);
signal data_out_x1_net: std_logic_vector(31 downto 0);
signal data_out_x2_net: std_logic_vector(31 downto 0);
signal data_out_x3_net: std_logic_vector(31 downto 0);
signal data_out_x4_net: std_logic_vector(31 downto 0);
signal data_out_x5_net: std_logic_vector(31 downto 0);
signal data_out_x6_net: std_logic_vector(31 downto 0);
signal data_out_x7_net: std_logic_vector(31 downto 0);
signal data_out_x8_net: std_logic_vector(31 downto 0);
signal dout_x4_net: std_logic_vector(31 downto 0);
signal dout_x5_net: std_logic_vector(31 downto 0);
signal dout_x6_net: std_logic_vector(31 downto 0);
signal dout_x7_net: std_logic_vector(31 downto 0);
signal dout_x8_net: std_logic_vector(31 downto 0);
signal en_net: std_logic;
signal en_x0_net: std_logic;
signal en_x1_net: std_logic;
signal en_x2_net: std_logic;
signal en_x3_net: std_logic;
signal en_x4_net: std_logic;
signal en_x5_net: std_logic;
signal en_x6_net: std_logic;
signal en_x7_net: std_logic;
signal en_x8_net: std_logic;
signal en_x9_net: std_logic;
signal from_register2_data_out_net_x0: std_logic_vector(31 downto 0);
signal idlefordifs_net: std_logic;
signal logical4_y_net_x0: std_logic;
signal logical4_y_net_x1: std_logic;
signal logical4_y_net_x2: std_logic;
signal logical4_y_net_x3: std_logic;
signal plb_abus_net: std_logic_vector(31 downto 0);
signal plb_pavalid_net: std_logic;
signal plb_rnw_net: std_logic;
signal plb_wrdbus_net: std_logic_vector(31 downto 0);
signal register_q_net_x3: std_logic;
signal register_q_net_x5: std_logic;
signal register_q_net_x7: std_logic;
signal register_q_net_x9: std_logic;
signal sg_plb_addrpref_net: std_logic_vector(19 downto 0);
signal sl_addrack_net: std_logic;
signal sl_rdcomp_net: std_logic;
signal sl_rddack_net: std_logic;
signal sl_rddbus_net: std_logic_vector(31 downto 0);
signal sl_wait_net: std_logic;
signal sl_wrdack_x1: std_logic;
signal slice10_y_net_x0: std_logic;
signal slice11_y_net_x1: std_logic;
signal slice12_y_net_x1: std_logic;
signal slice13_y_net_x0: std_logic;
signal slice14_y_net_x1: std_logic;
signal slice15_y_net_x0: std_logic;
signal slice16_y_net_x0: std_logic;
signal slice17_y_net_x1: std_logic;
signal slice18_y_net_x1: std_logic;
signal slice19_y_net_x0: std_logic;
signal slice1_y_net_x0: std_logic;
signal slice20_y_net_x1: std_logic;
signal slice21_y_net_x0: std_logic;
signal slice22_y_net_x0: std_logic;
signal slice23_y_net_x1: std_logic;
signal slice2_y_net_x1: std_logic;
signal slice3_y_net_x0: std_logic;
signal slice4_y_net_x0: std_logic;
signal slice5_y_net_x1: std_logic;
signal slice6_y_net_x1: std_logic;
signal slice7_y_net_x0: std_logic;
signal slice8_y_net_x1: std_logic;
signal slice9_y_net_x0: std_logic;
signal slice_y_net_x1: std_logic;
signal splb_rst_net: std_logic;
signal timer0_active_net: std_logic;
signal timer1_active_net: std_logic;
signal timer2_active_net: std_logic;
signal timer3_active_net: std_logic;
signal timerexpire_net: std_logic;
begin
ce_1_sg_x21 <= ce_1;
clk_1_sg_x21 <= clk_1;
data_out_net <= data_out;
data_out_x0_net <= data_out_x0;
data_out_x1_net <= data_out_x1;
data_out_x2_net <= data_out_x2;
data_out_x3_net <= data_out_x3;
data_out_x4_net <= data_out_x4;
data_out_x5_net <= data_out_x5;
data_out_x6_net <= data_out_x6;
data_out_x7_net <= data_out_x7;
data_out_x8_net <= data_out_x8;
from_register2_data_out_net_x0 <= data_out_x9;
dout_x4_net <= dout_x4;
dout_x5_net <= dout_x5;
dout_x6_net <= dout_x6;
dout_x7_net <= dout_x7;
dout_x8_net <= dout_x8;
idlefordifs_net <= idlefordifs;
plb_abus_net <= plb_abus;
plb_pavalid_net <= plb_pavalid;
plb_rnw_net <= plb_rnw;
plb_wrdbus_net <= plb_wrdbus;
sg_plb_addrpref_net <= sg_plb_addrpref;
splb_rst_net <= splb_rst;
data_in <= data_in_net;
data_in_x0 <= data_in_x0_net;
data_in_x1 <= data_in_x1_net;
data_in_x2 <= data_in_x2_net;
data_in_x3 <= data_in_x3_net;
data_in_x4 <= data_in_x4_net;
data_in_x5 <= data_in_x5_net;
data_in_x6 <= data_in_x6_net;
data_in_x7 <= data_in_x7_net;
data_in_x8 <= data_in_x8_net;
data_in_x9 <= from_register2_data_out_net_x0;
en <= en_net;
en_x0 <= en_x0_net;
en_x1 <= en_x1_net;
en_x2 <= en_x2_net;
en_x3 <= en_x3_net;
en_x4 <= en_x4_net;
en_x5 <= en_x5_net;
en_x6 <= en_x6_net;
en_x7 <= en_x7_net;
en_x8 <= en_x8_net;
en_x9 <= en_x9_net;
sl_addrack <= sl_addrack_net;
sl_rdcomp <= sl_rdcomp_net;
sl_rddack <= sl_rddack_net;
sl_rddbus <= sl_rddbus_net;
sl_wait <= sl_wait_net;
sl_wrcomp <= sl_wrdack_x1;
sl_wrdack <= sl_wrdack_x1;
timer0_active <= timer0_active_net;
timer1_active <= timer1_active_net;
timer2_active <= timer2_active_net;
timer3_active <= timer3_active_net;
timerexpire <= timerexpire_net;
concat: entity work.concat_a1e126f11c
port map (
ce => '0',
clk => '0',
clr => '0',
in0 => convert3_dout_net,
in1 => convert2_dout_net,
in2 => convert1_dout_net,
in3 => convert_dout_net,
y => data_in_x3_net
);
concat1: entity work.concat_09e13b86e0
port map (
ce => '0',
clk => '0',
clr => '0',
in0(0) => logical4_y_net_x0,
in1(0) => timer0_active_net,
in2(0) => register_q_net_x3,
y => concat1_y_net
);
concat2: entity work.concat_09e13b86e0
port map (
ce => '0',
clk => '0',
clr => '0',
in0(0) => logical4_y_net_x1,
in1(0) => timer1_active_net,
in2(0) => register_q_net_x5,
y => concat2_y_net
);
concat3: entity work.concat_09e13b86e0
port map (
ce => '0',
clk => '0',
clr => '0',
in0(0) => logical4_y_net_x2,
in1(0) => timer2_active_net,
in2(0) => register_q_net_x7,
y => concat3_y_net
);
concat4: entity work.concat_09e13b86e0
port map (
ce => '0',
clk => '0',
clr => '0',
in0(0) => logical4_y_net_x3,
in1(0) => timer3_active_net,
in2(0) => register_q_net_x9,
y => concat4_y_net
);
constant1: entity work.constant_6293007044
port map (
ce => '0',
clk => '0',
clr => '0',
op(0) => en_net
);
constant2: entity work.constant_6293007044
port map (
ce => '0',
clk => '0',
clr => '0',
op(0) => en_x0_net
);
constant3: entity work.constant_6293007044
port map (
ce => '0',
clk => '0',
clr => '0',
op(0) => en_x1_net
);
constant4: entity work.constant_6293007044
port map (
ce => '0',
clk => '0',
clr => '0',
op(0) => en_x2_net
);
constant5: entity work.constant_6293007044
port map (
ce => '0',
clk => '0',
clr => '0',
op(0) => en_x3_net
);
convert: entity work.xlconvert
generic map (
bool_conversion => 0,
din_arith => 1,
din_bin_pt => 0,
din_width => 3,
dout_arith => 1,
dout_bin_pt => 0,
dout_width => 8,
latency => 0,
overflow => xlWrap,
quantization => xlTruncate
)
port map (
ce => '0',
clk => '0',
clr => '0',
din => concat1_y_net,
dout => convert_dout_net
);
convert1: entity work.xlconvert
generic map (
bool_conversion => 0,
din_arith => 1,
din_bin_pt => 0,
din_width => 3,
dout_arith => 1,
dout_bin_pt => 0,
dout_width => 8,
latency => 0,
overflow => xlWrap,
quantization => xlTruncate
)
port map (
ce => '0',
clk => '0',
clr => '0',
din => concat2_y_net,
dout => convert1_dout_net
);
convert2: entity work.xlconvert
generic map (
bool_conversion => 0,
din_arith => 1,
din_bin_pt => 0,
din_width => 3,
dout_arith => 1,
dout_bin_pt => 0,
dout_width => 8,
latency => 0,
overflow => xlWrap,
quantization => xlTruncate
)
port map (
ce => '0',
clk => '0',
clr => '0',
din => concat3_y_net,
dout => convert2_dout_net
);
convert3: entity work.xlconvert
generic map (
bool_conversion => 0,
din_arith => 1,
din_bin_pt => 0,
din_width => 3,
dout_arith => 1,
dout_bin_pt => 0,
dout_width => 8,
latency => 0,
overflow => xlWrap,
quantization => xlTruncate
)
port map (
ce => '0',
clk => '0',
clr => '0',
din => concat4_y_net,
dout => convert3_dout_net
);
edk_processor_cddda35d8e: entity work.edk_processor_entity_cddda35d8e
port map (
ce_1 => ce_1_sg_x21,
clk_1 => clk_1_sg_x21,
from_register => data_out_x3_net,
from_register1 => data_out_x4_net,
from_register2 => data_out_x5_net,
from_register3 => data_out_x6_net,
from_register4 => data_out_x7_net,
from_register5 => data_out_x8_net,
plb_abus => plb_abus_net,
plb_pavalid => plb_pavalid_net,
plb_rnw => plb_rnw_net,
plb_wrdbus => plb_wrdbus_net,
sg_plb_addrpref => sg_plb_addrpref_net,
splb_rst => splb_rst_net,
to_register => dout_x4_net,
to_register1 => dout_x5_net,
to_register2 => dout_x6_net,
to_register3 => dout_x7_net,
to_register4 => dout_x8_net,
constant5_x0 => sl_wait_net,
plb_decode_x0 => sl_addrack_net,
plb_decode_x1 => sl_rdcomp_net,
plb_decode_x2 => sl_wrdack_x1,
plb_decode_x3 => sl_rddack_net,
plb_decode_x4 => sl_rddbus_net,
plb_memmap_x0 => data_in_x4_net,
plb_memmap_x1 => en_x4_net,
plb_memmap_x2 => data_in_x5_net,
plb_memmap_x3 => en_x5_net,
plb_memmap_x4 => data_in_x6_net,
plb_memmap_x5 => en_x6_net,
plb_memmap_x6 => data_in_x7_net,
plb_memmap_x7 => en_x7_net,
plb_memmap_x8 => data_in_x8_net,
plb_memmap_x9 => en_x8_net
);
logical: entity work.logical_a6d07705dd
port map (
ce => '0',
clk => '0',
clr => '0',
d0(0) => register_q_net_x3,
d1(0) => register_q_net_x5,
d2(0) => register_q_net_x7,
d3(0) => register_q_net_x9,
y(0) => timerexpire_net
);
slice: entity work.xlslice
generic map (
new_lsb => 0,
new_msb => 0,
x_width => 32,
y_width => 1
)
port map (
x => from_register2_data_out_net_x0,
y(0) => slice_y_net_x1
);
slice1: entity work.xlslice
generic map (
new_lsb => 1,
new_msb => 1,
x_width => 32,
y_width => 1
)
port map (
x => from_register2_data_out_net_x0,
y(0) => slice1_y_net_x0
);
slice10: entity work.xlslice
generic map (
new_lsb => 12,
new_msb => 12,
x_width => 32,
y_width => 1
)
port map (
x => from_register2_data_out_net_x0,
y(0) => slice10_y_net_x0
);
slice11: entity work.xlslice
generic map (
new_lsb => 13,
new_msb => 13,
x_width => 32,
y_width => 1
)
port map (
x => from_register2_data_out_net_x0,
y(0) => slice11_y_net_x1
);
slice12: entity work.xlslice
generic map (
new_lsb => 16,
new_msb => 16,
x_width => 32,
y_width => 1
)
port map (
x => from_register2_data_out_net_x0,
y(0) => slice12_y_net_x1
);
slice13: entity work.xlslice
generic map (
new_lsb => 17,
new_msb => 17,
x_width => 32,
y_width => 1
)
port map (
x => from_register2_data_out_net_x0,
y(0) => slice13_y_net_x0
);
slice14: entity work.xlslice
generic map (
new_lsb => 18,
new_msb => 18,
x_width => 32,
y_width => 1
)
port map (
x => from_register2_data_out_net_x0,
y(0) => slice14_y_net_x1
);
slice15: entity work.xlslice
generic map (
new_lsb => 19,
new_msb => 19,
x_width => 32,
y_width => 1
)
port map (
x => from_register2_data_out_net_x0,
y(0) => slice15_y_net_x0
);
slice16: entity work.xlslice
generic map (
new_lsb => 20,
new_msb => 20,
x_width => 32,
y_width => 1
)
port map (
x => from_register2_data_out_net_x0,
y(0) => slice16_y_net_x0
);
slice17: entity work.xlslice
generic map (
new_lsb => 21,
new_msb => 21,
x_width => 32,
y_width => 1
)
port map (
x => from_register2_data_out_net_x0,
y(0) => slice17_y_net_x1
);
slice18: entity work.xlslice
generic map (
new_lsb => 24,
new_msb => 24,
x_width => 32,
y_width => 1
)
port map (
x => from_register2_data_out_net_x0,
y(0) => slice18_y_net_x1
);
slice19: entity work.xlslice
generic map (
new_lsb => 25,
new_msb => 25,
x_width => 32,
y_width => 1
)
port map (
x => from_register2_data_out_net_x0,
y(0) => slice19_y_net_x0
);
slice2: entity work.xlslice
generic map (
new_lsb => 2,
new_msb => 2,
x_width => 32,
y_width => 1
)
port map (
x => from_register2_data_out_net_x0,
y(0) => slice2_y_net_x1
);
slice20: entity work.xlslice
generic map (
new_lsb => 26,
new_msb => 26,
x_width => 32,
y_width => 1
)
port map (
x => from_register2_data_out_net_x0,
y(0) => slice20_y_net_x1
);
slice21: entity work.xlslice
generic map (
new_lsb => 27,
new_msb => 27,
x_width => 32,
y_width => 1
)
port map (
x => from_register2_data_out_net_x0,
y(0) => slice21_y_net_x0
);
slice22: entity work.xlslice
generic map (
new_lsb => 28,
new_msb => 28,
x_width => 32,
y_width => 1
)
port map (
x => from_register2_data_out_net_x0,
y(0) => slice22_y_net_x0
);
slice23: entity work.xlslice
generic map (
new_lsb => 29,
new_msb => 29,
x_width => 32,
y_width => 1
)
port map (
x => from_register2_data_out_net_x0,
y(0) => slice23_y_net_x1
);
slice3: entity work.xlslice
generic map (
new_lsb => 3,
new_msb => 3,
x_width => 32,
y_width => 1
)
port map (
x => from_register2_data_out_net_x0,
y(0) => slice3_y_net_x0
);
slice4: entity work.xlslice
generic map (
new_lsb => 4,
new_msb => 4,
x_width => 32,
y_width => 1
)
port map (
x => from_register2_data_out_net_x0,
y(0) => slice4_y_net_x0
);
slice5: entity work.xlslice
generic map (
new_lsb => 5,
new_msb => 5,
x_width => 32,
y_width => 1
)
port map (
x => from_register2_data_out_net_x0,
y(0) => slice5_y_net_x1
);
slice6: entity work.xlslice
generic map (
new_lsb => 8,
new_msb => 8,
x_width => 32,
y_width => 1
)
port map (
x => from_register2_data_out_net_x0,
y(0) => slice6_y_net_x1
);
slice7: entity work.xlslice
generic map (
new_lsb => 9,
new_msb => 9,
x_width => 32,
y_width => 1
)
port map (
x => from_register2_data_out_net_x0,
y(0) => slice7_y_net_x0
);
slice8: entity work.xlslice
generic map (
new_lsb => 10,
new_msb => 10,
x_width => 32,
y_width => 1
)
port map (
x => from_register2_data_out_net_x0,
y(0) => slice8_y_net_x1
);
slice9: entity work.xlslice
generic map (
new_lsb => 11,
new_msb => 11,
x_width => 32,
y_width => 1
)
port map (
x => from_register2_data_out_net_x0,
y(0) => slice9_y_net_x0
);
timer1_a9ea58dee7: entity work.timer_entity_fee90fe8e7
port map (
ce_1 => ce_1_sg_x21,
clk_1 => clk_1_sg_x21,
countto => data_out_x0_net,
idlefordifs_inp => idlefordifs_net,
interruptreset => slice11_y_net_x1,
mode => slice10_y_net_x0,
pause => slice9_y_net_x0,
resume => slice8_y_net_x1,
start => slice6_y_net_x1,
stop => slice7_y_net_x0,
active => timer1_active_net,
interrupt => register_q_net_x5,
paused => logical4_y_net_x1,
timeleft => data_in_x0_net
);
timer2_15928ecc3b: entity work.timer_entity_fee90fe8e7
port map (
ce_1 => ce_1_sg_x21,
clk_1 => clk_1_sg_x21,
countto => data_out_x1_net,
idlefordifs_inp => idlefordifs_net,
interruptreset => slice17_y_net_x1,
mode => slice16_y_net_x0,
pause => slice15_y_net_x0,
resume => slice14_y_net_x1,
start => slice12_y_net_x1,
stop => slice13_y_net_x0,
active => timer2_active_net,
interrupt => register_q_net_x7,
paused => logical4_y_net_x2,
timeleft => data_in_x1_net
);
timer3_4ea9afe7c4: entity work.timer_entity_fee90fe8e7
port map (
ce_1 => ce_1_sg_x21,
clk_1 => clk_1_sg_x21,
countto => data_out_x2_net,
idlefordifs_inp => idlefordifs_net,
interruptreset => slice23_y_net_x1,
mode => slice22_y_net_x0,
pause => slice21_y_net_x0,
resume => slice20_y_net_x1,
start => slice18_y_net_x1,
stop => slice19_y_net_x0,
active => timer3_active_net,
interrupt => register_q_net_x9,
paused => logical4_y_net_x3,
timeleft => data_in_x2_net
);
timer_control_09b11c57d8: entity work.timer_control_entity_09b11c57d8
port map (
constant6_x0 => en_x9_net
);
timer_fee90fe8e7: entity work.timer_entity_fee90fe8e7
port map (
ce_1 => ce_1_sg_x21,
clk_1 => clk_1_sg_x21,
countto => data_out_net,
idlefordifs_inp => idlefordifs_net,
interruptreset => slice5_y_net_x1,
mode => slice4_y_net_x0,
pause => slice3_y_net_x0,
resume => slice2_y_net_x1,
start => slice_y_net_x1,
stop => slice1_y_net_x0,
active => timer0_active_net,
interrupt => register_q_net_x3,
paused => logical4_y_net_x0,
timeleft => data_in_net
);
end structural;
-------------------------------------------------------------------
-- System Generator version 10.1.2 VHDL source file.
--
-- Copyright(C) 2008 by Xilinx, Inc. All rights reserved. This
-- text/file contains proprietary, confidential information of Xilinx,
-- Inc., is distributed under license from Xilinx, Inc., and may be used,
-- copied and/or disclosed only pursuant to the terms of a valid license
-- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use
-- this text/file solely for design, simulation, implementation and
-- creation of design files limited to Xilinx devices or technologies.
-- Use with non-Xilinx devices or technologies is expressly prohibited
-- and immediately terminates your license unless covered by a separate
-- agreement.
--
-- Xilinx is providing this design, code, or information "as is" solely
-- for use in developing programs and solutions for Xilinx devices. By
-- providing this design, code, or information as one possible
-- implementation of this feature, application or standard, Xilinx is
-- making no representation that this implementation is free from any
-- claims of infringement. You are responsible for obtaining any rights
-- you may require for your implementation. Xilinx expressly disclaims
-- any warranty whatsoever with respect to the adequacy of the
-- implementation, including but not limited to warranties of
-- merchantability or fitness for a particular purpose.
--
-- Xilinx products are not intended for use in life support appliances,
-- devices, or systems. Use in such applications is expressly prohibited.
--
-- Any modifications that are made to the source code are done at the user's
-- sole risk and will be unsupported.
--
-- This copyright and support notice must be retained as part of this
-- text at all times. (c) Copyright 1995-2008 Xilinx, Inc. All rights
-- reserved.
-------------------------------------------------------------------
-------------------------------------------------------------------
-- System Generator version 10.1.2 VHDL source file.
--
-- Copyright(C) 2008 by Xilinx, Inc. All rights reserved. This
-- text/file contains proprietary, confidential information of Xilinx,
-- Inc., is distributed under license from Xilinx, Inc., and may be used,
-- copied and/or disclosed only pursuant to the terms of a valid license
-- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use
-- this text/file solely for design, simulation, implementation and
-- creation of design files limited to Xilinx devices or technologies.
-- Use with non-Xilinx devices or technologies is expressly prohibited
-- and immediately terminates your license unless covered by a separate
-- agreement.
--
-- Xilinx is providing this design, code, or information "as is" solely
-- for use in developing programs and solutions for Xilinx devices. By
-- providing this design, code, or information as one possible
-- implementation of this feature, application or standard, Xilinx is
-- making no representation that this implementation is free from any
-- claims of infringement. You are responsible for obtaining any rights
-- you may require for your implementation. Xilinx expressly disclaims
-- any warranty whatsoever with respect to the adequacy of the
-- implementation, including but not limited to warranties of
-- merchantability or fitness for a particular purpose.
--
-- Xilinx products are not intended for use in life support appliances,
-- devices, or systems. Use in such applications is expressly prohibited.
--
-- Any modifications that are made to the source code are done at the user's
-- sole risk and will be unsupported.
--
-- This copyright and support notice must be retained as part of this
-- text at all times. (c) Copyright 1995-2008 Xilinx, Inc. All rights
-- reserved.
-------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
use work.clock_pkg.all;
entity xlclkprobe is
port (clk : in std_logic;
clr : in std_logic;
ce : in std_logic;
fakeOutForXst : out std_logic);
end xlclkprobe;
architecture behavior of xlclkprobe is
begin
fakeOutForXst <= '0';
-- synopsys translate_off
work.clock_pkg.int_clk <= clk;
-- synopsys translate_on
end behavior;
-------------------------------------------------------------------
-- System Generator version 10.1.2 VHDL source file.
--
-- Copyright(C) 2008 by Xilinx, Inc. All rights reserved. This
-- text/file contains proprietary, confidential information of Xilinx,
-- Inc., is distributed under license from Xilinx, Inc., and may be used,
-- copied and/or disclosed only pursuant to the terms of a valid license
-- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use
-- this text/file solely for design, simulation, implementation and
-- creation of design files limited to Xilinx devices or technologies.
-- Use with non-Xilinx devices or technologies is expressly prohibited
-- and immediately terminates your license unless covered by a separate
-- agreement.
--
-- Xilinx is providing this design, code, or information "as is" solely
-- for use in developing programs and solutions for Xilinx devices. By
-- providing this design, code, or information as one possible
-- implementation of this feature, application or standard, Xilinx is
-- making no representation that this implementation is free from any
-- claims of infringement. You are responsible for obtaining any rights
-- you may require for your implementation. Xilinx expressly disclaims
-- any warranty whatsoever with respect to the adequacy of the
-- implementation, including but not limited to warranties of
-- merchantability or fitness for a particular purpose.
--
-- Xilinx products are not intended for use in life support appliances,
-- devices, or systems. Use in such applications is expressly prohibited.
--
-- Any modifications that are made to the source code are done at the user's
-- sole risk and will be unsupported.
--
-- This copyright and support notice must be retained as part of this
-- text at all times. (c) Copyright 1995-2008 Xilinx, Inc. All rights
-- reserved.
-------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
-- synopsys translate_off
library unisim;
use unisim.vcomponents.all;
-- synopsys translate_on
entity xlclockdriver is
generic (
period: integer := 2;
log_2_period: integer := 0;
pipeline_regs: integer := 5;
use_bufg: integer := 0
);
port (
sysclk: in std_logic;
sysclr: in std_logic;
sysce: in std_logic;
clk: out std_logic;
clr: out std_logic;
ce: out std_logic
);
end xlclockdriver;
architecture behavior of xlclockdriver is
component bufg
port (
i: in std_logic;
o: out std_logic
);
end component;
component synth_reg_w_init
generic (
width: integer;
init_index: integer;
init_value: bit_vector;
latency: integer
);
port (
i: in std_logic_vector(width - 1 downto 0);
ce: in std_logic;
clr: in std_logic;
clk: in std_logic;
o: out std_logic_vector(width - 1 downto 0)
);
end component;
function size_of_uint(inp: integer; power_of_2: boolean)
return integer
is
constant inp_vec: std_logic_vector(31 downto 0) :=
integer_to_std_logic_vector(inp,32, xlUnsigned);
variable result: integer;
begin
result := 32;
for i in 0 to 31 loop
if inp_vec(i) = '1' then
result := i;
end if;
end loop;
if power_of_2 then
return result;
else
return result+1;
end if;
end;
function is_power_of_2(inp: std_logic_vector)
return boolean
is
constant width: integer := inp'length;
variable vec: std_logic_vector(width - 1 downto 0);
variable single_bit_set: boolean;
variable more_than_one_bit_set: boolean;
variable result: boolean;
begin
vec := inp;
single_bit_set := false;
more_than_one_bit_set := false;
-- synopsys translate_off
if (is_XorU(vec)) then
return false;
end if;
-- synopsys translate_on
if width > 0 then
for i in 0 to width - 1 loop
if vec(i) = '1' then
if single_bit_set then
more_than_one_bit_set := true;
end if;
single_bit_set := true;
end if;
end loop;
end if;
if (single_bit_set and not(more_than_one_bit_set)) then
result := true;
else
result := false;
end if;
return result;
end;
function ce_reg_init_val(index, period : integer)
return integer
is
variable result: integer;
begin
result := 0;
if ((index mod period) = 0) then
result := 1;
end if;
return result;
end;
function remaining_pipe_regs(num_pipeline_regs, period : integer)
return integer
is
variable factor, result: integer;
begin
factor := (num_pipeline_regs / period);
result := num_pipeline_regs - (period * factor) + 1;
return result;
end;
function sg_min(L, R: INTEGER) return INTEGER is
begin
if L < R then
return L;
else
return R;
end if;
end;
constant max_pipeline_regs : integer := 8;
constant pipe_regs : integer := 5;
constant num_pipeline_regs : integer := sg_min(pipeline_regs, max_pipeline_regs);
constant rem_pipeline_regs : integer := remaining_pipe_regs(num_pipeline_regs,period);
constant period_floor: integer := max(2, period);
constant power_of_2_counter: boolean :=
is_power_of_2(integer_to_std_logic_vector(period_floor,32, xlUnsigned));
constant cnt_width: integer :=
size_of_uint(period_floor, power_of_2_counter);
constant clk_for_ce_pulse_minus1: std_logic_vector(cnt_width - 1 downto 0) :=
integer_to_std_logic_vector((period_floor - 2),cnt_width, xlUnsigned);
constant clk_for_ce_pulse_minus2: std_logic_vector(cnt_width - 1 downto 0) :=
integer_to_std_logic_vector(max(0,period - 3),cnt_width, xlUnsigned);
constant clk_for_ce_pulse_minus_regs: std_logic_vector(cnt_width - 1 downto 0) :=
integer_to_std_logic_vector(max(0,period - rem_pipeline_regs),cnt_width, xlUnsigned);
signal clk_num: unsigned(cnt_width - 1 downto 0) := (others => '0');
signal ce_vec : std_logic_vector(num_pipeline_regs downto 0);
attribute MAX_FANOUT : string;
attribute MAX_FANOUT of ce_vec:signal is "REDUCE";
signal internal_ce: std_logic_vector(0 downto 0);
signal cnt_clr, cnt_clr_dly: std_logic_vector (0 downto 0);
begin
clk <= sysclk;
clr <= sysclr;
cntr_gen: process(sysclk)
begin
if sysclk'event and sysclk = '1' then
if (sysce = '1') then
if ((cnt_clr_dly(0) = '1') or (sysclr = '1')) then
clk_num <= (others => '0');
else
clk_num <= clk_num + 1;
end if;
end if;
end if;
end process;
clr_gen: process(clk_num, sysclr)
begin
if power_of_2_counter then
cnt_clr(0) <= sysclr;
else
if (unsigned_to_std_logic_vector(clk_num) = clk_for_ce_pulse_minus1
or sysclr = '1') then
cnt_clr(0) <= '1';
else
cnt_clr(0) <= '0';
end if;
end if;
end process;
clr_reg: synth_reg_w_init
generic map (
width => 1,
init_index => 0,
init_value => b"0000",
latency => 1
)
port map (
i => cnt_clr,
ce => sysce,
clr => sysclr,
clk => sysclk,
o => cnt_clr_dly
);
pipelined_ce : if period > 1 generate
ce_gen: process(clk_num)
begin
if unsigned_to_std_logic_vector(clk_num) = clk_for_ce_pulse_minus_regs then
ce_vec(num_pipeline_regs) <= '1';
else
ce_vec(num_pipeline_regs) <= '0';
end if;
end process;
ce_pipeline: for index in num_pipeline_regs downto 1 generate
ce_reg : synth_reg_w_init
generic map (
width => 1,
init_index => ce_reg_init_val(index, period),
init_value => b"0000",
latency => 1
)
port map (
i => ce_vec(index downto index),
ce => sysce,
clr => sysclr,
clk => sysclk,
o => ce_vec(index-1 downto index-1)
);
end generate;
internal_ce <= ce_vec(0 downto 0);
end generate;
use_bufg_true: if period > 1 and use_bufg = 1 generate
ce_bufg_inst: bufg
port map (
i => internal_ce(0),
o => ce
);
end generate;
use_bufg_false: if period > 1 and (use_bufg = 0) generate
ce <= internal_ce(0);
end generate;
generate_system_clk: if period = 1 generate
ce <= sysce;
end generate;
end architecture behavior;
-------------------------------------------------------------------
-- System Generator version 10.1.2 VHDL source file.
--
-- Copyright(C) 2008 by Xilinx, Inc. All rights reserved. This
-- text/file contains proprietary, confidential information of Xilinx,
-- Inc., is distributed under license from Xilinx, Inc., and may be used,
-- copied and/or disclosed only pursuant to the terms of a valid license
-- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use
-- this text/file solely for design, simulation, implementation and
-- creation of design files limited to Xilinx devices or technologies.
-- Use with non-Xilinx devices or technologies is expressly prohibited
-- and immediately terminates your license unless covered by a separate
-- agreement.
--
-- Xilinx is providing this design, code, or information "as is" solely
-- for use in developing programs and solutions for Xilinx devices. By
-- providing this design, code, or information as one possible
-- implementation of this feature, application or standard, Xilinx is
-- making no representation that this implementation is free from any
-- claims of infringement. You are responsible for obtaining any rights
-- you may require for your implementation. Xilinx expressly disclaims
-- any warranty whatsoever with respect to the adequacy of the
-- implementation, including but not limited to warranties of
-- merchantability or fitness for a particular purpose.
--
-- Xilinx products are not intended for use in life support appliances,
-- devices, or systems. Use in such applications is expressly prohibited.
--
-- Any modifications that are made to the source code are done at the user's
-- sole risk and will be unsupported.
--
-- This copyright and support notice must be retained as part of this
-- text at all times. (c) Copyright 1995-2008 Xilinx, Inc. All rights
-- reserved.
-------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
entity xland2 is
port (
a : in std_logic;
b : in std_logic;
dout : out std_logic
);
end xland2;
architecture behavior of xland2 is
begin
dout <= a and b;
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
entity default_clock_driver is
port (
sysce: in std_logic;
sysce_clr: in std_logic;
sysclk: in std_logic;
ce_1: out std_logic;
clk_1: out std_logic
);
end default_clock_driver;
architecture structural of default_clock_driver is
attribute syn_noprune: boolean;
attribute syn_noprune of structural : architecture is true;
attribute optimize_primitives: boolean;
attribute optimize_primitives of structural : architecture is false;
attribute dont_touch: boolean;
attribute dont_touch of structural : architecture is true;
signal sysce_clr_x0: std_logic;
signal sysce_x0: std_logic;
signal sysclk_x0: std_logic;
signal xlclockdriver_1_ce: std_logic;
signal xlclockdriver_1_clk: std_logic;
begin
sysce_x0 <= sysce;
sysce_clr_x0 <= sysce_clr;
sysclk_x0 <= sysclk;
ce_1 <= xlclockdriver_1_ce;
clk_1 <= xlclockdriver_1_clk;
xlclockdriver_1: entity work.xlclockdriver
generic map (
log_2_period => 1,
period => 1,
use_bufg => 0
)
port map (
sysce => sysce_x0,
sysclk => sysclk_x0,
sysclr => sysce_clr_x0,
ce => xlclockdriver_1_ce,
clk => xlclockdriver_1_clk
);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
entity warp_timer_cw is
port (
ce: in std_logic := '1';
clk: in std_logic; -- clock period = 10.0 ns (100.0 Mhz)
idlefordifs: in std_logic;
plb_abus: in std_logic_vector(31 downto 0);
plb_pavalid: in std_logic;
plb_rnw: in std_logic;
plb_wrdbus: in std_logic_vector(31 downto 0);
sg_plb_addrpref: in std_logic_vector(19 downto 0);
splb_rst: in std_logic;
sl_addrack: out std_logic;
sl_rdcomp: out std_logic;
sl_rddack: out std_logic;
sl_rddbus: out std_logic_vector(31 downto 0);
sl_wait: out std_logic;
sl_wrcomp: out std_logic;
sl_wrdack: out std_logic;
timer0_active: out std_logic;
timer1_active: out std_logic;
timer2_active: out std_logic;
timer3_active: out std_logic;
timerexpire: out std_logic
);
end warp_timer_cw;
architecture structural of warp_timer_cw is
component xlpersistentdff
port (
clk: in std_logic;
d: in std_logic;
q: out std_logic
);
end component;
attribute syn_black_box: boolean;
attribute syn_black_box of xlpersistentdff: component is true;
attribute box_type: string;
attribute box_type of xlpersistentdff: component is "black_box";
attribute syn_noprune: boolean;
attribute optimize_primitives: boolean;
attribute dont_touch: boolean;
attribute syn_noprune of xlpersistentdff: component is true;
attribute optimize_primitives of xlpersistentdff: component is false;
attribute dont_touch of xlpersistentdff: component is true;
signal ce_1_sg_x21: std_logic;
attribute MAX_FANOUT: string;
attribute MAX_FANOUT of ce_1_sg_x21: signal is "REDUCE";
signal clkNet: std_logic;
signal clk_1_sg_x21: std_logic;
signal data_in_net: std_logic_vector(31 downto 0);
signal data_in_x0_net: std_logic_vector(31 downto 0);
signal data_in_x1_net: std_logic_vector(31 downto 0);
signal data_in_x2_net: std_logic_vector(31 downto 0);
signal data_in_x3_net: std_logic_vector(31 downto 0);
signal data_in_x4_net: std_logic_vector(31 downto 0);
signal data_in_x5_net: std_logic_vector(31 downto 0);
signal data_in_x6_net: std_logic_vector(31 downto 0);
signal data_in_x7_net: std_logic_vector(31 downto 0);
signal data_in_x8_net: std_logic_vector(31 downto 0);
signal data_out_net: std_logic_vector(31 downto 0);
signal data_out_x0_net: std_logic_vector(31 downto 0);
signal data_out_x1_net: std_logic_vector(31 downto 0);
signal data_out_x2_net: std_logic_vector(31 downto 0);
signal data_out_x3_net: std_logic_vector(31 downto 0);
signal data_out_x4_net: std_logic_vector(31 downto 0);
signal data_out_x5_net: std_logic_vector(31 downto 0);
signal data_out_x6_net: std_logic_vector(31 downto 0);
signal data_out_x7_net: std_logic_vector(31 downto 0);
signal data_out_x8_net: std_logic_vector(31 downto 0);
signal en_net: std_logic;
signal en_x0_net: std_logic;
signal en_x1_net: std_logic;
signal en_x2_net: std_logic;
signal en_x3_net: std_logic;
signal en_x4_net: std_logic;
signal en_x5_net: std_logic;
signal en_x6_net: std_logic;
signal en_x7_net: std_logic;
signal en_x8_net: std_logic;
signal en_x9_net: std_logic;
signal from_register2_data_out_net_x0: std_logic_vector(31 downto 0);
signal from_register2_data_out_net_x1: std_logic_vector(31 downto 0);
signal idlefordifs_net: std_logic;
signal persistentdff_inst_q: std_logic;
attribute syn_keep: boolean;
attribute syn_keep of persistentdff_inst_q: signal is true;
attribute keep: boolean;
attribute keep of persistentdff_inst_q: signal is true;
attribute preserve_signal: boolean;
attribute preserve_signal of persistentdff_inst_q: signal is true;
signal plb_abus_net: std_logic_vector(31 downto 0);
signal plb_pavalid_net: std_logic;
signal plb_rnw_net: std_logic;
signal plb_wrdbus_net: std_logic_vector(31 downto 0);
signal sg_plb_addrpref_net: std_logic_vector(19 downto 0);
signal sl_addrack_net: std_logic;
signal sl_rdcomp_net: std_logic;
signal sl_rddack_net: std_logic;
signal sl_rddbus_net: std_logic_vector(31 downto 0);
signal sl_wait_net: std_logic;
signal sl_wrdack_x1: std_logic;
signal sl_wrdack_x2: std_logic;
signal splb_rst_net: std_logic;
signal timer0_active_net: std_logic;
signal timer0_countTo_reg_ce: std_logic;
signal timer0_timeLeft_reg_ce: std_logic;
signal timer1_active_net: std_logic;
signal timer1_countTo_reg_ce: std_logic;
signal timer1_timeLeft_reg_ce: std_logic;
signal timer2_active_net: std_logic;
signal timer2_countTo_reg_ce: std_logic;
signal timer2_timeLeft_reg_ce: std_logic;
signal timer3_active_net: std_logic;
signal timer3_countTo_reg_ce: std_logic;
signal timer3_timeLeft_reg_ce: std_logic;
signal timer_control_r_reg_ce: std_logic;
signal timer_control_w_reg_ce: std_logic;
signal timer_status_reg_ce: std_logic;
signal timerexpire_net: std_logic;
begin
clkNet <= clk;
idlefordifs_net <= idlefordifs;
plb_abus_net <= plb_abus;
plb_pavalid_net <= plb_pavalid;
plb_rnw_net <= plb_rnw;
plb_wrdbus_net <= plb_wrdbus;
sg_plb_addrpref_net <= sg_plb_addrpref;
splb_rst_net <= splb_rst;
sl_addrack <= sl_addrack_net;
sl_rdcomp <= sl_rdcomp_net;
sl_rddack <= sl_rddack_net;
sl_rddbus <= sl_rddbus_net;
sl_wait <= sl_wait_net;
sl_wrcomp <= sl_wrdack_x2;
sl_wrdack <= sl_wrdack_x1;
timer0_active <= timer0_active_net;
timer1_active <= timer1_active_net;
timer2_active <= timer2_active_net;
timer3_active <= timer3_active_net;
timerexpire <= timerexpire_net;
clk_probe: entity work.xlclkprobe
port map (
ce => '1',
clk => clkNet,
clr => '0'
);
default_clock_driver_x0: entity work.default_clock_driver
port map (
sysce => '1',
sysce_clr => '0',
sysclk => clkNet,
ce_1 => ce_1_sg_x21,
clk_1 => clk_1_sg_x21
);
persistentdff_inst: xlpersistentdff
port map (
clk => clkNet,
d => persistentdff_inst_q,
q => persistentdff_inst_q
);
timer0_countTo: entity work.synth_reg_w_init
generic map (
width => 32,
init_index => 2,
init_value => b"00000000000000000000000000000000",
latency => 1
)
port map (
ce => timer0_countTo_reg_ce,
clk => clk_1_sg_x21,
clr => '0',
i => data_in_x4_net,
o => data_out_net
);
timer0_countTo_ce_and2_comp: entity work.xland2
port map (
a => ce_1_sg_x21,
b => en_x4_net,
dout => timer0_countTo_reg_ce
);
timer0_timeLeft: entity work.synth_reg_w_init
generic map (
width => 32,
init_index => 2,
init_value => b"00000000000000000000000000000000",
latency => 1
)
port map (
ce => timer0_timeLeft_reg_ce,
clk => clk_1_sg_x21,
clr => '0',
i => data_in_net,
o => data_out_x3_net
);
timer0_timeLeft_ce_and2_comp: entity work.xland2
port map (
a => ce_1_sg_x21,
b => en_net,
dout => timer0_timeLeft_reg_ce
);
timer1_countTo: entity work.synth_reg_w_init
generic map (
width => 32,
init_index => 2,
init_value => b"00000000000000000000000000000000",
latency => 1
)
port map (
ce => timer1_countTo_reg_ce,
clk => clk_1_sg_x21,
clr => '0',
i => data_in_x5_net,
o => data_out_x0_net
);
timer1_countTo_ce_and2_comp: entity work.xland2
port map (
a => ce_1_sg_x21,
b => en_x5_net,
dout => timer1_countTo_reg_ce
);
timer1_timeLeft: entity work.synth_reg_w_init
generic map (
width => 32,
init_index => 2,
init_value => b"00000000000000000000000000000000",
latency => 1
)
port map (
ce => timer1_timeLeft_reg_ce,
clk => clk_1_sg_x21,
clr => '0',
i => data_in_x0_net,
o => data_out_x4_net
);
timer1_timeLeft_ce_and2_comp: entity work.xland2
port map (
a => ce_1_sg_x21,
b => en_x0_net,
dout => timer1_timeLeft_reg_ce
);
timer2_countTo: entity work.synth_reg_w_init
generic map (
width => 32,
init_index => 2,
init_value => b"00000000000000000000000000000000",
latency => 1
)
port map (
ce => timer2_countTo_reg_ce,
clk => clk_1_sg_x21,
clr => '0',
i => data_in_x6_net,
o => data_out_x1_net
);
timer2_countTo_ce_and2_comp: entity work.xland2
port map (
a => ce_1_sg_x21,
b => en_x6_net,
dout => timer2_countTo_reg_ce
);
timer2_timeLeft: entity work.synth_reg_w_init
generic map (
width => 32,
init_index => 2,
init_value => b"00000000000000000000000000000000",
latency => 1
)
port map (
ce => timer2_timeLeft_reg_ce,
clk => clk_1_sg_x21,
clr => '0',
i => data_in_x1_net,
o => data_out_x5_net
);
timer2_timeLeft_ce_and2_comp: entity work.xland2
port map (
a => ce_1_sg_x21,
b => en_x1_net,
dout => timer2_timeLeft_reg_ce
);
timer3_countTo: entity work.synth_reg_w_init
generic map (
width => 32,
init_index => 2,
init_value => b"00000000000000000000000000000000",
latency => 1
)
port map (
ce => timer3_countTo_reg_ce,
clk => clk_1_sg_x21,
clr => '0',
i => data_in_x7_net,
o => data_out_x2_net
);
timer3_countTo_ce_and2_comp: entity work.xland2
port map (
a => ce_1_sg_x21,
b => en_x7_net,
dout => timer3_countTo_reg_ce
);
timer3_timeLeft: entity work.synth_reg_w_init
generic map (
width => 32,
init_index => 2,
init_value => b"00000000000000000000000000000000",
latency => 1
)
port map (
ce => timer3_timeLeft_reg_ce,
clk => clk_1_sg_x21,
clr => '0',
i => data_in_x2_net,
o => data_out_x6_net
);
timer3_timeLeft_ce_and2_comp: entity work.xland2
port map (
a => ce_1_sg_x21,
b => en_x2_net,
dout => timer3_timeLeft_reg_ce
);
timer_control_r: entity work.synth_reg_w_init
generic map (
width => 32,
init_index => 2,
init_value => b"00000000000000000000000000000000",
latency => 1
)
port map (
ce => timer_control_r_reg_ce,
clk => clk_1_sg_x21,
clr => '0',
i => from_register2_data_out_net_x1,
o => data_out_x7_net
);
timer_control_r_ce_and2_comp: entity work.xland2
port map (
a => ce_1_sg_x21,
b => en_x9_net,
dout => timer_control_r_reg_ce
);
timer_control_w: entity work.synth_reg_w_init
generic map (
width => 32,
init_index => 2,
init_value => b"00000000000000000000000000000000",
latency => 1
)
port map (
ce => timer_control_w_reg_ce,
clk => clk_1_sg_x21,
clr => '0',
i => data_in_x8_net,
o => from_register2_data_out_net_x0
);
timer_control_w_ce_and2_comp: entity work.xland2
port map (
a => ce_1_sg_x21,
b => en_x8_net,
dout => timer_control_w_reg_ce
);
timer_status: entity work.synth_reg_w_init
generic map (
width => 32,
init_index => 2,
init_value => b"00000000000000000000000000000000",
latency => 1
)
port map (
ce => timer_status_reg_ce,
clk => clk_1_sg_x21,
clr => '0',
i => data_in_x3_net,
o => data_out_x8_net
);
timer_status_ce_and2_comp: entity work.xland2
port map (
a => ce_1_sg_x21,
b => en_x3_net,
dout => timer_status_reg_ce
);
warp_timer_x0: entity work.warp_timer
port map (
ce_1 => ce_1_sg_x21,
clk_1 => clk_1_sg_x21,
data_out => data_out_net,
data_out_x0 => data_out_x0_net,
data_out_x1 => data_out_x1_net,
data_out_x2 => data_out_x2_net,
data_out_x3 => data_out_x3_net,
data_out_x4 => data_out_x4_net,
data_out_x5 => data_out_x5_net,
data_out_x6 => data_out_x6_net,
data_out_x7 => data_out_x7_net,
data_out_x8 => data_out_x8_net,
data_out_x9 => from_register2_data_out_net_x0,
dout_x4 => data_out_net,
dout_x5 => data_out_x0_net,
dout_x6 => data_out_x1_net,
dout_x7 => data_out_x2_net,
dout_x8 => from_register2_data_out_net_x0,
idlefordifs => idlefordifs_net,
plb_abus => plb_abus_net,
plb_pavalid => plb_pavalid_net,
plb_rnw => plb_rnw_net,
plb_wrdbus => plb_wrdbus_net,
sg_plb_addrpref => sg_plb_addrpref_net,
splb_rst => splb_rst_net,
data_in => data_in_net,
data_in_x0 => data_in_x0_net,
data_in_x1 => data_in_x1_net,
data_in_x2 => data_in_x2_net,
data_in_x3 => data_in_x3_net,
data_in_x4 => data_in_x4_net,
data_in_x5 => data_in_x5_net,
data_in_x6 => data_in_x6_net,
data_in_x7 => data_in_x7_net,
data_in_x8 => data_in_x8_net,
data_in_x9 => from_register2_data_out_net_x1,
en => en_net,
en_x0 => en_x0_net,
en_x1 => en_x1_net,
en_x2 => en_x2_net,
en_x3 => en_x3_net,
en_x4 => en_x4_net,
en_x5 => en_x5_net,
en_x6 => en_x6_net,
en_x7 => en_x7_net,
en_x8 => en_x8_net,
en_x9 => en_x9_net,
sl_addrack => sl_addrack_net,
sl_rdcomp => sl_rdcomp_net,
sl_rddack => sl_rddack_net,
sl_rddbus => sl_rddbus_net,
sl_wait => sl_wait_net,
sl_wrcomp => sl_wrdack_x2,
sl_wrdack => sl_wrdack_x1,
timer0_active => timer0_active_net,
timer1_active => timer1_active_net,
timer2_active => timer2_active_net,
timer3_active => timer3_active_net,
timerexpire => timerexpire_net
);
end structural;
| bsd-2-clause | dcbc35553582859d53e7cff81af7310c | 0.596049 | 3.289037 | false | false | false | false |
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/builtin/builtin_top_v6.vhd | 9 | 52,731 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
LL2HhKjuuyfOn2+iAqd9Kdb0d0snl8Vx4T2BagOBjdQU+ps6TsdlUpnvLTUQm3GLiL8ODquuo0x1
tfF5dQxA1g==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
KmvY1SO+0d/v8YJk5KgcXCe0xMJCM/g6wvEUpsxGGNyYcejHWA/Mdfi48ZD1RXytFDiNnLYYgiJC
xiiz6oeHMO7q/eWx46dMpiZZs+FKURv8+PK0cDooS4gNqPYsr18ArOwCbPwDHFhkdJhuc+sfQooN
/LQkbqRI3WIg8/Yk7nQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
zFCOW5Zxwr2BWXiQv7zn1j/J5hPusZ9scCKt4epe0anpsDG09H66TGJDGwcI5RPLScUNW1RROvE/
2IiwWf/8cPuOEQpza4clzpa0Z/ro/rwTnfh0xa32Ys43o92oRusbxAmR+KMCUgTexfv04E/kyU3B
RrzfhgRJ5nAifOOnumOwyALef4VaXtlcwY6M9npewOrBRnDOxjRbTyPunRn6G/AOf5WqxAKquJf+
6cwlV5hKRoDgh/qaI/EtBFuopvYoNoqK1z+c19fvKSOcMlePFhd0qWUeuJWKZu34PBNVCSJ2nU8j
Og+PdjC23HJbJ6WXAoryzaTYamnTCT1+ar90RA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
pLaZcBwddufUCRDFQvslMtv+yjhaH8JchXBVzMqvzRzyCaAqqwXgc8gCHYuE8kvRNdPjMfRtRBsV
ect7Hc6NbYfTZgDjAKDbQjnwsYKmr0Z+MGwjdSVTSMt8fTaBZ8NAiOeRwJHJusUIVnWCzzU9amGg
bgFbjnqQs2g8T53AUL0=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
VOuVhKklf9GYOoCin2WrvBX1h2xqZhtfq4ASNDemBO/bcuqX/C+VYE/5OSyTbiDeVdhqxFwoFho0
DLo7mjgoL9KeTs0W3XBV+cQzzVXnp5NgcQbJK0o5YZSQyKgko4iI6nBdGqSfTti9MBMAt+M6kxSW
mIKvI0yGOVmJnpF81ZaKoUTPxKGxapDdrIPhTCSr9ovt19bca8Ci/2I5Ntw7ns87s0iKu+gWqtw3
XQRcSqT+SMmMLV34/wmiQxJXdrp5uJ4J82YjnZqjQpCn0rezMeIOWj+KIL/wB/6Yl2mVC/ggTb0+
3R//bsj0o5Vq3/whoLvoQfikdgrcQJwhq/fmgA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 37296)
`protect data_block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`protect end_protected
| gpl-3.0 | 0157c0bab7cbeb01f3a6b4514b75f171 | 0.94855 | 1.820193 | false | false | false | false |
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/fifo_generator_top.vhd | 9 | 37,688 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
gzZf57lTALZV/gZOPacOWpic9JsZZAL2OBkrButTjH4//GWUy4rZzixc31ITfH/F7QKto1//ftdq
7GfCAroG6A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TdO88XURBCJCjYk+hiBmuO6mNqCI/mU+IIQP/f3fedDWQzXA4HMbjW2YKO2E9zG69B9tuLcfdw4u
REKQCSUY9mH/VXNfFkP5Uqwm6+guMbFAfssCGri/WUmnHL9jADI1PCrsK+Pu/Fk4Pz4qkExasa2O
VjehDpoald/8yqbPoak=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
BgCwdLGF0Mobb0sKKJT1a+9emVAc9polzoVUVI50N2bOvQiU19i8V1EaBiMrLFBtIbPyfFuTDG9e
bCUuW79L3oygPRYWQ2g9+WWbDAIEYNlsjQZR+zEwsUP4zx8BhK0gJP41lnx7FeGiMJkztbZjghAD
UIqwXzKXUzsEaPIzPly44Oy+pP/ItYDOWQw3uUfhcckNcO2oAWWy/peuIAjufy5/aLwtSq42EcpQ
edwGD74FqvDRrK2aoPpLcd47ZDWknuXVfbzDgH0jqmqcuSLInlSD3zerQ4krBeNr2NlvPBmIYsRF
dMpeO5zu9reLXefLuy9YFhXtmFXjJVuehT7EkA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OgDeUvjNTWmnxGUGCnXkYDSI84w8S+0KS1fle0C0B7ViujKZwm+jxdKvCDSTRWWoSXwIezyFjAP1
L3MZyYq5g59+RhhYji7rbCbOuQtjTfc7NFwmhc70WuEAz2HsmX58aDkw1fBFG3RUzSyKzM2DoVIA
0Mzp4HGGyiRZOkXXeAA=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
teNVES71fzigm0mZBxeP5Zt1ZhCHZEYsW27bw6DxB+X1Hqo3ub/yEzdmFft44EHIkC5FzS+JFHW3
6CfEAgquLkCZp1iQIkR/UWYH2EGjbFpJgtRQssBoO4kE7xIMEXntBOCEzAJIRVyZrULL0/6lBSZ5
Rn0di8bvuxdJrrfJZSzGkQ6nXtdheetP/HKyMhYHsdeDBEvs15jtbrxjs5sA4q3eKvgY7ddkkAFp
Ifz0oxrittaTO1pQt54Sd47GV682Bh5K4d75MJSqFMIw9weJ3bt3nevTQUkK4t4bWcxi5OQg6xoB
zwMdPW5UCrMCt8nqk5A5zrXfU0qT5FkG4xhhOQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 26160)
`protect data_block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`protect end_protected
| gpl-3.0 | 54d04060becac63a43368ff2aa09b3bc | 0.947437 | 1.828804 | false | false | false | false |
timvideos/HDMI2USB-jahanzeb-firmware | ipcore_dir/ddr2ram/user_design/rtl/memc3_wrapper.vhd | 6 | 46,600 | --*****************************************************************************
-- (c) Copyright 2009 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--*****************************************************************************
-- ____ ____
-- / /\/ /
-- /___/ \ / Vendor : Xilinx
-- \ \ \/ Version : 3.92
-- \ \ Application : MIG
-- / / Filename : memc3_wrapper.vhd
-- /___/ /\ Date Last Modified : $Date: 2011/06/02 07:16:57 $
-- \ \ / \ Date Created : Jul 03 2009
-- \___\/\___\
--
--Device : Spartan-6
--Design Name : DDR/DDR2/DDR3/LPDDR
--Purpose : This module instantiates mcb_raw_wrapper module.
--Reference :
--Revision History :
--*****************************************************************************
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
entity memc3_wrapper is
generic (
C_MEMCLK_PERIOD : integer := 2500;
C_P0_MASK_SIZE : integer := 4;
C_P0_DATA_PORT_SIZE : integer := 32;
C_P1_MASK_SIZE : integer := 4;
C_P1_DATA_PORT_SIZE : integer := 32;
C_ARB_NUM_TIME_SLOTS : integer := 12;
C_ARB_TIME_SLOT_0 : bit_vector := "000";
C_ARB_TIME_SLOT_1 : bit_vector := "000";
C_ARB_TIME_SLOT_2 : bit_vector := "000";
C_ARB_TIME_SLOT_3 : bit_vector := "000";
C_ARB_TIME_SLOT_4 : bit_vector := "000";
C_ARB_TIME_SLOT_5 : bit_vector := "000";
C_ARB_TIME_SLOT_6 : bit_vector := "000";
C_ARB_TIME_SLOT_7 : bit_vector := "000";
C_ARB_TIME_SLOT_8 : bit_vector := "000";
C_ARB_TIME_SLOT_9 : bit_vector := "000";
C_ARB_TIME_SLOT_10 : bit_vector := "000";
C_ARB_TIME_SLOT_11 : bit_vector := "000";
C_MEM_TRAS : integer := 45000;
C_MEM_TRCD : integer := 12500;
C_MEM_TREFI : integer := 7800000;
C_MEM_TRFC : integer := 127500;
C_MEM_TRP : integer := 12500;
C_MEM_TWR : integer := 15000;
C_MEM_TRTP : integer := 7500;
C_MEM_TWTR : integer := 7500;
C_MEM_ADDR_ORDER : string :="ROW_BANK_COLUMN";
C_MEM_TYPE : string :="DDR2";
C_MEM_DENSITY : string :="1Gb";
C_NUM_DQ_PINS : integer := 4;
C_MEM_BURST_LEN : integer := 8;
C_MEM_CAS_LATENCY : integer := 5;
C_MEM_ADDR_WIDTH : integer := 14;
C_MEM_BANKADDR_WIDTH : integer := 3;
C_MEM_NUM_COL_BITS : integer := 11;
C_MEM_DDR1_2_ODS : string := "FULL";
C_MEM_DDR2_RTT : string := "50OHMS";
C_MEM_DDR2_DIFF_DQS_EN : string := "YES";
C_MEM_DDR2_3_PA_SR : string := "FULL";
C_MEM_DDR2_3_HIGH_TEMP_SR : string := "NORMAL";
C_MEM_DDR3_CAS_LATENCY : integer:= 7;
C_MEM_DDR3_CAS_WR_LATENCY : integer:= 5;
C_MEM_DDR3_ODS : string := "DIV6";
C_MEM_DDR3_RTT : string := "DIV2";
C_MEM_DDR3_AUTO_SR : string := "ENABLED";
C_MEM_DDR3_DYN_WRT_ODT : string := "OFF";
C_MEM_MOBILE_PA_SR : string := "FULL";
C_MEM_MDDR_ODS : string := "FULL";
C_MC_CALIB_BYPASS : string := "NO";
C_LDQSP_TAP_DELAY_VAL : integer := 0;
C_UDQSP_TAP_DELAY_VAL : integer := 0;
C_LDQSN_TAP_DELAY_VAL : integer := 0;
C_UDQSN_TAP_DELAY_VAL : integer := 0;
C_DQ0_TAP_DELAY_VAL : integer := 0;
C_DQ1_TAP_DELAY_VAL : integer := 0;
C_DQ2_TAP_DELAY_VAL : integer := 0;
C_DQ3_TAP_DELAY_VAL : integer := 0;
C_DQ4_TAP_DELAY_VAL : integer := 0;
C_DQ5_TAP_DELAY_VAL : integer := 0;
C_DQ6_TAP_DELAY_VAL : integer := 0;
C_DQ7_TAP_DELAY_VAL : integer := 0;
C_DQ8_TAP_DELAY_VAL : integer := 0;
C_DQ9_TAP_DELAY_VAL : integer := 0;
C_DQ10_TAP_DELAY_VAL : integer := 0;
C_DQ11_TAP_DELAY_VAL : integer := 0;
C_DQ12_TAP_DELAY_VAL : integer := 0;
C_DQ13_TAP_DELAY_VAL : integer := 0;
C_DQ14_TAP_DELAY_VAL : integer := 0;
C_DQ15_TAP_DELAY_VAL : integer := 0;
C_SKIP_IN_TERM_CAL : integer := 0;
C_SKIP_DYNAMIC_CAL : integer := 0;
C_SIMULATION : string := "FALSE";
C_MC_CALIBRATION_MODE : string := "CALIBRATION";
C_MC_CALIBRATION_DELAY : string := "QUARTER";
C_CALIB_SOFT_IP : string := "TRUE"
);
port
(
-- high-speed PLL clock interface
sysclk_2x : in std_logic;
sysclk_2x_180 : in std_logic;
pll_ce_0 : in std_logic;
pll_ce_90 : in std_logic;
pll_lock : in std_logic;
async_rst : in std_logic;
--User Port2 Interface Signals
p2_cmd_clk : in std_logic;
p2_cmd_en : in std_logic;
p2_cmd_instr : in std_logic_vector(2 downto 0) ;
p2_cmd_bl : in std_logic_vector(5 downto 0) ;
p2_cmd_byte_addr : in std_logic_vector(29 downto 0) ;
p2_cmd_empty : out std_logic;
p2_cmd_full : out std_logic;
--Data Rd Port signals
p2_rd_clk : in std_logic;
p2_rd_en : in std_logic;
p2_rd_data : out std_logic_vector(31 downto 0) ;
p2_rd_full : out std_logic;
p2_rd_empty : out std_logic;
p2_rd_count : out std_logic_vector(6 downto 0) ;
p2_rd_overflow : out std_logic;
p2_rd_error : out std_logic;
--User Port3 Interface Signals
p3_cmd_clk : in std_logic;
p3_cmd_en : in std_logic;
p3_cmd_instr : in std_logic_vector(2 downto 0) ;
p3_cmd_bl : in std_logic_vector(5 downto 0) ;
p3_cmd_byte_addr : in std_logic_vector(29 downto 0) ;
p3_cmd_empty : out std_logic;
p3_cmd_full : out std_logic;
--Data Wr Port signals
p3_wr_clk : in std_logic;
p3_wr_en : in std_logic;
p3_wr_mask : in std_logic_vector(3 downto 0) ;
p3_wr_data : in std_logic_vector(31 downto 0) ;
p3_wr_full : out std_logic;
p3_wr_empty : out std_logic;
p3_wr_count : out std_logic_vector(6 downto 0) ;
p3_wr_underrun : out std_logic;
p3_wr_error : out std_logic;
-- memory interface signals
mcb3_dram_ck : out std_logic;
mcb3_dram_ck_n : out std_logic;
mcb3_dram_a : out std_logic_vector(C_MEM_ADDR_WIDTH-1 downto 0);
mcb3_dram_ba : out std_logic_vector(C_MEM_BANKADDR_WIDTH-1 downto 0);
mcb3_dram_ras_n : out std_logic;
mcb3_dram_cas_n : out std_logic;
mcb3_dram_we_n : out std_logic;
mcb3_dram_odt : out std_logic;
-- mcb3_dram_odt : out std_logic;
mcb3_dram_cke : out std_logic;
mcb3_dram_dq : inout std_logic_vector(C_NUM_DQ_PINS-1 downto 0);
mcb3_dram_dqs : inout std_logic;
mcb3_dram_dqs_n : inout std_logic;
mcb3_dram_udqs : inout std_logic;
mcb3_dram_udm : out std_logic;
mcb3_dram_udqs_n : inout std_logic;
mcb3_dram_dm : out std_logic;
mcb3_rzq : inout std_logic;
mcb3_zio : inout std_logic;
-- Calibration signals
mcb_drp_clk : in std_logic;
calib_done : out std_logic;
selfrefresh_enter : in std_logic;
selfrefresh_mode : out std_logic
);
end entity;
architecture acch of memc3_wrapper is
component mcb_raw_wrapper IS
GENERIC (
C_MEMCLK_PERIOD : integer;
C_PORT_ENABLE : std_logic_vector(5 downto 0);
C_MEM_ADDR_ORDER : string;
C_ARB_NUM_TIME_SLOTS : integer;
C_ARB_TIME_SLOT_0 : bit_vector(17 downto 0);
C_ARB_TIME_SLOT_1 : bit_vector(17 downto 0);
C_ARB_TIME_SLOT_2 : bit_vector(17 downto 0);
C_ARB_TIME_SLOT_3 : bit_vector(17 downto 0);
C_ARB_TIME_SLOT_4 : bit_vector(17 downto 0);
C_ARB_TIME_SLOT_5 : bit_vector(17 downto 0);
C_ARB_TIME_SLOT_6 : bit_vector(17 downto 0);
C_ARB_TIME_SLOT_7 : bit_vector(17 downto 0);
C_ARB_TIME_SLOT_8 : bit_vector(17 downto 0);
C_ARB_TIME_SLOT_9 : bit_vector(17 downto 0);
C_ARB_TIME_SLOT_10 : bit_vector(17 downto 0);
C_ARB_TIME_SLOT_11 : bit_vector(17 downto 0);
C_PORT_CONFIG : string;
C_MEM_TRAS : integer;
C_MEM_TRCD : integer;
C_MEM_TREFI : integer;
C_MEM_TRFC : integer;
C_MEM_TRP : integer;
C_MEM_TWR : integer;
C_MEM_TRTP : integer;
C_MEM_TWTR : integer;
C_NUM_DQ_PINS : integer;
C_MEM_TYPE : string;
C_MEM_DENSITY : string;
C_MEM_BURST_LEN : integer;
C_MEM_CAS_LATENCY : integer;
C_MEM_ADDR_WIDTH : integer;
C_MEM_BANKADDR_WIDTH : integer;
C_MEM_NUM_COL_BITS : integer;
C_MEM_DDR3_CAS_LATENCY : integer;
C_MEM_MOBILE_PA_SR : string;
C_MEM_DDR1_2_ODS : string;
C_MEM_DDR3_ODS : string;
C_MEM_DDR2_RTT : string;
C_MEM_DDR3_RTT : string;
C_MEM_MDDR_ODS : string;
C_MEM_DDR2_DIFF_DQS_EN : string;
C_MEM_DDR2_3_PA_SR : string;
C_MEM_DDR3_CAS_WR_LATENCY : integer;
C_MEM_DDR3_AUTO_SR : string;
C_MEM_DDR2_3_HIGH_TEMP_SR : string;
C_MEM_DDR3_DYN_WRT_ODT : string;
C_MC_CALIB_BYPASS : string;
C_MC_CALIBRATION_RA : bit_vector(15 DOWNTO 0);
C_MC_CALIBRATION_BA : bit_vector(2 DOWNTO 0);
C_CALIB_SOFT_IP : string;
C_MC_CALIBRATION_CA : bit_vector(11 DOWNTO 0);
C_MC_CALIBRATION_CLK_DIV : integer;
C_MC_CALIBRATION_MODE : string;
C_MC_CALIBRATION_DELAY : string;
LDQSP_TAP_DELAY_VAL : integer;
UDQSP_TAP_DELAY_VAL : integer;
LDQSN_TAP_DELAY_VAL : integer;
UDQSN_TAP_DELAY_VAL : integer;
DQ0_TAP_DELAY_VAL : integer;
DQ1_TAP_DELAY_VAL : integer;
DQ2_TAP_DELAY_VAL : integer;
DQ3_TAP_DELAY_VAL : integer;
DQ4_TAP_DELAY_VAL : integer;
DQ5_TAP_DELAY_VAL : integer;
DQ6_TAP_DELAY_VAL : integer;
DQ7_TAP_DELAY_VAL : integer;
DQ8_TAP_DELAY_VAL : integer;
DQ9_TAP_DELAY_VAL : integer;
DQ10_TAP_DELAY_VAL : integer;
DQ11_TAP_DELAY_VAL : integer;
DQ12_TAP_DELAY_VAL : integer;
DQ13_TAP_DELAY_VAL : integer;
DQ14_TAP_DELAY_VAL : integer;
DQ15_TAP_DELAY_VAL : integer;
C_P0_MASK_SIZE : integer;
C_P0_DATA_PORT_SIZE : integer;
C_P1_MASK_SIZE : integer;
C_P1_DATA_PORT_SIZE : integer;
C_SIMULATION : string ;
C_SKIP_IN_TERM_CAL : integer;
C_SKIP_DYNAMIC_CAL : integer;
C_SKIP_DYN_IN_TERM : integer;
C_MEM_TZQINIT_MAXCNT : std_logic_vector(9 downto 0)
);
PORT (
-- HIGH-SPEED PLL clock interface
sysclk_2x : in std_logic;
sysclk_2x_180 : in std_logic;
pll_ce_0 : in std_logic;
pll_ce_90 : in std_logic;
pll_lock : in std_logic;
sys_rst : in std_logic;
p0_arb_en : in std_logic;
p0_cmd_clk : in std_logic;
p0_cmd_en : in std_logic;
p0_cmd_instr : in std_logic_vector(2 DOWNTO 0);
p0_cmd_bl : in std_logic_vector(5 DOWNTO 0);
p0_cmd_byte_addr : in std_logic_vector(29 DOWNTO 0);
p0_cmd_empty : out std_logic;
p0_cmd_full : out std_logic;
p0_wr_clk : in std_logic;
p0_wr_en : in std_logic;
p0_wr_mask : in std_logic_vector(C_P0_MASK_SIZE - 1 DOWNTO 0);
p0_wr_data : in std_logic_vector(C_P0_DATA_PORT_SIZE - 1 DOWNTO 0);
p0_wr_full : out std_logic;
p0_wr_empty : out std_logic;
p0_wr_count : out std_logic_vector(6 DOWNTO 0);
p0_wr_underrun : out std_logic;
p0_wr_error : out std_logic;
p0_rd_clk : in std_logic;
p0_rd_en : in std_logic;
p0_rd_data : out std_logic_vector(C_P0_DATA_PORT_SIZE - 1 DOWNTO 0);
p0_rd_full : out std_logic;
p0_rd_empty : out std_logic;
p0_rd_count : out std_logic_vector(6 DOWNTO 0);
p0_rd_overflow : out std_logic;
p0_rd_error : out std_logic;
p1_arb_en : in std_logic;
p1_cmd_clk : in std_logic;
p1_cmd_en : in std_logic;
p1_cmd_instr : in std_logic_vector(2 DOWNTO 0);
p1_cmd_bl : in std_logic_vector(5 DOWNTO 0);
p1_cmd_byte_addr : in std_logic_vector(29 DOWNTO 0);
p1_cmd_empty : out std_logic;
p1_cmd_full : out std_logic;
p1_wr_clk : in std_logic;
p1_wr_en : in std_logic;
p1_wr_mask : in std_logic_vector(C_P1_MASK_SIZE - 1 DOWNTO 0);
p1_wr_data : in std_logic_vector(C_P1_DATA_PORT_SIZE - 1 DOWNTO 0);
p1_wr_full : out std_logic;
p1_wr_empty : out std_logic;
p1_wr_count : out std_logic_vector(6 DOWNTO 0);
p1_wr_underrun : out std_logic;
p1_wr_error : out std_logic;
p1_rd_clk : in std_logic;
p1_rd_en : in std_logic;
p1_rd_data : out std_logic_vector(C_P1_DATA_PORT_SIZE - 1 DOWNTO 0);
p1_rd_full : out std_logic;
p1_rd_empty : out std_logic;
p1_rd_count : out std_logic_vector(6 DOWNTO 0);
p1_rd_overflow : out std_logic;
p1_rd_error : out std_logic;
p2_arb_en : in std_logic;
p2_cmd_clk : in std_logic;
p2_cmd_en : in std_logic;
p2_cmd_instr : in std_logic_vector(2 DOWNTO 0);
p2_cmd_bl : in std_logic_vector(5 DOWNTO 0);
p2_cmd_byte_addr : in std_logic_vector(29 DOWNTO 0);
p2_cmd_empty : out std_logic;
p2_cmd_full : out std_logic;
p2_wr_clk : in std_logic;
p2_wr_en : in std_logic;
p2_wr_mask : in std_logic_vector(3 DOWNTO 0);
p2_wr_data : in std_logic_vector(31 DOWNTO 0);
p2_wr_full : out std_logic;
p2_wr_empty : out std_logic;
p2_wr_count : out std_logic_vector(6 DOWNTO 0);
p2_wr_underrun : out std_logic;
p2_wr_error : out std_logic;
p2_rd_clk : in std_logic;
p2_rd_en : in std_logic;
p2_rd_data : out std_logic_vector(31 DOWNTO 0);
p2_rd_full : out std_logic;
p2_rd_empty : out std_logic;
p2_rd_count : out std_logic_vector(6 DOWNTO 0);
p2_rd_overflow : out std_logic;
p2_rd_error : out std_logic;
p3_arb_en : in std_logic;
p3_cmd_clk : in std_logic;
p3_cmd_en : in std_logic;
p3_cmd_instr : in std_logic_vector(2 DOWNTO 0);
p3_cmd_bl : in std_logic_vector(5 DOWNTO 0);
p3_cmd_byte_addr : in std_logic_vector(29 DOWNTO 0);
p3_cmd_empty : out std_logic;
p3_cmd_full : out std_logic;
p3_wr_clk : in std_logic;
p3_wr_en : in std_logic;
p3_wr_mask : in std_logic_vector(3 DOWNTO 0);
p3_wr_data : in std_logic_vector(31 DOWNTO 0);
p3_wr_full : out std_logic;
p3_wr_empty : out std_logic;
p3_wr_count : out std_logic_vector(6 DOWNTO 0);
p3_wr_underrun : out std_logic;
p3_wr_error : out std_logic;
p3_rd_clk : in std_logic;
p3_rd_en : in std_logic;
p3_rd_data : out std_logic_vector(31 DOWNTO 0);
p3_rd_full : out std_logic;
p3_rd_empty : out std_logic;
p3_rd_count : out std_logic_vector(6 DOWNTO 0);
p3_rd_overflow : out std_logic;
p3_rd_error : out std_logic;
p4_arb_en : in std_logic;
p4_cmd_clk : in std_logic;
p4_cmd_en : in std_logic;
p4_cmd_instr : in std_logic_vector(2 DOWNTO 0);
p4_cmd_bl : in std_logic_vector(5 DOWNTO 0);
p4_cmd_byte_addr : in std_logic_vector(29 DOWNTO 0);
p4_cmd_empty : out std_logic;
p4_cmd_full : out std_logic;
p4_wr_clk : in std_logic;
p4_wr_en : in std_logic;
p4_wr_mask : in std_logic_vector(3 DOWNTO 0);
p4_wr_data : in std_logic_vector(31 DOWNTO 0);
p4_wr_full : out std_logic;
p4_wr_empty : out std_logic;
p4_wr_count : out std_logic_vector(6 DOWNTO 0);
p4_wr_underrun : out std_logic;
p4_wr_error : out std_logic;
p4_rd_clk : in std_logic;
p4_rd_en : in std_logic;
p4_rd_data : out std_logic_vector(31 DOWNTO 0);
p4_rd_full : out std_logic;
p4_rd_empty : out std_logic;
p4_rd_count : out std_logic_vector(6 DOWNTO 0);
p4_rd_overflow : out std_logic;
p4_rd_error : out std_logic;
p5_arb_en : in std_logic;
p5_cmd_clk : in std_logic;
p5_cmd_en : in std_logic;
p5_cmd_instr : in std_logic_vector(2 DOWNTO 0);
p5_cmd_bl : in std_logic_vector(5 DOWNTO 0);
p5_cmd_byte_addr : in std_logic_vector(29 DOWNTO 0);
p5_cmd_empty : out std_logic;
p5_cmd_full : out std_logic;
p5_wr_clk : in std_logic;
p5_wr_en : in std_logic;
p5_wr_mask : in std_logic_vector(3 DOWNTO 0);
p5_wr_data : in std_logic_vector(31 DOWNTO 0);
p5_wr_full : out std_logic;
p5_wr_empty : out std_logic;
p5_wr_count : out std_logic_vector(6 DOWNTO 0);
p5_wr_underrun : out std_logic;
p5_wr_error : out std_logic;
p5_rd_clk : in std_logic;
p5_rd_en : in std_logic;
p5_rd_data : out std_logic_vector(31 DOWNTO 0);
p5_rd_full : out std_logic;
p5_rd_empty : out std_logic;
p5_rd_count : out std_logic_vector(6 DOWNTO 0);
p5_rd_overflow : out std_logic;
p5_rd_error : out std_logic;
mcbx_dram_addr : out std_logic_vector(C_MEM_ADDR_WIDTH - 1 DOWNTO 0);
mcbx_dram_ba : out std_logic_vector(C_MEM_BANKADDR_WIDTH - 1 DOWNTO 0);
mcbx_dram_ras_n : out std_logic;
mcbx_dram_cas_n : out std_logic;
mcbx_dram_we_n : out std_logic;
mcbx_dram_cke : out std_logic;
mcbx_dram_clk : out std_logic;
mcbx_dram_clk_n : out std_logic;
mcbx_dram_dq : inout std_logic_vector(C_NUM_DQ_PINS-1 DOWNTO 0);
mcbx_dram_dqs : inout std_logic;
mcbx_dram_dqs_n : inout std_logic;
mcbx_dram_udqs : inout std_logic;
mcbx_dram_udqs_n : inout std_logic;
mcbx_dram_udm : out std_logic;
mcbx_dram_ldm : out std_logic;
mcbx_dram_odt : out std_logic;
mcbx_dram_ddr3_rst : out std_logic;
calib_recal : in std_logic;
rzq : inout std_logic;
zio : inout std_logic;
ui_read : in std_logic;
ui_add : in std_logic;
ui_cs : in std_logic;
ui_clk : in std_logic;
ui_sdi : in std_logic;
ui_addr : in std_logic_vector(4 DOWNTO 0);
ui_broadcast : in std_logic;
ui_drp_update : in std_logic;
ui_done_cal : in std_logic;
ui_cmd : in std_logic;
ui_cmd_in : in std_logic;
ui_cmd_en : in std_logic;
ui_dqcount : in std_logic_vector(3 DOWNTO 0);
ui_dq_lower_dec : in std_logic;
ui_dq_lower_inc : in std_logic;
ui_dq_upper_dec : in std_logic;
ui_dq_upper_inc : in std_logic;
ui_udqs_inc : in std_logic;
ui_udqs_dec : in std_logic;
ui_ldqs_inc : in std_logic;
ui_ldqs_dec : in std_logic;
uo_data : out std_logic_vector(7 DOWNTO 0);
uo_data_valid : out std_logic;
uo_done_cal : out std_logic;
uo_cmd_ready_in : out std_logic;
uo_refrsh_flag : out std_logic;
uo_cal_start : out std_logic;
uo_sdo : out std_logic;
status : out std_logic_vector(31 DOWNTO 0);
selfrefresh_enter : in std_logic;
selfrefresh_mode : out std_logic
);
end component;
signal uo_data : std_logic_vector(7 downto 0);
constant C_PORT_ENABLE : std_logic_vector(5 downto 0) := "001100";
constant C_PORT_CONFIG : string := "B32_B32_R32_W32_R32_R32";
constant ARB_TIME_SLOT_0 : bit_vector(17 downto 0) := ("000" & "000" & "000" & "000" & C_ARB_TIME_SLOT_0(5 downto 3) & C_ARB_TIME_SLOT_0(2 downto 0));
constant ARB_TIME_SLOT_1 : bit_vector(17 downto 0) := ("000" & "000" & "000" & "000" & C_ARB_TIME_SLOT_1(5 downto 3) & C_ARB_TIME_SLOT_1(2 downto 0));
constant ARB_TIME_SLOT_2 : bit_vector(17 downto 0) := ("000" & "000" & "000" & "000" & C_ARB_TIME_SLOT_2(5 downto 3) & C_ARB_TIME_SLOT_2(2 downto 0));
constant ARB_TIME_SLOT_3 : bit_vector(17 downto 0) := ("000" & "000" & "000" & "000" & C_ARB_TIME_SLOT_3(5 downto 3) & C_ARB_TIME_SLOT_3(2 downto 0));
constant ARB_TIME_SLOT_4 : bit_vector(17 downto 0) := ("000" & "000" & "000" & "000" & C_ARB_TIME_SLOT_4(5 downto 3) & C_ARB_TIME_SLOT_4(2 downto 0));
constant ARB_TIME_SLOT_5 : bit_vector(17 downto 0) := ("000" & "000" & "000" & "000" & C_ARB_TIME_SLOT_5(5 downto 3) & C_ARB_TIME_SLOT_5(2 downto 0));
constant ARB_TIME_SLOT_6 : bit_vector(17 downto 0) := ("000" & "000" & "000" & "000" & C_ARB_TIME_SLOT_6(5 downto 3) & C_ARB_TIME_SLOT_6(2 downto 0));
constant ARB_TIME_SLOT_7 : bit_vector(17 downto 0) := ("000" & "000" & "000" & "000" & C_ARB_TIME_SLOT_7(5 downto 3) & C_ARB_TIME_SLOT_7(2 downto 0));
constant ARB_TIME_SLOT_8 : bit_vector(17 downto 0) := ("000" & "000" & "000" & "000" & C_ARB_TIME_SLOT_8(5 downto 3) & C_ARB_TIME_SLOT_8(2 downto 0));
constant ARB_TIME_SLOT_9 : bit_vector(17 downto 0) := ("000" & "000" & "000" & "000" & C_ARB_TIME_SLOT_9(5 downto 3) & C_ARB_TIME_SLOT_9(2 downto 0));
constant ARB_TIME_SLOT_10 : bit_vector(17 downto 0) := ("000" & "000" & "000" & "000" & C_ARB_TIME_SLOT_10(5 downto 3) & C_ARB_TIME_SLOT_10(2 downto 0));
constant ARB_TIME_SLOT_11 : bit_vector(17 downto 0) := ("000" & "000" & "000" & "000" & C_ARB_TIME_SLOT_11(5 downto 3) & C_ARB_TIME_SLOT_11(2 downto 0));
constant C_MC_CALIBRATION_CLK_DIV : integer := 1;
constant C_MEM_TZQINIT_MAXCNT : std_logic_vector(9 downto 0) := "1000000000" + "0000010000"; -- 16 cycles are added to avoid trfc violations
constant C_SKIP_DYN_IN_TERM : integer := 1;
constant C_MC_CALIBRATION_RA : bit_vector(15 downto 0) := X"0000";
constant C_MC_CALIBRATION_BA : bit_vector(2 downto 0) := o"0";
constant C_MC_CALIBRATION_CA : bit_vector(11 downto 0) := X"000";
signal status : std_logic_vector(31 downto 0);
signal uo_data_valid : std_logic;
signal uo_cmd_ready_in : std_logic;
signal uo_refrsh_flag : std_logic;
signal uo_cal_start : std_logic;
signal uo_sdo : std_logic;
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of acch : architecture IS
"mig_v3_92_ddr2_s6, Coregen 14.2";
attribute CORE_GENERATION_INFO : string;
attribute CORE_GENERATION_INFO of acch : architecture IS "mcb3_ddr2_s6,mig_v3_92,{LANGUAGE=VHDL, SYNTHESIS_TOOL=ISE, NO_OF_CONTROLLERS=1, AXI_ENABLE=0, MEM_INTERFACE_TYPE=DDR2_SDRAM, CLK_PERIOD=3200, MEMORY_PART=mt47h64m16xx-25e, MEMORY_DEVICE_WIDTH=16, OUTPUT_DRV=FULL, RTT_NOM=50OHMS, DQS#_ENABLE=YES, HIGH_TEMP_SR=NORMAL, PORT_CONFIG=Two 32-bit bi-directional and four 32-bit unidirectional ports, MEM_ADDR_ORDER=ROW_BANK_COLUMN, PORT_ENABLE=Port2_Port3, CLASS_ADDR=II, CLASS_DATA=II, INPUT_PIN_TERMINATION=CALIB_TERM, DATA_TERMINATION=25 Ohms, CLKFBOUT_MULT_F=2, CLKOUT_DIVIDE=1, DEBUG_PORT=0, INPUT_CLK_TYPE=Single-Ended}";
begin
memc3_mcb_raw_wrapper_inst : mcb_raw_wrapper
generic map
(
C_MEMCLK_PERIOD => C_MEMCLK_PERIOD,
C_P0_MASK_SIZE => C_P0_MASK_SIZE,
C_P0_DATA_PORT_SIZE => C_P0_DATA_PORT_SIZE,
C_P1_MASK_SIZE => C_P1_MASK_SIZE,
C_P1_DATA_PORT_SIZE => C_P1_DATA_PORT_SIZE,
C_ARB_NUM_TIME_SLOTS => C_ARB_NUM_TIME_SLOTS,
C_ARB_TIME_SLOT_0 => ARB_TIME_SLOT_0,
C_ARB_TIME_SLOT_1 => ARB_TIME_SLOT_1,
C_ARB_TIME_SLOT_2 => ARB_TIME_SLOT_2,
C_ARB_TIME_SLOT_3 => ARB_TIME_SLOT_3,
C_ARB_TIME_SLOT_4 => ARB_TIME_SLOT_4,
C_ARB_TIME_SLOT_5 => ARB_TIME_SLOT_5,
C_ARB_TIME_SLOT_6 => ARB_TIME_SLOT_6,
C_ARB_TIME_SLOT_7 => ARB_TIME_SLOT_7,
C_ARB_TIME_SLOT_8 => ARB_TIME_SLOT_8,
C_ARB_TIME_SLOT_9 => ARB_TIME_SLOT_9,
C_ARB_TIME_SLOT_10 => ARB_TIME_SLOT_10,
C_ARB_TIME_SLOT_11 => ARB_TIME_SLOT_11,
C_PORT_CONFIG => C_PORT_CONFIG,
C_PORT_ENABLE => C_PORT_ENABLE,
C_MEM_TRAS => C_MEM_TRAS,
C_MEM_TRCD => C_MEM_TRCD,
C_MEM_TREFI => C_MEM_TREFI,
C_MEM_TRFC => C_MEM_TRFC,
C_MEM_TRP => C_MEM_TRP,
C_MEM_TWR => C_MEM_TWR,
C_MEM_TRTP => C_MEM_TRTP,
C_MEM_TWTR => C_MEM_TWTR,
C_MEM_ADDR_ORDER => C_MEM_ADDR_ORDER,
C_NUM_DQ_PINS => C_NUM_DQ_PINS,
C_MEM_TYPE => C_MEM_TYPE,
C_MEM_DENSITY => C_MEM_DENSITY,
C_MEM_BURST_LEN => C_MEM_BURST_LEN,
C_MEM_CAS_LATENCY => C_MEM_CAS_LATENCY,
C_MEM_ADDR_WIDTH => C_MEM_ADDR_WIDTH,
C_MEM_BANKADDR_WIDTH => C_MEM_BANKADDR_WIDTH,
C_MEM_NUM_COL_BITS => C_MEM_NUM_COL_BITS,
C_MEM_DDR1_2_ODS => C_MEM_DDR1_2_ODS,
C_MEM_DDR2_RTT => C_MEM_DDR2_RTT,
C_MEM_DDR2_DIFF_DQS_EN => C_MEM_DDR2_DIFF_DQS_EN,
C_MEM_DDR2_3_PA_SR => C_MEM_DDR2_3_PA_SR,
C_MEM_DDR2_3_HIGH_TEMP_SR => C_MEM_DDR2_3_HIGH_TEMP_SR,
C_MEM_DDR3_CAS_LATENCY => C_MEM_DDR3_CAS_LATENCY,
C_MEM_DDR3_ODS => C_MEM_DDR3_ODS,
C_MEM_DDR3_RTT => C_MEM_DDR3_RTT,
C_MEM_DDR3_CAS_WR_LATENCY => C_MEM_DDR3_CAS_WR_LATENCY,
C_MEM_DDR3_AUTO_SR => C_MEM_DDR3_AUTO_SR,
C_MEM_DDR3_DYN_WRT_ODT => C_MEM_DDR3_DYN_WRT_ODT,
C_MEM_MOBILE_PA_SR => C_MEM_MOBILE_PA_SR,
C_MEM_MDDR_ODS => C_MEM_MDDR_ODS,
C_MC_CALIBRATION_CLK_DIV => C_MC_CALIBRATION_CLK_DIV,
C_MC_CALIBRATION_MODE => C_MC_CALIBRATION_MODE,
C_MC_CALIBRATION_DELAY => C_MC_CALIBRATION_DELAY,
C_MC_CALIB_BYPASS => C_MC_CALIB_BYPASS,
C_MC_CALIBRATION_RA => C_MC_CALIBRATION_RA,
C_MC_CALIBRATION_BA => C_MC_CALIBRATION_BA,
C_MC_CALIBRATION_CA => C_MC_CALIBRATION_CA,
C_CALIB_SOFT_IP => C_CALIB_SOFT_IP,
C_SIMULATION => C_SIMULATION,
C_SKIP_IN_TERM_CAL => C_SKIP_IN_TERM_CAL,
C_SKIP_DYNAMIC_CAL => C_SKIP_DYNAMIC_CAL,
C_SKIP_DYN_IN_TERM => C_SKIP_DYN_IN_TERM,
C_MEM_TZQINIT_MAXCNT => C_MEM_TZQINIT_MAXCNT,
LDQSP_TAP_DELAY_VAL => C_LDQSP_TAP_DELAY_VAL,
UDQSP_TAP_DELAY_VAL => C_UDQSP_TAP_DELAY_VAL,
LDQSN_TAP_DELAY_VAL => C_LDQSN_TAP_DELAY_VAL,
UDQSN_TAP_DELAY_VAL => C_UDQSN_TAP_DELAY_VAL,
DQ0_TAP_DELAY_VAL => C_DQ0_TAP_DELAY_VAL,
DQ1_TAP_DELAY_VAL => C_DQ1_TAP_DELAY_VAL,
DQ2_TAP_DELAY_VAL => C_DQ2_TAP_DELAY_VAL,
DQ3_TAP_DELAY_VAL => C_DQ3_TAP_DELAY_VAL,
DQ4_TAP_DELAY_VAL => C_DQ4_TAP_DELAY_VAL,
DQ5_TAP_DELAY_VAL => C_DQ5_TAP_DELAY_VAL,
DQ6_TAP_DELAY_VAL => C_DQ6_TAP_DELAY_VAL,
DQ7_TAP_DELAY_VAL => C_DQ7_TAP_DELAY_VAL,
DQ8_TAP_DELAY_VAL => C_DQ8_TAP_DELAY_VAL,
DQ9_TAP_DELAY_VAL => C_DQ9_TAP_DELAY_VAL,
DQ10_TAP_DELAY_VAL => C_DQ10_TAP_DELAY_VAL,
DQ11_TAP_DELAY_VAL => C_DQ11_TAP_DELAY_VAL,
DQ12_TAP_DELAY_VAL => C_DQ12_TAP_DELAY_VAL,
DQ13_TAP_DELAY_VAL => C_DQ13_TAP_DELAY_VAL,
DQ14_TAP_DELAY_VAL => C_DQ14_TAP_DELAY_VAL,
DQ15_TAP_DELAY_VAL => C_DQ15_TAP_DELAY_VAL
)
port map
(
sys_rst => async_rst,
sysclk_2x => sysclk_2x,
sysclk_2x_180 => sysclk_2x_180,
pll_ce_0 => pll_ce_0,
pll_ce_90 => pll_ce_90,
pll_lock => pll_lock,
mcbx_dram_addr => mcb3_dram_a,
mcbx_dram_ba => mcb3_dram_ba,
mcbx_dram_ras_n => mcb3_dram_ras_n,
mcbx_dram_cas_n => mcb3_dram_cas_n,
mcbx_dram_we_n => mcb3_dram_we_n,
mcbx_dram_cke => mcb3_dram_cke,
mcbx_dram_clk => mcb3_dram_ck,
mcbx_dram_clk_n => mcb3_dram_ck_n,
mcbx_dram_dq => mcb3_dram_dq,
mcbx_dram_odt => mcb3_dram_odt,
mcbx_dram_ldm => mcb3_dram_dm,
mcbx_dram_udm => mcb3_dram_udm,
mcbx_dram_dqs => mcb3_dram_dqs,
mcbx_dram_dqs_n => mcb3_dram_dqs_n,
mcbx_dram_udqs => mcb3_dram_udqs,
mcbx_dram_udqs_n => mcb3_dram_udqs_n,
mcbx_dram_ddr3_rst => open,
calib_recal => '0',
rzq => mcb3_rzq,
zio => mcb3_zio,
ui_read => '0',
ui_add => '0',
ui_cs => '0',
ui_clk => mcb_drp_clk,
ui_sdi => '0',
ui_addr => (others => '0'),
ui_broadcast => '0',
ui_drp_update => '0',
ui_done_cal => '1',
ui_cmd => '0',
ui_cmd_in => '0',
ui_cmd_en => '0',
ui_dqcount => (others => '0'),
ui_dq_lower_dec => '0',
ui_dq_lower_inc => '0',
ui_dq_upper_dec => '0',
ui_dq_upper_inc => '0',
ui_udqs_inc => '0',
ui_udqs_dec => '0',
ui_ldqs_inc => '0',
ui_ldqs_dec => '0',
uo_data => uo_data,
uo_data_valid => uo_data_valid,
uo_done_cal => calib_done,
uo_cmd_ready_in => uo_cmd_ready_in,
uo_refrsh_flag => uo_refrsh_flag,
uo_cal_start => uo_cal_start,
uo_sdo => uo_sdo,
status => status,
selfrefresh_enter => '0',
selfrefresh_mode => selfrefresh_mode,
p0_arb_en => '0',
p0_cmd_clk => '0',
p0_cmd_en => '0',
p0_cmd_instr => (others => '0'),
p0_cmd_bl => (others => '0'),
p0_cmd_byte_addr => (others => '0'),
p0_cmd_empty => open,
p0_cmd_full => open,
p0_rd_clk => '0',
p0_rd_en => '0',
p0_rd_data => open,
p0_rd_full => open,
p0_rd_empty => open,
p0_rd_count => open,
p0_rd_overflow => open,
p0_rd_error => open,
p0_wr_clk => '0',
p0_wr_en => '0',
p0_wr_mask => (others => '0'),
p0_wr_data => (others => '0'),
p0_wr_full => open,
p0_wr_empty => open,
p0_wr_count => open,
p0_wr_underrun => open,
p0_wr_error => open,
p1_arb_en => '0',
p1_cmd_clk => '0',
p1_cmd_en => '0',
p1_cmd_instr => (others => '0'),
p1_cmd_bl => (others => '0'),
p1_cmd_byte_addr => (others => '0'),
p1_cmd_empty => open,
p1_cmd_full => open,
p1_rd_clk => '0',
p1_rd_en => '0',
p1_rd_data => open,
p1_rd_full => open,
p1_rd_empty => open,
p1_rd_count => open,
p1_rd_overflow => open,
p1_rd_error => open,
p1_wr_clk => '0',
p1_wr_en => '0',
p1_wr_mask => (others => '0'),
p1_wr_data => (others => '0'),
p1_wr_full => open,
p1_wr_empty => open,
p1_wr_count => open,
p1_wr_underrun => open,
p1_wr_error => open,
p2_arb_en => '1',
p2_cmd_clk => p2_cmd_clk,
p2_cmd_en => p2_cmd_en,
p2_cmd_instr => p2_cmd_instr,
p2_cmd_bl => p2_cmd_bl,
p2_cmd_byte_addr => p2_cmd_byte_addr,
p2_cmd_empty => p2_cmd_empty,
p2_cmd_full => p2_cmd_full,
p2_rd_clk => p2_rd_clk,
p2_rd_en => p2_rd_en,
p2_rd_data => p2_rd_data,
p2_rd_full => p2_rd_full,
p2_rd_empty => p2_rd_empty,
p2_rd_count => p2_rd_count,
p2_rd_overflow => p2_rd_overflow,
p2_rd_error => p2_rd_error,
p2_wr_clk => '0',
p2_wr_en => '0',
p2_wr_mask => (others => '0'),
p2_wr_data => (others => '0'),
p2_wr_full => open,
p2_wr_empty => open,
p2_wr_count => open,
p2_wr_underrun => open,
p2_wr_error => open,
p3_arb_en => '1',
p3_cmd_clk => p3_cmd_clk,
p3_cmd_en => p3_cmd_en,
p3_cmd_instr => p3_cmd_instr,
p3_cmd_bl => p3_cmd_bl,
p3_cmd_byte_addr => p3_cmd_byte_addr,
p3_cmd_empty => p3_cmd_empty,
p3_cmd_full => p3_cmd_full,
p3_rd_clk => '0',
p3_rd_en => '0',
p3_rd_data => open,
p3_rd_full => open,
p3_rd_empty => open,
p3_rd_count => open,
p3_rd_overflow => open,
p3_rd_error => open,
p3_wr_clk => p3_wr_clk,
p3_wr_en => p3_wr_en,
p3_wr_mask => p3_wr_mask,
p3_wr_data => p3_wr_data,
p3_wr_full => p3_wr_full,
p3_wr_empty => p3_wr_empty,
p3_wr_count => p3_wr_count,
p3_wr_underrun => p3_wr_underrun,
p3_wr_error => p3_wr_error,
p4_arb_en => '0',
p4_cmd_clk => '0',
p4_cmd_en => '0',
p4_cmd_instr => (others => '0'),
p4_cmd_bl => (others => '0'),
p4_cmd_byte_addr => (others => '0'),
p4_cmd_empty => open,
p4_cmd_full => open,
p4_rd_clk => '0',
p4_rd_en => '0',
p4_rd_data => open,
p4_rd_full => open,
p4_rd_empty => open,
p4_rd_count => open,
p4_rd_overflow => open,
p4_rd_error => open,
p4_wr_clk => '0',
p4_wr_en => '0',
p4_wr_mask => (others => '0'),
p4_wr_data => (others => '0'),
p4_wr_full => open,
p4_wr_empty => open,
p4_wr_count => open,
p4_wr_underrun => open,
p4_wr_error => open,
p5_arb_en => '0',
p5_cmd_clk => '0',
p5_cmd_en => '0',
p5_cmd_instr => (others => '0'),
p5_cmd_bl => (others => '0'),
p5_cmd_byte_addr => (others => '0'),
p5_cmd_empty => open,
p5_cmd_full => open,
p5_rd_clk => '0',
p5_rd_en => '0',
p5_rd_data => open,
p5_rd_full => open,
p5_rd_empty => open,
p5_rd_count => open,
p5_rd_overflow => open,
p5_rd_error => open,
p5_wr_clk => '0',
p5_wr_en => '0',
p5_wr_mask => (others => '0'),
p5_wr_data => (others => '0'),
p5_wr_full => open,
p5_wr_empty => open,
p5_wr_count => open,
p5_wr_underrun => open,
p5_wr_error => open
);
end architecture;
| bsd-2-clause | 5ca3c26e74cac276f7e24db0c157a238 | 0.425751 | 3.503496 | false | false | false | false |
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/blk_mem_gen_v8_0/blk_mem_gen_getinit_pkg.vhd | 9 | 54,741 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
eUn4VHzkIs127VqpeCH1K4yU5Av/vYm1WCOhVu4BfRXKfjykceXDp05Kewbqk47AxD9m54cBoTXG
5yb7E3Rmsw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
nkuOv/cgO6hpzAYCLpCt9N5b2BYQA0RSMHWSmtUJsw38m5AuQ/Cpk3uyKwPuedaRJsEDB3YDLrnY
BxqAOWqrQQgpuHNtBQ5+NvlqXHaT0PiHEXcpmhaHzW0GyQBHaHbSmoz1+i15N5izBNgg2AuY+RPk
3kVOfLfqM5y6VXkpmzY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Dp4HlHpUuspWd6Iqhbkq1oRHUveDZbLW02PlV3SBeGL9/ZKuq8BAozR9dTHmiy8VxIkMgeK/nTo8
xecfY89rF+jJRBoiuWJfuuFHbnvYffAbUTQpfxdCusxlHZ/492gESnWtn26QduqRIELJh2t1mnVW
XF/cws1BXlYpWhLX1aSlfp/SU5w+mdyCGkY/Rx80jvUHeWgj5B49baTIAa0M3NeB8gpmJUO1abFR
VM3cJ3nok7oSx8jbkZdojACVE4IskKdIEvguSnPUPDT+GYorYwnv3zxVYmZXK0sbid9McvJD5ixn
VEM2UMKj3lEe74hGoioA9E5ZAFTyctsiNBs2EA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iBPOEiYz7tjHV6tDhkqhZbGaLP+75J1kXmWYgPUwezPe9U6Q/K4AJRZ+Rig+00113yNfM2GFPe9S
wq9EAnVSZJRNEL0xa2ZpiJ2iasYkvCife9DkXLKGb9SkgKTP8IESCoWx1Tv3DeP3875M2OweAuPo
0D+HP1UIjcryVfHScIE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
pV/+CImzZ9taumsWW5nvwi+aTeQthITBv/Doc75H7k3c85qUy0rFrlv6sbODOSA4SwPQFzBo3BJ5
Dc5z9oV2eoQiTsvjVRxpEcoojxD8BFt07w5zKJ7HZaAb9RspP+OmxQf938ncC0qTQ2StC+Ya9yFD
pbjR1+DeKp5PA8ziMYh4NyZUlzAPPPzpMhgRlY3zY6B4FdQOaK9btGYhWHx7VfFV7Iv4MPVJ1Afx
KUhFOh1GPtfsDO5rsZNEO8WBJXpC0W+aHQJIlb7A5Q1qwS4LpfHN4h6k4xwF+08fE7+pvpDOlbNg
DU7Xd10xTxqz5lFycpYdSco6v641pp5M0r5dbQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 38784)
`protect data_block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`protect end_protected
| gpl-3.0 | bdb346adfffeff97e69fc1d7ce0ab177 | 0.949745 | 1.823484 | false | false | false | false |
ymei/TMSPlane | Firmware/src/ten_gig_eth/TE07412C1/pcs_pma/ten_gig_eth_pcs_pma_0_gt_common.vhd | 3 | 10,216 | -------------------------------------------------------------------------------
-- Title : GT Common wrapper
-- Project : 10GBASE-R
-------------------------------------------------------------------------------
-- File : ten_gig_eth_pcs_pma_0_gt_common.vhd
-------------------------------------------------------------------------------
-- Description: This file contains the
-- 10GBASE-R Transceiver GT Common block.
-------------------------------------------------------------------------------
-- (c) Copyright 2009 - 2014 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity ten_gig_eth_pcs_pma_0_gt_common is
generic
(
WRAPPER_SIM_GTRESET_SPEEDUP : string := "false" --Does not affect hardware
);
port
(
refclk : in std_logic;
qpllreset : in std_logic;
qplllock : out std_logic;
qplloutclk : out std_logic;
qplloutrefclk : out std_logic
);
end entity ten_gig_eth_pcs_pma_0_gt_common;
architecture wrapper of ten_gig_eth_pcs_pma_0_gt_common is
-- ground and tied_to_vcc_i signals
signal tied_to_ground_i : std_logic;
signal tied_to_ground_vec_i : std_logic_vector(63 downto 0);
signal tied_to_vcc_i : std_logic;
-- List of signals to connect to GT Common block
signal gt0_gtrefclk0_common_in : std_logic;
signal gt0_qpllreset_in : std_logic;
signal gt0_qplllock_out : std_logic;
signal gt0_qplloutclk_i : std_logic;
signal gt0_qplloutrefclk_i : std_logic;
--*************************Logic to set Attribute QPLL_FB_DIV*****************************
impure function conv_qpll_fbdiv_top (qpllfbdiv_top : in integer) return bit_vector is
begin
if (qpllfbdiv_top = 16) then
return "0000100000";
elsif (qpllfbdiv_top = 20) then
return "0000110000" ;
elsif (qpllfbdiv_top = 32) then
return "0001100000" ;
elsif (qpllfbdiv_top = 40) then
return "0010000000" ;
elsif (qpllfbdiv_top = 64) then
return "0011100000" ;
elsif (qpllfbdiv_top = 66) then
return "0101000000" ;
elsif (qpllfbdiv_top = 80) then
return "0100100000" ;
elsif (qpllfbdiv_top = 100) then
return "0101110000" ;
else
return "0000000000" ;
end if;
end function;
impure function conv_qpll_fbdiv_ratio (qpllfbdiv_top : in integer) return bit is
begin
if (qpllfbdiv_top = 16) then
return '1';
elsif (qpllfbdiv_top = 20) then
return '1' ;
elsif (qpllfbdiv_top = 32) then
return '1' ;
elsif (qpllfbdiv_top = 40) then
return '1' ;
elsif (qpllfbdiv_top = 64) then
return '1' ;
elsif (qpllfbdiv_top = 66) then
return '0' ;
elsif (qpllfbdiv_top = 80) then
return '1' ;
elsif (qpllfbdiv_top = 100) then
return '1' ;
else
return '1' ;
end if;
end function;
constant QPLL_FBDIV_TOP : integer := 66;
constant QPLL_FBDIV_IN : bit_vector(9 downto 0) := conv_qpll_fbdiv_top(QPLL_FBDIV_TOP);
constant QPLL_FBDIV_RATIO : bit := conv_qpll_fbdiv_ratio(QPLL_FBDIV_TOP);
begin
tied_to_ground_i <= '0';
tied_to_ground_vec_i(63 downto 0) <= (others => '0');
tied_to_vcc_i <= '1';
gt0_gtrefclk0_common_in <= refclk;
gt0_qpllreset_in <= qpllreset;
qplllock <= gt0_qplllock_out;
qplloutclk <= gt0_qplloutclk_i;
qplloutrefclk <= gt0_qplloutrefclk_i;
gtxe2_common_0_i : GTXE2_COMMON
generic map
(
-- Simulation attributes
SIM_RESET_SPEEDUP => WRAPPER_SIM_GTRESET_SPEEDUP,
SIM_QPLLREFCLK_SEL => ("001"),
SIM_VERSION => "4.0",
------------------COMMON BLOCK Attributes---------------
BIAS_CFG => (x"0000040000001000"),
COMMON_CFG => (x"00000000"),
QPLL_CFG => (x"0680181"),
QPLL_CLKOUT_CFG => ("0000"),
QPLL_COARSE_FREQ_OVRD => ("010000"),
QPLL_COARSE_FREQ_OVRD_EN => ('0'),
QPLL_CP => ("0000011111"),
QPLL_CP_MONITOR_EN => ('0'),
QPLL_DMONITOR_SEL => ('0'),
QPLL_FBDIV => (QPLL_FBDIV_IN),
QPLL_FBDIV_MONITOR_EN => ('0'),
QPLL_FBDIV_RATIO => (QPLL_FBDIV_RATIO),
QPLL_INIT_CFG => (x"000006"),
QPLL_LOCK_CFG => (x"21E8"),
QPLL_LPF => ("1111"),
QPLL_REFCLK_DIV => (1)
)
port map
(
------------- Common Block - Dynamic Reconfiguration Port (DRP) -----------
DRPADDR => tied_to_ground_vec_i(7 downto 0),
DRPCLK => tied_to_ground_i,
DRPDI => tied_to_ground_vec_i(15 downto 0),
DRPDO => open,
DRPEN => tied_to_ground_i,
DRPRDY => open,
DRPWE => tied_to_ground_i,
---------------------- Common Block - Ref Clock Ports ---------------------
GTGREFCLK => tied_to_ground_i,
GTNORTHREFCLK0 => tied_to_ground_i,
GTNORTHREFCLK1 => tied_to_ground_i,
GTREFCLK0 => gt0_gtrefclk0_common_in,
GTREFCLK1 => tied_to_ground_i,
GTSOUTHREFCLK0 => tied_to_ground_i,
GTSOUTHREFCLK1 => tied_to_ground_i,
----------------------- Common Block - Clocking Ports ----------------------
QPLLOUTCLK => gt0_qplloutclk_i,
QPLLOUTREFCLK => gt0_qplloutrefclk_i,
REFCLKOUTMONITOR => open,
------------------------- Common Block - QPLL Ports ------------------------
QPLLDMONITOR => open,
QPLLFBCLKLOST => open,
QPLLLOCK => gt0_qplllock_out,
QPLLLOCKDETCLK => '0',
QPLLLOCKEN => tied_to_vcc_i,
QPLLOUTRESET => tied_to_ground_i,
QPLLPD => tied_to_ground_i,
QPLLREFCLKLOST => open,
QPLLREFCLKSEL => "001",
QPLLRESET => gt0_qpllreset_in,
QPLLRSVD1 => "0000000000000000",
QPLLRSVD2 => "11111",
--------------------------------- QPLL Ports -------------------------------
BGBYPASSB => tied_to_vcc_i,
BGMONITORENB => tied_to_vcc_i,
BGPDB => tied_to_vcc_i,
BGRCALOVRD => "11111",
PMARSVD => "00000000",
RCALENB => tied_to_vcc_i
);
end wrapper;
| bsd-3-clause | 32b2a57978b32d7c4940a35a2061ecaa | 0.480227 | 4.380789 | false | false | false | false |
ymei/TMSPlane | Firmware/src/channel_avg.vhd | 2 | 3,633 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 19:32:46 06/20/2014
-- Design Name:
-- Module Name: channel_avg - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
USE ieee.numeric_std.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
LIBRARY UNISIM;
USE UNISIM.VComponents.ALL;
ENTITY channel_avg IS
GENERIC (
NCH : positive := 16;
OUTCH_WIDTH : positive := 16;
INTERNAL_WIDTH : positive := 32;
INDATA_WIDTH : positive := 256;
OUTDATA_WIDTH : positive := 256
);
PORT (
RESET : IN std_logic;
CLK : IN std_logic;
-- high 4-bit is offset, 2**(low 4-bit) is number of points to average
CONFIG : IN std_logic_vector(7 DOWNTO 0);
TRIG : IN std_logic;
INDATA_Q : IN std_logic_vector(INDATA_WIDTH-1 DOWNTO 0);
OUTVALID : OUT std_logic;
OUTDATA_Q : OUT std_logic_vector(OUTDATA_WIDTH-1 DOWNTO 0)
);
END channel_avg;
ARCHITECTURE Behavioral OF channel_avg IS
SIGNAL trig_prev : std_logic;
SIGNAL trig_prev1 : std_logic;
SIGNAL trig_prev2 : std_logic;
SIGNAL trig_synced : std_logic;
--
SIGNAL avg_n : positive;
--
TYPE INTERNALVAL IS ARRAY(NCH-1 DOWNTO 0) OF signed(INTERNAL_WIDTH-1 DOWNTO 0);
SIGNAL inch_val : INTERNALVAL;
SIGNAL internal_val : INTERNALVAL;
BEGIN
PROCESS (CLK) IS
VARIABLE i : integer;
BEGIN
IF falling_edge(CLK) THEN -- register half-cycle earlier
FOR i IN 0 TO NCH-1 LOOP
inch_val(i) <= resize(signed(INDATA_Q(16*(i+1)-1 DOWNTO 16*i)), INTERNAL_WIDTH);
END LOOP;
END IF;
END PROCESS;
-- capture the rising edge of trigger
PROCESS (CLK, RESET) IS
BEGIN
IF RESET = '1' THEN
trig_prev <= '0';
trig_prev1 <= '0';
trig_prev2 <= '0';
ELSIF rising_edge(CLK) THEN
trig_prev <= TRIG;
trig_prev1 <= trig_prev;
trig_prev2 <= trig_prev1;
END IF;
END PROCESS;
trig_synced <= '1' WHEN trig_prev2 = '0' AND trig_prev1 = '1' ELSE '0';
avg_n <= to_integer(unsigned(CONFIG(3 DOWNTO 0)));
PROCESS (CLK, RESET) IS
VARIABLE i : integer;
VARIABLE j : unsigned(15 DOWNTO 0);
BEGIN
IF RESET = '1' THEN
FOR i IN 0 TO NCH-1 LOOP
internal_val(i) <= (OTHERS => '0');
END LOOP;
OUTVALID <= '0';
j := (OTHERS => '0');
ELSIF rising_edge(CLK) THEN
IF trig_synced = '1' THEN
j := resize(unsigned(CONFIG(7 DOWNTO 4)), j'length) + 1;
END IF;
FOR i IN 0 TO NCH-1 LOOP
IF j = 1 THEN
internal_val(i) <= inch_val(i);
ELSE
internal_val(i) <= internal_val(i) + inch_val(i);
END IF;
END LOOP;
IF j(avg_n) = '1' THEN
j := to_unsigned(1, j'length);
OUTVALID <= '1';
ELSE
j := j + 1;
OUTVALID <= '0';
END IF;
END IF;
END PROCESS;
outdata_q_inst : FOR i IN 0 TO NCH-1 GENERATE
OUTDATA_Q(OUTCH_WIDTH*(i+1)-1 DOWNTO OUTCH_WIDTH*i) <=
std_logic_vector(internal_val(i)(OUTCH_WIDTH-1+avg_n DOWNTO avg_n));
END GENERATE;
END Behavioral;
| bsd-3-clause | 0f40beb252f278f34c775f5abc28ab9e | 0.561519 | 3.476555 | false | false | false | false |
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/blk_mem_gen_v8_0/blk_mem_gen_v8_0.vhd | 9 | 19,058 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
hWeX0mv/9Qx3M4UIGIDkVTB/xgtUl9ZDZFIg5O3XHhobPBlKtKTl+fyCvGFf5vLRrNSlXlHJU1rz
FXdOqCANuA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
aOhE05LX1Z874CJygIFb5DH1GIlaxC9tDWYlZD63Qqn7XbP6Z7dgoWgNdH2kVDhzW050Mwzw9GtG
Dua7KP+dapwNPC0zwZB0gDwmQrHu/8Lsm/1+11f/S1aUv42hRRQ49OvSnvEifV8Mx3NzsNP9APDn
MUPvURHKUV73+6ZuiLo=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
QExf05LSMyideRXJSUpQGT/1C97RLsLWt7GaZ70aZO6SLMEwH5TnRVfbDDH7GGw7nmN5VdvI3CXd
Ohrtbh2exRVkM5VBFCXh2fGx+vEMmtYCCEwGudvZPoGRRyCBW1IrsTolCJJxvHpveDHx9X05S+AZ
I7J5s3DGHcufX/l2QvQubE8A8im9fDAA+aJMxUwKrQGLw8aN7gnkGdtmdtYZPff3wbYL7TKV3VjQ
h9wSSADn/qx8Az2xpHr2lXwU968hDmORjgPzn9lxd9FM69EXbeoLrMHYXNO6KSEGG6nXC3TjO+lj
MjcbkrOfVT6kbCe02MlhvQpZQY+XMl4HOK914Q==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
HJp734XfV9rUYZjItE1YCuSf14mpvib0w9M+m4AaNKlXWjk1WsDafIsxg19VrZMiErdpy71Alt9J
sbUHx/oQiJRYeO9K4pmdSlSxKVM9jk0vpLh5u0vWzOqmSkYQWfbDnrqzkx7OlCPafc92aIC6OJHd
THzXTmg29U8sxMEn6hI=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
t7U72jnghLdnhyooIGMnjTUH074rZ3mBDbbBszkfMDop77t2cGxgoJvZRbN6WdECZWX/IcA0QVXN
9DOVGEvSrp7W5JfAwrY1CEkvW075JVH8cL2uc+eyiXwog60NiGGkLURFzQ6bYQkd1RKWfAy5gotC
tS+Ujwdetildul2b32XW5fDfU9XePdhbmN+QUfTe5cJ35jqC2y98um1Dccs7tK09gY7ROZasml/q
hCXwAU6YCzcn9TsMhRQ7ZbLAd8FknaTGpITWk6cb9VYeEvWTH8RxLDFagQ7NU0/ZOvRpiu0i3ng8
m7pnf938tMdJ1nbDQNQ7w84MEzpMKBRM1RdBMA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12368)
`protect data_block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`protect end_protected
| gpl-3.0 | 290701c0d94cfa135c0ac98160ec4cbf | 0.939396 | 1.852089 | false | false | false | false |
ymei/TMSPlane | Firmware/src/clk_div.vhd | 2 | 1,481 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 19:32:46 01/25/2015
-- Design Name:
-- Module Name: clk_div - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description: Clock dividing
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
USE ieee.numeric_std.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
LIBRARY UNISIM;
USE UNISIM.VComponents.ALL;
ENTITY clk_div IS
GENERIC (
WIDTH : positive := 16;
PBITS : positive := 4 -- log2(WIDTH)
);
PORT (
RESET : IN std_logic;
CLK : IN std_logic;
DIV : IN std_logic_vector(PBITS-1 DOWNTO 0);
CLK_DIV : OUT std_logic
);
END clk_div;
ARCHITECTURE Behavioral OF clk_div IS
SIGNAL cnt : unsigned(WIDTH-1 DOWNTO 0);
BEGIN
PROCESS (CLK, RESET) IS
BEGIN
IF RESET = '1' THEN
cnt <= (OTHERS => '0');
ELSIF rising_edge(CLK) THEN
cnt <= cnt + 1;
END IF;
END PROCESS;
CLK_DIV <= CLK WHEN to_integer(unsigned(DIV)) = 0 ELSE
cnt(to_integer(unsigned(DIV))-1);
END Behavioral;
| bsd-3-clause | 47a1acc297d763c1ce28a3ef5b23066a | 0.565159 | 3.846753 | false | false | false | false |
timvideos/HDMI2USB-jahanzeb-firmware | hdl/misc/controller.vhd | 2 | 13,411 | -- //////////////////////////////////////////////////////////////////////////////
-- /// Copyright (c) 2013, Jahanzeb Ahmad
-- /// All rights reserved.
-- ///
-- // Redistribution and use in source and binary forms, with or without modification,
-- /// are permitted provided that the following conditions are met:
-- ///
-- /// * Redistributions of source code must retain the above copyright notice,
-- /// this list of conditions and the following disclaimer.
-- /// * Redistributions in binary form must reproduce the above copyright notice,
-- /// this list of conditions and the following disclaimer in the documentation and/or
-- /// other materials provided with the distribution.
-- ///
-- /// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY
-- /// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES
-- /// OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT
-- /// SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- /// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT
-- /// LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR
-- /// PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
-- /// WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- /// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- /// POSSIBILITY OF SUCH DAMAGE.
-- ///
-- ///
-- /// * http://opensource.org/licenses/MIT
-- /// * http://copyfree.org/licenses/mit/license.txt
-- ///
-- //////////////////////////////////////////////////////////////////////////////
--
-- Adds
-- U = usb/uvc
-- J = jpeg encoder
-- S = source selector
-- H = Hdmi
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
USE ieee.std_logic_unsigned.all;
entity controller is
port
(
status : out std_logic_vector(4 downto 0);
usb_cmd : out std_logic_vector(2 downto 0); -- UVCpayloadheader(0), raw/jpeg(1), uvc on/off(2)
jpeg_encoder_cmd : out std_logic_vector(1 downto 0); -- encodingQuality(1 downto 0)
selector_cmd : out std_logic_vector(12 downto 0); -- (1:0 source ) (2 gray/color) (3 inverted/not-inverted) (4:5 blue depth) (6:7 green depth) (8:9 red depth) (10 blue on/off) (11 green on/off) (12 red on/off)
HB_on : out std_logic;
uart_rd : out std_logic;
uart_rx_empty : in std_logic;
uart_din : in std_logic_vector(7 downto 0);
uart_clk : in std_logic;
usb_or_uart : in std_logic;
hdmi_cmd : out std_logic_vector(1 downto 0); -- if 1 then dvi else hdmi
hdmi_dvi : in std_logic_vector(1 downto 0); -- if 1 then dvi else hdmi
rdy_H : in std_logic_vector(1 downto 0);
btnu : in std_logic;
btnd : in std_logic;
btnl : in std_logic;
btnr : in std_logic;
uvc_rst : out std_logic;
cmd_byte : in std_logic_vector(7 downto 0);
cmd_en : in std_logic;
rst : in std_logic;
ifclk : in std_logic;
clk : in std_logic
);
end entity;
ARCHITECTURE rtl OF controller is
COMPONENT cmdfifo
PORT (
rst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
full : OUT STD_LOGIC;
almost_full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
almost_empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC
);
END COMPONENT;
signal usb_cmd_i : std_logic_vector(2 downto 0); -- UVCpayloadheader(0), raw/jpeg(1), uvc on/off(2)
signal jpeg_encoder_cmd_i : std_logic_vector(1 downto 0); -- encodingQuality(1 downto 0)
signal selector_cmd_i : std_logic_vector(12 downto 0); -- (1:0 source ) (2 gray/color) (3 inverted/not-inverted) (4:5 blue depth) (6:7 green depth) (8:9 red depth) (10 blue on/off) (11 green on/off) (12 red on/off)
signal HB_on_i : std_logic;
signal hdmi_cmd_i : std_logic_vector(1 downto 0); -- if 1 then dvi else hdmi
signal hdmi_dvi_q : std_logic_vector(1 downto 0); -- if 1 then dvi else hdmi
signal counter : std_logic_vector(7 downto 0);
signal cmd : STD_LOGIC_VECTOR(7 DOWNTO 0);
signal add : STD_LOGIC_VECTOR(7 DOWNTO 0);
signal rd_en : STD_LOGIC;
signal dout : STD_LOGIC_VECTOR(15 DOWNTO 0);
signal full : STD_LOGIC;
signal almost_full : STD_LOGIC;
signal empty : STD_LOGIC;
signal almost_empty : STD_LOGIC;
signal valid : STD_LOGIC;
signal uvc_rst_i : STD_LOGIC;
signal vsync_q : STD_LOGIC;
signal vsync_rising_edge : STD_LOGIC;
signal pressed : STD_LOGIC;
signal toggle : STD_LOGIC;
signal uart_rd_s : STD_LOGIC;
signal empty_s : STD_LOGIC;
signal fifo_din : STD_LOGIC_VECTOR(7 downto 0);
signal fifo_clk : STD_LOGIC;
signal fifo_wr : STD_LOGIC;
begin
-- comb logic
usb_cmd <= usb_cmd_i;
jpeg_encoder_cmd <= jpeg_encoder_cmd_i;
selector_cmd <= selector_cmd_i;
hdmi_cmd <= hdmi_cmd_i;
HB_on <= HB_on_i;
-- CMD Decoder
process(rst,clk)
begin
if rst = '1' then
usb_cmd_i <= "001"; -- uvc on/off(2) raw/jpeg(1) UVCpayloadheader(0)
jpeg_encoder_cmd_i <= "00"; -- encodingQuality(1 downto 0)
selector_cmd_i(3 downto 0) <= "0111"; -- (1:0 source ) (2 gray/color) (3 inverted/not-inverted)
selector_cmd_i(12 downto 4) <= "111000000"; --(4:5 blue depth) (6:7 green depth) (8:9 red depth) (10 blue on/off) (11 green on/off) (12 red on/off)
HB_on_i <= '1';
hdmi_cmd_i <= "11"; -- if 1 then dvi else hdmi
uvc_rst_i <= '1';
pressed <= '0';
hdmi_dvi_q <= "00";
status <= (others => '0');
toggle <= '0';
counter <= (others => '0');
elsif rising_edge(clk) then
if uvc_rst_i = '1' then
uvc_rst <= '1';
counter <= (others => '0');
toggle <= '1';
else
counter <= counter+1;
end if;
if counter = (counter'range => '1') and toggle = '1' then
uvc_rst <= '0';
toggle <= '0';
end if;
uvc_rst_i <= '0';
status <= (others => '0');
rd_en <= '0';
hdmi_dvi_q <= hdmi_dvi;
if (hdmi_dvi_q(0) xor hdmi_dvi(0)) = '1' then
hdmi_cmd_i(0) <= hdmi_dvi(0);
end if;
if (hdmi_dvi_q(1) xor hdmi_dvi(1)) = '1' then
hdmi_cmd_i(1) <= hdmi_dvi(1);
end if;
if btnd = '1' and pressed = '0' then
uvc_rst_i <= '1';
selector_cmd_i(1 downto 0) <= "11";
pressed <= '1';
else
pressed <= '0';
end if;
if btnl = '1' and pressed = '0' and rdy_H(1) = '1' then
uvc_rst_i <= '1';
selector_cmd_i(1 downto 0) <= "01";
pressed <= '1';
else
pressed <= '0';
end if;
if btnu = '1' and pressed = '0' and rdy_H(0) = '1' then
uvc_rst_i <= '1';
selector_cmd_i(1 downto 0) <= "00";
pressed <= '1';
else
pressed <= '0';
end if;
if empty = '0' and rd_en = '0' then
rd_en <= '1';
case add is
when X"55" | X"75" => -- U UVC/USB / UVCpayloadheader(0), raw/jpeg(1), uvc on/off(2)
case cmd is
when X"4a" | X"6a" => -- J j
usb_cmd_i(1) <= '1';
uvc_rst_i <= '1';
when X"52" | X"72" => -- Rr
usb_cmd_i(1) <= '0';
uvc_rst_i <= '1';
when X"4e" | X"6e" => -- N n (on)
usb_cmd_i(2) <= '1';
uvc_rst_i <= '1';
when X"46" | X"66" => -- Ff (off)
usb_cmd_i(2) <= '0';
uvc_rst_i <= '1';
when X"56" | X"76" => -- V v (video) header on
usb_cmd_i(0) <= '1';
uvc_rst_i <= '1';
when X"49" | X"69" => -- I i (image) header off
usb_cmd_i(0) <= '0';
uvc_rst_i <= '1';
when X"53" | X"73" => -- Status
status(0) <= '1';
when X"48" | X"68" => -- H
uvc_rst_i <= '1';
if (selector_cmd_i(1 downto 0) = "00") then -- hdmi 0
hdmi_cmd_i(0) <= '0'; -- HDMI
elsif (selector_cmd_i(1 downto 0) = "01") then -- hdmi 1
hdmi_cmd_i(1) <= '0'; -- HDMI
end if;
when X"44" | X"64" => -- D
uvc_rst_i <= '1';
if (selector_cmd_i(1 downto 0) = "00") then -- hdmi 0
hdmi_cmd_i(0) <= '1'; -- DVI
elsif (selector_cmd_i(1 downto 0) = "01") then -- hdmi 1
hdmi_cmd_i(1) <= '1'; -- DVI
end if;
when others =>
end case;
when X"4a" | X"6a" => -- J Jpeg
case cmd is
when X"53" | X"73" => -- Status
status(1) <= '1';
when X"30" => -- quality 100 %
jpeg_encoder_cmd_i(1 downto 0) <= "00";
when X"31" => -- quality 85%
jpeg_encoder_cmd_i(1 downto 0) <= "01";
when X"32" => -- quality 75%
jpeg_encoder_cmd_i(1 downto 0) <= "10";
when X"33" => -- quality 50%
jpeg_encoder_cmd_i(1 downto 0) <= "11";
when others =>
end case;
when X"48" | X"68" => -- H Hdmi
case cmd is
when X"53" | X"73" => -- Status
status(3) <= '1';
when X"30" => -- Force HDMI 0 to 720p
hdmi_cmd_i(0) <= '0';
uvc_rst_i <= '1';
when X"31" => -- Force HDMI 0 to 1024
hdmi_cmd_i(0) <= '1';
uvc_rst_i <= '1';
when X"32" => -- Force HDMI 1 to 720p
hdmi_cmd_i(1) <= '0';
uvc_rst_i <= '1';
when X"33" => -- Force HDMI 1 to 1024
hdmi_cmd_i(1) <= '1';
uvc_rst_i <= '1';
when others =>
end case;
when X"53" | X"73" => -- S Source Selector
case cmd is -- (1:0 source ) (2 gray/color) (3 inverted/not-inverted) (4:5 blue depth) (6:7 green depth) (8:9 red depth) (10 blue on/off) (11 green on/off) (12 red on/off)
when X"53" | X"73" => -- Status
status(2) <= '1';
when X"55" | X"75" => -- U button force source to HDMI0
if rdy_H(0) = '1' then
selector_cmd_i(1 downto 0) <= "00";
uvc_rst_i <= '1';
end if;
when X"4c" | X"6c" => -- L button force source to HDMI1
if rdy_H(1) = '1' then
selector_cmd_i(1 downto 0) <= "01";
uvc_rst_i <= '1';
end if;
when X"52" | X"72" => -- V button force source to VGA
-- selector_cmd_i(1 downto 0) <= "10";
when X"44" | X"64" => -- D button force source to test pattern
selector_cmd_i(1 downto 0) <= "11";
uvc_rst_i <= '1';
when X"47" | X"67" => -- Froce Gray
selector_cmd_i(2) <= '0';
when X"43" | X"63" => -- Froce Color
selector_cmd_i(2) <= '1';
when X"49" | X"69" => -- Invert Color
selector_cmd_i(3) <= not selector_cmd_i(3);
when X"48" | X"68" => -- Heart Beat On/Off
HB_on_i <= not HB_on_i;
when others =>
end case;
-- RGB (4:5 blue depth) (6:7 green depth) (8:9 red depth) (10 blue on/off) (11 green on/off) (12 red on/off)
when X"52" | X"72" => -- Red
case cmd is
when X"4e" | X"6e" => -- N n (on)
selector_cmd_i(12) <= '1';
when X"46" | X"66" => -- Ff (off)
selector_cmd_i(12) <= '0';
when X"30" =>
selector_cmd_i(9 downto 8) <= "00";
when X"31" =>
selector_cmd_i(9 downto 8) <= "01";
when X"32" =>
selector_cmd_i(9 downto 8) <= "10";
when X"33" =>
selector_cmd_i(9 downto 8) <= "11";
when others =>
end case;
when X"47" | X"67" => -- Green (4:5 blue depth) (6:7 green depth) (8:9 red depth) (10 blue on/off) (11 green on/off) (12 red on/off)
case cmd is
when X"4e" | X"6e" => -- N n (on)
selector_cmd_i(11) <= '1';
when X"46" | X"66" => -- Ff (off)
selector_cmd_i(11) <= '0';
when X"30" =>
selector_cmd_i(7 downto 6) <= "00";
when X"31" =>
selector_cmd_i(7 downto 6) <= "01";
when X"32" =>
selector_cmd_i(7 downto 6) <= "10";
when X"33" =>
selector_cmd_i(7 downto 6) <= "11";
when others =>
end case;
when X"42" | X"62" => -- Blue
case cmd is
when X"4e" | X"6e" => -- N n (on)
selector_cmd_i(10) <= '1';
when X"46" | X"66" => -- Ff (off)
selector_cmd_i(10) <= '0';
when X"30" =>
selector_cmd_i(5 downto 4) <= "00";
when X"31" =>
selector_cmd_i(5 downto 4) <= "01";
when X"32" =>
selector_cmd_i(5 downto 4) <= "10";
when X"33" =>
selector_cmd_i(5 downto 4) <= "11";
when others =>
end case;
when X"44" | X"64" => --Debug
case cmd is
when X"53" | X"73" => --Status
status(4) <= '1';
when others =>
end case;
when others =>
end case; -- case add
end if; -- cmd_en
end if; -- clk
end process;
uart_rd <= uart_rd_s;
uart_ctrl : process(uart_clk, uart_rx_empty, empty_s)
begin
if rst = '1' then
uart_rd_s <= '0';
elsif rising_edge(uart_clk) then
empty_s <= uart_rx_empty;
end if;
if empty_s = '1' and uart_rx_empty = '0' then
uart_rd_s <= '1';
end if;
if empty_s = uart_rx_empty then
uart_rd_s <= '0';
end if;
end process;
fifo_mux: process(usb_or_uart, uart_rd_s, cmd_en, uart_din, cmd_byte, uart_clk, ifclk)
begin
if usb_or_uart = '0' then
fifo_din <= cmd_byte;
fifo_wr <= cmd_en;
fifo_clk <= ifclk;
else
fifo_din <= uart_din;
fifo_wr <= uart_rd_s;
fifo_clk <= uart_clk;
end if;
end process;
cmd <= dout(7 downto 0);
add <= dout(15 downto 8);
cmdfifo_comp : cmdfifo
PORT MAP (
rst => rst,
wr_clk => fifo_clk,
rd_clk => clk,
din => fifo_din,
wr_en => fifo_wr,
rd_en => rd_en,
dout => dout,
full => full,
almost_full => almost_full,
empty => empty,
almost_empty => almost_empty,
valid => valid
);
END ARCHITECTURE;
| bsd-2-clause | b678bdbcd6af63a5346b62af19f656fa | 0.544851 | 2.715877 | false | false | false | false |
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/VHDL_Xilinx_Port/sha256_pipeline.vhd | 4 | 3,834 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 22:22:06 05/28/2011
-- Design Name:
-- Module Name: sha256_pipeline - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity sha256_pipeline is
generic ( DEPTH : integer );
Port ( clk : in STD_LOGIC;
step : in STD_LOGIC_VECTOR (5 downto 0);
state : in STD_LOGIC_VECTOR (255 downto 0);
input : in STD_LOGIC_VECTOR (511 downto 0);
hash : out STD_LOGIC_VECTOR (255 downto 0));
end sha256_pipeline;
architecture Behavioral of sha256_pipeline is
COMPONENT sha256_transform
PORT(
clk : IN std_logic;
w_in : IN std_logic_vector(511 downto 0);
s_in : IN std_logic_vector(255 downto 0);
w_out : OUT std_logic_vector(511 downto 0);
s_out : OUT std_logic_vector(255 downto 0);
k : IN std_logic_vector(31 downto 0)
);
END COMPONENT;
type k_array is array(integer range 0 to 63) of std_logic_vector(31 downto 0);
constant K : k_array := (
x"428a2f98", x"71374491", x"b5c0fbcf", x"e9b5dba5", x"3956c25b", x"59f111f1", x"923f82a4", x"ab1c5ed5",
x"d807aa98", x"12835b01", x"243185be", x"550c7dc3", x"72be5d74", x"80deb1fe", x"9bdc06a7", x"c19bf174",
x"e49b69c1", x"efbe4786", x"0fc19dc6", x"240ca1cc", x"2de92c6f", x"4a7484aa", x"5cb0a9dc", x"76f988da",
x"983e5152", x"a831c66d", x"b00327c8", x"bf597fc7", x"c6e00bf3", x"d5a79147", x"06ca6351", x"14292967",
x"27b70a85", x"2e1b2138", x"4d2c6dfc", x"53380d13", x"650a7354", x"766a0abb", x"81c2c92e", x"92722c85",
x"a2bfe8a1", x"a81a664b", x"c24b8b70", x"c76c51a3", x"d192e819", x"d6990624", x"f40e3585", x"106aa070",
x"19a4c116", x"1e376c08", x"2748774c", x"34b0bcb5", x"391c0cb3", x"4ed8aa4a", x"5b9cca4f", x"682e6ff3",
x"748f82ee", x"78a5636f", x"84c87814", x"8cc70208", x"90befffa", x"a4506ceb", x"bef9a3f7", x"c67178f2"
);
type w_array is array(integer range 0 to 64) of std_logic_vector(511 downto 0);
signal w : w_array;
type s_array is array(integer range 0 to 64) of std_logic_vector(255 downto 0);
signal s : s_array;
begin
w(0) <= input;
s(0) <= state;
hash(255 downto 224) <= state(255 downto 224) + s(2 ** DEPTH)(255 downto 224);
hash(223 downto 192) <= state(223 downto 192) + s(2 ** DEPTH)(223 downto 192);
hash(191 downto 160) <= state(191 downto 160) + s(2 ** DEPTH)(191 downto 160);
hash(159 downto 128) <= state(159 downto 128) + s(2 ** DEPTH)(159 downto 128);
hash(127 downto 96) <= state(127 downto 96) + s(2 ** DEPTH)(127 downto 96);
hash(95 downto 64) <= state(95 downto 64) + s(2 ** DEPTH)(95 downto 64);
hash(63 downto 32) <= state(63 downto 32) + s(2 ** DEPTH)(63 downto 32);
hash(31 downto 0) <= state(31 downto 0) + s(2 ** DEPTH)(31 downto 0);
rounds: for i in 0 to 2 ** DEPTH - 1 generate
signal round_k : std_logic_vector(31 downto 0);
signal round_w : std_logic_vector(511 downto 0);
signal round_s : std_logic_vector(255 downto 0);
begin
round_k <= K(i * 2 ** (6 - DEPTH) + conv_integer(step));
round_w <= w(i) when step = "000000" else w(i + 1);
round_s <= s(i) when step = "000000" else s(i + 1);
transform: sha256_transform
port map (
clk => clk,
w_in => round_w,
w_out => w(i + 1),
s_in => round_s,
s_out => s(i + 1),
k => round_k
);
end generate;
end Behavioral;
| gpl-3.0 | 381de317eb6ded63b0ab68188ce6c088 | 0.616328 | 2.64779 | false | false | false | false |
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/ramfifo/rd_fwft.vhd | 9 | 38,295 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ALFm1CZ0BNXH0LkwgLf8KgUtVc9XWRHoTXpRMB60Wo4uJju5cunx/cETpzlyviwQHfd8T8sYBWA1
E4UgG3+YoA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
V+kZY+tzIgD0C6+oyjxnnsxuR3lSuG27hu9PH5KENPtUCALKe/FYCjMo80MENXJWjY5TDr8iN7Du
UiaNzAmPqdViIGVuvdDQkxjx3NPFvjMpiZVXpSB3TUAGk+oZvHR65CKyk/Jufsb29i4ntSpDe6gG
tbaPEOCRdlg6VBvl0hE=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
k3T4GmV5PQwJSrnjOJbV9EsQ51S/xncCRKXaeVRPA2o0AXuE7ldsUsWsd0mBKc6op+MUPIV+d3vm
OGQjQJGLyAPaBKOe5vTC9lM0sFAuK4X92B51qq52223CveE4dJ+BbmpM8654gRvydiKb1+b5Bb6a
OK7dTjFvjfrXJ5qpZdok1mqPUFYqsChvkr/NQZ4O7TtiH0XyuSitAGjwXF6Eoly7K1g3s9NIuKe+
+jmW2rX0BtHQvIZ8QTR7by+bD5mnHJW5DWT5f1z0XDVhAd/+aA/jgcDK0DrdfowATaNc5lQ0//AX
RkvjL1AGL9HnYJixPYYxnUz4UinK+mAYCzmZNQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
sqV1c4SSL9HyfSVOBCYcucmhTMIXEKUv2STcobnoS1ymJQE4em+LWy00zStfyBKdhI+NmhnimqfZ
yAUdWrKk4rdKZ9/J5An1kFDzQyqlNl9wf9/tutF4ai0m0HVza/6P4E1jY7nkocPwrxkSX/r+bnxg
SK269WDUx7docWJTUx0=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
WKuZ7m4x6ObUsNfd3KdL3tm3BCykRHumTJYza+ymFHxHRgfyBQf2YOXgFBSYIyAzYyS1m2t8DWiK
Jsjovrwp1tRBLPcxnc1lYMq998L0i1bPUoJhbAdPN5gSNg2ON9P/iWXJIGfYVVLWen3aSciYj72z
gcfjEGobQADzrfcdM5Nv9TUdBR7BY1AYF5l/l/sQcIB6ZZfv7YgHERa7Gb+QKisjB+P5/02xQKeJ
F2sDznFfbzPs4FjcMLC6gkAzRz2O90sRqK1/0J8pIE5deyd2BEYaqlZnPfT/vjwcI+qjlRxnfaHu
DoOPeHr+VkcOWir7CoEO4vxFgXVm2PiDG2Qc4w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 26608)
`protect data_block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==
`protect end_protected
| gpl-3.0 | 38c362e5668cb0253ce2a9506541e1b2 | 0.948427 | 1.841726 | false | false | false | false |
shailcoolboy/Warp-Trinity | edk_user_repository/WARP/pcores/linkport_v1_00_a/hdl/vhdl/channel_init_sm.vhd | 4 | 15,099 | --
-- Project: Aurora Module Generator version 2.4
--
-- Date: $Date: 2005/11/21 23:26:37 $
-- Tag: $Name: i+IP+98818 $
-- File: $RCSfile: channel_init_sm_vhd.ejava,v $
-- Rev: $Revision: 1.1.2.6 $
--
-- Company: Xilinx
-- Contributors: R. K. Awalt, B. L. Woodard, N. Gulstone
--
-- Disclaimer: XILINX IS PROVIDING THIS DESIGN, CODE, OR
-- INFORMATION "AS IS" SOLELY FOR USE IN DEVELOPING
-- PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS
-- ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE,
-- APPLICATION OR STANDARD, XILINX IS MAKING NO
-- REPRESENTATION THAT THIS IMPLEMENTATION IS FREE
-- FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE
-- RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY
-- REQUIRE FOR YOUR IMPLEMENTATION. XILINX
-- EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH
-- RESPECT TO THE ADEQUACY OF THE IMPLEMENTATION,
-- INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE
-- FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES
-- OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE.
--
-- (c) Copyright 2004 Xilinx, Inc.
-- All rights reserved.
--
--
-- CHANNEL_INIT_SM
--
-- Author: Nigel Gulstone
-- Xilinx - Embedded Networking System Engineering Group
--
-- VHDL Translation: Brian Woodard
-- Xilinx - Garden Valley Design Team
--
-- Description: the CHANNEL_INIT_SM module is a state machine for managing channel
-- bonding and verification.
--
-- The channel init state machine is reset until the lane up signals
-- of all the lanes that constitute the channel are asserted. It then
-- requests channel bonding until the lanes have been bonded and
-- checks to make sure the bonding was successful. Channel bonding is
-- skipped if there is only one lane in the channel. If bonding is
-- unsuccessful, the lanes are reset.
--
-- After the bonding phase is complete, the state machine sends
-- verification sequences through the channel until it is clear that
-- the channel is ready to be used. If verification is successful,
-- the CHANNEL_UP signal is asserted. If it is unsuccessful, the
-- lanes are reset.
--
-- After CHANNEL_UP goes high, the state machine is quiescent, and will
-- reset only if one of the lanes goes down, a hard error is detected, or
-- a general reset is requested.
--
-- This module supports 1 2-byte lane designs
--
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
use WORK.AURORA.all;
-- synthesis translate_off
library UNISIM;
use UNISIM.all;
-- synthesis translate_on
entity CHANNEL_INIT_SM is
generic (
EXTEND_WATCHDOGS : boolean := FALSE
);
port (
-- MGT Interface
CH_BOND_DONE : in std_logic;
EN_CHAN_SYNC : out std_logic;
-- Aurora Lane Interface
CHANNEL_BOND_LOAD : in std_logic;
GOT_A : in std_logic_vector(0 to 1);
GOT_V : in std_logic;
RESET_LANES : out std_logic;
-- System Interface
USER_CLK : in std_logic;
RESET : in std_logic;
CHANNEL_UP : out std_logic;
START_RX : out std_logic;
-- Idle and Verification Sequence Generator Interface
DID_VER : in std_logic;
GEN_VER : out std_logic;
-- Channel Init State Machine Interface
RESET_CHANNEL : in std_logic
);
end CHANNEL_INIT_SM;
architecture RTL of CHANNEL_INIT_SM is
-- Parameter Declarations --
constant DLY : time := 1 ns;
-- External Register Declarations --
signal EN_CHAN_SYNC_Buffer : std_logic;
signal RESET_LANES_Buffer : std_logic;
signal CHANNEL_UP_Buffer : std_logic;
signal START_RX_Buffer : std_logic;
signal GEN_VER_Buffer : std_logic;
-- Internal Register Declarations --
signal free_count_done_r : std_logic;
signal extend_watchdogs_n_r : std_logic;
signal verify_watchdog_r : std_logic_vector(0 to 15);
signal all_lanes_v_r : std_logic;
signal got_first_v_r : std_logic;
signal v_count_r : std_logic_vector(0 to 31);
signal bad_v_r : std_logic;
signal rxver_count_r : std_logic_vector(0 to 2);
signal txver_count_r : std_logic_vector(0 to 7);
-- State registers
signal wait_for_lane_up_r : std_logic;
signal verify_r : std_logic;
signal ready_r : std_logic;
-- Wire Declarations --
signal free_count_1_r : std_logic;
signal free_count_2_r : std_logic;
signal extend_watchdogs_1_r : std_logic;
signal extend_watchdogs_2_r : std_logic;
signal extend_watchdogs_n_c : std_logic;
signal insert_ver_c : std_logic;
signal verify_watchdog_done_r : std_logic;
signal rxver_3d_done_r : std_logic;
signal txver_8d_done_r : std_logic;
signal reset_lanes_c : std_logic;
-- Next state signals
signal next_verify_c : std_logic;
signal next_ready_c : std_logic;
-- VHDL utility signals
signal tied_to_vcc : std_logic;
signal tied_to_gnd : std_logic;
-- Component Declarations
component SRL16
generic (INIT : bit_vector := X"0000");
port (
Q : out std_ulogic;
A0 : in std_ulogic;
A1 : in std_ulogic;
A2 : in std_ulogic;
A3 : in std_ulogic;
CLK : in std_ulogic;
D : in std_ulogic
);
end component;
component SRL16E
generic (INIT : bit_vector := X"0000");
port (
Q : out std_ulogic;
A0 : in std_ulogic;
A1 : in std_ulogic;
A2 : in std_ulogic;
A3 : in std_ulogic;
CE : in std_ulogic;
CLK : in std_ulogic;
D : in std_ulogic
);
end component;
component FD
generic (INIT : bit := '0');
port (
Q : out std_ulogic;
C : in std_ulogic;
D : in std_ulogic
);
end component;
begin
EN_CHAN_SYNC <= EN_CHAN_SYNC_Buffer;
RESET_LANES <= RESET_LANES_Buffer;
CHANNEL_UP <= CHANNEL_UP_Buffer;
START_RX <= START_RX_Buffer;
GEN_VER <= GEN_VER_Buffer;
tied_to_vcc <= '1';
tied_to_gnd <= '0';
-- Main Body of Code --
-- Main state machine for bonding and verification --
-- State registers
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
if ((RESET or RESET_CHANNEL) = '1') then
wait_for_lane_up_r <= '1' after DLY;
verify_r <= '0' after DLY;
ready_r <= '0' after DLY;
else
wait_for_lane_up_r <= '0' after DLY;
verify_r <= next_verify_c after DLY;
ready_r <= next_ready_c after DLY;
end if;
end if;
end process;
-- Next state logic
next_verify_c <= wait_for_lane_up_r or
(verify_r and (not rxver_3d_done_r or not txver_8d_done_r));
next_ready_c <= ((verify_r and txver_8d_done_r) and rxver_3d_done_r) or
ready_r;
-- Output Logic
-- Channel up is high as long as the Global Logic is in the ready state.
CHANNEL_UP_Buffer <= ready_r;
-- Turn the receive engine on as soon as all the lanes are up.
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
if (RESET = '1') then
START_RX_Buffer <= '0' after DLY;
else
START_RX_Buffer <= not wait_for_lane_up_r after DLY;
end if;
end if;
end process;
-- Generate the Verification sequence when in the verify state.
GEN_VER_Buffer <= verify_r;
-- Channel Reset --
-- Some problems during channel bonding and verification require the lanes to
-- be reset. When this happens, we assert the Reset Lanes signal, which gets
-- sent to all Aurora Lanes. When the Aurora Lanes reset, their LANE_UP signals
-- go down. This causes the Channel Error Detector to assert the Reset Channel
-- signal.
reset_lanes_c <= (verify_r and verify_watchdog_done_r) or
(verify_r and bad_v_r and not rxver_3d_done_r) or
(RESET_CHANNEL and not wait_for_lane_up_r) or
RESET;
reset_lanes_flop_i : FD
generic map (INIT => '1')
port map (
D => reset_lanes_c,
C => USER_CLK,
Q => RESET_LANES_Buffer
);
-- Watchdog timers --
-- We create a free counter out of SRLs to count large values without excessive cost.
free_count_1_i : SRL16
generic map (INIT => X"8000")
port map (
Q => free_count_1_r,
A0 => tied_to_vcc,
A1 => tied_to_vcc,
A2 => tied_to_vcc,
A3 => tied_to_vcc,
CLK => USER_CLK,
D => free_count_1_r
);
free_count_2_i : SRL16E
generic map (INIT => X"8000")
port map (
Q => free_count_2_r,
A0 => tied_to_vcc,
A1 => tied_to_vcc,
A2 => tied_to_vcc,
A3 => tied_to_vcc,
CLK => USER_CLK,
CE => free_count_1_r,
D => free_count_2_r
);
-- The watchdog extention SRLs are used to multiply the free count by 32
extend_watchdogs_1_i :SRL16E
port map
(
Q => extend_watchdogs_1_r,
A0 => tied_to_vcc,
A1 => tied_to_vcc,
A2 => tied_to_vcc,
A3 => tied_to_vcc,
CLK => USER_CLK,
CE => free_count_1_r,
D => extend_watchdogs_n_c
);
extend_watchdogs_2_i :SRL16E
port map
(
Q => extend_watchdogs_2_r,
A0 => tied_to_vcc,
A1 => tied_to_vcc,
A2 => tied_to_vcc,
A3 => tied_to_vcc,
CLK => USER_CLK,
CE => free_count_1_r,
D => extend_watchdogs_1_r
);
extend_watchdogs_n_c <= not extend_watchdogs_2_r;
process (USER_CLK)
begin
if( USER_CLK'event and USER_CLK='1') then
extend_watchdogs_n_r <= extend_watchdogs_n_c;
end if;
end process;
-- Finally we have logic hat registers a pulse when both the inner and the
-- outer SRLs have a bit in their last position. This should map to carry logic
-- and a register.
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
if(EXTEND_WATCHDOGS) then
free_count_done_r <= extend_watchdogs_2_r and extend_watchdogs_n_r after DLY;
else
free_count_done_r <= free_count_2_r and free_count_1_r after DLY;
end if;
end if;
end process;
-- We use the free running count as a CE for the verify watchdog. The
-- count runs continuously so the watchdog will vary between a count of 4096
-- and 3840 cycles - acceptable for this application.
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
if ((free_count_done_r or not verify_r) = '1') then
verify_watchdog_r <= verify_r & verify_watchdog_r(0 to 14) after DLY;
end if;
end if;
end process;
verify_watchdog_done_r <= verify_watchdog_r(15);
-- Channel Bonding --
-- We don't use channel bonding for the single lane case, so we tie the
-- EN_CHAN_SYNC signal low.
EN_CHAN_SYNC_Buffer <= '0';
-- Verification --
-- Vs need to appear on all lanes simultaneously.
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
all_lanes_v_r <= GOT_V after DLY;
end if;
end process;
-- Vs need to be decoded by the aurora lane and then checked by the
-- Global logic. They must appear periodically.
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
if (verify_r = '0') then
got_first_v_r <= '0' after DLY;
else
if (all_lanes_v_r = '1') then
got_first_v_r <= '1' after DLY;
end if;
end if;
end if;
end process;
insert_ver_c <= (all_lanes_v_r and not got_first_v_r) or (v_count_r(31) and verify_r);
-- Shift register for measuring the time between V counts.
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
v_count_r <= insert_ver_c & v_count_r(0 to 30) after DLY;
end if;
end process;
-- Assert bad_v_r if a V does not arrive when expected.
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
bad_v_r <= (v_count_r(31) xor all_lanes_v_r) and got_first_v_r after DLY;
end if;
end process;
-- Count the number of Ver sequences received. You're done after you receive four.
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
if (((v_count_r(31) and all_lanes_v_r) or not verify_r) = '1') then
rxver_count_r <= verify_r & rxver_count_r(0 to 1) after DLY;
end if;
end if;
end process;
rxver_3d_done_r <= rxver_count_r(2);
-- Count the number of Ver sequences transmitted. You're done after you send eight.
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
if ((DID_VER or not verify_r) = '1') then
txver_count_r <= verify_r & txver_count_r(0 to 6) after DLY;
end if;
end if;
end process;
txver_8d_done_r <= txver_count_r(7);
end RTL;
| bsd-2-clause | f28e9a3eb111fc4d2493833f0726cd3c | 0.523743 | 3.790861 | false | false | false | false |
ymei/TMSPlane | Firmware/src/control_interface.vhd | 2 | 15,627 | --------------------------------------------------------------------------------
--! @file control_interface.vhd
--! @brief Control Interface
--! \verbatim
--! Author : JS <[email protected]>
--! Company : University of Texas at Austin
--! Created : 2013-06-12
--! Last update: 2016-12-25
--! Description: Read words from command FIFO and interpret
--! This defines some example interfaces at different addresses:
--! Address 32 - 63: 16bit Configuration registers
--! These registers can be written and read.
--! Could be used to define operations parameters
--! Address 11: 16bit Pulse REGISTER
--! This register generates a pulse at the bits
--! set to 1 that is 3 clocks wide
--! Could be used to start some action, e.g. jtag
--! Address 0 - 10: 16bit Status registers
--! These are read-only.
--! Can be used to read the status of some external
--! device, .e.g an ADC, or input pins.
--! Address 16 - 20: 32bit memory interface
--! The idea is to write an address into 17 (LSB)
--! and 18 (MSB)
--! Then write the LSB16 into 19, and finally
--! the MSB16 into 20. On write to 20, the 32bit
--! data in 19 and 20 is written to the memory, AND
--! the address is auto-incremented, so that the NEXT
--! write seuqence doesn't need to re-write the address.
--! A Read on 20 reads the current address and returns
--! a 32bit data word into the FIFO, then increases
--! the memory. This read is repeated n times, where
--! "n" is the 16bit value at address 16.
--! Address 25: This address initiates a read from the DATA_FIFO
--! The value written `n' indicates the number of
--! words to copy from the DATA_FIFO to the FIFO.
--! Write `n' will result in n+1 words to be transferred.
--! Will wait indefinitely for all words to be transferred
--! should FIFOs stay in empty/full state.
--!
--! Revisions :
--! Date Version Author Description
--! 2013-06-12 1.0 jschamba Created
--! 2013-10-21 1.1 thorsten changed memory address space to 32 bit
--! added an interface to read a data fifo
--! 2016-12-25 ymei Adapt to FWFT FIFO
--! \endverbatim
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
-- Entity Declaration
ENTITY control_interface IS
PORT (
RESET : IN std_logic;
CLK : IN std_logic; -- system clock
-- From FPGA to PC
FIFO_Q : OUT std_logic_vector(35 DOWNTO 0); -- interface fifo data output port
FIFO_EMPTY : OUT std_logic; -- interface fifo "emtpy" signal
FIFO_RDREQ : IN std_logic; -- interface fifo read request
FIFO_RDCLK : IN std_logic; -- interface fifo read clock
-- From PC to FPGA, FWFT
CMD_FIFO_Q : IN std_logic_vector(35 DOWNTO 0); -- interface command fifo data out port
CMD_FIFO_EMPTY : IN std_logic; -- interface command fifo "emtpy" signal
CMD_FIFO_RDREQ : OUT std_logic; -- interface command fifo read request
-- Digital I/O
CONFIG_REG : OUT std_logic_vector(511 DOWNTO 0); -- thirtytwo 16bit registers
PULSE_REG : OUT std_logic_vector(15 DOWNTO 0); -- 16bit pulse register
STATUS_REG : IN std_logic_vector(175 DOWNTO 0); -- eleven 16bit registers
-- Memory interface
MEM_WE : OUT std_logic; -- memory write enable
MEM_ADDR : OUT std_logic_vector(31 DOWNTO 0);
MEM_DIN : OUT std_logic_vector(31 DOWNTO 0); -- memory data input
MEM_DOUT : IN std_logic_vector(31 DOWNTO 0); -- memory data output
-- Data FIFO interface, FWFT
DATA_FIFO_Q : IN std_logic_vector(31 DOWNTO 0);
DATA_FIFO_EMPTY : IN std_logic;
DATA_FIFO_RDREQ : OUT std_logic;
DATA_FIFO_RDCLK : OUT std_logic
);
END control_interface;
-- Architecture body
ARCHITECTURE a OF control_interface IS
COMPONENT fifo36x512
PORT (
rst : IN std_logic;
wr_clk : IN std_logic;
rd_clk : IN std_logic;
din : IN std_logic_vector(35 DOWNTO 0);
wr_en : IN std_logic;
rd_en : IN std_logic;
dout : OUT std_logic_vector(35 DOWNTO 0);
full : OUT std_logic;
empty : OUT std_logic
);
END COMPONENT;
-- signals for FIFO
SIGNAL bMemNotReg : integer;
CONSTANT SEL_REG : integer := 0;
CONSTANT SEL_MEM : integer := 1;
CONSTANT SEL_FIFO : integer := 2;
SIGNAL sFifoD : std_logic_vector(35 DOWNTO 0);
SIGNAL sFifoFull : std_logic;
SIGNAL sFifoWren : std_logic;
SIGNAL sFifoWrreq : std_logic;
SIGNAL sFifoRst : std_logic;
SIGNAL sFifoClk : std_logic;
-- signals for single-port RAM
SIGNAL sWea : std_logic;
SIGNAL sAddrA : unsigned(31 DOWNTO 0);
SIGNAL sDinA : std_logic_vector(31 DOWNTO 0);
SIGNAL sDoutA : std_logic_vector(31 DOWNTO 0);
SIGNAL sDinReg : std_logic_vector(15 DOWNTO 0);
SIGNAL sMemioCnt : std_logic_vector(15 DOWNTO 0);
SIGNAL sMemLatch : std_logic_vector(31 DOWNTO 0);
-- Configuration registers: 8 x 16bit
SIGNAL sConfigReg : std_logic_vector(511 DOWNTO 0);
SIGNAL sPulseReg : std_logic_vector(15 DOWNTO 0);
SIGNAL sRegOut : std_logic_vector(15 DOWNTO 0);
-- signals for FIFO read
-- to read data from a FIFO
SIGNAL sDataFifoCount : std_logic_vector(15 DOWNTO 0);
-- State machine variable
TYPE cmdState_t IS (
INIT,
WAIT_CMD,
GET_CMD,
INTERPRET_CMD,
MEM_ADV,
MEM_RD_CNT,
PULSE_DELAY,
FIFO_ADV
);
SIGNAL cmdState : cmdState_t;
BEGIN
CONFIG_REG <= sConfigReg;
PULSE_REG <= sPulseReg;
MEM_WE <= sWea;
MEM_ADDR <= std_logic_vector(sAddrA);
MEM_DIN <= sDinA;
sDoutA <= MEM_DOUT;
-- memory input
sDinA(15 DOWNTO 0) <= sDinReg;
-- When FWFT FIFO is used, high 16 bits have to be registered by a cycled.
PROCESS (CLK) IS
BEGIN
IF rising_edge(CLK) THEN
sDinA(31 DOWNTO 16) <= CMD_FIFO_Q(15 DOWNTO 0);
END IF;
END PROCESS;
-- data fifo
DATA_FIFO_RDCLK <= CLK;
-- data/event FIFO
sFifoRst <= RESET;
sFifoClk <= CLK;
data_fifo : fifo36x512
PORT MAP (
rst => sFifoRst,
wr_clk => sFifoClk,
rd_clk => FIFO_RDCLK,
din => sFifoD,
wr_en => sFifoWren,
rd_en => FIFO_RDREQ,
dout => FIFO_Q,
full => sFifoFull,
empty => FIFO_EMPTY
);
sFifoD(35 DOWNTO 32) <= (OTHERS => '0'); -- these bits not used
sFifoD(31 DOWNTO 0) <= MEM_DOUT WHEN bMemNotReg = SEL_MEM ELSE
DATA_FIFO_Q WHEN bMemNotReg = SEL_FIFO ELSE
x"0000" & sRegOut;
sFifoWren <= (NOT DATA_FIFO_EMPTY) WHEN bMemNotReg = SEL_FIFO
ELSE sFifoWrreq;
DATA_FIFO_RDREQ <= (NOT sFifoFull) WHEN bMemNotReg = SEL_FIFO
ELSE '0';
cmdIF_inst : PROCESS (CLK, RESET) IS
VARIABLE counterV : integer RANGE 0 TO 65535 := 0;
VARIABLE address_i : integer RANGE 0 TO 4095 := 0;
VARIABLE counterFIFO : integer RANGE 0 TO 65535 := 0;
BEGIN
IF RESET = '1' THEN
counterV := 0;
cmdState <= INIT;
CMD_FIFO_RDREQ <= '0';
sConfigReg <= (OTHERS => '0');
sPulseReg <= (OTHERS => '0');
sDinReg <= (OTHERS => '0');
sMemioCnt <= (OTHERS => '0');
sWea <= '0';
sAddrA <= (OTHERS => '0');
bMemNotReg <= SEL_REG;
ELSIF rising_edge(CLK) THEN
-- defaults:
CMD_FIFO_RDREQ <= '0';
sFifoWrreq <= '0';
sWea <= '0';
sRegOut <= (OTHERS => '0');
CASE cmdState IS
-- //// initialize registers to some sensible values
WHEN INIT =>
-- currently all 0
sConfigReg <= (OTHERS => '0');
sPulseReg <= (OTHERS => '0');
sAddrA <= (OTHERS => '0');
-- at least 1 memory read
sMemioCnt <= x"0001";
cmdState <= WAIT_CMD;
-- //// Wait for CMD_FIFO words
WHEN WAIT_CMD =>
bMemNotReg <= SEL_REG; -- output registers
sPulseReg <= (OTHERS => '0'); -- reset pulse REGISTER
-- wait for FIFO not empty
IF CMD_FIFO_EMPTY = '0' THEN
CMD_FIFO_RDREQ <= '1';
cmdState <= INTERPRET_CMD; -- GET_CMD;
END IF;
-- //// one wait state to get next CMD_FIFO word
-- When FWFT FIFO is used, this state should be skipped.
-- WHEN GET_CMD =>
-- cmdState <= INTERPRET_CMD;
-- //// Now interpret the current CMD_FIFO output
WHEN INTERPRET_CMD =>
---------------------------------------------------------------------
-- CMD_FIFO_Q format:
-- Q(31) : READ/NOT_WRITE
-- Q(30:28) : not used
-- Q(27:16) : ADDRESS
-- Q(15:0) : DATA
---------------------------------------------------------------------
--address_i := conv_integer(unsigned(CMD_FIFO_Q(27 DOWNTO 16)));
address_i := to_integer(unsigned(CMD_FIFO_Q(27 DOWNTO 16)));
IF CMD_FIFO_Q(31) = '1' THEN
-- //// a READ transaction ////////
CASE address_i IS
WHEN 32 TO 63 => -- CONFIG_REG
sRegOut <= sConfigReg((address_i-32)*16+15 DOWNTO
(address_i-32)*16);
sFifoWrreq <= '1';
cmdState <= WAIT_CMD;
WHEN 0 TO 10 => -- STATUS_REG
sRegOut <= STATUS_REG(address_i*16+15 DOWNTO address_i*16);
sFifoWrreq <= '1';
cmdState <= WAIT_CMD;
WHEN 16 => -- memory count REGISTER
sRegOut <= sMemioCnt;
sFifoWrreq <= '1';
cmdState <= WAIT_CMD;
WHEN 17 => -- memory address LSB REGISTER
sRegOut <= std_logic_vector(sAddrA (15 DOWNTO 0));
sFifoWrreq <= '1';
cmdState <= WAIT_CMD;
WHEN 18 => -- memory address MSB REGISTER
sRegOut <= std_logic_vector(sAddrA (31 DOWNTO 16));
sFifoWrreq <= '1';
cmdState <= WAIT_CMD;
WHEN 20 => -- read sMemioCnt 32bit memory words
-- reads 32bit memory words starting at the current
-- address sAddrA
counterV := to_integer(unsigned(sMemioCnt));
bMemNotReg <= SEL_MEM; -- switch FIFO input to memory output
IF sFifoFull = '0' THEN
sFifoWrreq <= '1'; -- latch current memory output
sAddrA <= sAddrA + 1; -- and advance the address
cmdState <= MEM_RD_CNT;
END IF;
WHEN OTHERS => -- bad address, return FFFF
sRegOut <= (OTHERS => '1');
sFifoWrreq <= '1';
cmdState <= WAIT_CMD;
END CASE;
ELSE
-- //// a WRITE transaction ////////
CASE address_i IS
WHEN 32 TO 63 => -- CONFIG_REG
sConfigReg((address_i-32)*16+15 DOWNTO
(address_i-32)*16) <= CMD_FIFO_Q(15 DOWNTO 0);
cmdState <= WAIT_CMD;
WHEN 11 => -- PULSE_REG
sPulseReg <= CMD_FIFO_Q(15 DOWNTO 0);
counterV := 2; -- 60ns
cmdState <= PULSE_DELAY;
WHEN 16 => -- memory count REGISTER
sMemioCnt <= CMD_FIFO_Q(15 DOWNTO 0);
cmdState <= WAIT_CMD;
WHEN 17 => -- memory address LSB REGISTER
sAddrA (15 DOWNTO 0) <= unsigned(CMD_FIFO_Q(15 DOWNTO 0));
cmdState <= WAIT_CMD;
WHEN 18 => -- memory address MSB REGISTER
--sAddrA <= CMD_FIFO_Q(15 DOWNTO 0);
sAddrA (31 DOWNTO 16) <= unsigned(CMD_FIFO_Q(15 DOWNTO 0));
cmdState <= WAIT_CMD;
WHEN 19 => -- memory LS16B
sDinReg <= CMD_FIFO_Q(15 DOWNTO 0);
cmdState <= WAIT_CMD;
WHEN 20 => -- memory MS16B
-- raise WriteEnable for one clock, which clocks IN
-- register 18 as LS16B and the data content of
-- the CMD_FIFO word as MS16B
sWea <= '1';
cmdState <= MEM_ADV;
WHEN 25 => -- Data Fifo read count
counterFIFO := to_integer(unsigned(CMD_FIFO_Q(15 DOWNTO 0)));
bMemNotReg <= SEL_FIFO;
cmdState <= FIFO_ADV;
WHEN OTHERS => -- bad address, do nothing
cmdState <= WAIT_CMD;
END CASE;
END IF;
-- //// advance memory address
WHEN MEM_ADV =>
sAddrA <= sAddrA + 1;
cmdState <= WAIT_CMD;
-- //// read sMemioCnt memory addresses
WHEN MEM_RD_CNT =>
counterV := counterV - 1;
-- wait for FIFO not FULL
IF (counterV = 0) THEN
-- Done
cmdState <= WAIT_CMD;
ELSIF sFifoFull = '0' THEN
-- latch current memory output
sFifoWrreq <= '1';
-- and advance address
sAddrA <= sAddrA + 1;
cmdState <= MEM_RD_CNT;
ELSE
-- FIFO Full:
-- go back to previous count and wait for FIFO not full
counterV := counterV + 1;
cmdState <= MEM_RD_CNT;
END IF;
-- //// delay two clocks to keep pulse high (total 3 clocks)
WHEN PULSE_DELAY =>
counterV := counterV - 1;
IF (counterV = 0) THEN
cmdState <= WAIT_CMD;
END IF;
-- //// Data FIFO read
WHEN FIFO_ADV =>
-- read data fifo, write reads to output fifo
-- exit when enough words were transferred
bMemNotReg <= SEL_FIFO;
cmdState <= FIFO_ADV;
IF (DATA_FIFO_EMPTY = '0') AND (sFifoFull = '0') THEN
IF counterFIFO = 0 THEN
-- we are done.
bMemNotReg <= SEL_REG;
cmdState <= WAIT_CMD;
ELSE
-- reduce the counter.
counterFIFO := counterFIFO - 1;
END IF;
END IF;
-- //// shouldn't happen
WHEN OTHERS =>
cmdState <= WAIT_CMD;
END CASE;
END IF;
END PROCESS cmdIF_inst;
END a;
| bsd-3-clause | f4e4fe6360f0fcc2b59322c66a458c86 | 0.490817 | 4.147293 | false | false | false | false |
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/VHDL_StratixIV_OrphanedGland/sha256/rtl/sha256_pc.vhd | 4 | 9,814 | --
-- Copyright (c) 2011 OrphanedGland ([email protected])
-- Send donations to : 1PioyqqFWXbKryxysGqoq5XAu9MTRANCEP
--
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
--
-- SHA256 core using H+K+W precalculation technique
-- Inspired by fpgaminer's sha256_transform.v
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity sha256_pc is
generic (
default_h : boolean := true
);
port (
clk : in std_logic;
reset : in std_logic;
msg_in : in std_logic_vector(511 downto 0);
h_in : in std_logic_vector(255 downto 0) := (others => '0');
digest : out std_logic_vector(255 downto 0)
);
end entity sha256_pc;
architecture sha256_pc_rtl of sha256_pc is
alias slv is std_logic_vector;
subtype msg is unsigned(511 downto 0);
subtype word is unsigned(31 downto 0);
function e0(x: unsigned(31 downto 0)) return unsigned is
begin
return (x(1 downto 0) & x(31 downto 2)) xor (x(12 downto 0) & x(31 downto 13)) xor (x(21 downto 0) & x(31 downto 22));
end e0;
function e1(x: unsigned(31 downto 0)) return unsigned is
begin
return (x(5 downto 0) & x(31 downto 6)) xor (x(10 downto 0) & x(31 downto 11)) xor (x(24 downto 0) & x(31 downto 25));
end e1;
function s0(x: unsigned(31 downto 0)) return unsigned is
variable y : unsigned(31 downto 0);
begin
y(31 downto 29) := x(6 downto 4) xor x(17 downto 15);
y(28 downto 0) := (x(3 downto 0) & x(31 downto 7)) xor (x(14 downto 0) & x(31 downto 18)) xor x(31 downto 3);
return y;
end s0;
function s1(x: unsigned(31 downto 0)) return unsigned is
variable y : unsigned(31 downto 0);
begin
y(31 downto 22) := x(16 downto 7) xor x(18 downto 9);
y(21 downto 0) := (x(6 downto 0) & x(31 downto 17)) xor (x(8 downto 0) & x(31 downto 19)) xor x(31 downto 10);
return y;
end s1;
function ch(x: unsigned(31 downto 0); y: unsigned(31 downto 0); z: unsigned(31 downto 0)) return unsigned is
begin
return (x and y) xor (not(x) and z);
end ch;
function maj(x: unsigned(31 downto 0); y: unsigned(31 downto 0); z: unsigned(31 downto 0)) return unsigned is
begin
return (x and y) xor (x and z) xor (y and z);
end maj;
type msg_array is array(0 to 63) of msg;
type word_array is array(0 to 63) of word;
type hash_array is array(0 to 7) of word;
constant k : word_array := ( X"428a2f98", X"71374491", X"b5c0fbcf", X"e9b5dba5", X"3956c25b", X"59f111f1", X"923f82a4", X"ab1c5ed5",
X"d807aa98", X"12835b01", X"243185be", X"550c7dc3", X"72be5d74", X"80deb1fe", X"9bdc06a7", X"c19bf174",
X"e49b69c1", X"efbe4786", X"0fc19dc6", X"240ca1cc", X"2de92c6f", X"4a7484aa", X"5cb0a9dc", X"76f988da",
X"983e5152", X"a831c66d", X"b00327c8", X"bf597fc7", X"c6e00bf3", X"d5a79147", X"06ca6351", X"14292967",
X"27b70a85", X"2e1b2138", X"4d2c6dfc", X"53380d13", X"650a7354", X"766a0abb", X"81c2c92e", X"92722c85",
X"a2bfe8a1", X"a81a664b", X"c24b8b70", X"c76c51a3", X"d192e819", X"d6990624", X"f40e3585", X"106aa070",
X"19a4c116", X"1e376c08", X"2748774c", X"34b0bcb5", X"391c0cb3", X"4ed8aa4a", X"5b9cca4f", X"682e6ff3",
X"748f82ee", X"78a5636f", X"84c87814", X"8cc70208", X"90befffa", X"a4506ceb", X"bef9a3f7", X"c67178f2" );
constant h_default : hash_array := ( X"6a09e667", X"bb67ae85", X"3c6ef372", X"a54ff53a", X"510e527f", X"9b05688c", X"1f83d9ab", X"5be0cd19" );
signal w : msg_array;
signal new_w : word_array;
signal t1 : word_array;
signal t2 : word_array;
signal a : word_array;
signal b : word_array;
signal c : word_array;
signal d : word_array;
signal e : word_array;
signal f : word_array;
signal g : word_array;
signal h : word_array;
signal hkw_precalc : word_array;
signal hash : hash_array;
signal h_init : hash_array;
signal q_w : msg_array;
signal q_a : word_array;
signal q_b : word_array;
signal q_c : word_array;
signal q_d : word_array;
signal q_e : word_array;
signal q_f : word_array;
signal q_g : word_array;
signal q_h : word_array;
signal q_hkw_precalc : word_array;
signal q_hash : hash_array;
signal q_msg : msg;
begin
output_mapping: for i in 0 to 7 generate
--digest((i+1)*32-1 downto i*32) <= slv(q_hash(7-i));
digest((i+1)*32-1 downto i*32) <= slv(q_hash(i));
end generate output_mapping;
default_h_gen: if default_h = true generate
h_init <= h_default;
end generate default_h_gen;
h_gen: if default_h = false generate
h_array_gen: for i in 0 to 7 generate
h_init(i) <= unsigned(h_in((i+1)*32-1 downto i*32));
end generate h_array_gen;
end generate h_gen;
hkw_precalc(0) <= h_init(7) + k(0) + unsigned(msg_in(31 downto 0));
hash_pipeline: for i in 0 to 63 generate
first_stage: if i = 0 generate
t1_no_precalc_gen: if default_h = true generate
-- no point precalculating when constants are used, so save a clock cycle
t1(i) <= h_init(7) + e1(h_init(4)) + ch(h_init(4), h_init(5), h_init(6)) + k(i) + w(i)(31 downto 0);
w(i) <= unsigned(msg_in);
end generate t1_no_precalc_gen;
t1_precalc_gen: if default_h = false generate
t1(i) <= e1(h_init(4)) + ch(h_init(4), h_init(5), h_init(6)) + q_hkw_precalc(i);
w(i) <= q_msg;
end generate t1_precalc_gen;
t2(i) <= e0(h_init(0)) + maj(h_init(0), h_init(1), h_init(2));
a(i) <= t1(i) + t2(i);
b(i) <= h_init(0);
c(i) <= h_init(1);
d(i) <= h_init(2);
e(i) <= h_init(3) + t1(i);
f(i) <= h_init(4);
g(i) <= h_init(5);
h(i) <= h_init(6);
hkw_precalc(i+1) <= h_init(6) + k(i+1) + w(i)(63 downto 32);
end generate first_stage;
other_stages: if i /= 0 generate
t1(i) <= e1(q_e(i-1)) + ch(q_e(i-1), q_f(i-1), q_g(i-1)) + q_hkw_precalc(i);
t2(i) <= e0(q_a(i-1)) + maj(q_a(i-1), q_b(i-1), q_c(i-1));
new_w(i) <= s1(q_w(i-1)(479 downto 448)) + q_w(i-1)(319 downto 288) + s0(q_w(i-1)(63 downto 32)) + q_w(i-1)(31 downto 0);
w(i) <= new_w(i) & q_w(i-1)(511 downto 32);
a(i) <= t1(i) + t2(i);
b(i) <= q_a(i-1);
c(i) <= q_b(i-1);
d(i) <= q_c(i-1);
e(i) <= q_d(i-1) + t1(i);
f(i) <= q_e(i-1);
g(i) <= q_f(i-1);
h(i) <= q_g(i-1);
precalc: if i /= 63 generate
hkw_precalc(i+1) <= q_g(i-1) + k(i+1) + w(i)(63 downto 32);
end generate precalc;
end generate other_stages;
end generate hash_pipeline;
hash(0) <= q_a(63) + h_init(0);
hash(1) <= q_b(63) + h_init(1);
hash(2) <= q_c(63) + h_init(2);
hash(3) <= q_d(63) + h_init(3);
hash(4) <= q_e(63) + h_init(4);
hash(5) <= q_f(63) + h_init(5);
hash(6) <= q_g(63) + h_init(6);
hash(7) <= q_h(63) + h_init(7);
registers : process(clk, reset) is
begin
if reset = '1' then
null;
elsif rising_edge(clk) then
q_msg <= unsigned(msg_in);
q_w <= w;
q_a <= a;
q_b <= b;
q_c <= c;
q_d <= d;
q_e <= e;
q_f <= f;
q_g <= g;
q_h <= h;
q_hkw_precalc <= hkw_precalc;
q_hash <= hash;
end if;
end process registers;
end architecture sha256_pc_rtl;
| gpl-3.0 | 1c2c8d5df729c05b924f0bb50bfd3efb | 0.471877 | 3.128467 | false | false | false | false |
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/blk_mem_gen_v8_0/blk_mem_axi_write_fsm.vhd | 9 | 61,290 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lN2Pk3LejiclzgoJBUde8BaenDDfTvN8/GqETAs/B17FKtrdskZettlo3dJMct5FWa8tlZz+SbYZ
xL4z4MYUQQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
D9yzJa6bUqp5ud3flOCMaqwieLbgIypb/289o8Lbhjag5DTuoS3Lo4EAi9S4tMDgo6QmvUFGPJCA
TXIlOUUtvG2AqOKUC5MAWp4mR8xWAZk1XC0pO9hfPMhRQyTwVPu9eQY9CPm42XLKw56uaKh75Scv
8JRj/ns/WwPMwRUKfRE=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
aG6xUH1A7aXH9Q6Iuqh79Y+bCCHxBfGnq+jCAOtzGVutEBvN8v3STpVK67uffDUNBciixcmqaeUf
qpq4M3bnlrdtQtyPYJdgEyiToaEApDVRcWaTwZJtFrZJF+sJmHz7pVFUEV7W94BJdA9Gdoru0U6v
scpAS9B8pS/yjMFV2Hwff7+q60rQcXYq8LPezbfzp12r0Ict1fvmo+Q8grqKct0SL1pf+WhSAtgj
ia8GrMprxixPce6US04Q8D/YtJNnTSnB9Vrdk9Zp+kImFbROWHwvqNn6UATn/5fRMCVTRAgZ0dls
rkn96MwJPOss4KRNqTEiahbSQHDgUkzEKzn63g==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
kgI3xtgsmALHHak7M0WWHQpEhd7Sv77DeMh04/OTO+r2K5H5qIbhaLq6q4cHLCNOnoGylRZ97lRd
meTFBm+dT7hnrHS80Jh0DAECtX63YAoUleJMGsLWQyeNQPqELFPeGvWmeeSGtUuh14dTqGiWEim+
xyKTl169kedGsDtQA2M=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
db69Lkoc6ipzKv5XnuJ/biPgNL3Agg3SrdOaTNJqPBKqEW5+Ob/5Y5O3Rosi0gl3KflqT9TaWrrP
EwjRfq4gpIs53P5e22HWSa0eaaZBilrBkMDUuMaIM227Xob+gBPj1gBrkyoxljHmEeQTjOstYQFL
Wwh8re3dQp9EwSm7EhSHHnkqC5T7Y1wihkYVCKQOYzehcA1FFo50ib72CkgpbkMG1uitdMZ1VEB4
l2ogCzkNfFpPxRB06dAFaAg0/58Kc0AnHYoJxXALEc/STM3h9lHc7yG3qBLjC42srDtJUbXw8r5E
mvQt2MLvCydHx5MJbrn4Y3w5uU2M8RRkCPHkxA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 43632)
`protect data_block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`protect end_protected
| gpl-3.0 | cd15b80340b5defc1a0a0f6ce750aaed | 0.950661 | 1.818155 | false | false | false | false |
Given-Jiang/Gray_Processing | tb_Gray_Processing/hdl/alt_dspbuilder_cast_GN7IAAYCSZ.vhd | 8 | 877 | library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_cast_GN7IAAYCSZ is
generic ( round : natural := 0;
saturate : natural := 0);
port(
input : in std_logic_vector(23 downto 0);
output : out std_logic_vector(7 downto 0));
end entity;
architecture rtl of alt_dspbuilder_cast_GN7IAAYCSZ is
Begin
-- Output - I/O assignment from Simulink Block "Output"
Outputi : alt_dspbuilder_SBF generic map(
width_inl=> 8 + 1 ,
width_inr=> 16,
width_outl=> 8,
width_outr=> 0,
lpm_signed=> BusIsUnsigned ,
round=> round,
satur=> saturate)
port map (
xin(23 downto 0) => input,
xin(24) => '0', yout => output
);
end architecture; | mit | cb1cd2620fd559c63a460abf658a0729 | 0.648803 | 3.045139 | false | false | false | false |
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/blk_mem_gen_v8_0/blk_mem_gen_v8_0_defaults.vhd | 9 | 32,415 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
kFCwjF50ID5rkH7WCqk1AUV10OrYPwDVbG5RT0uBjSpWT0LOPOBRQMZTSFpswtanm4ewGT0JVie2
5JMWJqoYOA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
L8j4iUhu1IfRE3vtCqJ8a+BRZ75rwce1PK4R/tDgx7sb0sc+KXFgTqyBgWjuqGtF6+zq9+7wXlxn
9KuJtsMz6OCV7G4hhPkxfDJPab8Z7Q4elvp761P/H6hcoEqfOAZVL+p0hndVcwl+42k5EtBmW/0Y
MczRx8ec3ngVbMDC2w8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Fu+RgyZE57xakOBtm/zbJZ55hLBHrZYTpLdGFxAEd59NqRwrQYmxj8fc9AunG5vvfv1GPwZjIv/l
ajPMGKAEz33LZqKQsLjX2uYYKu+7acNyIEeZeosc/veLNHxbAnr7Xko3qE7ZEzXiQ6nWgxnC2/2i
ymF31H+0BVL3nFUT6eSt0hQrSgWZX98T/vfdEmksEDBe1yKUTvYpt4wJHBNfz7uRA49nEVIkCe9V
m0tXvYHCgUKgoHOoBGOvuG4fNI5cgdVRVCkSGUyJb4h1/BPzD7GSGPkD6ePIvgrhS+RLWXY8qcq5
WHUWr6L+g8o/lhSXfNZjJhzHvn2JjAGc39fzvQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
swwMQxQie+09D3MXeYz3Rl6AN8SlKD1gyOiHKj9BIavjp0lI5JuQzWRHMYGDTpO1kyJwBVhvJMrP
SsAda0PYOtWLTpeY2iepTANuYHROHCMWB+BlWyKeq62pTscwkggHRzA+MandxiR9fTTgoN8H8J2J
1zAxdWodYNhEUEzQkH4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bZk6kVfNjfSB9P2n1uUMf43lRuI0J83ehQ8SBKVZue1Sddsz0I4LSQtSz1/IlTD2pR/Ltbepzwr6
Qtog52Cv/CtDv4kmViHUrU8zmHRatBsXQgy+VLD6c2cq5pIFyY8NO1MMJAmECpQMsqLFFDfSjcP7
qc97kE5WPPE0Qcz2Rs5zNNSjcYm6dKSiT2Qhm/yfWPHf09cjtAlPy3oKzQ3JI8ZYY+o/c96MYBir
uMXW9NHm8B8SMkxV/0m6NIqPNXzHx36LtwXnOW8VYWoyr9xaL7pnt08h3DJXXmnQ2A2CTHm25TaI
YPzU2eaEpbfzXccAjUi8F0pakGT4mNO6NEnJrQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22256)
`protect data_block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`protect end_protected
| gpl-3.0 | f4578beb3357de8efb81e6133cbe8da3 | 0.945457 | 1.829805 | false | false | false | false |
ymei/TMSPlane | Firmware/test_bench/i2c/i2c_write_regmap_tb.vhd | 1 | 3,834 | --------------------------------------------------------------------------------
--! @file i2c_write_regmap_tb
--! @brief testbench of i2c_write_regmap
--! @author Yuan Mei, 20170819
--!
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY i2c_write_regmap_tb IS
END i2c_write_regmap_tb;
ARCHITECTURE behavior OF i2c_write_regmap_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT i2c_write_regmap
GENERIC (
REGMAP_FNAME : string;
INPUT_CLK_FREQENCY : integer := 100_000_000;
-- BUS CLK freqency should be divided by multiples of 4 from input frequency
BUS_CLK_FREQUENCY : integer := 100_000;
START_DELAY_CYCLE : integer := 100_000_000; -- ext_rst to happen # of clk cycles after START
EXT_RST_WIDTH_CYCLE : integer := 1000; -- pulse width of ext_rst in clk cycles
EXT_RST_DELAY_CYCLE : integer := 100_000 -- 1st reg write to happen clk cycles after ext_rst
);
PORT (
CLK : IN std_logic; -- system clock 50Mhz
RESET : IN std_logic; -- active high reset
START : IN std_logic; -- rising edge triggers r/w; synchronous to CLK
EXT_RSTn : OUT std_logic; -- active low for resetting the slave
BUSY : OUT std_logic; -- indicates transaction in progress
ACK_ERROR : OUT std_logic; -- i2c has unexpected ack
SDA_in : IN std_logic; -- serial data input from i2c bus
SDA_out : OUT std_logic; -- serial data output to i2c bus
SDA_t : OUT std_logic; -- serial data direction to/from i2c bus, '1' is read-in
SCL : OUT std_logic -- serial clock output to i2c bus
);
END COMPONENT;
--Inputs
SIGNAL CLK : std_logic := '0';
SIGNAL RESET : std_logic := '0';
SIGNAL START : std_logic := '0';
SIGNAL SDA_in : std_logic := '0';
--Outputs
SIGNAL EXT_RSTn : std_logic;
SIGNAL BUSY : std_logic;
SIGNAL ACK_ERROR : std_logic;
SIGNAL SDA_out : std_logic;
SIGNAL SDA_t : std_logic;
SIGNAL SCL : std_logic;
SIGNAL RD_DATA0 : std_logic_vector(7 DOWNTO 0);
SIGNAL RD_DATA1 : std_logic_vector(7 DOWNTO 0);
-- Clock period definitions
CONSTANT CLK_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut : i2c_write_regmap
GENERIC MAP (
REGMAP_FNAME => "../../../../config/Si5324_125MHz_regmap.txt",
INPUT_CLK_FREQENCY => 100_000_000,
BUS_CLK_FREQUENCY => 25_000_000,
START_DELAY_CYCLE => 12,
EXT_RST_WIDTH_CYCLE => 13,
EXT_RST_DELAY_CYCLE => 14
)
PORT MAP (
CLK => CLK,
RESET => RESET,
START => START,
EXT_RSTn => EXT_RSTn,
BUSY => BUSY,
ACK_ERROR => ACK_ERROR,
SDA_in => SDA_in,
SDA_out => SDA_out,
SDA_t => SDA_t,
SCL => SCL
);
-- Clock process definitions
CLK_process : PROCESS
BEGIN
CLK <= '0';
WAIT FOR CLK_period/2;
CLK <= '1';
WAIT FOR CLK_period/2;
END PROCESS;
-- Stimulus process
stim_proc : PROCESS
BEGIN
-- initial values:
START <= '0';
SDA_in <= '1';
-- hold reset state for 100 ns.
WAIT FOR 10 ns;
RESET <= '1';
WAIT FOR 100 ns;
RESET <= '0';
-- stimulate START
WAIT FOR CLK_period * 10;
START <= '1';
WAIT FOR CLK_period * 2;
START <= '0';
WAIT UNTIL (falling_edge(BUSY));
START <= '1';
WAIT FOR CLK_period * 2;
START <= '0';
WAIT UNTIL (falling_edge(BUSY));
RESET <= '1';
WAIT FOR CLK_period * 2;
RESET <= '0';
WAIT;
END PROCESS;
END;
| bsd-3-clause | 82926d9b8963258f379f428150bc3877 | 0.534168 | 3.627247 | false | false | false | false |
shailcoolboy/Warp-Trinity | PlatformSupport/Deprecated/pcores/radio_controller_v1_09_a/hdl/vhdl/radio_controller.vhd | 2 | 39,468 | -- Copyright (c) 2006 Rice University
-- All Rights Reserved
-- This code is covered by the Rice-WARP license
-- See http://warp.rice.edu/license/ for details
------------------------------------------------------------------------------
-- radio_controller.vhd - entity/architecture pair
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v2_00_a;
use proc_common_v2_00_a.proc_common_pkg.all;
use proc_common_v2_00_a.ipif_pkg.all;
library opb_ipif_v3_01_c;
use opb_ipif_v3_01_c.all;
library radio_controller_v1_09_a;
use radio_controller_v1_09_a.all;
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_BASEADDR -- User logic base address
-- C_HIGHADDR -- User logic high address
-- C_OPB_AWIDTH -- OPB address bus width
-- C_OPB_DWIDTH -- OPB data bus width
-- C_FAMILY -- Target FPGA architecture
--
-- Definition of Ports:
-- OPB_Clk -- OPB Clock
-- OPB_Rst -- OPB Reset
-- Sl_DBus -- Slave data bus
-- Sl_errAck -- Slave error acknowledge
-- Sl_retry -- Slave retry
-- Sl_toutSup -- Slave timeout suppress
-- Sl_xferAck -- Slave transfer acknowledge
-- OPB_ABus -- OPB address bus
-- OPB_BE -- OPB byte enable
-- OPB_DBus -- OPB data bus
-- OPB_RNW -- OPB read/not write
-- OPB_select -- OPB select
-- OPB_seqAddr -- OPB sequential address
------------------------------------------------------------------------------
entity radio_controller is
generic
(
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_BASEADDR : std_logic_vector := X"00000000";
C_HIGHADDR : std_logic_vector := X"0000FFFF";
C_OPB_AWIDTH : integer := 32;
C_OPB_DWIDTH : integer := 32;
C_FAMILY : string := "virtex2p"
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
spi_clk : out std_logic;
data_out : out std_logic;
radio1_cs : out std_logic;
radio2_cs : out std_logic;
radio3_cs : out std_logic;
radio4_cs : out std_logic;
dac1_cs : out std_logic;
dac2_cs : out std_logic;
dac3_cs : out std_logic;
dac4_cs : out std_logic;
radio1_SHDN : out std_logic;
radio1_TxEn : out std_logic;
radio1_RxEn : out std_logic;
radio1_RxHP : out std_logic;
radio1_LD : in std_logic;
radio1_24PA : out std_logic;
radio1_5PA : out std_logic;
radio1_ANTSW : out std_logic_vector(0 to 1);
radio1_LED : out std_logic_vector(0 to 2);
radio1_ADC_RX_DCS : out std_logic;
radio1_ADC_RX_DFS : out std_logic;
radio1_ADC_RX_OTRA : in std_logic;
radio1_ADC_RX_OTRB : in std_logic;
radio1_ADC_RX_PWDNA : out std_logic;
radio1_ADC_RX_PWDNB : out std_logic;
radio1_DIPSW : in std_logic_vector(0 to 3);
radio1_RSSI_ADC_CLAMP : out std_logic;
radio1_RSSI_ADC_HIZ : out std_logic;
radio1_RSSI_ADC_OTR : in std_logic;
radio1_RSSI_ADC_SLEEP : out std_logic;
radio1_RSSI_ADC_D : in std_logic_vector(0 to 9);
radio1_TX_DAC_PLL_LOCK : in std_logic;
radio1_TX_DAC_RESET : out std_logic;
radio1_SHDN_external : in std_logic;
radio1_TxEn_external : in std_logic;
radio1_RxEn_external : in std_logic;
radio1_RxHP_external : in std_logic;
radio1_TxGain : out std_logic_vector(0 to 5);
radio1_TxStart : out std_logic;
radio2_SHDN : out std_logic;
radio2_TxEn : out std_logic;
radio2_RxEn : out std_logic;
radio2_RxHP : out std_logic;
radio2_LD : in std_logic;
radio2_24PA : out std_logic;
radio2_5PA : out std_logic;
radio2_ANTSW : out std_logic_vector(0 to 1);
radio2_LED : out std_logic_vector(0 to 2);
radio2_ADC_RX_DCS : out std_logic;
radio2_ADC_RX_DFS : out std_logic;
radio2_ADC_RX_OTRA : in std_logic;
radio2_ADC_RX_OTRB : in std_logic;
radio2_ADC_RX_PWDNA : out std_logic;
radio2_ADC_RX_PWDNB : out std_logic;
radio2_DIPSW : in std_logic_vector(0 to 3);
radio2_RSSI_ADC_CLAMP : out std_logic;
radio2_RSSI_ADC_HIZ : out std_logic;
radio2_RSSI_ADC_OTR : in std_logic;
radio2_RSSI_ADC_SLEEP : out std_logic;
radio2_RSSI_ADC_D : in std_logic_vector(0 to 9);
radio2_TX_DAC_PLL_LOCK : in std_logic;
radio2_TX_DAC_RESET : out std_logic;
radio2_SHDN_external : in std_logic;
radio2_TxEn_external : in std_logic;
radio2_RxEn_external : in std_logic;
radio2_RxHP_external : in std_logic;
radio2_TxGain : out std_logic_vector(0 to 5);
radio2_TxStart : out std_logic;
radio3_SHDN : out std_logic;
radio3_TxEn : out std_logic;
radio3_RxEn : out std_logic;
radio3_RxHP : out std_logic;
radio3_LD : in std_logic;
radio3_24PA : out std_logic;
radio3_5PA : out std_logic;
radio3_ANTSW : out std_logic_vector(0 to 1);
radio3_LED : out std_logic_vector(0 to 2);
radio3_ADC_RX_DCS : out std_logic;
radio3_ADC_RX_DFS : out std_logic;
radio3_ADC_RX_OTRA : in std_logic;
radio3_ADC_RX_OTRB : in std_logic;
radio3_ADC_RX_PWDNA : out std_logic;
radio3_ADC_RX_PWDNB : out std_logic;
radio3_DIPSW : in std_logic_vector(0 to 3);
radio3_RSSI_ADC_CLAMP : out std_logic;
radio3_RSSI_ADC_HIZ : out std_logic;
radio3_RSSI_ADC_OTR : in std_logic;
radio3_RSSI_ADC_SLEEP : out std_logic;
radio3_RSSI_ADC_D : in std_logic_vector(0 to 9);
radio3_TX_DAC_PLL_LOCK : in std_logic;
radio3_TX_DAC_RESET : out std_logic;
radio3_SHDN_external : in std_logic;
radio3_TxEn_external : in std_logic;
radio3_RxEn_external : in std_logic;
radio3_RxHP_external : in std_logic;
radio3_TxGain : out std_logic_vector(0 to 5);
radio3_TxStart : out std_logic;
radio4_SHDN : out std_logic;
radio4_TxEn : out std_logic;
radio4_RxEn : out std_logic;
radio4_RxHP : out std_logic;
radio4_LD : in std_logic;
radio4_24PA : out std_logic;
radio4_5PA : out std_logic;
radio4_ANTSW : out std_logic_vector(0 to 1);
radio4_LED : out std_logic_vector(0 to 2);
radio4_ADC_RX_DCS : out std_logic;
radio4_ADC_RX_DFS : out std_logic;
radio4_ADC_RX_OTRA : in std_logic;
radio4_ADC_RX_OTRB : in std_logic;
radio4_ADC_RX_PWDNA : out std_logic;
radio4_ADC_RX_PWDNB : out std_logic;
radio4_DIPSW : in std_logic_vector(0 to 3);
radio4_RSSI_ADC_CLAMP : out std_logic;
radio4_RSSI_ADC_HIZ : out std_logic;
radio4_RSSI_ADC_OTR : in std_logic;
radio4_RSSI_ADC_SLEEP : out std_logic;
radio4_RSSI_ADC_D : in std_logic_vector(0 to 9);
radio4_TX_DAC_PLL_LOCK : in std_logic;
radio4_TX_DAC_RESET : out std_logic;
radio4_SHDN_external : in std_logic;
radio4_TxEn_external : in std_logic;
radio4_RxEn_external : in std_logic;
radio4_RxHP_external : in std_logic;
radio4_TxGain : out std_logic_vector(0 to 5);
radio4_TxStart : out std_logic;
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
OPB_Clk : in std_logic;
OPB_Rst : in std_logic;
Sl_DBus : out std_logic_vector(0 to C_OPB_DWIDTH-1);
Sl_errAck : out std_logic;
Sl_retry : out std_logic;
Sl_toutSup : out std_logic;
Sl_xferAck : out std_logic;
OPB_ABus : in std_logic_vector(0 to C_OPB_AWIDTH-1);
OPB_BE : in std_logic_vector(0 to C_OPB_DWIDTH/8-1);
OPB_DBus : in std_logic_vector(0 to C_OPB_DWIDTH-1);
OPB_RNW : in std_logic;
OPB_select : in std_logic;
OPB_seqAddr : in std_logic
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
attribute SIGIS : string;
attribute SIGIS of OPB_Clk : signal is "Clk";
attribute SIGIS of OPB_Rst : signal is "Rst";
end entity radio_controller;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of radio_controller is
------------------------------------------
-- Constant: array of address range identifiers
------------------------------------------
constant ARD_ID_ARRAY : INTEGER_ARRAY_TYPE :=
(
0 => USER_00 -- user logic S/W register address space
);
------------------------------------------
-- Constant: array of address pairs for each address range
------------------------------------------
constant ZERO_ADDR_PAD : std_logic_vector(0 to 64-C_OPB_AWIDTH-1) := (others => '0');
constant USER_BASEADDR : std_logic_vector := C_BASEADDR;
constant USER_HIGHADDR : std_logic_vector := C_HIGHADDR;
constant ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE :=
(
ZERO_ADDR_PAD & USER_BASEADDR, -- user logic base address
ZERO_ADDR_PAD & USER_HIGHADDR -- user logic high address
);
------------------------------------------
-- Constant: array of data widths for each target address range
------------------------------------------
constant USER_DWIDTH : integer := 32;
constant ARD_DWIDTH_ARRAY : INTEGER_ARRAY_TYPE :=
(
0 => USER_DWIDTH -- user logic data width
);
------------------------------------------
-- Constant: array of desired number of chip enables for each address range
------------------------------------------
constant USER_NUM_CE : integer := 17;
constant ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE :=
(
0 => pad_power2(USER_NUM_CE) -- user logic number of CEs
);
------------------------------------------
-- Constant: array of unique properties for each address range
------------------------------------------
constant ARD_DEPENDENT_PROPS_ARRAY : DEPENDENT_PROPS_ARRAY_TYPE :=
(
0 => (others => 0) -- user logic slave space dependent properties (none defined)
);
------------------------------------------
-- Constant: pipeline mode
-- 1 = include OPB-In pipeline registers
-- 2 = include IP pipeline registers
-- 3 = include OPB-In and IP pipeline registers
-- 4 = include OPB-Out pipeline registers
-- 5 = include OPB-In and OPB-Out pipeline registers
-- 6 = include IP and OPB-Out pipeline registers
-- 7 = include OPB-In, IP, and OPB-Out pipeline registers
-- Note:
-- only mode 4, 5, 7 are supported for this release
------------------------------------------
constant PIPELINE_MODEL : integer := 5;
------------------------------------------
-- Constant: user core ID code
------------------------------------------
constant DEV_BLK_ID : integer := 0;
------------------------------------------
-- Constant: enable MIR/Reset register
------------------------------------------
constant DEV_MIR_ENABLE : integer := 0;
------------------------------------------
-- Constant: array of IP interrupt mode
-- 1 = Active-high interrupt condition
-- 2 = Active-low interrupt condition
-- 3 = Active-high pulse interrupt event
-- 4 = Active-low pulse interrupt event
-- 5 = Positive-edge interrupt event
-- 6 = Negative-edge interrupt event
------------------------------------------
constant IP_INTR_MODE_ARRAY : INTEGER_ARRAY_TYPE :=
(
0 => 0 -- not used
);
------------------------------------------
-- Constant: enable device burst
------------------------------------------
constant DEV_BURST_ENABLE : integer := 0;
------------------------------------------
-- Constant: include address counter for burst transfers
------------------------------------------
constant INCLUDE_ADDR_CNTR : integer := 0;
------------------------------------------
-- Constant: include write buffer that decouples OPB and IPIC write transactions
------------------------------------------
constant INCLUDE_WR_BUF : integer := 0;
------------------------------------------
-- Constant: index for CS/CE
------------------------------------------
constant USER00_CS_INDEX : integer := get_id_index(ARD_ID_ARRAY, USER_00);
constant USER00_CE_INDEX : integer := calc_start_ce_index(ARD_NUM_CE_ARRAY, USER00_CS_INDEX);
------------------------------------------
-- IP Interconnect (IPIC) signal declarations -- do not delete
-- prefix 'i' stands for IPIF while prefix 'u' stands for user logic
-- typically user logic will be hooked up to IPIF directly via i<sig>
-- unless signal slicing and muxing are needed via u<sig>
------------------------------------------
signal iBus2IP_RdCE : std_logic_vector(0 to calc_num_ce(ARD_NUM_CE_ARRAY)-1);
signal iBus2IP_WrCE : std_logic_vector(0 to calc_num_ce(ARD_NUM_CE_ARRAY)-1);
signal iBus2IP_Data : std_logic_vector(0 to C_OPB_DWIDTH-1);
signal iBus2IP_BE : std_logic_vector(0 to C_OPB_DWIDTH/8-1);
signal iIP2Bus_Data : std_logic_vector(0 to C_OPB_DWIDTH-1) := (others => '0');
signal iIP2Bus_Ack : std_logic := '0';
signal iIP2Bus_Error : std_logic := '0';
signal iIP2Bus_Retry : std_logic := '0';
signal iIP2Bus_ToutSup : std_logic := '0';
signal ENABLE_POSTED_WRITE : std_logic_vector(0 to ARD_ID_ARRAY'length-1) := (others => '0'); -- enable posted write behavior
signal ZERO_IP2RFIFO_Data : std_logic_vector(0 to ARD_DWIDTH_ARRAY(get_id_index_iboe(ARD_ID_ARRAY, IPIF_RDFIFO_DATA))-1) := (others => '0'); -- work around for XST not taking (others => '0') in port mapping
signal ZERO_WFIFO2IP_Data : std_logic_vector(0 to ARD_DWIDTH_ARRAY(get_id_index_iboe(ARD_ID_ARRAY, IPIF_WRFIFO_DATA))-1) := (others => '0'); -- work around for XST not taking (others => '0') in port mapping
signal ZERO_IP2Bus_IntrEvent : std_logic_vector(0 to IP_INTR_MODE_ARRAY'length-1) := (others => '0'); -- work around for XST not taking (others => '0') in port mapping
signal iBus2IP_Clk : std_logic;
signal iBus2IP_Reset : std_logic;
signal uBus2IP_Data : std_logic_vector(0 to USER_DWIDTH-1);
signal uBus2IP_BE : std_logic_vector(0 to USER_DWIDTH/8-1);
signal uBus2IP_RdCE : std_logic_vector(0 to USER_NUM_CE-1);
signal uBus2IP_WrCE : std_logic_vector(0 to USER_NUM_CE-1);
signal uIP2Bus_Data : std_logic_vector(0 to USER_DWIDTH-1);
------------------------------------------
-- Component declaration for verilog user logic
------------------------------------------
component user_logic is
generic
(
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_DWIDTH : integer := 32;
C_NUM_CE : integer := 17
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
spi_clk : out std_logic;
data_out : out std_logic;
Radio1_cs : out std_logic;
Radio2_cs : out std_logic;
Radio3_cs : out std_logic;
Radio4_cs : out std_logic;
Dac1_cs : out std_logic;
Dac2_cs : out std_logic;
Dac3_cs : out std_logic;
Dac4_cs : out std_logic;
Radio1_SHDN : out std_logic;
Radio1_TxEn : out std_logic;
Radio1_RxEn : out std_logic;
Radio1_RxHP : out std_logic;
Radio1_LD : in std_logic;
Radio1_24PA : out std_logic;
Radio1_5PA : out std_logic;
Radio1_ANTSW : out std_logic_vector(0 to 1);
Radio1_LED : out std_logic_vector(0 to 2);
Radio1_ADC_RX_DCS : out std_logic;
Radio1_ADC_RX_DFS : out std_logic;
Radio1_ADC_RX_OTRA : in std_logic;
Radio1_ADC_RX_OTRB : in std_logic;
Radio1_ADC_RX_PWDNA : out std_logic;
Radio1_ADC_RX_PWDNB : out std_logic;
Radio1_DIPSW : in std_logic_vector(0 to 3);
Radio1_RSSI_ADC_CLAMP : out std_logic;
Radio1_RSSI_ADC_HIZ : out std_logic;
Radio1_RSSI_ADC_OTR : in std_logic;
Radio1_RSSI_ADC_SLEEP : out std_logic;
Radio1_RSSI_ADC_D : in std_logic_vector(0 to 9);
Radio1_TX_DAC_PLL_LOCK : in std_logic;
Radio1_TX_DAC_RESET : out std_logic;
Radio1_SHDN_external : in std_logic;
Radio1_TxEn_external : in std_logic;
Radio1_RxEn_external : in std_logic;
Radio1_RxHP_external : in std_logic;
Radio1_TxGain : out std_logic_vector(0 to 5);
Radio1_TxStart : out std_logic;
Radio2_SHDN : out std_logic;
Radio2_TxEn : out std_logic;
Radio2_RxEn : out std_logic;
Radio2_RxHP : out std_logic;
Radio2_LD : in std_logic;
Radio2_24PA : out std_logic;
Radio2_5PA : out std_logic;
Radio2_ANTSW : out std_logic_vector(0 to 1);
Radio2_LED : out std_logic_vector(0 to 2);
Radio2_ADC_RX_DCS : out std_logic;
Radio2_ADC_RX_DFS : out std_logic;
Radio2_ADC_RX_OTRA : in std_logic;
Radio2_ADC_RX_OTRB : in std_logic;
Radio2_ADC_RX_PWDNA : out std_logic;
Radio2_ADC_RX_PWDNB : out std_logic;
Radio2_DIPSW : in std_logic_vector(0 to 3);
Radio2_RSSI_ADC_CLAMP : out std_logic;
Radio2_RSSI_ADC_HIZ : out std_logic;
Radio2_RSSI_ADC_OTR : in std_logic;
Radio2_RSSI_ADC_SLEEP : out std_logic;
Radio2_RSSI_ADC_D : in std_logic_vector(0 to 9);
Radio2_TX_DAC_PLL_LOCK : in std_logic;
Radio2_TX_DAC_RESET : out std_logic;
Radio2_SHDN_external : in std_logic;
Radio2_TxEn_external : in std_logic;
Radio2_RxEn_external : in std_logic;
Radio2_RxHP_external : in std_logic;
Radio2_TxGain : out std_logic_vector(0 to 5);
Radio2_TxStart : out std_logic;
Radio3_SHDN : out std_logic;
Radio3_TxEn : out std_logic;
Radio3_RxEn : out std_logic;
Radio3_RxHP : out std_logic;
Radio3_LD : in std_logic;
Radio3_24PA : out std_logic;
Radio3_5PA : out std_logic;
Radio3_ANTSW : out std_logic_vector(0 to 1);
Radio3_LED : out std_logic_vector(0 to 2);
Radio3_ADC_RX_DCS : out std_logic;
Radio3_ADC_RX_DFS : out std_logic;
Radio3_ADC_RX_OTRA : in std_logic;
Radio3_ADC_RX_OTRB : in std_logic;
Radio3_ADC_RX_PWDNA : out std_logic;
Radio3_ADC_RX_PWDNB : out std_logic;
Radio3_DIPSW : in std_logic_vector(0 to 3);
Radio3_RSSI_ADC_CLAMP : out std_logic;
Radio3_RSSI_ADC_HIZ : out std_logic;
Radio3_RSSI_ADC_OTR : in std_logic;
Radio3_RSSI_ADC_SLEEP : out std_logic;
Radio3_RSSI_ADC_D : in std_logic_vector(0 to 9);
Radio3_TX_DAC_PLL_LOCK : in std_logic;
Radio3_TX_DAC_RESET : out std_logic;
Radio3_SHDN_external : in std_logic;
Radio3_TxEn_external : in std_logic;
Radio3_RxEn_external : in std_logic;
Radio3_RxHP_external : in std_logic;
Radio3_TxGain : out std_logic_vector(0 to 5);
Radio3_TxStart : out std_logic;
Radio4_SHDN : out std_logic;
Radio4_TxEn : out std_logic;
Radio4_RxEn : out std_logic;
Radio4_RxHP : out std_logic;
Radio4_LD : in std_logic;
Radio4_24PA : out std_logic;
Radio4_5PA : out std_logic;
Radio4_ANTSW : out std_logic_vector(0 to 1);
Radio4_LED : out std_logic_vector(0 to 2);
Radio4_ADC_RX_DCS : out std_logic;
Radio4_ADC_RX_DFS : out std_logic;
Radio4_ADC_RX_OTRA : in std_logic;
Radio4_ADC_RX_OTRB : in std_logic;
Radio4_ADC_RX_PWDNA : out std_logic;
Radio4_ADC_RX_PWDNB : out std_logic;
Radio4_DIPSW : in std_logic_vector(0 to 3);
Radio4_RSSI_ADC_CLAMP : out std_logic;
Radio4_RSSI_ADC_HIZ : out std_logic;
Radio4_RSSI_ADC_OTR : in std_logic;
Radio4_RSSI_ADC_SLEEP : out std_logic;
Radio4_RSSI_ADC_D : in std_logic_vector(0 to 9);
Radio4_TX_DAC_PLL_LOCK : in std_logic;
Radio4_TX_DAC_RESET : out std_logic;
Radio4_SHDN_external : in std_logic;
Radio4_TxEn_external : in std_logic;
Radio4_RxEn_external : in std_logic;
Radio4_RxHP_external : in std_logic;
Radio4_TxGain : out std_logic_vector(0 to 5);
Radio4_TxStart : out std_logic;
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Data : in std_logic_vector(0 to C_DWIDTH-1);
Bus2IP_BE : in std_logic_vector(0 to C_DWIDTH/8-1);
Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_CE-1);
Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_CE-1);
IP2Bus_Data : out std_logic_vector(0 to C_DWIDTH-1);
IP2Bus_Ack : out std_logic;
IP2Bus_Retry : out std_logic;
IP2Bus_Error : out std_logic;
IP2Bus_ToutSup : out std_logic
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
end component user_logic;
begin
------------------------------------------
-- instantiate the OPB IPIF
------------------------------------------
OPB_IPIF_I : entity opb_ipif_v3_01_c.opb_ipif
generic map
(
C_ARD_ID_ARRAY => ARD_ID_ARRAY,
C_ARD_ADDR_RANGE_ARRAY => ARD_ADDR_RANGE_ARRAY,
C_ARD_DWIDTH_ARRAY => ARD_DWIDTH_ARRAY,
C_ARD_NUM_CE_ARRAY => ARD_NUM_CE_ARRAY,
C_ARD_DEPENDENT_PROPS_ARRAY => ARD_DEPENDENT_PROPS_ARRAY,
C_PIPELINE_MODEL => PIPELINE_MODEL,
C_DEV_BLK_ID => DEV_BLK_ID,
C_DEV_MIR_ENABLE => DEV_MIR_ENABLE,
C_OPB_AWIDTH => C_OPB_AWIDTH,
C_OPB_DWIDTH => C_OPB_DWIDTH,
C_FAMILY => C_FAMILY,
C_IP_INTR_MODE_ARRAY => IP_INTR_MODE_ARRAY,
C_DEV_BURST_ENABLE => DEV_BURST_ENABLE,
C_INCLUDE_ADDR_CNTR => INCLUDE_ADDR_CNTR,
C_INCLUDE_WR_BUF => INCLUDE_WR_BUF
)
port map
(
OPB_select => OPB_select,
OPB_DBus => OPB_DBus,
OPB_ABus => OPB_ABus,
OPB_BE => OPB_BE,
OPB_RNW => OPB_RNW,
OPB_seqAddr => OPB_seqAddr,
Sln_DBus => Sl_DBus,
Sln_xferAck => Sl_xferAck,
Sln_errAck => Sl_errAck,
Sln_retry => Sl_retry,
Sln_toutSup => Sl_toutSup,
Bus2IP_CS => open,
Bus2IP_CE => open,
Bus2IP_RdCE => iBus2IP_RdCE,
Bus2IP_WrCE => iBus2IP_WrCE,
Bus2IP_Data => iBus2IP_Data,
Bus2IP_Addr => open,
Bus2IP_AddrValid => open,
Bus2IP_BE => iBus2IP_BE,
Bus2IP_RNW => open,
Bus2IP_Burst => open,
IP2Bus_Data => iIP2Bus_Data,
IP2Bus_Ack => iIP2Bus_Ack,
IP2Bus_AddrAck => '0',
IP2Bus_Error => iIP2Bus_Error,
IP2Bus_Retry => iIP2Bus_Retry,
IP2Bus_ToutSup => iIP2Bus_ToutSup,
IP2Bus_PostedWrInh => ENABLE_POSTED_WRITE,
IP2RFIFO_Data => ZERO_IP2RFIFO_Data,
IP2RFIFO_WrMark => '0',
IP2RFIFO_WrRelease => '0',
IP2RFIFO_WrReq => '0',
IP2RFIFO_WrRestore => '0',
RFIFO2IP_AlmostFull => open,
RFIFO2IP_Full => open,
RFIFO2IP_Vacancy => open,
RFIFO2IP_WrAck => open,
IP2WFIFO_RdMark => '0',
IP2WFIFO_RdRelease => '0',
IP2WFIFO_RdReq => '0',
IP2WFIFO_RdRestore => '0',
WFIFO2IP_AlmostEmpty => open,
WFIFO2IP_Data => ZERO_WFIFO2IP_Data,
WFIFO2IP_Empty => open,
WFIFO2IP_Occupancy => open,
WFIFO2IP_RdAck => open,
IP2Bus_IntrEvent => ZERO_IP2Bus_IntrEvent,
IP2INTC_Irpt => open,
Freeze => '0',
Bus2IP_Freeze => open,
OPB_Clk => OPB_Clk,
Bus2IP_Clk => iBus2IP_Clk,
IP2Bus_Clk => '0',
Reset => OPB_Rst,
Bus2IP_Reset => iBus2IP_Reset
);
------------------------------------------
-- instantiate the User Logic
------------------------------------------
USER_LOGIC_I : component user_logic
generic map
(
C_DWIDTH => USER_DWIDTH,
C_NUM_CE => USER_NUM_CE
)
port map
(
-- MAP USER PORTS BELOW THIS LINE ------------------
spi_clk => spi_clk,
data_out => data_out,
Radio1_cs => radio1_cs,
Radio2_cs => radio2_cs,
Radio3_cs => radio3_cs,
Radio4_cs => radio4_cs,
Dac1_cs => dac1_cs,
Dac2_cs => dac2_cs,
Dac3_cs => dac3_cs,
Dac4_cs => dac4_cs,
Radio1_SHDN => radio1_SHDN,
Radio1_TxEn => radio1_TxEn,
Radio1_RxEn => radio1_RxEn,
Radio1_RxHP => radio1_RxHP,
Radio1_LD => radio1_LD,
Radio1_24PA => radio1_24PA,
Radio1_5PA => radio1_5PA,
Radio1_ANTSW => radio1_ANTSW,
Radio1_LED => radio1_LED,
Radio1_ADC_RX_DCS => radio1_ADC_RX_DCS,
Radio1_ADC_RX_DFS => radio1_ADC_RX_DFS,
Radio1_ADC_RX_OTRA => radio1_ADC_RX_OTRA,
Radio1_ADC_RX_OTRB => radio1_ADC_RX_OTRB,
Radio1_ADC_RX_PWDNA => radio1_ADC_RX_PWDNA,
Radio1_ADC_RX_PWDNB => radio1_ADC_RX_PWDNB,
Radio1_DIPSW => radio1_DIPSW,
Radio1_RSSI_ADC_CLAMP => radio1_RSSI_ADC_CLAMP,
Radio1_RSSI_ADC_HIZ => radio1_RSSI_ADC_HIZ,
Radio1_RSSI_ADC_OTR => radio1_RSSI_ADC_OTR,
Radio1_RSSI_ADC_SLEEP => radio1_RSSI_ADC_SLEEP,
Radio1_RSSI_ADC_D => radio1_RSSI_ADC_D,
Radio1_TX_DAC_PLL_LOCK => radio1_TX_DAC_PLL_LOCK,
Radio1_TX_DAC_RESET => radio1_TX_DAC_RESET,
Radio1_SHDN_external => radio1_SHDN_external,
Radio1_TxEn_external => radio1_TxEn_external,
Radio1_RxEn_external => radio1_RxEn_external,
Radio1_RxHP_external => radio1_RxHP_external,
Radio1_TxGain => radio1_TxGain,
Radio1_TxStart => radio1_TxStart,
Radio2_SHDN => radio2_SHDN,
Radio2_TxEn => radio2_TxEn,
Radio2_RxEn => radio2_RxEn,
Radio2_RxHP => radio2_RxHP,
Radio2_LD => radio2_LD,
Radio2_24PA => radio2_24PA,
Radio2_5PA => radio2_5PA,
Radio2_ANTSW => radio2_ANTSW,
Radio2_LED => radio2_LED,
Radio2_ADC_RX_DCS => radio2_ADC_RX_DCS,
Radio2_ADC_RX_DFS => radio2_ADC_RX_DFS,
Radio2_ADC_RX_OTRA => radio2_ADC_RX_OTRA,
Radio2_ADC_RX_OTRB => radio2_ADC_RX_OTRB,
Radio2_ADC_RX_PWDNA => radio2_ADC_RX_PWDNA,
Radio2_ADC_RX_PWDNB => radio2_ADC_RX_PWDNB,
Radio2_DIPSW => radio2_DIPSW,
Radio2_RSSI_ADC_CLAMP => radio2_RSSI_ADC_CLAMP,
Radio2_RSSI_ADC_HIZ => radio2_RSSI_ADC_HIZ,
Radio2_RSSI_ADC_OTR => radio2_RSSI_ADC_OTR,
Radio2_RSSI_ADC_SLEEP => radio2_RSSI_ADC_SLEEP,
Radio2_RSSI_ADC_D => radio2_RSSI_ADC_D,
Radio2_TX_DAC_PLL_LOCK => radio2_TX_DAC_PLL_LOCK,
Radio2_TX_DAC_RESET => radio2_TX_DAC_RESET,
Radio2_SHDN_external => radio2_SHDN_external,
Radio2_TxEn_external => radio2_TxEn_external,
Radio2_RxEn_external => radio2_RxEn_external,
Radio2_RxHP_external => radio2_RxHP_external,
Radio2_TxGain => radio2_TxGain,
Radio2_TxStart => radio2_TxStart,
Radio3_SHDN => radio3_SHDN,
Radio3_TxEn => radio3_TxEn,
Radio3_RxEn => radio3_RxEn,
Radio3_RxHP => radio3_RxHP,
Radio3_LD => radio3_LD,
Radio3_24PA => radio3_24PA,
Radio3_5PA => radio3_5PA,
Radio3_ANTSW => radio3_ANTSW,
Radio3_LED => radio3_LED,
Radio3_ADC_RX_DCS => radio3_ADC_RX_DCS,
Radio3_ADC_RX_DFS => radio3_ADC_RX_DFS,
Radio3_ADC_RX_OTRA => radio3_ADC_RX_OTRA,
Radio3_ADC_RX_OTRB => radio3_ADC_RX_OTRB,
Radio3_ADC_RX_PWDNA => radio3_ADC_RX_PWDNA,
Radio3_ADC_RX_PWDNB => radio3_ADC_RX_PWDNB,
Radio3_DIPSW => radio3_DIPSW,
Radio3_RSSI_ADC_CLAMP => radio3_RSSI_ADC_CLAMP,
Radio3_RSSI_ADC_HIZ => radio3_RSSI_ADC_HIZ,
Radio3_RSSI_ADC_OTR => radio3_RSSI_ADC_OTR,
Radio3_RSSI_ADC_SLEEP => radio3_RSSI_ADC_SLEEP,
Radio3_RSSI_ADC_D => radio3_RSSI_ADC_D,
Radio3_TX_DAC_PLL_LOCK => radio3_TX_DAC_PLL_LOCK,
Radio3_TX_DAC_RESET => radio3_TX_DAC_RESET,
Radio3_SHDN_external => radio3_SHDN_external,
Radio3_TxEn_external => radio3_TxEn_external,
Radio3_RxEn_external => radio3_RxEn_external,
Radio3_RxHP_external => radio3_RxHP_external,
Radio3_TxGain => radio3_TxGain,
Radio3_TxStart => radio3_TxStart,
Radio4_SHDN => radio4_SHDN,
Radio4_TxEn => radio4_TxEn,
Radio4_RxEn => radio4_RxEn,
Radio4_RxHP => radio4_RxHP,
Radio4_LD => radio4_LD,
Radio4_24PA => radio4_24PA,
Radio4_5PA => radio4_5PA,
Radio4_ANTSW => radio4_ANTSW,
Radio4_LED => radio4_LED,
Radio4_ADC_RX_DCS => radio4_ADC_RX_DCS,
Radio4_ADC_RX_DFS => radio4_ADC_RX_DFS,
Radio4_ADC_RX_OTRA => radio4_ADC_RX_OTRA,
Radio4_ADC_RX_OTRB => radio4_ADC_RX_OTRB,
Radio4_ADC_RX_PWDNA => radio4_ADC_RX_PWDNA,
Radio4_ADC_RX_PWDNB => radio4_ADC_RX_PWDNB,
Radio4_DIPSW => radio4_DIPSW,
Radio4_RSSI_ADC_CLAMP => radio4_RSSI_ADC_CLAMP,
Radio4_RSSI_ADC_HIZ => radio4_RSSI_ADC_HIZ,
Radio4_RSSI_ADC_OTR => radio4_RSSI_ADC_OTR,
Radio4_RSSI_ADC_SLEEP => radio4_RSSI_ADC_SLEEP,
Radio4_RSSI_ADC_D => radio4_RSSI_ADC_D,
Radio4_TX_DAC_PLL_LOCK => radio4_TX_DAC_PLL_LOCK,
Radio4_TX_DAC_RESET => radio4_TX_DAC_RESET,
Radio4_SHDN_external => radio4_SHDN_external,
Radio4_TxEn_external => radio4_TxEn_external,
Radio4_RxEn_external => radio4_RxEn_external,
Radio4_RxHP_external => radio4_RxHP_external,
Radio4_TxGain => radio4_TxGain,
Radio4_TxStart => radio4_TxStart,
-- MAP USER PORTS ABOVE THIS LINE ------------------
Bus2IP_Clk => iBus2IP_Clk,
Bus2IP_Reset => iBus2IP_Reset,
Bus2IP_Data => uBus2IP_Data,
Bus2IP_BE => uBus2IP_BE,
Bus2IP_RdCE => uBus2IP_RdCE,
Bus2IP_WrCE => uBus2IP_WrCE,
IP2Bus_Data => uIP2Bus_Data,
IP2Bus_Ack => iIP2Bus_Ack,
IP2Bus_Retry => iIP2Bus_Retry,
IP2Bus_Error => iIP2Bus_Error,
IP2Bus_ToutSup => iIP2Bus_ToutSup
);
------------------------------------------
-- hooking up signal slicing
------------------------------------------
uBus2IP_BE <= iBus2IP_BE(0 to USER_DWIDTH/8-1);
uBus2IP_Data <= iBus2IP_Data(0 to USER_DWIDTH-1);
uBus2IP_RdCE <= iBus2IP_RdCE(USER00_CE_INDEX to USER00_CE_INDEX+USER_NUM_CE-1);
uBus2IP_WrCE <= iBus2IP_WrCE(USER00_CE_INDEX to USER00_CE_INDEX+USER_NUM_CE-1);
iIP2Bus_Data(0 to USER_DWIDTH-1) <= uIP2Bus_Data;
end IMP;
| bsd-2-clause | 26d7c936abd0ad9872b718b5f002ba6b | 0.443321 | 3.857687 | false | false | false | false |
timvideos/HDMI2USB-jahanzeb-firmware | ipcore_dir/ddr2ram/user_design/rtl/memc3_infrastructure.vhd | 3 | 12,567 | --*****************************************************************************
-- (c) Copyright 2009 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--*****************************************************************************
-- ____ ____
-- / /\/ /
-- /___/ \ / Vendor : Xilinx
-- \ \ \/ Version : 3.92
-- \ \ Application : MIG
-- / / Filename : memc3_infrastructure.vhd
-- /___/ /\ Date Last Modified : $Date: 2011/06/02 07:16:56 $
-- \ \ / \ Date Created : Jul 03 2009
-- \___\/\___\
--
--Device : Spartan-6
--Design Name : DDR/DDR2/DDR3/LPDDR
--Purpose : Clock generation/distribution and reset synchronization
--Reference :
--Revision History :
--*****************************************************************************
library ieee;
use ieee.std_logic_1164.all;
library unisim;
use unisim.vcomponents.all;
entity memc3_infrastructure is
generic
(
C_INCLK_PERIOD : integer := 2500;
C_RST_ACT_LOW : integer := 1;
C_INPUT_CLK_TYPE : string := "DIFFERENTIAL";
C_CLKOUT0_DIVIDE : integer := 1;
C_CLKOUT1_DIVIDE : integer := 1;
C_CLKOUT2_DIVIDE : integer := 16;
C_CLKOUT3_DIVIDE : integer := 8;
C_CLKOUT4_DIVIDE : integer := 1;
C_CLKFBOUT_MULT : integer := 2;
C_DIVCLK_DIVIDE : integer := 1
);
port
(
sys_clk_p : in std_logic;
sys_clk_n : in std_logic;
sys_clk : in std_logic;
sys_rst_i : in std_logic;
clk0 : out std_logic;
clk_img : out std_logic;
rst0 : out std_logic;
async_rst : out std_logic;
sysclk_2x : out std_logic;
sysclk_2x_180 : out std_logic;
mcb_drp_clk : out std_logic;
pll_ce_0 : out std_logic;
pll_ce_90 : out std_logic;
pll_lock : out std_logic
);
end entity;
architecture syn of memc3_infrastructure is
-- # of clock cycles to delay deassertion of reset. Needs to be a fairly
-- high number not so much for metastability protection, but to give time
-- for reset (i.e. stable clock cycles) to propagate through all state
-- machines and to all control signals (i.e. not all control signals have
-- resets, instead they rely on base state logic being reset, and the effect
-- of that reset propagating through the logic). Need this because we may not
-- be getting stable clock cycles while reset asserted (i.e. since reset
-- depends on PLL/DCM lock status)
constant RST_SYNC_NUM : integer := 25;
constant CLK_PERIOD_NS : real := (real(C_INCLK_PERIOD)) / 1000.0;
constant CLK_PERIOD_INT : integer := C_INCLK_PERIOD/1000;
signal clk_2x_0 : std_logic;
signal clk_2x_180 : std_logic;
signal clk0_bufg : std_logic;
signal clk0_bufg_in : std_logic;
signal mcb_drp_clk_bufg_in : std_logic;
signal clkfbout_clkfbin : std_logic;
signal rst_tmp : std_logic;
signal sys_clk_ibufg : std_logic;
signal sys_rst : std_logic;
signal rst0_sync_r : std_logic_vector(RST_SYNC_NUM-1 downto 0);
signal powerup_pll_locked : std_logic;
signal syn_clk0_powerup_pll_locked : std_logic;
signal locked : std_logic;
signal bufpll_mcb_locked : std_logic;
signal mcb_drp_clk_sig : std_logic;
signal clk_img_bufg_in : std_logic;
attribute max_fanout : string;
attribute syn_maxfan : integer;
attribute KEEP : string;
attribute max_fanout of rst0_sync_r : signal is "10";
attribute syn_maxfan of rst0_sync_r : signal is 10;
attribute KEEP of sys_clk_ibufg : signal is "TRUE";
begin
sys_rst <= not(sys_rst_i) when (C_RST_ACT_LOW /= 0) else sys_rst_i;
clk0 <= clk0_bufg;
pll_lock <= bufpll_mcb_locked;
mcb_drp_clk <= mcb_drp_clk_sig;
diff_input_clk : if(C_INPUT_CLK_TYPE = "DIFFERENTIAL") generate
--***********************************************************************
-- Differential input clock input buffers
--***********************************************************************
u_ibufg_sys_clk : IBUFGDS
generic map (
DIFF_TERM => TRUE
)
port map (
I => sys_clk_p,
IB => sys_clk_n,
O => sys_clk_ibufg
);
end generate;
se_input_clk : if(C_INPUT_CLK_TYPE = "SINGLE_ENDED") generate
--***********************************************************************
-- SINGLE_ENDED input clock input buffers
--***********************************************************************
-- u_ibufg_sys_clk : IBUFG
-- port map (
-- I => sys_clk,
-- O => sys_clk_ibufg
-- );
sys_clk_ibufg <= sys_clk;
end generate;
--***************************************************************************
-- Global clock generation and distribution
--***************************************************************************
u_pll_adv : PLL_ADV
generic map
(
BANDWIDTH => "OPTIMIZED",
CLKIN1_PERIOD => CLK_PERIOD_NS,
CLKIN2_PERIOD => CLK_PERIOD_NS,
CLKOUT0_DIVIDE => C_CLKOUT0_DIVIDE,
CLKOUT1_DIVIDE => C_CLKOUT1_DIVIDE,
CLKOUT2_DIVIDE => C_CLKOUT2_DIVIDE,
CLKOUT3_DIVIDE => C_CLKOUT3_DIVIDE,
CLKOUT4_DIVIDE => C_CLKOUT4_DIVIDE,
CLKOUT5_DIVIDE => 1,
CLKOUT0_PHASE => 0.000,
CLKOUT1_PHASE => 180.000,
CLKOUT2_PHASE => 0.000,
CLKOUT3_PHASE => 0.000,
CLKOUT4_PHASE => 0.000,
CLKOUT5_PHASE => 0.000,
CLKOUT0_DUTY_CYCLE => 0.500,
CLKOUT1_DUTY_CYCLE => 0.500,
CLKOUT2_DUTY_CYCLE => 0.500,
CLKOUT3_DUTY_CYCLE => 0.500,
CLKOUT4_DUTY_CYCLE => 0.500,
CLKOUT5_DUTY_CYCLE => 0.500,
SIM_DEVICE => "SPARTAN6",
COMPENSATION => "INTERNAL",
DIVCLK_DIVIDE => C_DIVCLK_DIVIDE,
CLKFBOUT_MULT => C_CLKFBOUT_MULT,
CLKFBOUT_PHASE => 0.0,
REF_JITTER => 0.005000
)
port map
(
CLKFBIN => clkfbout_clkfbin,
CLKINSEL => '1',
CLKIN1 => sys_clk_ibufg,
CLKIN2 => '0',
DADDR => (others => '0'),
DCLK => '0',
DEN => '0',
DI => (others => '0'),
DWE => '0',
REL => '0',
RST => sys_rst,
CLKFBDCM => open,
CLKFBOUT => clkfbout_clkfbin,
CLKOUTDCM0 => open,
CLKOUTDCM1 => open,
CLKOUTDCM2 => open,
CLKOUTDCM3 => open,
CLKOUTDCM4 => open,
CLKOUTDCM5 => open,
CLKOUT0 => clk_2x_0,
CLKOUT1 => clk_2x_180,
CLKOUT2 => clk0_bufg_in,
CLKOUT3 => mcb_drp_clk_bufg_in,
CLKOUT4 => clk_img_bufg_in,
CLKOUT5 => open,
DO => open,
DRDY => open,
LOCKED => locked
);
U_BUFG_CLK0 : BUFG
port map
(
O => clk0_bufg,
I => clk0_bufg_in
);
U_BUFG_img : BUFG
port map
(
O => clk_img,
I => clk_img_bufg_in
);
U_BUFG_CLK1 : BUFG
port map (
O => mcb_drp_clk_sig,
I => mcb_drp_clk_bufg_in
);
-- U_BUFG_CLK1 : BUFGCE
-- port map (
-- O => mcb_drp_clk_sig,
-- I => mcb_drp_clk_bufg_in,
-- CE => locked
-- );
process (mcb_drp_clk_sig, sys_rst)
begin
if(sys_rst = '1') then
powerup_pll_locked <= '0';
elsif (mcb_drp_clk_sig'event and mcb_drp_clk_sig = '1') then
if (bufpll_mcb_locked = '1') then
powerup_pll_locked <= '1';
end if;
end if;
end process;
process (clk0_bufg, sys_rst)
begin
if(sys_rst = '1') then
syn_clk0_powerup_pll_locked <= '0';
elsif (clk0_bufg'event and clk0_bufg = '1') then
if (bufpll_mcb_locked = '1') then
syn_clk0_powerup_pll_locked <= '1';
end if;
end if;
end process;
--***************************************************************************
-- Reset synchronization
-- NOTES:
-- 1. shut down the whole operation if the PLL hasn't yet locked (and
-- by inference, this means that external sys_rst has been asserted -
-- PLL deasserts LOCKED as soon as sys_rst asserted)
-- 2. asynchronously assert reset. This was we can assert reset even if
-- there is no clock (needed for things like 3-stating output buffers).
-- reset deassertion is synchronous.
-- 3. asynchronous reset only look at pll_lock from PLL during power up. After
-- power up and pll_lock is asserted, the powerup_pll_locked will be asserted
-- forever until sys_rst is asserted again. PLL will lose lock when FPGA
-- enters suspend mode. We don't want reset to MCB get
-- asserted in the application that needs suspend feature.
--***************************************************************************
async_rst <= sys_rst or not(powerup_pll_locked);
-- async_rst <= rst_tmp;
rst_tmp <= sys_rst or not(syn_clk0_powerup_pll_locked);
-- rst_tmp <= sys_rst or not(powerup_pll_locked);
process (clk0_bufg, rst_tmp)
begin
if (rst_tmp = '1') then
rst0_sync_r <= (others => '1');
elsif (rising_edge(clk0_bufg)) then
rst0_sync_r <= rst0_sync_r(RST_SYNC_NUM-2 downto 0) & '0'; -- logical left shift by one (pads with 0)
end if;
end process;
rst0 <= rst0_sync_r(RST_SYNC_NUM-1);
BUFPLL_MCB_INST : BUFPLL_MCB
port map
( IOCLK0 => sysclk_2x,
IOCLK1 => sysclk_2x_180,
LOCKED => locked,
GCLK => mcb_drp_clk_sig,
SERDESSTROBE0 => pll_ce_0,
SERDESSTROBE1 => pll_ce_90,
PLLIN0 => clk_2x_0,
PLLIN1 => clk_2x_180,
LOCK => bufpll_mcb_locked
);
end architecture syn;
| bsd-2-clause | 89101779af149e57ced0299ae2256e9f | 0.52988 | 3.93703 | false | false | false | false |
Given-Jiang/Gray_Processing | tb_Gray_Processing/hdl/alt_dspbuilder_testbench_capture_GN5SAAB6UA.vhd | 2 | 1,775 | library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
library std;
use std.textio.all;
entity alt_dspbuilder_testbench_capture_GN5SAAB6UA is
generic ( XFILE : string := "default";
DSPBTYPE : string := "");
port(
clock : in std_logic;
aclr : in std_logic;
input : in std_logic_vector(16 downto 0));
end entity;
architecture rtl of alt_dspbuilder_testbench_capture_GN5SAAB6UA is
function str(sl: std_logic) return character is
variable c: character;
begin
case sl is
when '0' => c := '0';
when '1' => c := '1';
when others => c := 'X';
end case;
return c;
end str;
function str(slv: std_logic_vector) return string is
variable result : string (1 to slv'length);
variable r : integer;
begin
r := 1;
for i in slv'range loop
result(r) := str(slv(i));
r := r + 1;
end loop;
return result;
end str;
procedure write_type_header(file f:text) is
use STD.textio.all;
variable my_line : line;
begin
write ( my_line, DSPBTYPE);
writeline ( f, my_line );
end procedure write_type_header ;
file oFile : text open write_mode is XFILE;
Begin
-- data capture
-- write type information to output file
write_type_header(oFile);
-- Writing Output Signal into file
Output:process(clock)
variable traceline : line ;
begin
if (aclr ='1') then
-- do not record
elsif clock'event and clock='1' then
write(traceline, str(input),justified=>left);
writeline(oFile,traceline);
end if ;
end process ;
end architecture;
| mit | 3bd7b09f2bfe994e0308aec66d2ccb05 | 0.629296 | 3.311567 | false | false | false | false |
ymei/TMSPlane | Firmware/src/top_TMS1mmX19_TE07412C1.vhd | 1 | 66,479 | --------------------------------------------------------------------------------
--! @file top.vhd
--! @brief Toplevel module for TE0741-2C1.
--! @author Yuan Mei
--!
--! Target Devices: Kintex-7 XC7K160T-FFG676-2
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
USE ieee.numeric_std.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
LIBRARY UNISIM;
USE UNISIM.VComponents.ALL;
LIBRARY work;
USE work.utility.ALL;
ENTITY top IS
GENERIC (
ENABLE_DEBUG : boolean := false;
ENABLE_TEN_GIG_ETH : boolean := false
);
PORT (
SYS_RST : IN std_logic;
SYS_CLK_P : IN std_logic;
SYS_CLK_N : IN std_logic;
SGMIICLK_Q0_P : IN std_logic; --! 125 MHz, for GTP/GTH/GTX
SGMIICLK_Q0_N : IN std_logic;
--
LED8Bit : OUT std_logic_vector(7 DOWNTO 0);
-- SFP
SFP_TX_P : OUT std_logic;
SFP_TX_N : OUT std_logic;
SFP_RX_P : IN std_logic;
SFP_RX_N : IN std_logic;
SFP_LOS_LS : IN std_logic;
SFP_TX_DISABLE_N : OUT std_logic;
-- MGT
MGT_CLK3_P : IN std_logic; --! 156.25 MHz, for GTX/10GbE
MGT_CLK3_N : IN std_logic;
SMA_MGT_TX_P : OUT std_logic;
SMA_MGT_TX_N : OUT std_logic;
SMA_MGT_RX_P : IN std_logic;
SMA_MGT_RX_N : IN std_logic;
--
I2C_SCL : INOUT std_logic;
I2C_SDA : INOUT std_logic;
-- TE0741 B2B connector
B12_L_P : INOUT std_logic_vector(25 DOWNTO 0);
B12_L_N : INOUT std_logic_vector(25 DOWNTO 0);
B13_L_P : INOUT std_logic_vector(24 DOWNTO 0);
B13_L_N : INOUT std_logic_vector(24 DOWNTO 0);
B14_L_P : INOUT std_logic_vector(24 DOWNTO 0);
B14_L_N : INOUT std_logic_vector(24 DOWNTO 0);
B15_L_P : INOUT std_logic_vector(23 DOWNTO 0);
B15_L_N : INOUT std_logic_vector(23 DOWNTO 0);
B16_L_P : INOUT std_logic_vector(23 DOWNTO 0);
B16_L_N : INOUT std_logic_vector(23 DOWNTO 0)
);
END top;
ARCHITECTURE Behavioral OF top IS
-- Components
COMPONENT global_clock_reset
PORT (
SYS_CLK_P : IN std_logic;
SYS_CLK_N : IN std_logic;
FORCE_RST : IN std_logic;
-- output
GLOBAL_RST : OUT std_logic;
SYS_CLK : OUT std_logic;
LOCKED : OUT std_logic;
CLK_OUT1 : OUT std_logic;
CLK_OUT2 : OUT std_logic;
CLK_OUT3 : OUT std_logic;
CLK_OUT4 : OUT std_logic
);
END COMPONENT;
---------------------------------------------< ten_gig_eth
COMPONENT ten_gig_eth
PORT (
REFCLK_P : IN std_logic; -- 156.25MHz for transceiver
REFCLK_N : IN std_logic;
RESET : IN std_logic;
SFP_TX_P : OUT std_logic;
SFP_TX_N : OUT std_logic;
SFP_RX_P : IN std_logic;
SFP_RX_N : IN std_logic;
SFP_LOS : IN std_logic; -- loss of receiver signal
SFP_TX_DISABLE : OUT std_logic;
-- clk156.25 domain, clock generated by the core
CLK156p25 : OUT std_logic;
PCS_PMA_CORE_STATUS : OUT std_logic_vector(7 DOWNTO 0);
TX_STATISTICS_VECTOR : OUT std_logic_vector(25 DOWNTO 0);
TX_STATISTICS_VALID : OUT std_logic;
RX_STATISTICS_VECTOR : OUT std_logic_vector(29 DOWNTO 0);
RX_STATISTICS_VALID : OUT std_logic;
PAUSE_VAL : IN std_logic_vector(15 DOWNTO 0);
PAUSE_REQ : IN std_logic;
TX_IFG_DELAY : IN std_logic_vector(7 DOWNTO 0);
-- emac control interface
S_AXI_ACLK : IN std_logic;
S_AXI_ARESETN : IN std_logic;
S_AXI_AWADDR : IN std_logic_vector(10 DOWNTO 0);
S_AXI_AWVALID : IN std_logic;
S_AXI_AWREADY : OUT std_logic;
S_AXI_WDATA : IN std_logic_vector(31 DOWNTO 0);
S_AXI_WVALID : IN std_logic;
S_AXI_WREADY : OUT std_logic;
S_AXI_BRESP : OUT std_logic_vector(1 DOWNTO 0);
S_AXI_BVALID : OUT std_logic;
S_AXI_BREADY : IN std_logic;
S_AXI_ARADDR : IN std_logic_vector(10 DOWNTO 0);
S_AXI_ARVALID : IN std_logic;
S_AXI_ARREADY : OUT std_logic;
S_AXI_RDATA : OUT std_logic_vector(31 DOWNTO 0);
S_AXI_RRESP : OUT std_logic_vector(1 DOWNTO 0);
S_AXI_RVALID : OUT std_logic;
S_AXI_RREADY : IN std_logic;
-- tx_wr_clk domain
TX_AXIS_FIFO_ARESETN : IN std_logic;
TX_AXIS_FIFO_ACLK : IN std_logic;
TX_AXIS_FIFO_TDATA : IN std_logic_vector(63 DOWNTO 0);
TX_AXIS_FIFO_TKEEP : IN std_logic_vector(7 DOWNTO 0);
TX_AXIS_FIFO_TVALID : IN std_logic;
TX_AXIS_FIFO_TLAST : IN std_logic;
TX_AXIS_FIFO_TREADY : OUT std_logic;
-- rx_rd_clk domain
RX_AXIS_FIFO_ARESETN : IN std_logic;
RX_AXIS_FIFO_ACLK : IN std_logic;
RX_AXIS_FIFO_TDATA : OUT std_logic_vector(63 DOWNTO 0);
RX_AXIS_FIFO_TKEEP : OUT std_logic_vector(7 DOWNTO 0);
RX_AXIS_FIFO_TVALID : OUT std_logic;
RX_AXIS_FIFO_TLAST : OUT std_logic;
RX_AXIS_FIFO_TREADY : IN std_logic
);
END COMPONENT;
COMPONENT ten_gig_eth_packet_gen
PORT (
RESET : IN std_logic;
MEM_CLK : IN std_logic;
MEM_WE : IN std_logic; -- memory write enable
MEM_ADDR : IN std_logic_vector(31 DOWNTO 0);
MEM_D : IN std_logic_vector(31 DOWNTO 0); -- memory data
--
TX_AXIS_ACLK : IN std_logic;
TX_START : IN std_logic;
TX_BYTES : IN std_logic_vector(15 DOWNTO 0); -- number of bytes to send
TX_AXIS_TDATA : OUT std_logic_vector(63 DOWNTO 0);
TX_AXIS_TKEEP : OUT std_logic_vector(7 DOWNTO 0);
TX_AXIS_TVALID : OUT std_logic;
TX_AXIS_TLAST : OUT std_logic;
TX_AXIS_TREADY : IN std_logic
);
END COMPONENT;
COMPONENT ten_gig_eth_rx_parser
PORT (
RESET : IN std_logic;
RX_AXIS_FIFO_ARESETN : OUT std_logic;
-- Everything internal to this module is synchronous to this clock `ACLK'
RX_AXIS_FIFO_ACLK : IN std_logic;
RX_AXIS_FIFO_TDATA : IN std_logic_vector(63 DOWNTO 0);
RX_AXIS_FIFO_TKEEP : IN std_logic_vector(7 DOWNTO 0);
RX_AXIS_FIFO_TVALID : IN std_logic;
RX_AXIS_FIFO_TLAST : IN std_logic;
RX_AXIS_FIFO_TREADY : OUT std_logic;
-- Constants
SRC_MAC : IN std_logic_vector(47 DOWNTO 0);
SRC_IP : IN std_logic_vector(31 DOWNTO 0);
SRC_PORT : IN std_logic_vector(15 DOWNTO 0);
-- Command output fifo interface AFTER parsing the packet
-- dstMAC(48) dstIP(32) dstPort(16) opcode(32)
CMD_FIFO_Q : OUT std_logic_vector(127 DOWNTO 0);
CMD_FIFO_EMPTY : OUT std_logic;
CMD_FIFO_RDREQ : IN std_logic;
CMD_FIFO_RDCLK : IN std_logic
);
END COMPONENT;
---------------------------------------------> ten_gig_eth
---------------------------------------------< gtx / aurora
COMPONENT aurora_64b66b
PORT (
RESET : IN std_logic;
SYS_CLK : IN std_logic;
MGT_REFCLK_P : IN std_logic;
MGT_REFCLK_N : IN std_logic;
-- Data interfaces are synchronous to USER_CLK
USER_CLK : OUT std_logic;
MGT_REFCLK_BUFG_OUT : OUT std_logic;
-- TX AXI4 interface
S_AXI_TX_TDATA : IN std_logic_vector(0 TO 63);
S_AXI_TX_TVALID : IN std_logic;
S_AXI_TX_TREADY : OUT std_logic;
-- RX AXI4 interface
M_AXI_RX_TDATA : OUT std_logic_vector(0 TO 63);
M_AXI_RX_TVALID : OUT std_logic;
-- User flow control (UFC) TX
UFC_TX_REQ : IN std_logic;
S_AXI_UFC_TX_TDATA : IN std_logic_vector(0 TO 63);
UFC_TX_MS : IN std_logic_vector(0 TO 7);
S_AXI_UFC_TX_TVALID : IN std_logic;
S_AXI_UFC_TX_TREADY : OUT std_logic;
-- UFC RX
M_AXI_UFC_RX_TDATA : OUT std_logic_vector(0 TO 63);
M_AXI_UFC_RX_TKEEP : OUT std_logic_vector(0 TO 7);
M_AXI_UFC_RX_TLAST : OUT std_logic;
M_AXI_UFC_RX_TVALID : OUT std_logic;
UFC_IN_PROGRESSn : OUT std_logic;
-- GTX pins
RXP : IN std_logic;
RXN : IN std_logic;
TXP : OUT std_logic;
TXN : OUT std_logic;
-- Status
STATUS : OUT std_logic_vector(15 DOWNTO 0)
);
END COMPONENT;
COMPONENT fifo_over_ufc
GENERIC (
FIFO_DATA_WIDTH : positive := 32;
AURORA_DATA_WIDTH : positive := 64
);
PORT (
RESET : IN std_logic;
AURORA_USER_CLK : IN std_logic;
AURORA_TX_REQ : OUT std_logic;
AURORA_TX_MS : OUT std_logic_vector(7 DOWNTO 0);
AURORA_TX_TREADY : IN std_logic;
AURORA_TX_TDATA : OUT std_logic_vector(AURORA_DATA_WIDTH-1 DOWNTO 0);
AURORA_TX_TVALID : OUT std_logic;
AURORA_RX_TDATA : IN std_logic_vector(AURORA_DATA_WIDTH-1 DOWNTO 0);
AURORA_RX_TVALID : IN std_logic;
FIFO_CLK : OUT std_logic;
TX_FIFO_Q : OUT std_logic_vector(FIFO_DATA_WIDTH-1 DOWNTO 0);
TX_FIFO_WREN : OUT std_logic;
TX_FIFO_FULL : IN std_logic;
RX_FIFO_Q : IN std_logic_vector(FIFO_DATA_WIDTH-1 DOWNTO 0);
RX_FIFO_RDEN : OUT std_logic;
RX_FIFO_EMPTY : IN std_logic;
ERR : OUT std_logic
);
END COMPONENT;
COMPONENT fifo36x512
PORT (
rst : IN std_logic;
wr_clk : IN std_logic;
rd_clk : IN std_logic;
din : IN std_logic_vector(35 DOWNTO 0);
wr_en : IN std_logic;
rd_en : IN std_logic;
dout : OUT std_logic_vector(35 DOWNTO 0);
full : OUT std_logic;
empty : OUT std_logic
);
END COMPONENT;
---------------------------------------------> gtx / aurora
---------------------------------------------< UART/RS232
COMPONENT control_interface
PORT (
RESET : IN std_logic;
CLK : IN std_logic; -- system clock
-- From FPGA to PC
FIFO_Q : OUT std_logic_vector(35 DOWNTO 0); -- interface fifo data output port
FIFO_EMPTY : OUT std_logic; -- interface fifo "emtpy" signal
FIFO_RDREQ : IN std_logic; -- interface fifo read request
FIFO_RDCLK : IN std_logic; -- interface fifo read clock
-- From PC to FPGA, FWFT
CMD_FIFO_Q : IN std_logic_vector(35 DOWNTO 0); -- interface command fifo data out port
CMD_FIFO_EMPTY : IN std_logic; -- interface command fifo "emtpy" signal
CMD_FIFO_RDREQ : OUT std_logic; -- interface command fifo read request
-- Digital I/O
CONFIG_REG : OUT std_logic_vector(511 DOWNTO 0); -- thirtytwo 16bit registers
PULSE_REG : OUT std_logic_vector(15 DOWNTO 0); -- 16bit pulse register
STATUS_REG : IN std_logic_vector(175 DOWNTO 0); -- eleven 16bit registers
-- Memory interface
MEM_WE : OUT std_logic; -- memory write enable
MEM_ADDR : OUT std_logic_vector(31 DOWNTO 0);
MEM_DIN : OUT std_logic_vector(31 DOWNTO 0); -- memory data input
MEM_DOUT : IN std_logic_vector(31 DOWNTO 0); -- memory data output
-- Data FIFO interface, FWFT
DATA_FIFO_Q : IN std_logic_vector(31 DOWNTO 0);
DATA_FIFO_EMPTY : IN std_logic;
DATA_FIFO_RDREQ : OUT std_logic;
DATA_FIFO_RDCLK : OUT std_logic
);
END COMPONENT;
---------------------------------------------> UART/RS232
---------------------------------------------< I2C
COMPONENT i2c_master
GENERIC (
INPUT_CLK_FREQENCY : integer := 100_000_000;
-- BUS CLK freqency should be divided by multiples of 4 from input frequency
BUS_CLK_FREQUENCY : integer := 100_000
);
PORT (
CLK : IN std_logic; -- system clock 50Mhz
RESET : IN std_logic; -- active high reset
START : IN std_logic; -- rising edge triggers r/w; synchronous to CLK
MODE : IN std_logic_vector(1 DOWNTO 0); -- "00" : 1 bytes read or write, "01" : 2 bytes r/w, "10" : 3 bytes write only;
SL_RW : IN std_logic; -- '0' is write, '1' is read
SL_ADDR : IN std_logic_vector(6 DOWNTO 0); -- slave addr
REG_ADDR : IN std_logic_vector(7 DOWNTO 0); -- slave internal reg addr for read and write
WR_DATA0 : IN std_logic_vector(7 DOWNTO 0); -- first data byte to write
WR_DATA1 : IN std_logic_vector(7 DOWNTO 0); -- second data byte to write
RD_DATA0 : OUT std_logic_vector(7 DOWNTO 0); -- first data byte read
RD_DATA1 : OUT std_logic_vector(7 DOWNTO 0); -- second data byte read
BUSY : OUT std_logic; -- indicates transaction in progress
ACK_ERROR : OUT std_logic; -- i2c has unexpected ack
SDA_in : IN std_logic; -- serial data input from i2c bus
SDA_out : OUT std_logic; -- serial data output to i2c bus
SDA_t : OUT std_logic; -- serial data direction to/from i2c bus, '1' is read-in
SCL : OUT std_logic -- serial clock output to i2c bus
);
END COMPONENT;
COMPONENT i2c_write_regmap
GENERIC (
REGMAP_FNAME : string;
INPUT_CLK_FREQENCY : integer := 100_000_000;
-- BUS CLK freqency should be divided by multiples of 4 from input frequency
BUS_CLK_FREQUENCY : integer := 100_000;
START_DELAY_CYCLE : integer := 100_000_000; -- ext_rst to happen # of clk cycles after START
EXT_RST_WIDTH_CYCLE : integer := 1000; -- pulse width of ext_rst in clk cycles
EXT_RST_DELAY_CYCLE : integer := 100_000 -- 1st reg write to happen clk cycles after ext_rst
);
PORT (
CLK : IN std_logic; -- system clock 50Mhz
RESET : IN std_logic; -- active high reset
START : IN std_logic; -- rising edge triggers r/w; synchronous to CLK
EXT_RSTn : OUT std_logic; -- active low for resetting the slave
BUSY : OUT std_logic; -- indicates transaction in progress
ACK_ERROR : OUT std_logic; -- i2c has unexpected ack
SDA_in : IN std_logic; -- serial data input from i2c bus
SDA_out : OUT std_logic; -- serial data output to i2c bus
SDA_t : OUT std_logic; -- serial data direction to/from i2c bus, '1' is read-in
SCL : OUT std_logic -- serial clock output to i2c bus
);
END COMPONENT;
---------------------------------------------> I2C
---------------------------------------------< shiftreg driver for DAC8568
COMPONENT fifo2shiftreg
GENERIC (
DATA_WIDTH : positive := 32; -- parallel data width
CLK_DIV_WIDTH : positive := 16;
DELAY_AFTER_SYNCn : natural := 0; -- number of SCLK cycles' wait after falling edge OF SYNCn
SCLK_IDLE_LEVEL : std_logic := '0'; -- High or Low for SCLK when not switching
DOUT_DRIVE_EDGE : std_logic := '1'; -- 1/0 rising/falling edge of SCLK drives new DOUT bit
DIN_CAPTURE_EDGE : std_logic := '0' -- 1/0 rising/falling edge of SCLK captures new DIN bit
);
PORT (
CLK : IN std_logic; -- clock
RESET : IN std_logic; -- reset
-- input data interface
WR_CLK : IN std_logic; -- FIFO write clock
DINFIFO : IN std_logic_vector(15 DOWNTO 0);
WR_EN : IN std_logic;
WR_PULSE : IN std_logic; -- one pulse writes one word, regardless of pulse duration
FULL : OUT std_logic;
-- captured data
BUSY : OUT std_logic;
DATAOUT : OUT std_logic_vector(DATA_WIDTH-1 DOWNTO 0);
-- serial interface
CLK_DIV : IN std_logic_vector(CLK_DIV_WIDTH-1 DOWNTO 0); -- SCLK freq is CLK / 2**(CLK_DIV)
SCLK : OUT std_logic;
DOUT : OUT std_logic;
SYNCn : OUT std_logic;
DIN : IN std_logic
);
END COMPONENT;
---------------------------------------------> shiftreg driver for DAC8568
---------------------------------------------< TMS serial io
COMPONENT shiftreg_drive
GENERIC (
DATA_WIDTH : positive := 32; -- parallel data width
CLK_DIV_WIDTH : positive := 16;
DELAY_AFTER_SYNCn : natural := 0; -- number of SCLK cycles' wait after falling edge OF SYNCn
SCLK_IDLE_LEVEL : std_logic := '0'; -- High or Low for SCLK when not switching
DOUT_DRIVE_EDGE : std_logic := '1'; -- 1/0 rising/falling edge of SCLK drives new DOUT bit
DIN_CAPTURE_EDGE : std_logic := '0' -- 1/0 rising/falling edge of SCLK captures new DIN bit
);
PORT (
CLK : IN std_logic; -- clock
RESET : IN std_logic; -- reset
-- internal data interface
CLK_DIV : IN std_logic_vector(CLK_DIV_WIDTH-1 DOWNTO 0); -- SCLK freq is CLK / 2**(CLK_DIV)
DATAIN : IN std_logic_vector(DATA_WIDTH-1 DOWNTO 0);
START : IN std_logic;
BUSY : OUT std_logic;
DATAOUT : OUT std_logic_vector(DATA_WIDTH-1 DOWNTO 0);
-- external serial interface
SCLK : OUT std_logic;
DOUT : OUT std_logic;
SYNCn : OUT std_logic;
DIN : IN std_logic
);
END COMPONENT;
---------------------------------------------> TMS serial io
---------------------------------------------< TMS SDM
COMPONENT tms_sdm_recv
GENERIC (
NCH : positive := 19
);
PORT (
RESET : IN std_logic;
CLK : IN std_logic; -- DELAY_* must be synchronous to this clock
REFCLK : IN std_logic; -- REFCLK (200MHz) for IDELAYCTRL
DELAY_CHANNEL : IN std_logic_vector(7 DOWNTO 0); -- input iodelay channel selection
DELAY_VALUE : IN std_logic_vector(4 DOWNTO 0); -- input iodelay value
DELAY_UPDATE : IN std_logic; -- a pulse to update the delay value
CLKFF_DIV : IN std_logic_vector(3 DOWNTO 0);
CLKFF_P : OUT std_logic;
CLKFF_N : OUT std_logic;
CLK_LPBK_P : IN std_logic;
CLK_LPBK_N : IN std_logic;
CLK_LPBK : OUT std_logic;
SDM_OUT1_P : IN std_logic_vector(NCH-1 DOWNTO 0);
SDM_OUT1_N : IN std_logic_vector(NCH-1 DOWNTO 0);
SDM_OUT2_P : IN std_logic_vector(NCH-1 DOWNTO 0);
SDM_OUT2_N : IN std_logic_vector(NCH-1 DOWNTO 0);
DOUT : OUT std_logic_vector(NCH*2-1 DOWNTO 0);
DOUT_VALID : OUT std_logic
);
END COMPONENT;
---------------------------------------------> TMS SDM
---------------------------------------------< ADC, external, LTC2325-16
COMPONENT adc_cnv_sipo
GENERIC (
NCH : positive := 20
);
PORT (
RESET : IN std_logic;
CLK : IN std_logic; -- DELAY_* must be synchronous to this clock
REFCLK : IN std_logic; -- REFCLK (200MHz) for IDELAYCTRL
DELAY_CHANNEL : IN std_logic_vector(7 DOWNTO 0); -- ADC data input iodelay channel selection
DELAY_VALUE : IN std_logic_vector(4 DOWNTO 0); -- ADC data input iodelay value
DELAY_UPDATE : IN std_logic; -- a pulse to update the delay value
CLKFF_DIV : IN std_logic_vector(3 DOWNTO 0);
CLKFF_P : OUT std_logic;
CLKFF_N : OUT std_logic;
CLK_LPBK_P : IN std_logic;
CLK_LPBK_N : IN std_logic;
CLK_LPBK : OUT std_logic;
CNV_N_P : OUT std_logic;
CNV_N_N : OUT std_logic;
CNV_N : OUT std_logic;
INPUTS_P : IN std_logic_vector(NCH-1 DOWNTO 0);
INPUTS_N : IN std_logic_vector(NCH-1 DOWNTO 0);
INPUTS_OUT : OUT std_logic_vector(NCH-1 DOWNTO 0);
DOUT : OUT std_logic_vector(NCH*16-1 DOWNTO 0);
DOUT_VALID : OUT std_logic
);
END COMPONENT;
COMPONENT sdm_adc_data_aggregator
GENERIC (
NCH_ADC : positive := 20;
ADC_CYC : positive := 20;
NCH_SDM : positive := 19;
SDM_CYC : positive := 4
);
PORT (
RESET : IN std_logic;
CLK : IN std_logic;
ADC_Q : IN std_logic_vector(NCH_ADC*16-1 DOWNTO 0);
ADC_Q_VALID : IN std_logic;
SDM_Q : IN std_logic_vector(NCH_SDM*2-1 DOWNTO 0);
SDM_Q_VALID : IN std_logic;
DOUT : OUT std_logic_vector(511 DOWNTO 0);
DOUT_VALID : OUT std_logic;
USER_CLK : IN std_logic;
S_AXI_TX_TDATA : OUT std_logic_vector(63 DOWNTO 0);
S_AXI_TX_TVALID : OUT std_logic;
S_AXI_TX_TREADY : IN std_logic;
FIFO_FULL : OUT std_logic
);
END COMPONENT;
---------------------------------------------> ADC, external, LTC2325-16
---------------------------------------------< debug : ILA and VIO (`Chipscope')
COMPONENT dbg_ila
PORT (
CLK : IN std_logic;
PROBE0 : IN std_logic_vector(63 DOWNTO 0);
PROBE1 : IN std_logic_vector(79 DOWNTO 0);
PROBE2 : IN std_logic_vector(79 DOWNTO 0);
PROBE3 : IN std_logic_vector(2047 DOWNTO 0)
);
END COMPONENT;
COMPONENT dbg_ila1
PORT (
CLK : IN std_logic;
PROBE0 : IN std_logic_vector(15 DOWNTO 0);
PROBE1 : IN std_logic_vector(15 DOWNTO 0)
);
END COMPONENT;
COMPONENT dbg_vio
PORT (
CLK : IN std_logic;
PROBE_IN0 : IN std_logic_vector(63 DOWNTO 0);
PROBE_IN1 : IN std_logic_vector(63 DOWNTO 0);
PROBE_IN2 : IN std_logic_vector(63 DOWNTO 0);
PROBE_IN3 : IN std_logic_vector(63 DOWNTO 0);
PROBE_IN4 : IN std_logic_vector(63 DOWNTO 0);
PROBE_IN5 : IN std_logic_vector(63 DOWNTO 0);
PROBE_IN6 : IN std_logic_vector(63 DOWNTO 0);
PROBE_IN7 : IN std_logic_vector(63 DOWNTO 0);
PROBE_IN8 : IN std_logic_vector(35 DOWNTO 0);
PROBE_OUT0 : OUT std_logic_vector(63 DOWNTO 0)
);
END COMPONENT;
---------------------------------------------> debug : ILA and VIO (`Chipscope')
-- Signals
SIGNAL reset : std_logic;
SIGNAL sys_clk : std_logic;
SIGNAL global_clk_locked : std_logic;
SIGNAL clk_50MHz : std_logic;
SIGNAL clk_100MHz : std_logic;
SIGNAL clk_125MHz : std_logic;
SIGNAL clk_200MHz : std_logic;
SIGNAL clk_250MHz : std_logic;
SIGNAL clk_sgmii_i : std_logic;
SIGNAL clk_sgmii : std_logic;
SIGNAL clk156p25 : std_logic;
SIGNAL clk_user : std_logic;
---------------------------------------------< UART/RS232
SIGNAL uart_rx_data : std_logic_vector(7 DOWNTO 0);
SIGNAL uart_rx_rdy : std_logic;
SIGNAL control_clk : std_logic;
SIGNAL control_fifo_q : std_logic_vector(35 DOWNTO 0);
SIGNAL control_fifo_rdreq : std_logic;
SIGNAL control_fifo_empty : std_logic;
SIGNAL control_fifo_rdclk : std_logic;
SIGNAL cmd_fifo_q : std_logic_vector(35 DOWNTO 0);
SIGNAL cmd_fifo_empty : std_logic;
SIGNAL cmd_fifo_rdreq : std_logic;
-- thirtytwo 16bit registers
SIGNAL config_reg : std_logic_vector(511 DOWNTO 0);
-- 16bit pulse register
SIGNAL pulse_reg : std_logic_vector(15 DOWNTO 0);
-- eleven 16bit registers
SIGNAL status_reg : std_logic_vector(175 DOWNTO 0) := (OTHERS => '0');
SIGNAL control_mem_we : std_logic;
SIGNAL control_mem_addr : std_logic_vector(31 DOWNTO 0);
SIGNAL control_mem_din : std_logic_vector(31 DOWNTO 0);
---------------------------------------------> UART/RS232
---------------------------------------------< gtx / aurora
SIGNAL aurora_reset : std_logic;
SIGNAL aurora_status : std_logic_vector(15 DOWNTO 0);
SIGNAL aurora_user_clk : std_logic;
SIGNAL aurora_ufc_tx_req : std_logic;
SIGNAL aurora_ufc_tx_tdata : std_logic_vector(63 DOWNTO 0);
SIGNAL aurora_ufc_tx_ms : std_logic_vector(7 DOWNTO 0);
SIGNAL aurora_ufc_tx_tvalid : std_logic;
SIGNAL aurora_ufc_tx_tready : std_logic;
SIGNAL aurora_ufc_rx_tdata : std_logic_vector(63 DOWNTO 0);
SIGNAL aurora_ufc_rx_tkeep : std_logic_vector(7 DOWNTO 0);
SIGNAL aurora_ufc_rx_tlast : std_logic;
SIGNAL aurora_ufc_rx_tvalid : std_logic;
SIGNAL aurora_ufc_in_progress_n : std_logic;
SIGNAL aurora_ufc_tx_fifo_q : std_logic_vector(31 DOWNTO 0);
SIGNAL aurora_ufc_tx_fifo_wren : std_logic;
SIGNAL aurora_ufc_tx_fifo_full : std_logic;
SIGNAL aurora_tx_tdata : std_logic_vector(63 DOWNTO 0);
SIGNAL aurora_tx_tvalid : std_logic;
SIGNAL aurora_tx_tready : std_logic;
SIGNAL aurora_rx_tdata : std_logic_vector(63 DOWNTO 0);
SIGNAL aurora_rx_tvalid : std_logic;
---------------------------------------------> gtx / aurora
---------------------------------------------< ten_gig_eth
SIGNAL sfp_tx_disable_i : std_logic;
SIGNAL sPcs_pma_core_status : std_logic_vector(7 DOWNTO 0);
SIGNAL sEmac_status_vector : std_logic_vector(1 DOWNTO 0);
SIGNAL sTx_axis_fifo_aresetn : std_logic;
SIGNAL sTx_axis_fifo_aclk : std_logic;
SIGNAL sTx_axis_fifo_tdata : std_logic_vector(63 DOWNTO 0);
SIGNAL sTx_axis_fifo_tkeep : std_logic_vector(7 DOWNTO 0);
SIGNAL sTx_axis_fifo_tvalid : std_logic;
SIGNAL sTx_axis_fifo_tlast : std_logic;
SIGNAL sTx_axis_fifo_tready : std_logic;
SIGNAL sRx_axis_fifo_aresetn : std_logic;
SIGNAL sRx_axis_fifo_aclk : std_logic;
SIGNAL sRx_axis_fifo_tdata : std_logic_vector(63 DOWNTO 0);
SIGNAL sRx_axis_fifo_tkeep : std_logic_vector(7 DOWNTO 0);
SIGNAL sRx_axis_fifo_tvalid : std_logic;
SIGNAL sRx_axis_fifo_tlast : std_logic;
SIGNAL sRx_axis_fifo_tready : std_logic;
-- control interface
SIGNAL s_axi_aclk : std_logic;
SIGNAL s_axi_aresetn : std_logic;
SIGNAL s_axi_awaddr : std_logic_vector(10 DOWNTO 0);
SIGNAL s_axi_awvalid : std_logic;
SIGNAL s_axi_awready : std_logic;
SIGNAL s_axi_wdata : std_logic_vector(31 DOWNTO 0);
SIGNAL s_axi_wvalid : std_logic;
SIGNAL s_axi_wready : std_logic;
SIGNAL s_axi_bresp : std_logic_vector(1 DOWNTO 0);
SIGNAL s_axi_bvalid : std_logic;
SIGNAL s_axi_bready : std_logic;
SIGNAL s_axi_araddr : std_logic_vector(10 DOWNTO 0);
SIGNAL s_axi_arvalid : std_logic;
SIGNAL s_axi_arready : std_logic;
SIGNAL s_axi_rdata : std_logic_vector(31 DOWNTO 0);
SIGNAL s_axi_rresp : std_logic_vector(1 DOWNTO 0);
SIGNAL s_axi_rvalid : std_logic;
SIGNAL s_axi_rready : std_logic;
-- packets
SIGNAL ten_gig_eth_tx_start : std_logic;
SIGNAL tge_cmd_fifo_q : std_logic_vector(127 DOWNTO 0);
SIGNAL tge_cmd_fifo_empty : std_logic;
SIGNAL tge_cmd_fifo_rdreq : std_logic;
---------------------------------------------> ten_gig_eth
SIGNAL usr_data_output : std_logic_vector (7 DOWNTO 0);
---------------------------------------------< IDATA
SIGNAL TRIG_OUT_0 : std_logic;
SIGNAL idata_cmd_out : std_logic_vector(63 DOWNTO 0);
SIGNAL idata_cmd_out_val : std_logic;
SIGNAL idata_cmd_in : std_logic_vector(63 DOWNTO 0);
SIGNAL idata_cmd_in_val : std_logic;
SIGNAL idata_adc_data_clk : std_logic;
SIGNAL idata_adc_refout_clkdiv : std_logic;
SIGNAL idata_adc_data0 : std_logic_vector(15 DOWNTO 0);
SIGNAL idata_adc_data1 : std_logic_vector(15 DOWNTO 0);
SIGNAL idata_adc_data2 : std_logic_vector(15 DOWNTO 0);
SIGNAL idata_adc_data3 : std_logic_vector(15 DOWNTO 0);
SIGNAL idata_adc_data4 : std_logic_vector(15 DOWNTO 0);
SIGNAL idata_adc_data5 : std_logic_vector(15 DOWNTO 0);
SIGNAL idata_adc_data6 : std_logic_vector(15 DOWNTO 0);
SIGNAL idata_adc_data7 : std_logic_vector(15 DOWNTO 0);
SIGNAL idata_adc_data8 : std_logic_vector(15 DOWNTO 0);
SIGNAL idata_adc_data9 : std_logic_vector(15 DOWNTO 0);
SIGNAL idata_adc_data10 : std_logic_vector(15 DOWNTO 0);
SIGNAL idata_adc_data11 : std_logic_vector(15 DOWNTO 0);
SIGNAL idata_data_fifo_reset : std_logic;
SIGNAL idata_data_fifo_rdclk : std_logic;
SIGNAL idata_data_fifo_din : std_logic_vector(255 DOWNTO 0);
SIGNAL idata_channel_avg_outdata_q : std_logic_vector(255 DOWNTO 0);
SIGNAL idata_channel_avg_outvalid : std_logic;
SIGNAL idata_data_fifo_wren : std_logic;
SIGNAL idata_data_fifo_rden : std_logic;
SIGNAL idata_data_fifo_dout : std_logic_vector(31 DOWNTO 0);
SIGNAL idata_data_fifo_full : std_logic;
SIGNAL idata_data_fifo_empty : std_logic;
SIGNAL idata_idata_fifo_q : std_logic_vector(255 DOWNTO 0);
SIGNAL idata_idata_fifo_wren : std_logic;
SIGNAL idata_idata_fifo_rden : std_logic;
SIGNAL idata_idata_fifo_full : std_logic;
SIGNAL idata_idata_fifo_empty : std_logic;
SIGNAL idata_trig_allow : std_logic;
SIGNAL idata_trig_in : std_logic;
SIGNAL idata_trig_synced : std_logic;
SIGNAL idata_data_wr_start : std_logic;
SIGNAL idata_data_wr_busy : std_logic;
SIGNAL idata_data_wr_wrapped : std_logic;
---------------------------------------------> IDATA
---------------------------------------------< I2C
SIGNAL i2c_sda_out : std_logic;
SIGNAL i2c_sda_in : std_logic;
SIGNAL i2c_sda_t : std_logic;
SIGNAL i2c_scl_out : std_logic;
SIGNAL i2c1_sda_out : std_logic;
SIGNAL i2c1_sda_in : std_logic;
SIGNAL i2c1_sda_t : std_logic;
SIGNAL i2c1_scl_out : std_logic;
---------------------------------------------> I2C
---------------------------------------------< shiftreg driver for DAC8568
SIGNAL spi_sclk : std_logic;
SIGNAL spi_dout : std_logic;
SIGNAL spi_sync_n : std_logic;
SIGNAL spi_din : std_logic;
---------------------------------------------> shiftreg driver for DAC8568
---------------------------------------------< TMS
SIGNAL tms_pwr_on : std_logic;
SIGNAL tms_sio_a : std_logic_vector(2 DOWNTO 0);
SIGNAL tms_sdi : std_logic;
SIGNAL tms_sdo : std_logic;
SIGNAL tms_sck : std_logic;
SIGNAL dac_din : std_logic;
SIGNAL dac_sclk : std_logic;
SIGNAL dac_sync_n : std_logic;
SIGNAL tms_reset : std_logic;
SIGNAL tms_sdm_clk_src_sel : std_logic;
SIGNAL tms_sdm_clkff_div : std_logic_vector(3 DOWNTO 0);
SIGNAL tms_sdm_clk_lpbk : std_logic;
SIGNAL tms_sdm_out1_p : std_logic_vector(18 DOWNTO 0);
SIGNAL tms_sdm_out1_n : std_logic_vector(18 DOWNTO 0);
SIGNAL tms_sdm_out2_p : std_logic_vector(18 DOWNTO 0);
SIGNAL tms_sdm_out2_n : std_logic_vector(18 DOWNTO 0);
SIGNAL tms_sdm_out : std_logic_vector(37 DOWNTO 0);
SIGNAL tms_sdm_out_valid : std_logic;
SIGNAL tms_sdm_adc_dout : std_logic_vector(511 DOWNTO 0);
SIGNAL tms_sdm_adc_dout_valid : std_logic;
SIGNAL adc_clk_src_sel : std_logic;
SIGNAL adc_clkff_div : std_logic_vector(3 DOWNTO 0);
SIGNAL adc_clk0_lpbk : std_logic;
SIGNAL adc_sdrn_ddr : std_logic;
SIGNAL adc_cnv_n : std_logic;
SIGNAL adc_sdo_p : std_logic_vector(19 DOWNTO 0);
SIGNAL adc_sdo_n : std_logic_vector(19 DOWNTO 0);
SIGNAL adc_sdo : std_logic_vector(19 DOWNTO 0);
SIGNAL adc_dout : std_logic_vector(20*16-1 DOWNTO 0);
SIGNAL adc_dout_valid : std_logic;
SIGNAL sdm_adc_data_aggregator_fifo_full : std_logic;
---------------------------------------------> TMS
---------------------------------------------< debug
SIGNAL dbg_ila_probe0 : std_logic_vector(63 DOWNTO 0);
SIGNAL dbg_ila_probe1 : std_logic_vector(79 DOWNTO 0);
SIGNAL dbg_ila_probe2 : std_logic_vector(79 DOWNTO 0);
SIGNAL dbg_ila_probe3 : std_logic_vector(2047 DOWNTO 0);
SIGNAL dbg_vio_probe_out0 : std_logic_vector(63 DOWNTO 0);
SIGNAL dbg_ila1_probe0 : std_logic_vector(15 DOWNTO 0);
SIGNAL dbg_ila1_probe1 : std_logic_vector(15 DOWNTO 0);
ATTRIBUTE mark_debug : string;
ATTRIBUTE keep : string;
ATTRIBUTE mark_debug OF uart_rx_data : SIGNAL IS "true";
ATTRIBUTE mark_debug OF uart_rx_rdy : SIGNAL IS "true";
ATTRIBUTE mark_debug OF cmd_fifo_q : SIGNAL IS "true";
ATTRIBUTE mark_debug OF cmd_fifo_empty : SIGNAL IS "true";
ATTRIBUTE mark_debug OF cmd_fifo_rdreq : SIGNAL IS "true";
ATTRIBUTE mark_debug OF config_reg : SIGNAL IS "true";
--ATTRIBUTE mark_debug OF status_reg : SIGNAL IS "true";
--ATTRIBUTE mark_debug OF pulse_reg : SIGNAL IS "true";
ATTRIBUTE mark_debug OF control_mem_we : SIGNAL IS "true";
ATTRIBUTE mark_debug OF control_mem_addr : SIGNAL IS "true";
ATTRIBUTE mark_debug OF control_mem_din : SIGNAL IS "true";
--
ATTRIBUTE mark_debug OF sPcs_pma_core_status : SIGNAL IS "true";
ATTRIBUTE mark_debug OF sEmac_status_vector : SIGNAL IS "true";
ATTRIBUTE mark_debug OF sTx_axis_fifo_aresetn : SIGNAL IS "true";
ATTRIBUTE mark_debug OF sTx_axis_fifo_aclk : SIGNAL IS "true";
ATTRIBUTE mark_debug OF sTx_axis_fifo_tdata : SIGNAL IS "true";
ATTRIBUTE mark_debug OF sTx_axis_fifo_tkeep : SIGNAL IS "true";
ATTRIBUTE mark_debug OF sTx_axis_fifo_tvalid : SIGNAL IS "true";
ATTRIBUTE mark_debug OF sTx_axis_fifo_tlast : SIGNAL IS "true";
ATTRIBUTE mark_debug OF sTx_axis_fifo_tready : SIGNAL IS "true";
ATTRIBUTE mark_debug OF sRx_axis_fifo_aresetn : SIGNAL IS "true";
ATTRIBUTE mark_debug OF sRx_axis_fifo_aclk : SIGNAL IS "true";
ATTRIBUTE mark_debug OF sRx_axis_fifo_tdata : SIGNAL IS "true";
ATTRIBUTE mark_debug OF sRx_axis_fifo_tkeep : SIGNAL IS "true";
ATTRIBUTE mark_debug OF sRx_axis_fifo_tvalid : SIGNAL IS "true";
ATTRIBUTE mark_debug OF sRx_axis_fifo_tlast : SIGNAL IS "true";
ATTRIBUTE mark_debug OF sRx_axis_fifo_tready : SIGNAL IS "true";
--ATTRIBUTE mark_debug OF ten_gig_eth_tx_start : SIGNAL IS "true";
ATTRIBUTE mark_debug OF tge_cmd_fifo_q : SIGNAL IS "true";
ATTRIBUTE mark_debug OF tge_cmd_fifo_empty : SIGNAL IS "true";
ATTRIBUTE mark_debug OF tge_cmd_fifo_rdreq : SIGNAL IS "true";
---------------------------------------------> debug
BEGIN
---------------------------------------------< Clock
global_clock_reset_inst : global_clock_reset
PORT MAP (
SYS_CLK_P => SYS_CLK_P,
SYS_CLK_N => SYS_CLK_N,
FORCE_RST => SYS_RST,
-- output
GLOBAL_RST => reset,
SYS_CLK => sys_clk,
LOCKED => global_clk_locked,
CLK_OUT1 => clk_50MHz,
CLK_OUT2 => OPEN,
CLK_OUT3 => clk_200MHz,
CLK_OUT4 => clk_250MHz
);
clk_100MHz <= sys_clk;
-- user_clk_ibufds_inst : IBUFDS
-- GENERIC MAP (
-- DIFF_TERM => true, -- Differential Termination
-- IBUF_LOW_PWR => false, -- Low power (TRUE) vs. performance (FALSE) setting for referenced I/O standards
-- IOSTANDARD => "LVDS"
-- )
-- PORT MAP (
-- O => clk_user, -- Buffer output
-- I => USER_CLK_P, -- Diff_p buffer input (connect directly to top-level port)
-- IB => USER_CLK_N -- Diff_n buffer input (connect directly to top-level port)
-- );
-- gtx/gth reference clock can be used as general purpose clock this way
-- sgmiiclk_ibufds_inst : IBUFDS_GTE2
-- PORT MAP (
-- O => clk_sgmii_i,
-- ODIV2 => OPEN,
-- CEB => '0',
-- I => SGMIICLK_Q0_P,
-- IB => SGMIICLK_Q0_N
-- );
-- sgmiiclk_bufg_inst : BUFG
-- PORT MAP (
-- I => clk_sgmii_i,
-- O => clk_sgmii
-- );
clk_125MHz <= clk_sgmii;
---------------------------------------------> Clock
---------------------------------------------< debug : ILA and VIO (`Chipscope')
dbg_cores : IF ENABLE_DEBUG GENERATE
dbg_ila_inst : dbg_ila
PORT MAP (
CLK => sys_clk,
PROBE0 => dbg_ila_probe0,
PROBE1 => dbg_ila_probe1,
PROBE2 => dbg_ila_probe2,
PROBE3 => dbg_ila_probe3
);
dbg_vio_inst : dbg_vio
PORT MAP (
CLK => sys_clk,
PROBE_IN0 => config_reg(64*1-1 DOWNTO 64*0),
PROBE_IN1 => config_reg(64*2-1 DOWNTO 64*1),
PROBE_IN2 => config_reg(64*3-1 DOWNTO 64*2),
PROBE_IN3 => config_reg(64*4-1 DOWNTO 64*3),
PROBE_IN4 => config_reg(64*5-1 DOWNTO 64*4),
PROBE_IN5 => config_reg(64*6-1 DOWNTO 64*5),
PROBE_IN6 => config_reg(64*7-1 DOWNTO 64*6),
PROBE_IN7 => x"00000000000000" & sPcs_pma_core_status, -- config_reg(64*8-1 DOWNTO 64*7),
PROBE_IN8 => cmd_fifo_q,
PROBE_OUT0 => dbg_vio_probe_out0
);
--dbg_ila1_inst : dbg_ila1
-- PORT MAP (
-- CLK => sys_clk,
-- PROBE0 => dbg_ila1_probe0,
-- PROBE1 => dbg_ila1_probe1
-- );
END GENERATE dbg_cores;
---------------------------------------------> debug : ILA and VIO (`Chipscope')
---------------------------------------------< UART/RS232
uart_cores : IF false GENERATE
uartio_inst : uartio
GENERIC MAP (
-- tick repetition frequency is (input freq) / (2**COUNTER_WIDTH / DIVISOR)
COUNTER_WIDTH => 16,
DIVISOR => 1208*2
)
PORT MAP (
CLK => clk_50MHz,
RESET => reset,
RX_DATA => uart_rx_data,
RX_RDY => uart_rx_rdy,
TX_DATA => x"00",
TX_EN => '1',
TX_RDY => dbg_ila_probe0(2),
-- serial lines
RX_PIN => '0',
TX_PIN => OPEN
);
--dbg_ila1_probe0(7 DOWNTO 0) <= uart_rx_data;
--dbg_ila1_probe0(8) <= uart_rx_rdy;
--dbg_ila1_probe0(9) <= USB_TX;
-- dbg_ila_probe0(63 DOWNTO 32) <= cmd_fifo_q(31 DOWNTO 0);
dbg_ila_probe0(31) <= cmd_fifo_empty;
dbg_ila_probe0(30) <= cmd_fifo_rdreq;
byte2cmd_inst : byte2cmd
PORT MAP (
CLK => clk_50MHz,
RESET => reset,
-- byte in
RX_DATA => uart_rx_data,
RX_RDY => uart_rx_rdy,
-- cmd out
CMD_FIFO_Q => OPEN,-- cmd_fifo_q,
CMD_FIFO_EMPTY => OPEN,-- cmd_fifo_empty,
CMD_FIFO_RDCLK => control_clk,
CMD_FIFO_RDREQ => '0' -- cmd_fifo_rdreq
);
END GENERATE uart_cores;
control_clk <= clk_100MHz;
control_interface_inst : control_interface
PORT MAP (
RESET => reset,
CLK => control_clk,
-- From FPGA to PC
FIFO_Q => control_fifo_q,
FIFO_EMPTY => control_fifo_empty,
FIFO_RDREQ => control_fifo_rdreq,
FIFO_RDCLK => control_fifo_rdclk,
-- From PC to FPGA, FWFT
CMD_FIFO_Q => cmd_fifo_q,
CMD_FIFO_EMPTY => cmd_fifo_empty,
CMD_FIFO_RDREQ => cmd_fifo_rdreq,
-- Digital I/O
CONFIG_REG => config_reg,
PULSE_REG => pulse_reg,
STATUS_REG => status_reg,
-- Memory interface
MEM_WE => control_mem_we,
MEM_ADDR => control_mem_addr,
MEM_DIN => control_mem_din,
MEM_DOUT => (OTHERS => '0'),
-- Data FIFO interface, FWFT
DATA_FIFO_Q => idata_data_fifo_dout,
DATA_FIFO_EMPTY => idata_data_fifo_empty,
DATA_FIFO_RDREQ => idata_data_fifo_rden,
DATA_FIFO_RDCLK => idata_data_fifo_rdclk
);
dbg_ila_probe0(18 DOWNTO 3) <= pulse_reg;
---------------------------------------------> UART/RS232
---------------------------------------------< ten_gig_eth
B14_L_N(5) <= '1'; -- TE0741 CLK_EN
B14_L_N(21) <= '1'; -- TE0741 EN_MGT
ten_gig_eth_cores : IF ENABLE_TEN_GIG_ETH GENERATE
ten_gig_eth_inst : ten_gig_eth
PORT MAP (
REFCLK_P => MGT_CLK3_P, -- 156.25MHz for transceiver
REFCLK_N => MGT_CLK3_N,
RESET => reset,
SFP_TX_P => SFP_TX_P,
SFP_TX_N => SFP_TX_N,
SFP_RX_P => SFP_RX_P,
SFP_RX_N => SFP_RX_N,
SFP_LOS => SFP_LOS_LS, -- loss of receiver signal
SFP_TX_DISABLE => sfp_tx_disable_i,
-- clk156.25 domain, clock generated by the core
CLK156p25 => clk156p25,
PCS_PMA_CORE_STATUS => sPcs_pma_core_status,
TX_STATISTICS_VECTOR => OPEN,
TX_STATISTICS_VALID => OPEN,
RX_STATISTICS_VECTOR => OPEN,
RX_STATISTICS_VALID => OPEN,
PAUSE_VAL => (OTHERS => '0'),
PAUSE_REQ => '0',
TX_IFG_DELAY => x"ff",
-- emac control interface
S_AXI_ACLK => s_axi_aclk,
S_AXI_ARESETN => s_axi_aresetn,
S_AXI_AWADDR => s_axi_awaddr,
S_AXI_AWVALID => s_axi_awvalid,
S_AXI_AWREADY => s_axi_awready,
S_AXI_WDATA => s_axi_wdata,
S_AXI_WVALID => s_axi_wvalid,
S_AXI_WREADY => s_axi_wready,
S_AXI_BRESP => s_axi_bresp,
S_AXI_BVALID => s_axi_bvalid,
S_AXI_BREADY => s_axi_bready,
S_AXI_ARADDR => s_axi_araddr,
S_AXI_ARVALID => s_axi_arvalid,
S_AXI_ARREADY => s_axi_arready,
S_AXI_RDATA => s_axi_rdata,
S_AXI_RRESP => s_axi_rresp,
S_AXI_RVALID => s_axi_rvalid,
S_AXI_RREADY => s_axi_rready,
-- tx_wr_clk domain
TX_AXIS_FIFO_ARESETN => sTx_axis_fifo_aresetn,
Tx_AXIS_FIFO_ACLK => sTx_axis_fifo_aclk,
TX_AXIS_FIFO_TDATA => sTx_axis_fifo_tdata,
TX_AXIS_FIFO_TKEEP => sTx_axis_fifo_tkeep,
TX_AXIS_FIFO_TVALID => sTx_axis_fifo_tvalid,
TX_AXIS_FIFO_TLAST => sTx_axis_fifo_tlast,
TX_AXIS_FIFO_TREADY => sTx_axis_fifo_tready,
-- rx_rd_clk domain
RX_AXIS_FIFO_ARESETN => sRx_axis_fifo_aresetn,
RX_AXIS_FIFO_ACLK => sRx_axis_fifo_aclk,
RX_AXIS_FIFO_TDATA => sRx_axis_fifo_tdata,
RX_AXIS_FIFO_TKEEP => sRx_axis_fifo_tkeep,
RX_AXIS_FIFO_TVALID => sRx_axis_fifo_tvalid,
RX_AXIS_FIFO_TLAST => sRx_axis_fifo_tlast,
RX_AXIS_FIFO_TREADY => sRx_axis_fifo_tready
);
SFP_TX_DISABLE_N <= NOT sfp_tx_disable_i;
LED8Bit(7) <= sPcs_pma_core_status(0);
LED8Bit(6) <= NOT sfp_tx_disable_i;
LED8Bit(5) <= NOT SFP_LOS_LS;
s_axi_aclk <= clk_50MHz;
sTx_axis_fifo_aclk <= clk_200MHz;
sRx_axis_fifo_aclk <= sTx_axis_fifo_aclk;
s_axi_aresetn <= '1';
sTx_axis_fifo_aresetn <= '1';
-- sRx_axis_fifo_aresetn <= '1';
ten_gig_eth_packet_gen_inst : ten_gig_eth_packet_gen
PORT MAP (
RESET => reset,
MEM_CLK => control_clk,
MEM_WE => control_mem_we,
MEM_ADDR => control_mem_addr,
MEM_D => control_mem_din,
--
TX_AXIS_ACLK => sTx_axis_fifo_aclk,
TX_START => ten_gig_eth_tx_start,
TX_BYTES => config_reg(15 DOWNTO 0),
TX_AXIS_TDATA => OPEN, -- sTx_axis_fifo_tdata,
TX_AXIS_TKEEP => sTx_axis_fifo_tkeep,
TX_AXIS_TVALID => sTx_axis_fifo_tvalid,
TX_AXIS_TLAST => sTx_axis_fifo_tlast,
TX_AXIS_TREADY => sTx_axis_fifo_tready
);
ten_gig_eth_rx_parser_inst : ten_gig_eth_rx_parser
PORT MAP (
RESET => reset,
RX_AXIS_FIFO_ARESETN => sRx_axis_fifo_aresetn,
-- Everything internal to this module is synchronous to this clock `ACLK'
RX_AXIS_FIFO_ACLK => sRx_axis_fifo_aclk,
RX_AXIS_FIFO_TDATA => sRx_axis_fifo_tdata,
RX_AXIS_FIFO_TKEEP => sRx_axis_fifo_tkeep,
RX_AXIS_FIFO_TVALID => sRx_axis_fifo_tvalid,
RX_AXIS_FIFO_TLAST => sRx_axis_fifo_tlast,
RX_AXIS_FIFO_TREADY => sRx_axis_fifo_tready,
-- Constants
SRC_MAC => x"000a3502a759",
SRC_IP => x"c0a80302",
SRC_PORT => x"ea62",
-- Command output fifo interface AFTER parsing the packet
-- dstMAC(48) dstIP(32) dstPort(16) opcode(32)
CMD_FIFO_Q => tge_cmd_fifo_q,
CMD_FIFO_EMPTY => tge_cmd_fifo_empty,
CMD_FIFO_RDREQ => '1',
CMD_FIFO_RDCLK => clk_200MHz
);
ten_gig_eth_tx_start <= pulse_reg(0);
dbg_ila_probe0(0) <= clk156p25;
dbg_ila_probe0(1) <= ten_gig_eth_tx_start;
dbg_ila_probe1(79 DOWNTO 16) <= sTx_axis_fifo_tdata;
dbg_ila_probe1(15 DOWNTO 8) <= sTx_axis_fifo_tkeep;
dbg_ila_probe1(7) <= sTx_axis_fifo_tvalid;
dbg_ila_probe1(6) <= sTx_axis_fifo_tlast;
dbg_ila_probe1(5) <= sTx_axis_fifo_tready;
--dbg_ila_probe2(79 DOWNTO 16) <= sRx_axis_fifo_tdata;
--dbg_ila_probe2(79 DOWNTO 48) <= control_mem_addr;
--dbg_ila_probe2(47 DOWNTO 16) <= control_mem_din;
--dbg_ila_probe2(15 DOWNTO 8) <= sRx_axis_fifo_tkeep;
dbg_ila_probe2(7) <= sRx_axis_fifo_tvalid;
dbg_ila_probe2(6) <= sRx_axis_fifo_tlast;
dbg_ila_probe2(5) <= sRx_axis_fifo_tready;
dbg_ila_probe2(4) <= control_mem_we;
--
--dbg_ila_probe3(127 DOWNTO 0) <= tge_cmd_fifo_q;
--dbg_ila_probe3(128) <= tge_cmd_fifo_empty;
END GENERATE ten_gig_eth_cores;
---------------------------------------------> ten_gig_eth
---------------------------------------------< gtx / aurora
-- SFP_TX_DISABLE_N <= '1';
-- LED8Bit(0) <= NOT B14_L_P(19); -- NOT SFP_LOS_LS; -- SFP is plugged in.
LED8Bit(1) <= aurora_status(0); -- link up
aurora_64b66b_inst : aurora_64b66b
PORT MAP (
RESET => aurora_reset,
SYS_CLK => clk_100MHz,
MGT_REFCLK_P => SGMIICLK_Q0_P,
MGT_REFCLK_N => SGMIICLK_Q0_N,
-- Data interfaces are synchronous to USER_CLK
USER_CLK => aurora_user_clk,
MGT_REFCLK_BUFG_OUT => clk_sgmii,
-- TX AXI4 interface
S_AXI_TX_TDATA => aurora_tx_tdata,
S_AXI_TX_TVALID => aurora_tx_tvalid,
S_AXI_TX_TREADY => aurora_tx_tready,
-- RX AXI4 interface
M_AXI_RX_TDATA => aurora_rx_tdata,
M_AXI_RX_TVALID => aurora_rx_tvalid,
-- User flow control (UFC) TX
UFC_TX_REQ => aurora_ufc_tx_req,
S_AXI_UFC_TX_TDATA => aurora_ufc_tx_tdata,
UFC_TX_MS => aurora_ufc_tx_ms,
S_AXI_UFC_TX_TVALID => aurora_ufc_tx_tvalid,
S_AXI_UFC_TX_TREADY => aurora_ufc_tx_tready,
-- UFC RX
M_AXI_UFC_RX_TDATA => aurora_ufc_rx_tdata,
M_AXI_UFC_RX_TKEEP => aurora_ufc_rx_tkeep,
M_AXI_UFC_RX_TLAST => aurora_ufc_rx_tlast,
M_AXI_UFC_RX_TVALID => aurora_ufc_rx_tvalid,
UFC_IN_PROGRESSn => aurora_ufc_in_progress_n,
-- GTX pins
RXP => SMA_MGT_RX_P,
RXN => SMA_MGT_RX_N,
TXP => SMA_MGT_TX_P,
TXN => SMA_MGT_TX_N,
-- Status
STATUS => aurora_status
);
aurora_reset <= reset OR pulse_reg(15);
fifo_over_ufc_inst : fifo_over_ufc
PORT MAP (
RESET => aurora_reset,
AURORA_USER_CLK => aurora_user_clk,
AURORA_TX_REQ => aurora_ufc_tx_req,
AURORA_TX_MS => aurora_ufc_tx_ms,
AURORA_TX_TREADY => aurora_ufc_tx_tready,
AURORA_TX_TDATA => aurora_ufc_tx_tdata,
AURORA_TX_TVALID => aurora_ufc_tx_tvalid,
AURORA_RX_TDATA => aurora_ufc_rx_tdata,
AURORA_RX_TVALID => aurora_ufc_rx_tvalid,
FIFO_CLK => control_fifo_rdclk,
TX_FIFO_Q => aurora_ufc_tx_fifo_q,
TX_FIFO_WREN => aurora_ufc_tx_fifo_wren,
TX_FIFO_FULL => aurora_ufc_tx_fifo_full,
RX_FIFO_Q => control_fifo_q(31 DOWNTO 0),
RX_FIFO_RDEN => control_fifo_rdreq,
RX_FIFO_EMPTY => control_fifo_empty,
ERR => OPEN -- LED8Bit(1)
);
fifo_over_ufc_tx_fifo : fifo36x512
PORT MAP (
rst => aurora_reset,
wr_clk => control_fifo_rdclk,
rd_clk => control_clk,
din => x"0" & aurora_ufc_tx_fifo_q,
wr_en => aurora_ufc_tx_fifo_wren,
rd_en => cmd_fifo_rdreq,
dout => cmd_fifo_q,
full => aurora_ufc_tx_fifo_full,
empty => cmd_fifo_empty
);
sdm_adc_data_aggregator_inst : sdm_adc_data_aggregator
GENERIC MAP (
NCH_ADC => 20,
ADC_CYC => 20,
NCH_SDM => 19,
SDM_CYC => 4
)
PORT MAP (
RESET => reset,
CLK => control_clk,
ADC_Q => adc_dout,
ADC_Q_VALID => adc_dout_valid,
SDM_Q => tms_sdm_out,
SDM_Q_VALID => tms_sdm_out_valid,
DOUT => tms_sdm_adc_dout,
DOUT_VALID => tms_sdm_adc_dout_valid,
USER_CLK => aurora_user_clk,
S_AXI_TX_TDATA => aurora_tx_tdata,
S_AXI_TX_TVALID => aurora_tx_tvalid,
S_AXI_TX_TREADY => aurora_tx_tready,
FIFO_FULL => sdm_adc_data_aggregator_fifo_full
);
-- -- debug
-- aurora_ufc_tx_req <= pulse_reg(8);
-- ufc_tx_tvalid_edge_sync_inst : edge_sync
-- GENERIC MAP (
-- EDGE => '0'
-- )
-- PORT MAP (
-- RESET => reset,
-- CLK => aurora_user_clk,
-- EI => aurora_ufc_tx_req,
-- SO => aurora_ufc_tx_tvalid
-- );
-- aurora_ufc_tx_tdata <= x"0000_0000_0000" & config_reg(30*16+15 DOWNTO 30*16);
-- aurora_ufc_tx_ms <= config_reg(29*16+7 DOWNTO 29*16); -- don't reverse bit-order here
--
dbg_ila1_inst : dbg_ila1
PORT MAP (
CLK => clk_200MHz, -- aurora_user_clk,
PROBE0 => dbg_ila1_probe0,
PROBE1 => dbg_ila1_probe1
);
-- dbg_ila1_probe0 <=
-- "00000" & aurora_status(2) & aurora_status(1) & aurora_status(0)
-- & aurora_reset & aurora_ufc_in_progress_n & aurora_ufc_rx_tlast & aurora_ufc_rx_tvalid
-- & aurora_ufc_tx_req & aurora_ufc_tx_tready & aurora_ufc_tx_tvalid & aurora_tx_tready;
dbg_ila1_probe0 <= tms_sdm_adc_dout(16*19+15 DOWNTO 16*19);
-- dbg_ila1_probe1 <= aurora_ufc_rx_tdata(7 DOWNTO 0) & aurora_ufc_tx_tdata(7 DOWNTO 0);
dbg_ila1_probe1 <= aurora_tx_tdata(63 DOWNTO 63-12) & sdm_adc_data_aggregator_fifo_full & aurora_tx_tvalid & aurora_tx_tready;
---------------------------------------------> gtx / aurora
---------------------------------------------< I2C
i2c_sda_iobuf_inst : IOBUF
GENERIC MAP(
DRIVE => 12,
SLEW => "SLOW"
)
PORT MAP(
O => i2c_sda_in,
IO => I2C_SDA,
I => i2c_sda_out,
T => i2c_sda_t
);
i2c_scl_iobuf_inst : IOBUF
GENERIC MAP(
DRIVE => 12,
SLEW => "SLOW"
)
PORT MAP(
O => OPEN,
IO => I2C_SCL,
I => i2c_scl_out,
T => '0'
);
-- External clock IC
si5338_clk_div_inst : clk_div
GENERIC MAP (
WIDTH => 32,
PBITS => 8
)
PORT MAP (
RESET => reset,
CLK => clk156p25,
DIV => x"1b",
CLK_DIV => LED8Bit(0)
);
-- Temperature and voltage sensors
i2c1_sda_iobuf_inst : IOBUF
GENERIC MAP(
DRIVE => 12,
SLEW => "SLOW"
)
PORT MAP(
O => i2c1_sda_in,
IO => B14_L_P(19),
I => i2c1_sda_out,
T => i2c1_sda_t
);
i2c1_scl_iobuf_inst : IOBUF
GENERIC MAP(
DRIVE => 12,
SLEW => "SLOW"
)
PORT MAP(
O => OPEN,
IO => B14_L_P(14),
I => i2c1_scl_out,
T => '0'
);
i2c1_master_inst : i2c_master
GENERIC MAP (
INPUT_CLK_FREQENCY => 100_000_000,
BUS_CLK_FREQUENCY => 100_000
)
PORT MAP (
CLK => control_clk,
RESET => reset,
START => pulse_reg(2),
MODE => config_reg(16*2+1 DOWNTO 16*2),
SL_RW => config_reg(16*3+15),
SL_ADDR => config_reg(16*3+14 DOWNTO 16*3+8),
REG_ADDR => config_reg(16*3+7 DOWNTO 16*3),
WR_DATA0 => config_reg(16*4+15 DOWNTO 16*4+8),
WR_DATA1 => config_reg(16*4+7 DOWNTO 16*4),
RD_DATA0 => status_reg(16*0+15 DOWNTO 16*0+8),
RD_DATA1 => status_reg(16*0+7 DOWNTO 16*0),
BUSY => OPEN,
ACK_ERROR => OPEN,
SDA_in => i2c1_sda_in,
SDA_out => i2c1_sda_out,
SDA_t => i2c1_sda_t,
SCL => i2c1_scl_out
);
---------------------------------------------> I2C
---------------------------------------------< shiftreg driver for DAC8568
B14_L_P(21) <= dac_din;
B14_L_P(20) <= dac_sclk;
B14_L_N(23) <= dac_sync_n;
dac_din <= spi_dout;
dac_sclk <= spi_sclk;
dac_sync_n <= spi_sync_n;
dac8568_inst : fifo2shiftreg
GENERIC MAP (
DATA_WIDTH => 32, -- parallel data width
CLK_DIV_WIDTH => 16,
DELAY_AFTER_SYNCn => 0, -- number of SCLK cycles' wait after falling edge OF SYNCn
SCLK_IDLE_LEVEL => '0', -- High or Low for SCLK when not switching
DOUT_DRIVE_EDGE => '1', -- 1/0 rising/falling edge of SCLK drives new DOUT bit
DIN_CAPTURE_EDGE => '0' -- 1/0 rising/falling edge of SCLK captures new DIN bit
)
PORT MAP (
CLK => control_clk, -- clock
RESET => reset, -- reset
-- input data interface
WR_CLK => control_clk, -- FIFO write clock
DINFIFO => config_reg(16*1+15 DOWNTO 16*1),
WR_EN => '0',
WR_PULSE => pulse_reg(1), -- one pulse writes one word, regardless of pulse duration
FULL => OPEN,
-- captured data
BUSY => OPEN,
DATAOUT => OPEN,
-- serial interface
CLK_DIV => x"0006",
SCLK => spi_sclk,
DOUT => spi_dout,
SYNCn => spi_sync_n,
DIN => spi_din
);
---------------------------------------------> shiftreg driver for DAC8568
---------------------------------------------< TMS serial io
B14_L_N(4) <= tms_pwr_on;
B14_L_P(4) <= tms_sio_a(2);
B14_L_N(13) <= tms_sio_a(1);
B14_L_P(13) <= tms_sio_a(0);
B14_L_P(23) <= tms_sdi;
tms_sdo <= B14_L_N(19);
B14_L_P(0) <= tms_sck;
tms_pwr_on <= config_reg(16*0+0);
tms_sio_a <= config_reg(16*13+8+tms_sio_a'length-1 DOWNTO 16*13+8);
tms_sio_drive_inst : shiftreg_drive
GENERIC MAP (
DATA_WIDTH => 130, -- parallel data width
CLK_DIV_WIDTH => 16,
DELAY_AFTER_SYNCn => 0, -- number of SCLK cycles' wait after falling edge OF SYNCn
SCLK_IDLE_LEVEL => '1', -- High or Low for SCLK when not switching
DOUT_DRIVE_EDGE => '0', -- 1/0 rising/falling edge of SCLK drives new DOUT bit
DIN_CAPTURE_EDGE => '1' -- 1/0 rising/falling edge of SCLK captures new DIN bit
)
PORT MAP (
CLK => control_clk, -- clock
RESET => reset, -- reset
-- internal data interface
CLK_DIV => x"00" & "00" & config_reg(16*13+7 DOWNTO 16*13+2), -- SCLK freq is CLK / 2**(CLK_DIV)
DATAIN => config_reg(16*13+1 DOWNTO 16*5),
START => pulse_reg(3),
BUSY => status_reg(16*9+2),
DATAOUT => status_reg(16*9+1 DOWNTO 16*1),
-- external serial interface
SCLK => tms_sck,
DOUT => tms_sdi,
SYNCn => OPEN,
DIN => tms_sdo
);
---------------------------------------------> TMS serial io
---------------------------------------------< TMS
-- TMS reset, also function as serial io load
tms_reset_obufds_inst : OBUFDS
GENERIC MAP(
IOSTANDARD => "DEFAULT",
SLEW => "SLOW"
)
PORT MAP (
O => B13_L_P(3),
OB => B13_L_N(3),
I => tms_reset
);
tms_reset_width_pulse_sync_inst : width_pulse_sync
GENERIC MAP (
DATA_WIDTH => 8,
MODE => 0
)
PORT MAP (
RESET => reset,
CLK => control_clk,
PW => x"ff",
START => pulse_reg(0),
BUSY => OPEN,
CLKO => control_clk,
RSTO => OPEN,
PO => tms_reset
);
-- TMS SDM
B12_L_P(0) <= tms_sdm_clk_src_sel;
tms_sdm_clk_src_sel <= config_reg(16*0+1);
tms_sdm_clkff_div <= config_reg(16*0+11 DOWNTO 16*0+8);
tms_sdm_recv_inst : tms_sdm_recv
GENERIC MAP (
NCH => 19
)
PORT MAP (
RESET => reset,
CLK => control_clk, -- DELAY_* must be synchronous to this clock
REFCLK => clk_200MHz, -- REFCLK (200MHz) for IDELAYCTRL
DELAY_CHANNEL => config_reg(16*14+15 DOWNTO 16*14+8), -- input iodelay channel selection
DELAY_VALUE => config_reg(16*14+4 DOWNTO 16*14), -- input iodelay value
DELAY_UPDATE => pulse_reg(4), -- a pulse to update the delay value
CLKFF_DIV => tms_sdm_clkff_div,
CLKFF_P => B12_L_P(16),
CLKFF_N => B12_L_N(16),
CLK_LPBK_P => B12_L_P(12),
CLK_LPBK_N => B12_L_N(12),
CLK_LPBK => tms_sdm_clk_lpbk,
SDM_OUT1_P => tms_sdm_out1_p,
SDM_OUT1_N => tms_sdm_out1_n,
SDM_OUT2_P => tms_sdm_out2_p,
SDM_OUT2_N => tms_sdm_out2_n,
DOUT => tms_sdm_out,
DOUT_VALID => tms_sdm_out_valid
);
tms_sdm_out1_p <= (
0 => B12_L_P(18),
1 => B12_L_P(9),
2 => B12_L_P(20),
3 => B13_L_P(23),
4 => B13_L_P(21),
5 => B13_L_P(12),
6 => B12_L_P(5),
7 => B12_L_P(7),
8 => B12_L_P(21),
9 => B12_L_P(24),
10 => B13_L_P(6),
11 => B13_L_P(9),
12 => B13_L_P(16),
13 => B13_L_P(22),
14 => B13_L_P(10),
15 => B13_L_P(8),
16 => B13_L_P(4),
17 => B12_L_P(11),
18 => B12_L_P(13)
);
tms_sdm_out1_n <= (
0 => B12_L_N(18),
1 => B12_L_N(9),
2 => B12_L_N(20),
3 => B13_L_N(23),
4 => B13_L_N(21),
5 => B13_L_N(12),
6 => B12_L_N(5),
7 => B12_L_N(7),
8 => B12_L_N(21),
9 => B12_L_N(24),
10 => B13_L_N(6),
11 => B13_L_N(9),
12 => B13_L_N(16),
13 => B13_L_N(22),
14 => B13_L_N(10),
15 => B13_L_N(8),
16 => B13_L_N(4),
17 => B12_L_N(11),
18 => B12_L_N(13)
);
tms_sdm_out2_p <= (
0 => B12_L_P(17),
1 => B12_L_P(8),
2 => B12_L_P(22),
3 => B13_L_P(13),
4 => B13_L_P(11),
5 => B13_L_P(15),
6 => B12_L_P(3),
7 => B12_L_P(10),
8 => B12_L_P(23),
9 => B12_L_P(19),
10 => B13_L_P(17),
11 => B13_L_P(18),
12 => B13_L_P(14),
13 => B13_L_P(24),
14 => B13_L_P(7),
15 => B13_L_P(20),
16 => B13_L_P(2),
17 => B12_L_P(2),
18 => B12_L_P(14)
);
tms_sdm_out2_n <= (
0 => B12_L_N(17),
1 => B12_L_N(8),
2 => B12_L_N(22),
3 => B13_L_N(13),
4 => B13_L_N(11),
5 => B13_L_N(15),
6 => B12_L_N(3),
7 => B12_L_N(10),
8 => B12_L_N(23),
9 => B12_L_N(19),
10 => B13_L_N(17),
11 => B13_L_N(18),
12 => B13_L_N(14),
13 => B13_L_N(24),
14 => B13_L_N(7),
15 => B13_L_N(20),
16 => B13_L_N(2),
17 => B12_L_N(2),
18 => B12_L_N(14)
);
-- ADC, external, LTC2325-16
B16_L_N(6) <= adc_clk_src_sel;
B16_L_N(19) <= adc_clk_src_sel;
B12_L_P(25) <= adc_sdrn_ddr;
adc_clk_src_sel <= config_reg(16*0+2);
adc_sdrn_ddr <= config_reg(16*0+3);
adc_clkff_div <= config_reg(16*0+15 DOWNTO 16*0+12);
adc_cnv_sipo_inst : adc_cnv_sipo
GENERIC MAP (
NCH => 20
)
PORT MAP (
RESET => reset,
CLK => control_clk, -- DELAY_* must be synchronous to this clock
REFCLK => clk_200MHz, -- REFCLK (200MHz) for IDELAYCTRL
DELAY_CHANNEL => config_reg(16*14+15 DOWNTO 16*14+8), -- ADC data input iodelay channel selection
DELAY_VALUE => config_reg(16*14+4 DOWNTO 16*14), -- ADC data input iodelay value
DELAY_UPDATE => pulse_reg(5), -- a pulse to update the delay value
CLKFF_DIV => adc_clkff_div,
CLKFF_P => B16_L_P(13),
CLKFF_N => B16_L_N(13),
CLK_LPBK_P => B16_L_P(12),
CLK_LPBK_N => B16_L_N(12),
CLK_LPBK => adc_clk0_lpbk,
CNV_N_P => B16_L_P(17),
CNV_N_N => B16_L_N(17),
CNV_N => adc_cnv_n,
INPUTS_P => adc_sdo_p,
INPUTS_N => adc_sdo_n,
INPUTS_OUT => adc_sdo,
DOUT => adc_dout,
DOUT_VALID => adc_dout_valid
);
adc_sdo_p <= (
0 => B12_L_P(4),
1 => B12_L_P(1),
2 => B12_L_P(6),
3 => B15_L_P(23),
4 => B12_L_P(15),
5 => B15_L_P(21),
6 => B15_L_P(14),
7 => B15_L_P(12),
8 => B15_L_P(13),
9 => B15_L_P(11),
10 => B15_L_P(5),
11 => B15_L_P(20),
12 => B16_L_P(18),
13 => B15_L_P(7),
14 => B16_L_P(14),
15 => B16_L_P(23),
16 => B16_L_P(11),
17 => B16_L_P(21),
18 => B13_L_P(5),
19 => B13_L_P(1)
);
adc_sdo_n <= (
0 => B12_L_N(4),
1 => B12_L_N(1),
2 => B12_L_N(6),
3 => B15_L_N(23),
4 => B12_L_N(15),
5 => B15_L_N(21),
6 => B15_L_N(14),
7 => B15_L_N(12),
8 => B15_L_N(13),
9 => B15_L_N(11),
10 => B15_L_N(5),
11 => B15_L_N(20),
12 => B16_L_N(18),
13 => B15_L_N(7),
14 => B16_L_N(14),
15 => B16_L_N(23),
16 => B16_L_N(11),
17 => B16_L_N(21),
18 => B13_L_N(5),
19 => B13_L_N(1)
);
--
-- dbg_ila1_probe0 <= adc_dout(16*19+15 DOWNTO 16*19);
-- dbg_ila1_probe1 <= tms_sdm_out(3 DOWNTO 0) & tms_sdm_out_valid & tms_sdm_clk_lpbk & '0' & sdm_adc_data_aggregator_fifo_full
-- & i2c1_sda_in & i2c1_scl_out & tms_sck & adc_dout_valid
-- & adc_cnv_n & adc_clk0_lpbk & adc_sdo(19) & adc_sdo(0);
---------------------------------------------> TMS
-- clock output
refout_clk_div_inst : clk_div
PORT MAP (
RESET => reset,
CLK => idata_adc_data_clk,
DIV => config_reg(16*15+3 DOWNTO 16*15),
CLK_DIV => idata_adc_refout_clkdiv
);
clk_fwd_inst : clk_fwd -- idata_adc_refout_clkdiv
PORT MAP (R => reset, I => clk156p25, O => OPEN);
clk_fwd_inst1 : clk_fwd GENERIC MAP (INV => true)
PORT MAP (R => reset, I => clk156p25, O => OPEN);
clk_fwd_inst2 : clk_fwd GENERIC MAP (INV => true)
PORT MAP (R => reset, I => idata_adc_data_clk, O => OPEN);
-- capture the rising edge of trigger
trig_edge_sync_inst : edge_sync
PORT MAP (
RESET => reset,
CLK => control_clk,
EI => idata_trig_in,
SO => idata_trig_synced
);
idata_trig_allow <= config_reg(32*6+30);
idata_data_wr_start <= pulse_reg(3) OR (idata_trig_synced AND idata_trig_allow
AND (NOT idata_data_wr_busy)
AND (NOT idata_data_wr_wrapped));
--led_obufs : FOR i IN 0 TO 7 GENERATE
-- led_obuf : OBUF
-- PORT MAP (
-- I => usr_data_output(i),
-- O => LED8Bit(i)
-- );
--END GENERATE led_obufs;
--LED8Bit(5 DOWNTO 1) <= (OTHERS => '0');
END Behavioral;
| bsd-3-clause | 2f07e32d96f5cfcd41f72d126360c433 | 0.507408 | 3.320961 | false | false | false | false |
Andy46/OV7670-VHDL | OV7670/src/mod_7SEG/mod_bcd.vhd | 1 | 1,668 | ----------------------------------------------------------------------------------
-- Company: *
-- Engineer: Andres Gamboa
--
-- Create Date: 09:50:50 10/11/2013
-- Design Name:
-- Module Name: sevseg - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity mod_bcd is
port ( bcd : in std_logic_vector(3 downto 0);
g : in std_logic;
segment7 : out std_logic_vector(6 downto 0));
end mod_bcd;
architecture Behavioral of mod_bcd is
begin
process(bcd, g)
begin
if g = '0' then
segment7 <= "0111111"; -- '-'
else
case bcd is
when "0000"=> segment7 <="1000000"; -- '0'
when "0001"=> segment7 <="1111001"; -- '1'
when "0010"=> segment7 <="0100100"; -- '2'
when "0011"=> segment7 <="0110000"; -- '3'
when "0100"=> segment7 <="0011001"; -- '4'
when "0101"=> segment7 <="0010010"; -- '5'
when "0110"=> segment7 <="0000010"; -- '6'
when "0111"=> segment7 <="1111000"; -- '7'
when "1000"=> segment7 <="0000000"; -- '8'
when "1001"=> segment7 <="0010000"; -- '9'
when "1010"=> segment7 <="0001000"; -- 'A'
when "1011"=> segment7 <="0000011"; -- 'B'
when "1100"=> segment7 <="1000110"; -- 'C'
when "1101"=> segment7 <="0100001"; -- 'D'
when "1110"=> segment7 <="0000110"; -- 'E'
when "1111"=> segment7 <="0001110"; -- 'F'
when others=> segment7 <="0111111"; -- '-'
end case;
end if;
end process;
end Behavioral; | mit | b2d102580d98e7123eee1e246a85a97d | 0.514388 | 3.226306 | false | false | false | false |
shailcoolboy/Warp-Trinity | edk_user_repository/WARP/pcores/linkport_v1_00_a/hdl/vhdl/error_detect.vhd | 4 | 9,545 | --
-- Project: Aurora Module Generator version 2.4
--
-- Date: $Date: 2005/11/07 21:30:52 $
-- Tag: $Name: i+IP+98818 $
-- File: $RCSfile: error_detect_vhd.ejava,v $
-- Rev: $Revision: 1.1.2.1 $
--
-- Company: Xilinx
-- Contributors: R. K. Awalt, B. L. Woodard, N. Gulstone
--
-- Disclaimer: XILINX IS PROVIDING THIS DESIGN, CODE, OR
-- INFORMATION "AS IS" SOLELY FOR USE IN DEVELOPING
-- PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS
-- ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE,
-- APPLICATION OR STANDARD, XILINX IS MAKING NO
-- REPRESENTATION THAT THIS IMPLEMENTATION IS FREE
-- FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE
-- RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY
-- REQUIRE FOR YOUR IMPLEMENTATION. XILINX
-- EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH
-- RESPECT TO THE ADEQUACY OF THE IMPLEMENTATION,
-- INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE
-- FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES
-- OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE.
--
-- (c) Copyright 2004 Xilinx, Inc.
-- All rights reserved.
--
--
-- ERROR_DETECT
--
-- Author: Nigel Gulstone
-- Xilinx - Embedded Networking System Engineering Group
--
-- VHDL Translation: Brian Woodard
-- Xilinx - Garden Valley Design Team
--
-- Description : The ERROR_DETECT module monitors the MGT to detect hard
-- errors. It accumulates the Soft errors according to the
-- leaky bucket algorithm described in the Aurora
-- Specification to detect Hard errors. All errors are
-- reported to the Global Logic Interface.
--
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use WORK.AURORA.all;
entity ERROR_DETECT is
port (
-- Lane Init SM Interface
ENABLE_ERROR_DETECT : in std_logic;
HARD_ERROR_RESET : out std_logic;
-- Global Logic Interface
SOFT_ERROR : out std_logic;
HARD_ERROR : out std_logic;
-- MGT Interface
RX_DISP_ERR : in std_logic_vector(1 downto 0);
TX_K_ERR : in std_logic_vector(1 downto 0);
RX_NOT_IN_TABLE : in std_logic_vector(1 downto 0);
RX_BUF_STATUS : in std_logic;
TX_BUF_ERR : in std_logic;
RX_REALIGN : in std_logic;
-- System Interface
USER_CLK : in std_logic
);
end ERROR_DETECT;
architecture RTL of ERROR_DETECT is
-- Parameter Declarations --
constant DLY : time := 1 ns;
-- External Register Declarations --
signal HARD_ERROR_RESET_Buffer : std_logic;
signal SOFT_ERROR_Buffer : std_logic;
signal HARD_ERROR_Buffer : std_logic;
-- Internal Register Declarations --
signal count_r : std_logic_vector(0 to 1);
signal bucket_full_r : std_logic;
signal soft_error_r : std_logic_vector(0 to 1);
signal good_count_r : std_logic_vector(0 to 1);
signal soft_error_flop_r : std_logic; -- Traveling flop for timing.
signal hard_error_flop_r : std_logic; -- Traveling flop for timing.
begin
HARD_ERROR_RESET <= HARD_ERROR_RESET_Buffer;
SOFT_ERROR <= SOFT_ERROR_Buffer;
HARD_ERROR <= HARD_ERROR_Buffer;
-- Main Body of Code --
-- Detect Soft Errors
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
if (ENABLE_ERROR_DETECT = '1') then
soft_error_r(0) <= RX_DISP_ERR(1) or RX_NOT_IN_TABLE(1) after DLY;
soft_error_r(1) <= RX_DISP_ERR(0) or RX_NOT_IN_TABLE(0) after DLY;
else
soft_error_r(0) <= '0' after DLY;
soft_error_r(1) <= '0' after DLY;
end if;
end if;
end process;
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
soft_error_flop_r <= soft_error_r(0) or
soft_error_r(1) after DLY;
SOFT_ERROR_Buffer <= soft_error_flop_r after DLY;
end if;
end process;
-- Detect Hard Errors
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
if (ENABLE_ERROR_DETECT = '1') then
hard_error_flop_r <= std_bool(TX_K_ERR /= "00") or
RX_BUF_STATUS or
TX_BUF_ERR or
RX_REALIGN or
bucket_full_r after DLY;
HARD_ERROR_Buffer <= hard_error_flop_r after DLY;
else
hard_error_flop_r <= '0' after DLY;
HARD_ERROR_Buffer <= '0' after DLY;
end if;
end if;
end process;
-- Assert hard error reset when there is a hard error. This assignment
-- just renames the two fanout branches of the hard error signal.
HARD_ERROR_RESET_Buffer <= hard_error_flop_r;
-- Leaky Bucket --
-- Good cycle counter: it takes 2 consecutive good cycles to remove a demerit from
-- the leaky bucket
process (USER_CLK)
variable err_vec : std_logic_vector(3 downto 0);
begin
if (USER_CLK 'event and USER_CLK = '1') then
if (ENABLE_ERROR_DETECT = '0') then
good_count_r <= "01" after DLY;
else
err_vec := soft_error_r & good_count_r;
case err_vec is
when "0000" => good_count_r <= "01" after DLY;
when "0001" => good_count_r <= "10" after DLY;
when "0010" => good_count_r <= "01" after DLY;
when "0011" => good_count_r <= "01" after DLY;
when others => good_count_r <= "00" after DLY;
end case;
end if;
end if;
end process;
-- Perform the leaky bucket algorithm using an up/down counter. A drop is
-- added to the bucket whenever a soft error occurs and is allowed to leak
-- out whenever the good cycles counter reaches 2. Once the bucket fills
-- (3 drops) it stays full until it is reset by disabling and then enabling
-- the error detection circuit.
process (USER_CLK)
variable leaky_bucket : std_logic_vector(4 downto 0);
begin
if (USER_CLK 'event and USER_CLK = '1') then
if (ENABLE_ERROR_DETECT = '0') then
count_r <= "00" after DLY;
else
leaky_bucket := soft_error_r & good_count_r(0) & count_r;
case leaky_bucket is
when "00000" => count_r <= count_r after DLY;
when "00001" => count_r <= count_r after DLY;
when "00010" => count_r <= count_r after DLY;
when "00011" => count_r <= count_r after DLY;
when "00100" => count_r <= "00" after DLY;
when "00101" => count_r <= "00" after DLY;
when "00110" => count_r <= "01" after DLY;
when "00111" => count_r <= "11" after DLY;
when "01000" => count_r <= "01" after DLY;
when "01001" => count_r <= "10" after DLY;
when "01010" => count_r <= "11" after DLY;
when "01011" => count_r <= "11" after DLY;
when "01100" => count_r <= "01" after DLY;
when "01101" => count_r <= "10" after DLY;
when "01110" => count_r <= "11" after DLY;
when "01111" => count_r <= "11" after DLY;
when "10000" => count_r <= "01" after DLY;
when "10001" => count_r <= "10" after DLY;
when "10010" => count_r <= "11" after DLY;
when "10011" => count_r <= "11" after DLY;
when "10100" => count_r <= "01" after DLY;
when "10101" => count_r <= "10" after DLY;
when "10110" => count_r <= "11" after DLY;
when "10111" => count_r <= "11" after DLY;
when "11000" => count_r <= "10" after DLY;
when "11001" => count_r <= "11" after DLY;
when "11010" => count_r <= "11" after DLY;
when "11011" => count_r <= "11" after DLY;
when "11100" => count_r <= "10" after DLY;
when "11101" => count_r <= "11" after DLY;
when "11110" => count_r <= "11" after DLY;
when "11111" => count_r <= "11" after DLY;
when others => count_r <= "XX" after DLY;
end case;
end if;
end if;
end process;
-- Detect when the bucket is full and register the signal.
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
bucket_full_r <= std_bool(count_r = "11") after DLY;
end if;
end process;
end RTL;
| bsd-2-clause | 4dbcdd6e9a0bf717eeb7b084f8def702 | 0.50791 | 4.02403 | false | false | false | false |
nxt4hll/roccc-2.0 | roccc-compiler/src/llvm-2.3/include/rocccLibrary/TripleSingleWordVoter.vhd | 1 | 1,514 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity SingleWordVoter is
port(
clk : in STD_LOGIC;
rst : in STD_LOGIC;
inputReady : in STD_LOGIC;
outputReady : out STD_LOGIC;
done : out STD_LOGIC;
stall : in STD_LOGIC;
error : out STD_LOGIC;
val0_in : in STD_LOGIC_VECTOR(31 downto 0);
val1_in : in STD_LOGIC_VECTOR(31 downto 0);
val2_in : in STD_LOGIC_VECTOR(31 downto 0);
val0_out : out STD_LOGIC_VECTOR(31 downto 0);
val1_out : out STD_LOGIC_VECTOR(31 downto 0);
val2_out : out STD_LOGIC_VECTOR(31 downto 0)
);
end SingleWordVoter;
architecture Behavioral of SingleWordVoter is
begin
process(clk, rst)
begin
if( rst = '1' ) then
elsif( clk'event and clk = '1' ) then
val0_out <= (others=>'0');
val1_out <= (others=>'0');
val2_out <= (others=>'0');
error <= '1';
if( val0_in = val1_in ) then
val0_out <= val0_in;
val1_out <= val0_in;
val2_out <= val0_in;
error <= '0';
elsif( val1_in = val2_in ) then
val0_out <= val1_in;
val1_out <= val1_in;
val2_out <= val1_in;
error <= '0';
elsif( val2_in = val0_in ) then
val0_out <= val2_in;
val1_out <= val2_in;
val2_out <= val2_in;
error <= '0';
end if;
end if;
end process;
end Behavioral;
| epl-1.0 | d927b40a64b3e67f4c3908f92f4082d1 | 0.611625 | 2.872865 | false | false | false | false |
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/fifo16_patch/fifo16_patch_top.vhd | 9 | 11,515 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
cPZ7+eHDLjmNfVUj8WvKqXLAnPtyLm0s9aYSxSzHsqbVkwztD0TQZP6rapbcQM3whT4sRRe0Nv72
4hG04ccfPg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
pDGgn+8ERDtvyySodmphmnLIurqM6Ql+NZFaA+4rCgAMlfgC9XqIc5lR1N3M2RxHZcDKAzfijmgq
wUVBrbuleWBsgTB0E9cQb1vaYOPRfnUmsBAEMlSBrOybJO2x97XdjtnNdx21f5BsMSmSL99k84uC
D3w/Q2EtG2sVqfsQ0Uw=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ux0E7ic7ddrM05MHFP6SN01PL8t1fArCWREGsYjS2ONcF2IPi6qGxKv2j6Bz1/ksI2IdqxEwhMND
Xwur5QkftdAoX842VLyoS+RhDtjOuzxClBPUN6Vp5PhuRj5IeqN65zqr7zc9VOA1EmoOY/R8fBEz
Yo5b5k2v7CH1jlqgBhYliwBu+iM8gR/saVfjlxNHAqq9lCHEmlTh+cLc7U9e4drEHfwIEzJax4pV
3UJYu2YsQBbZsIHHMUvLM6+80Ox+YDCN03PRmISy/UeZ5/ptqjgXOo4VTQz/KgxyxlOsplJzflag
/DihISoV8c87bVCFlaELwfvYcWhO1XAm5g9qhw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
EYV2fiDAQh47256HEG+lsKBNLjtKVHQOJbaPpVgeYOluFA28J//O8rDaD+o6fukMY9UOhT21+W74
eqHFBt7pa0zrz16/ZAQqs46TsLmKJqugsbZtphRz1JMU5+zfR/c9k4K1/CLB357EkoC+1fU3gPxX
pYsfqy24jhagQ349Nkg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bLJwmBFChIRX3l4b6zFqLjuzCEYMRmsSJsdKazWL8VLHQck3Qbxi50EZ4DPvqyxFcGnmBE7UdkS7
4whktutIv5Nf1TltNTuRrHFt9yCzZZ6BdoFh+UCXBHV7BgHaQemox6pN6HmtyrHs/jrWfynM8H6t
pU9SNjG6QssLUAwOZXNFRv/EjDI33IJZniMh9ZUY+WTbOHlRmddCkAQ/EToYAcRPx9uv3iXiInys
pN4Rxd27ZVxvkywvgA5u8skaQRlT206DpSLUGlrIRAaS08vPKOsqgNQdxiJRL4d3ATCXWh3AnfD/
hm0Ex7zx8yOCRtwA7vyU88q8ARmDTL+GPaSknQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6784)
`protect data_block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`protect end_protected
| gpl-3.0 | 7bde67d5c68a3284ec212f0ef290b18c | 0.930352 | 1.894849 | false | false | false | false |
shailcoolboy/Warp-Trinity | edk_user_repository/WARP/pcores/user_io_board_controller_plbw_v1_01_a/hdl/vhdl/user_io_board_controller.vhd | 4 | 452,905 | --------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used --
-- solely for design, simulation, implementation and creation of --
-- design files limited to Xilinx devices or technologies. Use --
-- with non-Xilinx devices or technologies is expressly prohibited --
-- and immediately terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" --
-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR --
-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION --
-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION --
-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS --
-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, --
-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE --
-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY --
-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS --
-- FOR A PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support --
-- appliances, devices, or systems. Use in such applications are --
-- expressly prohibited. --
-- --
-- (c) Copyright 1995-2007 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
-- You must compile the wrapper file adder_subtracter_virtex2p_7_0_453ed16ba8e84295.vhd when simulating
-- the core, adder_subtracter_virtex2p_7_0_453ed16ba8e84295. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
Library XilinxCoreLib;
-- synthesis translate_on
ENTITY adder_subtracter_virtex2p_7_0_453ed16ba8e84295 IS
port (
A: IN std_logic_VECTOR(8 downto 0);
B: IN std_logic_VECTOR(8 downto 0);
S: OUT std_logic_VECTOR(8 downto 0));
END adder_subtracter_virtex2p_7_0_453ed16ba8e84295;
ARCHITECTURE adder_subtracter_virtex2p_7_0_453ed16ba8e84295_a OF adder_subtracter_virtex2p_7_0_453ed16ba8e84295 IS
-- synthesis translate_off
component wrapped_adder_subtracter_virtex2p_7_0_453ed16ba8e84295
port (
A: IN std_logic_VECTOR(8 downto 0);
B: IN std_logic_VECTOR(8 downto 0);
S: OUT std_logic_VECTOR(8 downto 0));
end component;
-- Configuration specification
for all : wrapped_adder_subtracter_virtex2p_7_0_453ed16ba8e84295 use entity XilinxCoreLib.C_ADDSUB_V7_0(behavioral)
generic map(
c_has_bypass_with_cin => 0,
c_a_type => 1,
c_has_sclr => 0,
c_sync_priority => 1,
c_has_aset => 0,
c_has_b_out => 0,
c_has_s => 1,
c_has_q => 0,
c_bypass_enable => 0,
c_b_constant => 0,
c_has_ovfl => 0,
c_high_bit => 8,
c_latency => 0,
c_sinit_val => "0",
c_has_bypass => 0,
c_pipe_stages => 1,
c_has_sset => 0,
c_has_ainit => 0,
c_has_a_signed => 0,
c_has_q_c_out => 0,
c_b_type => 1,
c_has_add => 0,
c_has_sinit => 0,
c_has_b_in => 0,
c_has_b_signed => 0,
c_bypass_low => 0,
c_enable_rlocs => 1,
c_b_value => "0",
c_add_mode => 0,
c_has_aclr => 0,
c_out_width => 9,
c_ainit_val => "0000",
c_low_bit => 0,
c_has_q_ovfl => 0,
c_has_q_b_out => 0,
c_has_c_out => 0,
c_b_width => 9,
c_a_width => 9,
c_sync_enable => 0,
c_has_ce => 1,
c_has_c_in => 0);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_adder_subtracter_virtex2p_7_0_453ed16ba8e84295
port map (
A => A,
B => B,
S => S);
-- synthesis translate_on
END adder_subtracter_virtex2p_7_0_453ed16ba8e84295_a;
--------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used --
-- solely for design, simulation, implementation and creation of --
-- design files limited to Xilinx devices or technologies. Use --
-- with non-Xilinx devices or technologies is expressly prohibited --
-- and immediately terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" --
-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR --
-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION --
-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION --
-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS --
-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, --
-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE --
-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY --
-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS --
-- FOR A PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support --
-- appliances, devices, or systems. Use in such applications are --
-- expressly prohibited. --
-- --
-- (c) Copyright 1995-2007 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
-- You must compile the wrapper file adder_subtracter_virtex2p_7_0_7182743c9e7adf5e.vhd when simulating
-- the core, adder_subtracter_virtex2p_7_0_7182743c9e7adf5e. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
Library XilinxCoreLib;
-- synthesis translate_on
ENTITY adder_subtracter_virtex2p_7_0_7182743c9e7adf5e IS
port (
A: IN std_logic_VECTOR(4 downto 0);
B: IN std_logic_VECTOR(4 downto 0);
S: OUT std_logic_VECTOR(4 downto 0));
END adder_subtracter_virtex2p_7_0_7182743c9e7adf5e;
ARCHITECTURE adder_subtracter_virtex2p_7_0_7182743c9e7adf5e_a OF adder_subtracter_virtex2p_7_0_7182743c9e7adf5e IS
-- synthesis translate_off
component wrapped_adder_subtracter_virtex2p_7_0_7182743c9e7adf5e
port (
A: IN std_logic_VECTOR(4 downto 0);
B: IN std_logic_VECTOR(4 downto 0);
S: OUT std_logic_VECTOR(4 downto 0));
end component;
-- Configuration specification
for all : wrapped_adder_subtracter_virtex2p_7_0_7182743c9e7adf5e use entity XilinxCoreLib.C_ADDSUB_V7_0(behavioral)
generic map(
c_has_bypass_with_cin => 0,
c_a_type => 1,
c_has_sclr => 0,
c_sync_priority => 1,
c_has_aset => 0,
c_has_b_out => 0,
c_has_s => 1,
c_has_q => 0,
c_bypass_enable => 0,
c_b_constant => 0,
c_has_ovfl => 0,
c_high_bit => 4,
c_latency => 0,
c_sinit_val => "0",
c_has_bypass => 0,
c_pipe_stages => 1,
c_has_sset => 0,
c_has_ainit => 0,
c_has_a_signed => 0,
c_has_q_c_out => 0,
c_b_type => 1,
c_has_add => 0,
c_has_sinit => 0,
c_has_b_in => 0,
c_has_b_signed => 0,
c_bypass_low => 0,
c_enable_rlocs => 1,
c_b_value => "0",
c_add_mode => 0,
c_has_aclr => 0,
c_out_width => 5,
c_ainit_val => "0000",
c_low_bit => 0,
c_has_q_ovfl => 0,
c_has_q_b_out => 0,
c_has_c_out => 0,
c_b_width => 5,
c_a_width => 5,
c_sync_enable => 0,
c_has_ce => 1,
c_has_c_in => 0);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_adder_subtracter_virtex2p_7_0_7182743c9e7adf5e
port map (
A => A,
B => B,
S => S);
-- synthesis translate_on
END adder_subtracter_virtex2p_7_0_7182743c9e7adf5e_a;
--------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used --
-- solely for design, simulation, implementation and creation of --
-- design files limited to Xilinx devices or technologies. Use --
-- with non-Xilinx devices or technologies is expressly prohibited --
-- and immediately terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" --
-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR --
-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION --
-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION --
-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS --
-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, --
-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE --
-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY --
-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS --
-- FOR A PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support --
-- appliances, devices, or systems. Use in such applications are --
-- expressly prohibited. --
-- --
-- (c) Copyright 1995-2007 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
-- You must compile the wrapper file adder_subtracter_virtex2p_7_0_cf28bbebd75d9ce3.vhd when simulating
-- the core, adder_subtracter_virtex2p_7_0_cf28bbebd75d9ce3. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
Library XilinxCoreLib;
-- synthesis translate_on
ENTITY adder_subtracter_virtex2p_7_0_cf28bbebd75d9ce3 IS
port (
A: IN std_logic_VECTOR(8 downto 0);
B: IN std_logic_VECTOR(8 downto 0);
S: OUT std_logic_VECTOR(8 downto 0));
END adder_subtracter_virtex2p_7_0_cf28bbebd75d9ce3;
ARCHITECTURE adder_subtracter_virtex2p_7_0_cf28bbebd75d9ce3_a OF adder_subtracter_virtex2p_7_0_cf28bbebd75d9ce3 IS
-- synthesis translate_off
component wrapped_adder_subtracter_virtex2p_7_0_cf28bbebd75d9ce3
port (
A: IN std_logic_VECTOR(8 downto 0);
B: IN std_logic_VECTOR(8 downto 0);
S: OUT std_logic_VECTOR(8 downto 0));
end component;
-- Configuration specification
for all : wrapped_adder_subtracter_virtex2p_7_0_cf28bbebd75d9ce3 use entity XilinxCoreLib.C_ADDSUB_V7_0(behavioral)
generic map(
c_has_bypass_with_cin => 0,
c_a_type => 0,
c_has_sclr => 0,
c_sync_priority => 1,
c_has_aset => 0,
c_has_b_out => 0,
c_has_s => 1,
c_has_q => 0,
c_bypass_enable => 0,
c_b_constant => 0,
c_has_ovfl => 0,
c_high_bit => 8,
c_latency => 0,
c_sinit_val => "0",
c_has_bypass => 0,
c_pipe_stages => 1,
c_has_sset => 0,
c_has_ainit => 0,
c_has_a_signed => 0,
c_has_q_c_out => 0,
c_b_type => 0,
c_has_add => 0,
c_has_sinit => 0,
c_has_b_in => 0,
c_has_b_signed => 0,
c_bypass_low => 0,
c_enable_rlocs => 1,
c_b_value => "0",
c_add_mode => 1,
c_has_aclr => 0,
c_out_width => 9,
c_ainit_val => "0000",
c_low_bit => 0,
c_has_q_ovfl => 0,
c_has_q_b_out => 0,
c_has_c_out => 0,
c_b_width => 9,
c_a_width => 9,
c_sync_enable => 0,
c_has_ce => 1,
c_has_c_in => 0);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_adder_subtracter_virtex2p_7_0_cf28bbebd75d9ce3
port map (
A => A,
B => B,
S => S);
-- synthesis translate_on
END adder_subtracter_virtex2p_7_0_cf28bbebd75d9ce3_a;
--------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used --
-- solely for design, simulation, implementation and creation of --
-- design files limited to Xilinx devices or technologies. Use --
-- with non-Xilinx devices or technologies is expressly prohibited --
-- and immediately terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" --
-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR --
-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION --
-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION --
-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS --
-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, --
-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE --
-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY --
-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS --
-- FOR A PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support --
-- appliances, devices, or systems. Use in such applications are --
-- expressly prohibited. --
-- --
-- (c) Copyright 1995-2007 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
-- You must compile the wrapper file binary_counter_virtex2p_7_0_23542cbcca0efa2e.vhd when simulating
-- the core, binary_counter_virtex2p_7_0_23542cbcca0efa2e. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
Library XilinxCoreLib;
-- synthesis translate_on
ENTITY binary_counter_virtex2p_7_0_23542cbcca0efa2e IS
port (
Q: OUT std_logic_VECTOR(3 downto 0);
CLK: IN std_logic;
CE: IN std_logic;
SINIT: IN std_logic);
END binary_counter_virtex2p_7_0_23542cbcca0efa2e;
ARCHITECTURE binary_counter_virtex2p_7_0_23542cbcca0efa2e_a OF binary_counter_virtex2p_7_0_23542cbcca0efa2e IS
-- synthesis translate_off
component wrapped_binary_counter_virtex2p_7_0_23542cbcca0efa2e
port (
Q: OUT std_logic_VECTOR(3 downto 0);
CLK: IN std_logic;
CE: IN std_logic;
SINIT: IN std_logic);
end component;
-- Configuration specification
for all : wrapped_binary_counter_virtex2p_7_0_23542cbcca0efa2e use entity XilinxCoreLib.C_COUNTER_BINARY_V7_0(behavioral)
generic map(
c_count_mode => 0,
c_load_enable => 1,
c_has_aset => 0,
c_load_low => 0,
c_count_to => "1111111111111111",
c_sync_priority => 1,
c_has_iv => 0,
c_restrict_count => 0,
c_has_sclr => 0,
c_width => 4,
c_has_q_thresh1 => 0,
c_enable_rlocs => 0,
c_has_q_thresh0 => 0,
c_thresh1_value => "1111111111111111",
c_has_load => 0,
c_thresh_early => 1,
c_has_up => 0,
c_has_thresh1 => 0,
c_has_thresh0 => 0,
c_ainit_val => "0000",
c_has_ce => 1,
c_pipe_stages => 0,
c_has_aclr => 0,
c_sync_enable => 0,
c_has_ainit => 0,
c_sinit_val => "0000",
c_has_sset => 0,
c_has_sinit => 1,
c_count_by => "0001",
c_has_l => 0,
c_thresh0_value => "1111111111111111");
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_binary_counter_virtex2p_7_0_23542cbcca0efa2e
port map (
Q => Q,
CLK => CLK,
CE => CE,
SINIT => SINIT);
-- synthesis translate_on
END binary_counter_virtex2p_7_0_23542cbcca0efa2e_a;
--------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used --
-- solely for design, simulation, implementation and creation of --
-- design files limited to Xilinx devices or technologies. Use --
-- with non-Xilinx devices or technologies is expressly prohibited --
-- and immediately terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" --
-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR --
-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION --
-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION --
-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS --
-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, --
-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE --
-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY --
-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS --
-- FOR A PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support --
-- appliances, devices, or systems. Use in such applications are --
-- expressly prohibited. --
-- --
-- (c) Copyright 1995-2007 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
-- You must compile the wrapper file binary_counter_virtex2p_7_0_32a1863440903b9d.vhd when simulating
-- the core, binary_counter_virtex2p_7_0_32a1863440903b9d. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
Library XilinxCoreLib;
-- synthesis translate_on
ENTITY binary_counter_virtex2p_7_0_32a1863440903b9d IS
port (
Q: OUT std_logic_VECTOR(14 downto 0);
CLK: IN std_logic;
CE: IN std_logic;
SINIT: IN std_logic);
END binary_counter_virtex2p_7_0_32a1863440903b9d;
ARCHITECTURE binary_counter_virtex2p_7_0_32a1863440903b9d_a OF binary_counter_virtex2p_7_0_32a1863440903b9d IS
-- synthesis translate_off
component wrapped_binary_counter_virtex2p_7_0_32a1863440903b9d
port (
Q: OUT std_logic_VECTOR(14 downto 0);
CLK: IN std_logic;
CE: IN std_logic;
SINIT: IN std_logic);
end component;
-- Configuration specification
for all : wrapped_binary_counter_virtex2p_7_0_32a1863440903b9d use entity XilinxCoreLib.C_COUNTER_BINARY_V7_0(behavioral)
generic map(
c_count_mode => 0,
c_load_enable => 1,
c_has_aset => 0,
c_load_low => 0,
c_count_to => "1111111111111111",
c_sync_priority => 1,
c_has_iv => 0,
c_restrict_count => 0,
c_has_sclr => 0,
c_width => 15,
c_has_q_thresh1 => 0,
c_enable_rlocs => 0,
c_has_q_thresh0 => 0,
c_thresh1_value => "1111111111111111",
c_has_load => 0,
c_thresh_early => 1,
c_has_up => 0,
c_has_thresh1 => 0,
c_has_thresh0 => 0,
c_ainit_val => "0000",
c_has_ce => 1,
c_pipe_stages => 0,
c_has_aclr => 0,
c_sync_enable => 0,
c_has_ainit => 0,
c_sinit_val => "0000",
c_has_sset => 0,
c_has_sinit => 1,
c_count_by => "0001",
c_has_l => 0,
c_thresh0_value => "1111111111111111");
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_binary_counter_virtex2p_7_0_32a1863440903b9d
port map (
Q => Q,
CLK => CLK,
CE => CE,
SINIT => SINIT);
-- synthesis translate_on
END binary_counter_virtex2p_7_0_32a1863440903b9d_a;
--------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used --
-- solely for design, simulation, implementation and creation of --
-- design files limited to Xilinx devices or technologies. Use --
-- with non-Xilinx devices or technologies is expressly prohibited --
-- and immediately terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" --
-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR --
-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION --
-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION --
-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS --
-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, --
-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE --
-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY --
-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS --
-- FOR A PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support --
-- appliances, devices, or systems. Use in such applications are --
-- expressly prohibited. --
-- --
-- (c) Copyright 1995-2007 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
-- You must compile the wrapper file binary_counter_virtex2p_7_0_77cea312f82499f0.vhd when simulating
-- the core, binary_counter_virtex2p_7_0_77cea312f82499f0. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
Library XilinxCoreLib;
-- synthesis translate_on
ENTITY binary_counter_virtex2p_7_0_77cea312f82499f0 IS
port (
Q: OUT std_logic_VECTOR(3 downto 0);
CLK: IN std_logic;
LOAD: IN std_logic;
L: IN std_logic_VECTOR(3 downto 0);
CE: IN std_logic;
SINIT: IN std_logic);
END binary_counter_virtex2p_7_0_77cea312f82499f0;
ARCHITECTURE binary_counter_virtex2p_7_0_77cea312f82499f0_a OF binary_counter_virtex2p_7_0_77cea312f82499f0 IS
-- synthesis translate_off
component wrapped_binary_counter_virtex2p_7_0_77cea312f82499f0
port (
Q: OUT std_logic_VECTOR(3 downto 0);
CLK: IN std_logic;
LOAD: IN std_logic;
L: IN std_logic_VECTOR(3 downto 0);
CE: IN std_logic;
SINIT: IN std_logic);
end component;
-- Configuration specification
for all : wrapped_binary_counter_virtex2p_7_0_77cea312f82499f0 use entity XilinxCoreLib.C_COUNTER_BINARY_V7_0(behavioral)
generic map(
c_count_mode => 0,
c_load_enable => 1,
c_has_aset => 0,
c_load_low => 0,
c_count_to => "1111111111111111",
c_sync_priority => 1,
c_has_iv => 0,
c_restrict_count => 0,
c_has_sclr => 0,
c_width => 4,
c_has_q_thresh1 => 0,
c_enable_rlocs => 0,
c_has_q_thresh0 => 0,
c_thresh1_value => "1111111111111111",
c_has_load => 1,
c_thresh_early => 1,
c_has_up => 0,
c_has_thresh1 => 0,
c_has_thresh0 => 0,
c_ainit_val => "1111",
c_has_ce => 1,
c_pipe_stages => 0,
c_has_aclr => 0,
c_sync_enable => 0,
c_has_ainit => 0,
c_sinit_val => "1111",
c_has_sset => 0,
c_has_sinit => 1,
c_count_by => "0001",
c_has_l => 1,
c_thresh0_value => "1111111111111111");
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_binary_counter_virtex2p_7_0_77cea312f82499f0
port map (
Q => Q,
CLK => CLK,
LOAD => LOAD,
L => L,
CE => CE,
SINIT => SINIT);
-- synthesis translate_on
END binary_counter_virtex2p_7_0_77cea312f82499f0_a;
--------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used --
-- solely for design, simulation, implementation and creation of --
-- design files limited to Xilinx devices or technologies. Use --
-- with non-Xilinx devices or technologies is expressly prohibited --
-- and immediately terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" --
-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR --
-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION --
-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION --
-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS --
-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, --
-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE --
-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY --
-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS --
-- FOR A PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support --
-- appliances, devices, or systems. Use in such applications are --
-- expressly prohibited. --
-- --
-- (c) Copyright 1995-2007 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
-- You must compile the wrapper file binary_counter_virtex2p_7_0_950e4ab582797264.vhd when simulating
-- the core, binary_counter_virtex2p_7_0_950e4ab582797264. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
Library XilinxCoreLib;
-- synthesis translate_on
ENTITY binary_counter_virtex2p_7_0_950e4ab582797264 IS
port (
Q: OUT std_logic_VECTOR(17 downto 0);
CLK: IN std_logic;
CE: IN std_logic;
SINIT: IN std_logic);
END binary_counter_virtex2p_7_0_950e4ab582797264;
ARCHITECTURE binary_counter_virtex2p_7_0_950e4ab582797264_a OF binary_counter_virtex2p_7_0_950e4ab582797264 IS
-- synthesis translate_off
component wrapped_binary_counter_virtex2p_7_0_950e4ab582797264
port (
Q: OUT std_logic_VECTOR(17 downto 0);
CLK: IN std_logic;
CE: IN std_logic;
SINIT: IN std_logic);
end component;
-- Configuration specification
for all : wrapped_binary_counter_virtex2p_7_0_950e4ab582797264 use entity XilinxCoreLib.C_COUNTER_BINARY_V7_0(behavioral)
generic map(
c_count_mode => 0,
c_load_enable => 1,
c_has_aset => 0,
c_load_low => 0,
c_count_to => "1111111111111111",
c_sync_priority => 1,
c_has_iv => 0,
c_restrict_count => 0,
c_has_sclr => 0,
c_width => 18,
c_has_q_thresh1 => 0,
c_enable_rlocs => 0,
c_has_q_thresh0 => 0,
c_thresh1_value => "1111111111111111",
c_has_load => 0,
c_thresh_early => 1,
c_has_up => 0,
c_has_thresh1 => 0,
c_has_thresh0 => 0,
c_ainit_val => "0000",
c_has_ce => 1,
c_pipe_stages => 0,
c_has_aclr => 0,
c_sync_enable => 0,
c_has_ainit => 0,
c_sinit_val => "0000",
c_has_sset => 0,
c_has_sinit => 1,
c_count_by => "0001",
c_has_l => 0,
c_thresh0_value => "1111111111111111");
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_binary_counter_virtex2p_7_0_950e4ab582797264
port map (
Q => Q,
CLK => CLK,
CE => CE,
SINIT => SINIT);
-- synthesis translate_on
END binary_counter_virtex2p_7_0_950e4ab582797264_a;
--------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used --
-- solely for design, simulation, implementation and creation of --
-- design files limited to Xilinx devices or technologies. Use --
-- with non-Xilinx devices or technologies is expressly prohibited --
-- and immediately terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" --
-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR --
-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION --
-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION --
-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS --
-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, --
-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE --
-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY --
-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS --
-- FOR A PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support --
-- appliances, devices, or systems. Use in such applications are --
-- expressly prohibited. --
-- --
-- (c) Copyright 1995-2007 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
-- You must compile the wrapper file binary_counter_virtex2p_7_0_a22528b4c55dc1cd.vhd when simulating
-- the core, binary_counter_virtex2p_7_0_a22528b4c55dc1cd. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
Library XilinxCoreLib;
-- synthesis translate_on
ENTITY binary_counter_virtex2p_7_0_a22528b4c55dc1cd IS
port (
Q: OUT std_logic_VECTOR(13 downto 0);
CLK: IN std_logic;
CE: IN std_logic;
SINIT: IN std_logic);
END binary_counter_virtex2p_7_0_a22528b4c55dc1cd;
ARCHITECTURE binary_counter_virtex2p_7_0_a22528b4c55dc1cd_a OF binary_counter_virtex2p_7_0_a22528b4c55dc1cd IS
-- synthesis translate_off
component wrapped_binary_counter_virtex2p_7_0_a22528b4c55dc1cd
port (
Q: OUT std_logic_VECTOR(13 downto 0);
CLK: IN std_logic;
CE: IN std_logic;
SINIT: IN std_logic);
end component;
-- Configuration specification
for all : wrapped_binary_counter_virtex2p_7_0_a22528b4c55dc1cd use entity XilinxCoreLib.C_COUNTER_BINARY_V7_0(behavioral)
generic map(
c_count_mode => 0,
c_load_enable => 1,
c_has_aset => 0,
c_load_low => 0,
c_count_to => "1111111111111111",
c_sync_priority => 1,
c_has_iv => 0,
c_restrict_count => 0,
c_has_sclr => 0,
c_width => 14,
c_has_q_thresh1 => 0,
c_enable_rlocs => 0,
c_has_q_thresh0 => 0,
c_thresh1_value => "1111111111111111",
c_has_load => 0,
c_thresh_early => 1,
c_has_up => 0,
c_has_thresh1 => 0,
c_has_thresh0 => 0,
c_ainit_val => "11111111111111",
c_has_ce => 1,
c_pipe_stages => 0,
c_has_aclr => 0,
c_sync_enable => 0,
c_has_ainit => 0,
c_sinit_val => "11111111111111",
c_has_sset => 0,
c_has_sinit => 1,
c_count_by => "0001",
c_has_l => 0,
c_thresh0_value => "1111111111111111");
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_binary_counter_virtex2p_7_0_a22528b4c55dc1cd
port map (
Q => Q,
CLK => CLK,
CE => CE,
SINIT => SINIT);
-- synthesis translate_on
END binary_counter_virtex2p_7_0_a22528b4c55dc1cd_a;
--------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used --
-- solely for design, simulation, implementation and creation of --
-- design files limited to Xilinx devices or technologies. Use --
-- with non-Xilinx devices or technologies is expressly prohibited --
-- and immediately terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" --
-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR --
-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION --
-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION --
-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS --
-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, --
-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE --
-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY --
-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS --
-- FOR A PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support --
-- appliances, devices, or systems. Use in such applications are --
-- expressly prohibited. --
-- --
-- (c) Copyright 1995-2007 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
-- You must compile the wrapper file binary_counter_virtex2p_7_0_b0a257f5389d649a.vhd when simulating
-- the core, binary_counter_virtex2p_7_0_b0a257f5389d649a. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
Library XilinxCoreLib;
-- synthesis translate_on
ENTITY binary_counter_virtex2p_7_0_b0a257f5389d649a IS
port (
Q: OUT std_logic_VECTOR(6 downto 0);
CLK: IN std_logic;
CE: IN std_logic;
SINIT: IN std_logic);
END binary_counter_virtex2p_7_0_b0a257f5389d649a;
ARCHITECTURE binary_counter_virtex2p_7_0_b0a257f5389d649a_a OF binary_counter_virtex2p_7_0_b0a257f5389d649a IS
-- synthesis translate_off
component wrapped_binary_counter_virtex2p_7_0_b0a257f5389d649a
port (
Q: OUT std_logic_VECTOR(6 downto 0);
CLK: IN std_logic;
CE: IN std_logic;
SINIT: IN std_logic);
end component;
-- Configuration specification
for all : wrapped_binary_counter_virtex2p_7_0_b0a257f5389d649a use entity XilinxCoreLib.C_COUNTER_BINARY_V7_0(behavioral)
generic map(
c_count_mode => 0,
c_load_enable => 1,
c_has_aset => 0,
c_load_low => 0,
c_count_to => "1111111111111111",
c_sync_priority => 1,
c_has_iv => 0,
c_restrict_count => 0,
c_has_sclr => 0,
c_width => 7,
c_has_q_thresh1 => 0,
c_enable_rlocs => 0,
c_has_q_thresh0 => 0,
c_thresh1_value => "1111111111111111",
c_has_load => 0,
c_thresh_early => 1,
c_has_up => 0,
c_has_thresh1 => 0,
c_has_thresh0 => 0,
c_ainit_val => "1111111",
c_has_ce => 1,
c_pipe_stages => 0,
c_has_aclr => 0,
c_sync_enable => 0,
c_has_ainit => 0,
c_sinit_val => "1111111",
c_has_sset => 0,
c_has_sinit => 1,
c_count_by => "0001",
c_has_l => 0,
c_thresh0_value => "1111111111111111");
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_binary_counter_virtex2p_7_0_b0a257f5389d649a
port map (
Q => Q,
CLK => CLK,
CE => CE,
SINIT => SINIT);
-- synthesis translate_on
END binary_counter_virtex2p_7_0_b0a257f5389d649a_a;
--------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used --
-- solely for design, simulation, implementation and creation of --
-- design files limited to Xilinx devices or technologies. Use --
-- with non-Xilinx devices or technologies is expressly prohibited --
-- and immediately terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" --
-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR --
-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION --
-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION --
-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS --
-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, --
-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE --
-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY --
-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS --
-- FOR A PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support --
-- appliances, devices, or systems. Use in such applications are --
-- expressly prohibited. --
-- --
-- (c) Copyright 1995-2007 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
-- You must compile the wrapper file binary_counter_virtex2p_7_0_b511f9871581ee23.vhd when simulating
-- the core, binary_counter_virtex2p_7_0_b511f9871581ee23. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
Library XilinxCoreLib;
-- synthesis translate_on
ENTITY binary_counter_virtex2p_7_0_b511f9871581ee23 IS
port (
Q: OUT std_logic_VECTOR(2 downto 0);
CLK: IN std_logic;
LOAD: IN std_logic;
L: IN std_logic_VECTOR(2 downto 0);
CE: IN std_logic;
SINIT: IN std_logic);
END binary_counter_virtex2p_7_0_b511f9871581ee23;
ARCHITECTURE binary_counter_virtex2p_7_0_b511f9871581ee23_a OF binary_counter_virtex2p_7_0_b511f9871581ee23 IS
-- synthesis translate_off
component wrapped_binary_counter_virtex2p_7_0_b511f9871581ee23
port (
Q: OUT std_logic_VECTOR(2 downto 0);
CLK: IN std_logic;
LOAD: IN std_logic;
L: IN std_logic_VECTOR(2 downto 0);
CE: IN std_logic;
SINIT: IN std_logic);
end component;
-- Configuration specification
for all : wrapped_binary_counter_virtex2p_7_0_b511f9871581ee23 use entity XilinxCoreLib.C_COUNTER_BINARY_V7_0(behavioral)
generic map(
c_count_mode => 0,
c_load_enable => 1,
c_has_aset => 0,
c_load_low => 0,
c_count_to => "1111111111111111",
c_sync_priority => 1,
c_has_iv => 0,
c_restrict_count => 0,
c_has_sclr => 0,
c_width => 3,
c_has_q_thresh1 => 0,
c_enable_rlocs => 0,
c_has_q_thresh0 => 0,
c_thresh1_value => "1111111111111111",
c_has_load => 1,
c_thresh_early => 1,
c_has_up => 0,
c_has_thresh1 => 0,
c_has_thresh0 => 0,
c_ainit_val => "000",
c_has_ce => 1,
c_pipe_stages => 0,
c_has_aclr => 0,
c_sync_enable => 0,
c_has_ainit => 0,
c_sinit_val => "000",
c_has_sset => 0,
c_has_sinit => 1,
c_count_by => "001",
c_has_l => 1,
c_thresh0_value => "1111111111111111");
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_binary_counter_virtex2p_7_0_b511f9871581ee23
port map (
Q => Q,
CLK => CLK,
LOAD => LOAD,
L => L,
CE => CE,
SINIT => SINIT);
-- synthesis translate_on
END binary_counter_virtex2p_7_0_b511f9871581ee23_a;
--------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used --
-- solely for design, simulation, implementation and creation of --
-- design files limited to Xilinx devices or technologies. Use --
-- with non-Xilinx devices or technologies is expressly prohibited --
-- and immediately terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" --
-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR --
-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION --
-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION --
-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS --
-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, --
-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE --
-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY --
-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS --
-- FOR A PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support --
-- appliances, devices, or systems. Use in such applications are --
-- expressly prohibited. --
-- --
-- (c) Copyright 1995-2007 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
-- You must compile the wrapper file multiplier_virtex2p_10_1_817edd563258bb47.vhd when simulating
-- the core, multiplier_virtex2p_10_1_817edd563258bb47. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
Library XilinxCoreLib;
-- synthesis translate_on
ENTITY multiplier_virtex2p_10_1_817edd563258bb47 IS
port (
clk: IN std_logic;
a: IN std_logic_VECTOR(17 downto 0);
b: IN std_logic_VECTOR(17 downto 0);
ce: IN std_logic;
sclr: IN std_logic;
p: OUT std_logic_VECTOR(35 downto 0));
END multiplier_virtex2p_10_1_817edd563258bb47;
ARCHITECTURE multiplier_virtex2p_10_1_817edd563258bb47_a OF multiplier_virtex2p_10_1_817edd563258bb47 IS
-- synthesis translate_off
component wrapped_multiplier_virtex2p_10_1_817edd563258bb47
port (
clk: IN std_logic;
a: IN std_logic_VECTOR(17 downto 0);
b: IN std_logic_VECTOR(17 downto 0);
ce: IN std_logic;
sclr: IN std_logic;
p: OUT std_logic_VECTOR(35 downto 0));
end component;
-- Configuration specification
for all : wrapped_multiplier_virtex2p_10_1_817edd563258bb47 use entity XilinxCoreLib.mult_gen_v10_1(behavioral)
generic map(
c_a_width => 18,
c_b_type => 1,
c_ce_overrides_sclr => 1,
c_has_sclr => 1,
c_round_pt => 0,
c_model_type => 0,
c_out_high => 35,
c_verbosity => 0,
c_mult_type => 1,
c_ccm_imp => 0,
c_latency => 1,
c_has_ce => 1,
c_has_zero_detect => 0,
c_round_output => 0,
c_optimize_goal => 1,
c_xdevicefamily => "virtex2p",
c_a_type => 1,
c_out_low => 0,
c_b_width => 18,
c_b_value => "10000001");
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_multiplier_virtex2p_10_1_817edd563258bb47
port map (
clk => clk,
a => a,
b => b,
ce => ce,
sclr => sclr,
p => p);
-- synthesis translate_on
END multiplier_virtex2p_10_1_817edd563258bb47_a;
-------------------------------------------------------------------
-- System Generator version 10.1.2 VHDL source file.
--
-- Copyright(C) 2008 by Xilinx, Inc. All rights reserved. This
-- text/file contains proprietary, confidential information of Xilinx,
-- Inc., is distributed under license from Xilinx, Inc., and may be used,
-- copied and/or disclosed only pursuant to the terms of a valid license
-- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use
-- this text/file solely for design, simulation, implementation and
-- creation of design files limited to Xilinx devices or technologies.
-- Use with non-Xilinx devices or technologies is expressly prohibited
-- and immediately terminates your license unless covered by a separate
-- agreement.
--
-- Xilinx is providing this design, code, or information "as is" solely
-- for use in developing programs and solutions for Xilinx devices. By
-- providing this design, code, or information as one possible
-- implementation of this feature, application or standard, Xilinx is
-- making no representation that this implementation is free from any
-- claims of infringement. You are responsible for obtaining any rights
-- you may require for your implementation. Xilinx expressly disclaims
-- any warranty whatsoever with respect to the adequacy of the
-- implementation, including but not limited to warranties of
-- merchantability or fitness for a particular purpose.
--
-- Xilinx products are not intended for use in life support appliances,
-- devices, or systems. Use in such applications is expressly prohibited.
--
-- Any modifications that are made to the source code are done at the user's
-- sole risk and will be unsupported.
--
-- This copyright and support notice must be retained as part of this
-- text at all times. (c) Copyright 1995-2008 Xilinx, Inc. All rights
-- reserved.
-------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
package conv_pkg is
constant simulating : boolean := false
-- synopsys translate_off
or true
-- synopsys translate_on
;
constant xlUnsigned : integer := 1;
constant xlSigned : integer := 2;
constant xlWrap : integer := 1;
constant xlSaturate : integer := 2;
constant xlTruncate : integer := 1;
constant xlRound : integer := 2;
constant xlRoundBanker : integer := 3;
constant xlAddMode : integer := 1;
constant xlSubMode : integer := 2;
attribute black_box : boolean;
attribute syn_black_box : boolean;
attribute fpga_dont_touch: string;
attribute box_type : string;
attribute keep : string;
attribute syn_keep : boolean;
function std_logic_vector_to_unsigned(inp : std_logic_vector) return unsigned;
function unsigned_to_std_logic_vector(inp : unsigned) return std_logic_vector;
function std_logic_vector_to_signed(inp : std_logic_vector) return signed;
function signed_to_std_logic_vector(inp : signed) return std_logic_vector;
function unsigned_to_signed(inp : unsigned) return signed;
function signed_to_unsigned(inp : signed) return unsigned;
function pos(inp : std_logic_vector; arith : INTEGER) return boolean;
function all_same(inp: std_logic_vector) return boolean;
function all_zeros(inp: std_logic_vector) return boolean;
function is_point_five(inp: std_logic_vector) return boolean;
function all_ones(inp: std_logic_vector) return boolean;
function convert_type (inp : std_logic_vector; old_width, old_bin_pt,
old_arith, new_width, new_bin_pt, new_arith,
quantization, overflow : INTEGER)
return std_logic_vector;
function cast (inp : std_logic_vector; old_bin_pt,
new_width, new_bin_pt, new_arith : INTEGER)
return std_logic_vector;
function vec_slice (inp : std_logic_vector; upper, lower : INTEGER)
return std_logic_vector;
function s2u_slice (inp : signed; upper, lower : INTEGER)
return unsigned;
function u2u_slice (inp : unsigned; upper, lower : INTEGER)
return unsigned;
function s2s_cast (inp : signed; old_bin_pt,
new_width, new_bin_pt : INTEGER)
return signed;
function u2s_cast (inp : unsigned; old_bin_pt,
new_width, new_bin_pt : INTEGER)
return signed;
function s2u_cast (inp : signed; old_bin_pt,
new_width, new_bin_pt : INTEGER)
return unsigned;
function u2u_cast (inp : unsigned; old_bin_pt,
new_width, new_bin_pt : INTEGER)
return unsigned;
function u2v_cast (inp : unsigned; old_bin_pt,
new_width, new_bin_pt : INTEGER)
return std_logic_vector;
function s2v_cast (inp : signed; old_bin_pt,
new_width, new_bin_pt : INTEGER)
return std_logic_vector;
function trunc (inp : std_logic_vector; old_width, old_bin_pt, old_arith,
new_width, new_bin_pt, new_arith : INTEGER)
return std_logic_vector;
function round_towards_inf (inp : std_logic_vector; old_width, old_bin_pt,
old_arith, new_width, new_bin_pt,
new_arith : INTEGER) return std_logic_vector;
function round_towards_even (inp : std_logic_vector; old_width, old_bin_pt,
old_arith, new_width, new_bin_pt,
new_arith : INTEGER) return std_logic_vector;
function max_signed(width : INTEGER) return std_logic_vector;
function min_signed(width : INTEGER) return std_logic_vector;
function saturation_arith(inp: std_logic_vector; old_width, old_bin_pt,
old_arith, new_width, new_bin_pt, new_arith
: INTEGER) return std_logic_vector;
function wrap_arith(inp: std_logic_vector; old_width, old_bin_pt,
old_arith, new_width, new_bin_pt, new_arith : INTEGER)
return std_logic_vector;
function fractional_bits(a_bin_pt, b_bin_pt: INTEGER) return INTEGER;
function integer_bits(a_width, a_bin_pt, b_width, b_bin_pt: INTEGER)
return INTEGER;
function sign_ext(inp : std_logic_vector; new_width : INTEGER)
return std_logic_vector;
function zero_ext(inp : std_logic_vector; new_width : INTEGER)
return std_logic_vector;
function zero_ext(inp : std_logic; new_width : INTEGER)
return std_logic_vector;
function extend_MSB(inp : std_logic_vector; new_width, arith : INTEGER)
return std_logic_vector;
function align_input(inp : std_logic_vector; old_width, delta, new_arith,
new_width: INTEGER)
return std_logic_vector;
function pad_LSB(inp : std_logic_vector; new_width: integer)
return std_logic_vector;
function pad_LSB(inp : std_logic_vector; new_width, arith : integer)
return std_logic_vector;
function max(L, R: INTEGER) return INTEGER;
function min(L, R: INTEGER) return INTEGER;
function "="(left,right: STRING) return boolean;
function boolean_to_signed (inp : boolean; width: integer)
return signed;
function boolean_to_unsigned (inp : boolean; width: integer)
return unsigned;
function boolean_to_vector (inp : boolean)
return std_logic_vector;
function std_logic_to_vector (inp : std_logic)
return std_logic_vector;
function integer_to_std_logic_vector (inp : integer; width, arith : integer)
return std_logic_vector;
function std_logic_vector_to_integer (inp : std_logic_vector; arith : integer)
return integer;
function std_logic_to_integer(constant inp : std_logic := '0')
return integer;
function bin_string_element_to_std_logic_vector (inp : string; width, index : integer)
return std_logic_vector;
function bin_string_to_std_logic_vector (inp : string)
return std_logic_vector;
function hex_string_to_std_logic_vector (inp : string; width : integer)
return std_logic_vector;
function makeZeroBinStr (width : integer) return STRING;
function and_reduce(inp: std_logic_vector) return std_logic;
-- synopsys translate_off
function is_binary_string_invalid (inp : string)
return boolean;
function is_binary_string_undefined (inp : string)
return boolean;
function is_XorU(inp : std_logic_vector)
return boolean;
function to_real(inp : std_logic_vector; bin_pt : integer; arith : integer)
return real;
function std_logic_to_real(inp : std_logic; bin_pt : integer; arith : integer)
return real;
function real_to_std_logic_vector (inp : real; width, bin_pt, arith : integer)
return std_logic_vector;
function real_string_to_std_logic_vector (inp : string; width, bin_pt, arith : integer)
return std_logic_vector;
constant display_precision : integer := 20;
function real_to_string (inp : real) return string;
function valid_bin_string(inp : string) return boolean;
function std_logic_vector_to_bin_string(inp : std_logic_vector) return string;
function std_logic_to_bin_string(inp : std_logic) return string;
function std_logic_vector_to_bin_string_w_point(inp : std_logic_vector; bin_pt : integer)
return string;
function real_to_bin_string(inp : real; width, bin_pt, arith : integer)
return string;
type stdlogic_to_char_t is array(std_logic) of character;
constant to_char : stdlogic_to_char_t := (
'U' => 'U',
'X' => 'X',
'0' => '0',
'1' => '1',
'Z' => 'Z',
'W' => 'W',
'L' => 'L',
'H' => 'H',
'-' => '-');
-- synopsys translate_on
end conv_pkg;
package body conv_pkg is
function std_logic_vector_to_unsigned(inp : std_logic_vector)
return unsigned
is
begin
return unsigned (inp);
end;
function unsigned_to_std_logic_vector(inp : unsigned)
return std_logic_vector
is
begin
return std_logic_vector(inp);
end;
function std_logic_vector_to_signed(inp : std_logic_vector)
return signed
is
begin
return signed (inp);
end;
function signed_to_std_logic_vector(inp : signed)
return std_logic_vector
is
begin
return std_logic_vector(inp);
end;
function unsigned_to_signed (inp : unsigned)
return signed
is
begin
return signed(std_logic_vector(inp));
end;
function signed_to_unsigned (inp : signed)
return unsigned
is
begin
return unsigned(std_logic_vector(inp));
end;
function pos(inp : std_logic_vector; arith : INTEGER)
return boolean
is
constant width : integer := inp'length;
variable vec : std_logic_vector(width-1 downto 0);
begin
vec := inp;
if arith = xlUnsigned then
return true;
else
if vec(width-1) = '0' then
return true;
else
return false;
end if;
end if;
return true;
end;
function max_signed(width : INTEGER)
return std_logic_vector
is
variable ones : std_logic_vector(width-2 downto 0);
variable result : std_logic_vector(width-1 downto 0);
begin
ones := (others => '1');
result(width-1) := '0';
result(width-2 downto 0) := ones;
return result;
end;
function min_signed(width : INTEGER)
return std_logic_vector
is
variable zeros : std_logic_vector(width-2 downto 0);
variable result : std_logic_vector(width-1 downto 0);
begin
zeros := (others => '0');
result(width-1) := '1';
result(width-2 downto 0) := zeros;
return result;
end;
function and_reduce(inp: std_logic_vector) return std_logic
is
variable result: std_logic;
constant width : integer := inp'length;
variable vec : std_logic_vector(width-1 downto 0);
begin
vec := inp;
result := vec(0);
if width > 1 then
for i in 1 to width-1 loop
result := result and vec(i);
end loop;
end if;
return result;
end;
function all_same(inp: std_logic_vector) return boolean
is
variable result: boolean;
constant width : integer := inp'length;
variable vec : std_logic_vector(width-1 downto 0);
begin
vec := inp;
result := true;
if width > 0 then
for i in 1 to width-1 loop
if vec(i) /= vec(0) then
result := false;
end if;
end loop;
end if;
return result;
end;
function all_zeros(inp: std_logic_vector)
return boolean
is
constant width : integer := inp'length;
variable vec : std_logic_vector(width-1 downto 0);
variable zero : std_logic_vector(width-1 downto 0);
variable result : boolean;
begin
zero := (others => '0');
vec := inp;
-- synopsys translate_off
if (is_XorU(vec)) then
return false;
end if;
-- synopsys translate_on
if (std_logic_vector_to_unsigned(vec) = std_logic_vector_to_unsigned(zero)) then
result := true;
else
result := false;
end if;
return result;
end;
function is_point_five(inp: std_logic_vector)
return boolean
is
constant width : integer := inp'length;
variable vec : std_logic_vector(width-1 downto 0);
variable result : boolean;
begin
vec := inp;
-- synopsys translate_off
if (is_XorU(vec)) then
return false;
end if;
-- synopsys translate_on
if (width > 1) then
if ((vec(width-1) = '1') and (all_zeros(vec(width-2 downto 0)) = true)) then
result := true;
else
result := false;
end if;
else
if (vec(width-1) = '1') then
result := true;
else
result := false;
end if;
end if;
return result;
end;
function all_ones(inp: std_logic_vector)
return boolean
is
constant width : integer := inp'length;
variable vec : std_logic_vector(width-1 downto 0);
variable one : std_logic_vector(width-1 downto 0);
variable result : boolean;
begin
one := (others => '1');
vec := inp;
-- synopsys translate_off
if (is_XorU(vec)) then
return false;
end if;
-- synopsys translate_on
if (std_logic_vector_to_unsigned(vec) = std_logic_vector_to_unsigned(one)) then
result := true;
else
result := false;
end if;
return result;
end;
function full_precision_num_width(quantization, overflow, old_width,
old_bin_pt, old_arith,
new_width, new_bin_pt, new_arith : INTEGER)
return integer
is
variable result : integer;
begin
result := old_width + 2;
return result;
end;
function quantized_num_width(quantization, overflow, old_width, old_bin_pt,
old_arith, new_width, new_bin_pt, new_arith
: INTEGER)
return integer
is
variable right_of_dp, left_of_dp, result : integer;
begin
right_of_dp := max(new_bin_pt, old_bin_pt);
left_of_dp := max((new_width - new_bin_pt), (old_width - old_bin_pt));
result := (old_width + 2) + (new_bin_pt - old_bin_pt);
return result;
end;
function convert_type (inp : std_logic_vector; old_width, old_bin_pt,
old_arith, new_width, new_bin_pt, new_arith,
quantization, overflow : INTEGER)
return std_logic_vector
is
constant fp_width : integer :=
full_precision_num_width(quantization, overflow, old_width,
old_bin_pt, old_arith, new_width,
new_bin_pt, new_arith);
constant fp_bin_pt : integer := old_bin_pt;
constant fp_arith : integer := old_arith;
variable full_precision_result : std_logic_vector(fp_width-1 downto 0);
constant q_width : integer :=
quantized_num_width(quantization, overflow, old_width, old_bin_pt,
old_arith, new_width, new_bin_pt, new_arith);
constant q_bin_pt : integer := new_bin_pt;
constant q_arith : integer := old_arith;
variable quantized_result : std_logic_vector(q_width-1 downto 0);
variable result : std_logic_vector(new_width-1 downto 0);
begin
result := (others => '0');
full_precision_result := cast(inp, old_bin_pt, fp_width, fp_bin_pt,
fp_arith);
if (quantization = xlRound) then
quantized_result := round_towards_inf(full_precision_result,
fp_width, fp_bin_pt,
fp_arith, q_width, q_bin_pt,
q_arith);
elsif (quantization = xlRoundBanker) then
quantized_result := round_towards_even(full_precision_result,
fp_width, fp_bin_pt,
fp_arith, q_width, q_bin_pt,
q_arith);
else
quantized_result := trunc(full_precision_result, fp_width, fp_bin_pt,
fp_arith, q_width, q_bin_pt, q_arith);
end if;
if (overflow = xlSaturate) then
result := saturation_arith(quantized_result, q_width, q_bin_pt,
q_arith, new_width, new_bin_pt, new_arith);
else
result := wrap_arith(quantized_result, q_width, q_bin_pt, q_arith,
new_width, new_bin_pt, new_arith);
end if;
return result;
end;
function cast (inp : std_logic_vector; old_bin_pt, new_width,
new_bin_pt, new_arith : INTEGER)
return std_logic_vector
is
constant old_width : integer := inp'length;
constant left_of_dp : integer := (new_width - new_bin_pt)
- (old_width - old_bin_pt);
constant right_of_dp : integer := (new_bin_pt - old_bin_pt);
variable vec : std_logic_vector(old_width-1 downto 0);
variable result : std_logic_vector(new_width-1 downto 0);
variable j : integer;
begin
vec := inp;
for i in new_width-1 downto 0 loop
j := i - right_of_dp;
if ( j > old_width-1) then
if (new_arith = xlUnsigned) then
result(i) := '0';
else
result(i) := vec(old_width-1);
end if;
elsif ( j >= 0) then
result(i) := vec(j);
else
result(i) := '0';
end if;
end loop;
return result;
end;
function vec_slice (inp : std_logic_vector; upper, lower : INTEGER)
return std_logic_vector
is
begin
return inp(upper downto lower);
end;
function s2u_slice (inp : signed; upper, lower : INTEGER)
return unsigned
is
begin
return unsigned(vec_slice(std_logic_vector(inp), upper, lower));
end;
function u2u_slice (inp : unsigned; upper, lower : INTEGER)
return unsigned
is
begin
return unsigned(vec_slice(std_logic_vector(inp), upper, lower));
end;
function s2s_cast (inp : signed; old_bin_pt, new_width, new_bin_pt : INTEGER)
return signed
is
begin
return signed(cast(std_logic_vector(inp), old_bin_pt, new_width, new_bin_pt, xlSigned));
end;
function s2u_cast (inp : signed; old_bin_pt, new_width,
new_bin_pt : INTEGER)
return unsigned
is
begin
return unsigned(cast(std_logic_vector(inp), old_bin_pt, new_width, new_bin_pt, xlSigned));
end;
function u2s_cast (inp : unsigned; old_bin_pt, new_width,
new_bin_pt : INTEGER)
return signed
is
begin
return signed(cast(std_logic_vector(inp), old_bin_pt, new_width, new_bin_pt, xlUnsigned));
end;
function u2u_cast (inp : unsigned; old_bin_pt, new_width,
new_bin_pt : INTEGER)
return unsigned
is
begin
return unsigned(cast(std_logic_vector(inp), old_bin_pt, new_width, new_bin_pt, xlUnsigned));
end;
function u2v_cast (inp : unsigned; old_bin_pt, new_width,
new_bin_pt : INTEGER)
return std_logic_vector
is
begin
return cast(std_logic_vector(inp), old_bin_pt, new_width, new_bin_pt, xlUnsigned);
end;
function s2v_cast (inp : signed; old_bin_pt, new_width,
new_bin_pt : INTEGER)
return std_logic_vector
is
begin
return cast(std_logic_vector(inp), old_bin_pt, new_width, new_bin_pt, xlSigned);
end;
function boolean_to_signed (inp : boolean; width : integer)
return signed
is
variable result : signed(width - 1 downto 0);
begin
result := (others => '0');
if inp then
result(0) := '1';
else
result(0) := '0';
end if;
return result;
end;
function boolean_to_unsigned (inp : boolean; width : integer)
return unsigned
is
variable result : unsigned(width - 1 downto 0);
begin
result := (others => '0');
if inp then
result(0) := '1';
else
result(0) := '0';
end if;
return result;
end;
function boolean_to_vector (inp : boolean)
return std_logic_vector
is
variable result : std_logic_vector(1 - 1 downto 0);
begin
result := (others => '0');
if inp then
result(0) := '1';
else
result(0) := '0';
end if;
return result;
end;
function std_logic_to_vector (inp : std_logic)
return std_logic_vector
is
variable result : std_logic_vector(1 - 1 downto 0);
begin
result(0) := inp;
return result;
end;
function trunc (inp : std_logic_vector; old_width, old_bin_pt, old_arith,
new_width, new_bin_pt, new_arith : INTEGER)
return std_logic_vector
is
constant right_of_dp : integer := (old_bin_pt - new_bin_pt);
variable vec : std_logic_vector(old_width-1 downto 0);
variable result : std_logic_vector(new_width-1 downto 0);
begin
vec := inp;
if right_of_dp >= 0 then
if new_arith = xlUnsigned then
result := zero_ext(vec(old_width-1 downto right_of_dp), new_width);
else
result := sign_ext(vec(old_width-1 downto right_of_dp), new_width);
end if;
else
if new_arith = xlUnsigned then
result := zero_ext(pad_LSB(vec, old_width +
abs(right_of_dp)), new_width);
else
result := sign_ext(pad_LSB(vec, old_width +
abs(right_of_dp)), new_width);
end if;
end if;
return result;
end;
function round_towards_inf (inp : std_logic_vector; old_width, old_bin_pt,
old_arith, new_width, new_bin_pt, new_arith
: INTEGER)
return std_logic_vector
is
constant right_of_dp : integer := (old_bin_pt - new_bin_pt);
constant expected_new_width : integer := old_width - right_of_dp + 1;
variable vec : std_logic_vector(old_width-1 downto 0);
variable one_or_zero : std_logic_vector(new_width-1 downto 0);
variable truncated_val : std_logic_vector(new_width-1 downto 0);
variable result : std_logic_vector(new_width-1 downto 0);
begin
vec := inp;
if right_of_dp >= 0 then
if new_arith = xlUnsigned then
truncated_val := zero_ext(vec(old_width-1 downto right_of_dp),
new_width);
else
truncated_val := sign_ext(vec(old_width-1 downto right_of_dp),
new_width);
end if;
else
if new_arith = xlUnsigned then
truncated_val := zero_ext(pad_LSB(vec, old_width +
abs(right_of_dp)), new_width);
else
truncated_val := sign_ext(pad_LSB(vec, old_width +
abs(right_of_dp)), new_width);
end if;
end if;
one_or_zero := (others => '0');
if (new_arith = xlSigned) then
if (vec(old_width-1) = '0') then
one_or_zero(0) := '1';
end if;
if (right_of_dp >= 2) and (right_of_dp <= old_width) then
if (all_zeros(vec(right_of_dp-2 downto 0)) = false) then
one_or_zero(0) := '1';
end if;
end if;
if (right_of_dp >= 1) and (right_of_dp <= old_width) then
if vec(right_of_dp-1) = '0' then
one_or_zero(0) := '0';
end if;
else
one_or_zero(0) := '0';
end if;
else
if (right_of_dp >= 1) and (right_of_dp <= old_width) then
one_or_zero(0) := vec(right_of_dp-1);
end if;
end if;
if new_arith = xlSigned then
result := signed_to_std_logic_vector(std_logic_vector_to_signed(truncated_val) +
std_logic_vector_to_signed(one_or_zero));
else
result := unsigned_to_std_logic_vector(std_logic_vector_to_unsigned(truncated_val) +
std_logic_vector_to_unsigned(one_or_zero));
end if;
return result;
end;
function round_towards_even (inp : std_logic_vector; old_width, old_bin_pt,
old_arith, new_width, new_bin_pt, new_arith
: INTEGER)
return std_logic_vector
is
constant right_of_dp : integer := (old_bin_pt - new_bin_pt);
constant expected_new_width : integer := old_width - right_of_dp + 1;
variable vec : std_logic_vector(old_width-1 downto 0);
variable one_or_zero : std_logic_vector(new_width-1 downto 0);
variable truncated_val : std_logic_vector(new_width-1 downto 0);
variable result : std_logic_vector(new_width-1 downto 0);
begin
vec := inp;
if right_of_dp >= 0 then
if new_arith = xlUnsigned then
truncated_val := zero_ext(vec(old_width-1 downto right_of_dp),
new_width);
else
truncated_val := sign_ext(vec(old_width-1 downto right_of_dp),
new_width);
end if;
else
if new_arith = xlUnsigned then
truncated_val := zero_ext(pad_LSB(vec, old_width +
abs(right_of_dp)), new_width);
else
truncated_val := sign_ext(pad_LSB(vec, old_width +
abs(right_of_dp)), new_width);
end if;
end if;
one_or_zero := (others => '0');
if (right_of_dp >= 1) and (right_of_dp <= old_width) then
if (is_point_five(vec(right_of_dp-1 downto 0)) = false) then
one_or_zero(0) := vec(right_of_dp-1);
else
one_or_zero(0) := vec(right_of_dp);
end if;
end if;
if new_arith = xlSigned then
result := signed_to_std_logic_vector(std_logic_vector_to_signed(truncated_val) +
std_logic_vector_to_signed(one_or_zero));
else
result := unsigned_to_std_logic_vector(std_logic_vector_to_unsigned(truncated_val) +
std_logic_vector_to_unsigned(one_or_zero));
end if;
return result;
end;
function saturation_arith(inp: std_logic_vector; old_width, old_bin_pt,
old_arith, new_width, new_bin_pt, new_arith
: INTEGER)
return std_logic_vector
is
constant left_of_dp : integer := (old_width - old_bin_pt) -
(new_width - new_bin_pt);
variable vec : std_logic_vector(old_width-1 downto 0);
variable result : std_logic_vector(new_width-1 downto 0);
variable overflow : boolean;
begin
vec := inp;
overflow := true;
result := (others => '0');
if (new_width >= old_width) then
overflow := false;
end if;
if ((old_arith = xlSigned and new_arith = xlSigned) and (old_width > new_width)) then
if all_same(vec(old_width-1 downto new_width-1)) then
overflow := false;
end if;
end if;
if (old_arith = xlSigned and new_arith = xlUnsigned) then
if (old_width > new_width) then
if all_zeros(vec(old_width-1 downto new_width)) then
overflow := false;
end if;
else
if (old_width = new_width) then
if (vec(new_width-1) = '0') then
overflow := false;
end if;
end if;
end if;
end if;
if (old_arith = xlUnsigned and new_arith = xlUnsigned) then
if (old_width > new_width) then
if all_zeros(vec(old_width-1 downto new_width)) then
overflow := false;
end if;
else
if (old_width = new_width) then
overflow := false;
end if;
end if;
end if;
if ((old_arith = xlUnsigned and new_arith = xlSigned) and (old_width > new_width)) then
if all_same(vec(old_width-1 downto new_width-1)) then
overflow := false;
end if;
end if;
if overflow then
if new_arith = xlSigned then
if vec(old_width-1) = '0' then
result := max_signed(new_width);
else
result := min_signed(new_width);
end if;
else
if ((old_arith = xlSigned) and vec(old_width-1) = '1') then
result := (others => '0');
else
result := (others => '1');
end if;
end if;
else
if (old_arith = xlSigned) and (new_arith = xlUnsigned) then
if (vec(old_width-1) = '1') then
vec := (others => '0');
end if;
end if;
if new_width <= old_width then
result := vec(new_width-1 downto 0);
else
if new_arith = xlUnsigned then
result := zero_ext(vec, new_width);
else
result := sign_ext(vec, new_width);
end if;
end if;
end if;
return result;
end;
function wrap_arith(inp: std_logic_vector; old_width, old_bin_pt,
old_arith, new_width, new_bin_pt, new_arith : INTEGER)
return std_logic_vector
is
variable result : std_logic_vector(new_width-1 downto 0);
variable result_arith : integer;
begin
if (old_arith = xlSigned) and (new_arith = xlUnsigned) then
result_arith := xlSigned;
end if;
result := cast(inp, old_bin_pt, new_width, new_bin_pt, result_arith);
return result;
end;
function fractional_bits(a_bin_pt, b_bin_pt: INTEGER) return INTEGER is
begin
return max(a_bin_pt, b_bin_pt);
end;
function integer_bits(a_width, a_bin_pt, b_width, b_bin_pt: INTEGER)
return INTEGER is
begin
return max(a_width - a_bin_pt, b_width - b_bin_pt);
end;
function pad_LSB(inp : std_logic_vector; new_width: integer)
return STD_LOGIC_VECTOR
is
constant orig_width : integer := inp'length;
variable vec : std_logic_vector(orig_width-1 downto 0);
variable result : std_logic_vector(new_width-1 downto 0);
variable pos : integer;
constant pad_pos : integer := new_width - orig_width - 1;
begin
vec := inp;
pos := new_width-1;
if (new_width >= orig_width) then
for i in orig_width-1 downto 0 loop
result(pos) := vec(i);
pos := pos - 1;
end loop;
if pad_pos >= 0 then
for i in pad_pos downto 0 loop
result(i) := '0';
end loop;
end if;
end if;
return result;
end;
function sign_ext(inp : std_logic_vector; new_width : INTEGER)
return std_logic_vector
is
constant old_width : integer := inp'length;
variable vec : std_logic_vector(old_width-1 downto 0);
variable result : std_logic_vector(new_width-1 downto 0);
begin
vec := inp;
if new_width >= old_width then
result(old_width-1 downto 0) := vec;
if new_width-1 >= old_width then
for i in new_width-1 downto old_width loop
result(i) := vec(old_width-1);
end loop;
end if;
else
result(new_width-1 downto 0) := vec(new_width-1 downto 0);
end if;
return result;
end;
function zero_ext(inp : std_logic_vector; new_width : INTEGER)
return std_logic_vector
is
constant old_width : integer := inp'length;
variable vec : std_logic_vector(old_width-1 downto 0);
variable result : std_logic_vector(new_width-1 downto 0);
begin
vec := inp;
if new_width >= old_width then
result(old_width-1 downto 0) := vec;
if new_width-1 >= old_width then
for i in new_width-1 downto old_width loop
result(i) := '0';
end loop;
end if;
else
result(new_width-1 downto 0) := vec(new_width-1 downto 0);
end if;
return result;
end;
function zero_ext(inp : std_logic; new_width : INTEGER)
return std_logic_vector
is
variable result : std_logic_vector(new_width-1 downto 0);
begin
result(0) := inp;
for i in new_width-1 downto 1 loop
result(i) := '0';
end loop;
return result;
end;
function extend_MSB(inp : std_logic_vector; new_width, arith : INTEGER)
return std_logic_vector
is
constant orig_width : integer := inp'length;
variable vec : std_logic_vector(orig_width-1 downto 0);
variable result : std_logic_vector(new_width-1 downto 0);
begin
vec := inp;
if arith = xlUnsigned then
result := zero_ext(vec, new_width);
else
result := sign_ext(vec, new_width);
end if;
return result;
end;
function pad_LSB(inp : std_logic_vector; new_width, arith: integer)
return STD_LOGIC_VECTOR
is
constant orig_width : integer := inp'length;
variable vec : std_logic_vector(orig_width-1 downto 0);
variable result : std_logic_vector(new_width-1 downto 0);
variable pos : integer;
begin
vec := inp;
pos := new_width-1;
if (arith = xlUnsigned) then
result(pos) := '0';
pos := pos - 1;
else
result(pos) := vec(orig_width-1);
pos := pos - 1;
end if;
if (new_width >= orig_width) then
for i in orig_width-1 downto 0 loop
result(pos) := vec(i);
pos := pos - 1;
end loop;
if pos >= 0 then
for i in pos downto 0 loop
result(i) := '0';
end loop;
end if;
end if;
return result;
end;
function align_input(inp : std_logic_vector; old_width, delta, new_arith,
new_width: INTEGER)
return std_logic_vector
is
variable vec : std_logic_vector(old_width-1 downto 0);
variable padded_inp : std_logic_vector((old_width + delta)-1 downto 0);
variable result : std_logic_vector(new_width-1 downto 0);
begin
vec := inp;
if delta > 0 then
padded_inp := pad_LSB(vec, old_width+delta);
result := extend_MSB(padded_inp, new_width, new_arith);
else
result := extend_MSB(vec, new_width, new_arith);
end if;
return result;
end;
function max(L, R: INTEGER) return INTEGER is
begin
if L > R then
return L;
else
return R;
end if;
end;
function min(L, R: INTEGER) return INTEGER is
begin
if L < R then
return L;
else
return R;
end if;
end;
function "="(left,right: STRING) return boolean is
begin
if (left'length /= right'length) then
return false;
else
test : for i in 1 to left'length loop
if left(i) /= right(i) then
return false;
end if;
end loop test;
return true;
end if;
end;
-- synopsys translate_off
function is_binary_string_invalid (inp : string)
return boolean
is
variable vec : string(1 to inp'length);
variable result : boolean;
begin
vec := inp;
result := false;
for i in 1 to vec'length loop
if ( vec(i) = 'X' ) then
result := true;
end if;
end loop;
return result;
end;
function is_binary_string_undefined (inp : string)
return boolean
is
variable vec : string(1 to inp'length);
variable result : boolean;
begin
vec := inp;
result := false;
for i in 1 to vec'length loop
if ( vec(i) = 'U' ) then
result := true;
end if;
end loop;
return result;
end;
function is_XorU(inp : std_logic_vector)
return boolean
is
constant width : integer := inp'length;
variable vec : std_logic_vector(width-1 downto 0);
variable result : boolean;
begin
vec := inp;
result := false;
for i in 0 to width-1 loop
if (vec(i) = 'U') or (vec(i) = 'X') then
result := true;
end if;
end loop;
return result;
end;
function to_real(inp : std_logic_vector; bin_pt : integer; arith : integer)
return real
is
variable vec : std_logic_vector(inp'length-1 downto 0);
variable result, shift_val, undefined_real : real;
variable neg_num : boolean;
begin
vec := inp;
result := 0.0;
neg_num := false;
if vec(inp'length-1) = '1' then
neg_num := true;
end if;
for i in 0 to inp'length-1 loop
if vec(i) = 'U' or vec(i) = 'X' then
return undefined_real;
end if;
if arith = xlSigned then
if neg_num then
if vec(i) = '0' then
result := result + 2.0**i;
end if;
else
if vec(i) = '1' then
result := result + 2.0**i;
end if;
end if;
else
if vec(i) = '1' then
result := result + 2.0**i;
end if;
end if;
end loop;
if arith = xlSigned then
if neg_num then
result := result + 1.0;
result := result * (-1.0);
end if;
end if;
shift_val := 2.0**(-1*bin_pt);
result := result * shift_val;
return result;
end;
function std_logic_to_real(inp : std_logic; bin_pt : integer; arith : integer)
return real
is
variable result : real := 0.0;
begin
if inp = '1' then
result := 1.0;
end if;
if arith = xlSigned then
assert false
report "It doesn't make sense to convert a 1 bit number to a signed real.";
end if;
return result;
end;
-- synopsys translate_on
function integer_to_std_logic_vector (inp : integer; width, arith : integer)
return std_logic_vector
is
variable result : std_logic_vector(width-1 downto 0);
variable unsigned_val : unsigned(width-1 downto 0);
variable signed_val : signed(width-1 downto 0);
begin
if (arith = xlSigned) then
signed_val := to_signed(inp, width);
result := signed_to_std_logic_vector(signed_val);
else
unsigned_val := to_unsigned(inp, width);
result := unsigned_to_std_logic_vector(unsigned_val);
end if;
return result;
end;
function std_logic_vector_to_integer (inp : std_logic_vector; arith : integer)
return integer
is
constant width : integer := inp'length;
variable unsigned_val : unsigned(width-1 downto 0);
variable signed_val : signed(width-1 downto 0);
variable result : integer;
begin
if (arith = xlSigned) then
signed_val := std_logic_vector_to_signed(inp);
result := to_integer(signed_val);
else
unsigned_val := std_logic_vector_to_unsigned(inp);
result := to_integer(unsigned_val);
end if;
return result;
end;
function std_logic_to_integer(constant inp : std_logic := '0')
return integer
is
begin
if inp = '1' then
return 1;
else
return 0;
end if;
end;
function makeZeroBinStr (width : integer) return STRING is
variable result : string(1 to width+3);
begin
result(1) := '0';
result(2) := 'b';
for i in 3 to width+2 loop
result(i) := '0';
end loop;
result(width+3) := '.';
return result;
end;
-- synopsys translate_off
function real_string_to_std_logic_vector (inp : string; width, bin_pt, arith : integer)
return std_logic_vector
is
variable result : std_logic_vector(width-1 downto 0);
begin
result := (others => '0');
return result;
end;
function real_to_std_logic_vector (inp : real; width, bin_pt, arith : integer)
return std_logic_vector
is
variable real_val : real;
variable int_val : integer;
variable result : std_logic_vector(width-1 downto 0) := (others => '0');
variable unsigned_val : unsigned(width-1 downto 0) := (others => '0');
variable signed_val : signed(width-1 downto 0) := (others => '0');
begin
real_val := inp;
int_val := integer(real_val * 2.0**(bin_pt));
if (arith = xlSigned) then
signed_val := to_signed(int_val, width);
result := signed_to_std_logic_vector(signed_val);
else
unsigned_val := to_unsigned(int_val, width);
result := unsigned_to_std_logic_vector(unsigned_val);
end if;
return result;
end;
-- synopsys translate_on
function valid_bin_string (inp : string)
return boolean
is
variable vec : string(1 to inp'length);
begin
vec := inp;
if (vec(1) = '0' and vec(2) = 'b') then
return true;
else
return false;
end if;
end;
function hex_string_to_std_logic_vector(inp: string; width : integer)
return std_logic_vector is
constant strlen : integer := inp'LENGTH;
variable result : std_logic_vector(width-1 downto 0);
variable bitval : std_logic_vector((strlen*4)-1 downto 0);
variable posn : integer;
variable ch : character;
variable vec : string(1 to strlen);
begin
vec := inp;
result := (others => '0');
posn := (strlen*4)-1;
for i in 1 to strlen loop
ch := vec(i);
case ch is
when '0' => bitval(posn downto posn-3) := "0000";
when '1' => bitval(posn downto posn-3) := "0001";
when '2' => bitval(posn downto posn-3) := "0010";
when '3' => bitval(posn downto posn-3) := "0011";
when '4' => bitval(posn downto posn-3) := "0100";
when '5' => bitval(posn downto posn-3) := "0101";
when '6' => bitval(posn downto posn-3) := "0110";
when '7' => bitval(posn downto posn-3) := "0111";
when '8' => bitval(posn downto posn-3) := "1000";
when '9' => bitval(posn downto posn-3) := "1001";
when 'A' | 'a' => bitval(posn downto posn-3) := "1010";
when 'B' | 'b' => bitval(posn downto posn-3) := "1011";
when 'C' | 'c' => bitval(posn downto posn-3) := "1100";
when 'D' | 'd' => bitval(posn downto posn-3) := "1101";
when 'E' | 'e' => bitval(posn downto posn-3) := "1110";
when 'F' | 'f' => bitval(posn downto posn-3) := "1111";
when others => bitval(posn downto posn-3) := "XXXX";
-- synopsys translate_off
ASSERT false
REPORT "Invalid hex value" SEVERITY ERROR;
-- synopsys translate_on
end case;
posn := posn - 4;
end loop;
if (width <= strlen*4) then
result := bitval(width-1 downto 0);
else
result((strlen*4)-1 downto 0) := bitval;
end if;
return result;
end;
function bin_string_to_std_logic_vector (inp : string)
return std_logic_vector
is
variable pos : integer;
variable vec : string(1 to inp'length);
variable result : std_logic_vector(inp'length-1 downto 0);
begin
vec := inp;
pos := inp'length-1;
result := (others => '0');
for i in 1 to vec'length loop
-- synopsys translate_off
if (pos < 0) and (vec(i) = '0' or vec(i) = '1' or vec(i) = 'X' or vec(i) = 'U') then
assert false
report "Input string is larger than output std_logic_vector. Truncating output.";
return result;
end if;
-- synopsys translate_on
if vec(i) = '0' then
result(pos) := '0';
pos := pos - 1;
end if;
if vec(i) = '1' then
result(pos) := '1';
pos := pos - 1;
end if;
-- synopsys translate_off
if (vec(i) = 'X' or vec(i) = 'U') then
result(pos) := 'U';
pos := pos - 1;
end if;
-- synopsys translate_on
end loop;
return result;
end;
function bin_string_element_to_std_logic_vector (inp : string; width, index : integer)
return std_logic_vector
is
constant str_width : integer := width + 4;
constant inp_len : integer := inp'length;
constant num_elements : integer := (inp_len + 1)/str_width;
constant reverse_index : integer := (num_elements-1) - index;
variable left_pos : integer;
variable right_pos : integer;
variable vec : string(1 to inp'length);
variable result : std_logic_vector(width-1 downto 0);
begin
vec := inp;
result := (others => '0');
if (reverse_index = 0) and (reverse_index < num_elements) and (inp_len-3 >= width) then
left_pos := 1;
right_pos := width + 3;
result := bin_string_to_std_logic_vector(vec(left_pos to right_pos));
end if;
if (reverse_index > 0) and (reverse_index < num_elements) and (inp_len-3 >= width) then
left_pos := (reverse_index * str_width) + 1;
right_pos := left_pos + width + 2;
result := bin_string_to_std_logic_vector(vec(left_pos to right_pos));
end if;
return result;
end;
-- synopsys translate_off
function std_logic_vector_to_bin_string(inp : std_logic_vector)
return string
is
variable vec : std_logic_vector(1 to inp'length);
variable result : string(vec'range);
begin
vec := inp;
for i in vec'range loop
result(i) := to_char(vec(i));
end loop;
return result;
end;
function std_logic_to_bin_string(inp : std_logic)
return string
is
variable result : string(1 to 3);
begin
result(1) := '0';
result(2) := 'b';
result(3) := to_char(inp);
return result;
end;
function std_logic_vector_to_bin_string_w_point(inp : std_logic_vector; bin_pt : integer)
return string
is
variable width : integer := inp'length;
variable vec : std_logic_vector(width-1 downto 0);
variable str_pos : integer;
variable result : string(1 to width+3);
begin
vec := inp;
str_pos := 1;
result(str_pos) := '0';
str_pos := 2;
result(str_pos) := 'b';
str_pos := 3;
for i in width-1 downto 0 loop
if (((width+3) - bin_pt) = str_pos) then
result(str_pos) := '.';
str_pos := str_pos + 1;
end if;
result(str_pos) := to_char(vec(i));
str_pos := str_pos + 1;
end loop;
if (bin_pt = 0) then
result(str_pos) := '.';
end if;
return result;
end;
function real_to_bin_string(inp : real; width, bin_pt, arith : integer)
return string
is
variable result : string(1 to width);
variable vec : std_logic_vector(width-1 downto 0);
begin
vec := real_to_std_logic_vector(inp, width, bin_pt, arith);
result := std_logic_vector_to_bin_string(vec);
return result;
end;
function real_to_string (inp : real) return string
is
variable result : string(1 to display_precision) := (others => ' ');
begin
result(real'image(inp)'range) := real'image(inp);
return result;
end;
-- synopsys translate_on
end conv_pkg;
library IEEE;
use IEEE.std_logic_1164.all;
package clock_pkg is
-- synopsys translate_off
signal int_clk : std_logic;
-- synopsys translate_on
end clock_pkg;
-------------------------------------------------------------------
-- System Generator version 10.1.2 VHDL source file.
--
-- Copyright(C) 2008 by Xilinx, Inc. All rights reserved. This
-- text/file contains proprietary, confidential information of Xilinx,
-- Inc., is distributed under license from Xilinx, Inc., and may be used,
-- copied and/or disclosed only pursuant to the terms of a valid license
-- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use
-- this text/file solely for design, simulation, implementation and
-- creation of design files limited to Xilinx devices or technologies.
-- Use with non-Xilinx devices or technologies is expressly prohibited
-- and immediately terminates your license unless covered by a separate
-- agreement.
--
-- Xilinx is providing this design, code, or information "as is" solely
-- for use in developing programs and solutions for Xilinx devices. By
-- providing this design, code, or information as one possible
-- implementation of this feature, application or standard, Xilinx is
-- making no representation that this implementation is free from any
-- claims of infringement. You are responsible for obtaining any rights
-- you may require for your implementation. Xilinx expressly disclaims
-- any warranty whatsoever with respect to the adequacy of the
-- implementation, including but not limited to warranties of
-- merchantability or fitness for a particular purpose.
--
-- Xilinx products are not intended for use in life support appliances,
-- devices, or systems. Use in such applications is expressly prohibited.
--
-- Any modifications that are made to the source code are done at the user's
-- sole risk and will be unsupported.
--
-- This copyright and support notice must be retained as part of this
-- text at all times. (c) Copyright 1995-2008 Xilinx, Inc. All rights
-- reserved.
-------------------------------------------------------------------
-- synopsys translate_off
library unisim;
use unisim.vcomponents.all;
-- synopsys translate_on
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
entity srl17e is
generic (width : integer:=16;
latency : integer :=8);
port (clk : in std_logic;
ce : in std_logic;
d : in std_logic_vector(width-1 downto 0);
q : out std_logic_vector(width-1 downto 0));
end srl17e;
architecture structural of srl17e is
component SRL16E
port (D : in STD_ULOGIC;
CE : in STD_ULOGIC;
CLK : in STD_ULOGIC;
A0 : in STD_ULOGIC;
A1 : in STD_ULOGIC;
A2 : in STD_ULOGIC;
A3 : in STD_ULOGIC;
Q : out STD_ULOGIC);
end component;
attribute syn_black_box of SRL16E : component is true;
attribute fpga_dont_touch of SRL16E : component is "true";
component FDE
port(
Q : out STD_ULOGIC;
D : in STD_ULOGIC;
C : in STD_ULOGIC;
CE : in STD_ULOGIC);
end component;
attribute syn_black_box of FDE : component is true;
attribute fpga_dont_touch of FDE : component is "true";
constant a : std_logic_vector(4 downto 0) :=
integer_to_std_logic_vector(latency-2,5,xlSigned);
signal d_delayed : std_logic_vector(width-1 downto 0);
signal srl16_out : std_logic_vector(width-1 downto 0);
begin
d_delayed <= d after 200 ps;
reg_array : for i in 0 to width-1 generate
srl16_used: if latency > 1 generate
u1 : srl16e port map(clk => clk,
d => d_delayed(i),
q => srl16_out(i),
ce => ce,
a0 => a(0),
a1 => a(1),
a2 => a(2),
a3 => a(3));
end generate;
srl16_not_used: if latency <= 1 generate
srl16_out(i) <= d_delayed(i);
end generate;
fde_used: if latency /= 0 generate
u2 : fde port map(c => clk,
d => srl16_out(i),
q => q(i),
ce => ce);
end generate;
fde_not_used: if latency = 0 generate
q(i) <= srl16_out(i);
end generate;
end generate;
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
entity synth_reg is
generic (width : integer := 8;
latency : integer := 1);
port (i : in std_logic_vector(width-1 downto 0);
ce : in std_logic;
clr : in std_logic;
clk : in std_logic;
o : out std_logic_vector(width-1 downto 0));
end synth_reg;
architecture structural of synth_reg is
component srl17e
generic (width : integer:=16;
latency : integer :=8);
port (clk : in std_logic;
ce : in std_logic;
d : in std_logic_vector(width-1 downto 0);
q : out std_logic_vector(width-1 downto 0));
end component;
function calc_num_srl17es (latency : integer)
return integer
is
variable remaining_latency : integer;
variable result : integer;
begin
result := latency / 17;
remaining_latency := latency - (result * 17);
if (remaining_latency /= 0) then
result := result + 1;
end if;
return result;
end;
constant complete_num_srl17es : integer := latency / 17;
constant num_srl17es : integer := calc_num_srl17es(latency);
constant remaining_latency : integer := latency - (complete_num_srl17es * 17);
type register_array is array (num_srl17es downto 0) of
std_logic_vector(width-1 downto 0);
signal z : register_array;
begin
z(0) <= i;
complete_ones : if complete_num_srl17es > 0 generate
srl17e_array: for i in 0 to complete_num_srl17es-1 generate
delay_comp : srl17e
generic map (width => width,
latency => 17)
port map (clk => clk,
ce => ce,
d => z(i),
q => z(i+1));
end generate;
end generate;
partial_one : if remaining_latency > 0 generate
last_srl17e : srl17e
generic map (width => width,
latency => remaining_latency)
port map (clk => clk,
ce => ce,
d => z(num_srl17es-1),
q => z(num_srl17es));
end generate;
o <= z(num_srl17es);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
entity synth_reg_reg is
generic (width : integer := 8;
latency : integer := 1);
port (i : in std_logic_vector(width-1 downto 0);
ce : in std_logic;
clr : in std_logic;
clk : in std_logic;
o : out std_logic_vector(width-1 downto 0));
end synth_reg_reg;
architecture behav of synth_reg_reg is
type reg_array_type is array (latency-1 downto 0) of std_logic_vector(width -1 downto 0);
signal reg_bank : reg_array_type := (others => (others => '0'));
signal reg_bank_in : reg_array_type := (others => (others => '0'));
attribute syn_allow_retiming : boolean;
attribute syn_srlstyle : string;
attribute syn_allow_retiming of reg_bank : signal is true;
attribute syn_allow_retiming of reg_bank_in : signal is true;
attribute syn_srlstyle of reg_bank : signal is "registers";
attribute syn_srlstyle of reg_bank_in : signal is "registers";
begin
latency_eq_0: if latency = 0 generate
o <= i;
end generate latency_eq_0;
latency_gt_0: if latency >= 1 generate
o <= reg_bank(latency-1);
reg_bank_in(0) <= i;
loop_gen: for idx in latency-2 downto 0 generate
reg_bank_in(idx+1) <= reg_bank(idx);
end generate loop_gen;
sync_loop: for sync_idx in latency-1 downto 0 generate
sync_proc: process (clk)
begin
if clk'event and clk = '1' then
if ce = '1' then
reg_bank(sync_idx) <= reg_bank_in(sync_idx);
end if;
end if;
end process sync_proc;
end generate sync_loop;
end generate latency_gt_0;
end behav;
-------------------------------------------------------------------
-- System Generator version 10.1.2 VHDL source file.
--
-- Copyright(C) 2008 by Xilinx, Inc. All rights reserved. This
-- text/file contains proprietary, confidential information of Xilinx,
-- Inc., is distributed under license from Xilinx, Inc., and may be used,
-- copied and/or disclosed only pursuant to the terms of a valid license
-- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use
-- this text/file solely for design, simulation, implementation and
-- creation of design files limited to Xilinx devices or technologies.
-- Use with non-Xilinx devices or technologies is expressly prohibited
-- and immediately terminates your license unless covered by a separate
-- agreement.
--
-- Xilinx is providing this design, code, or information "as is" solely
-- for use in developing programs and solutions for Xilinx devices. By
-- providing this design, code, or information as one possible
-- implementation of this feature, application or standard, Xilinx is
-- making no representation that this implementation is free from any
-- claims of infringement. You are responsible for obtaining any rights
-- you may require for your implementation. Xilinx expressly disclaims
-- any warranty whatsoever with respect to the adequacy of the
-- implementation, including but not limited to warranties of
-- merchantability or fitness for a particular purpose.
--
-- Xilinx products are not intended for use in life support appliances,
-- devices, or systems. Use in such applications is expressly prohibited.
--
-- Any modifications that are made to the source code are done at the user's
-- sole risk and will be unsupported.
--
-- This copyright and support notice must be retained as part of this
-- text at all times. (c) Copyright 1995-2008 Xilinx, Inc. All rights
-- reserved.
-------------------------------------------------------------------
-- synopsys translate_off
library unisim;
use unisim.vcomponents.all;
-- synopsys translate_on
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
entity single_reg_w_init is
generic (
width: integer := 8;
init_index: integer := 0;
init_value: bit_vector := b"0000"
);
port (
i: in std_logic_vector(width - 1 downto 0);
ce: in std_logic;
clr: in std_logic;
clk: in std_logic;
o: out std_logic_vector(width - 1 downto 0)
);
end single_reg_w_init;
architecture structural of single_reg_w_init is
function build_init_const(width: integer;
init_index: integer;
init_value: bit_vector)
return std_logic_vector
is
variable result: std_logic_vector(width - 1 downto 0);
begin
if init_index = 0 then
result := (others => '0');
elsif init_index = 1 then
result := (others => '0');
result(0) := '1';
else
result := to_stdlogicvector(init_value);
end if;
return result;
end;
component fdre
port (
q: out std_ulogic;
d: in std_ulogic;
c: in std_ulogic;
ce: in std_ulogic;
r: in std_ulogic
);
end component;
attribute syn_black_box of fdre: component is true;
attribute fpga_dont_touch of fdre: component is "true";
component fdse
port (
q: out std_ulogic;
d: in std_ulogic;
c: in std_ulogic;
ce: in std_ulogic;
s: in std_ulogic
);
end component;
attribute syn_black_box of fdse: component is true;
attribute fpga_dont_touch of fdse: component is "true";
constant init_const: std_logic_vector(width - 1 downto 0)
:= build_init_const(width, init_index, init_value);
begin
fd_prim_array: for index in 0 to width - 1 generate
bit_is_0: if (init_const(index) = '0') generate
fdre_comp: fdre
port map (
c => clk,
d => i(index),
q => o(index),
ce => ce,
r => clr
);
end generate;
bit_is_1: if (init_const(index) = '1') generate
fdse_comp: fdse
port map (
c => clk,
d => i(index),
q => o(index),
ce => ce,
s => clr
);
end generate;
end generate;
end architecture structural;
-- synopsys translate_off
library unisim;
use unisim.vcomponents.all;
-- synopsys translate_on
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
entity synth_reg_w_init is
generic (
width: integer := 8;
init_index: integer := 0;
init_value: bit_vector := b"0000";
latency: integer := 1
);
port (
i: in std_logic_vector(width - 1 downto 0);
ce: in std_logic;
clr: in std_logic;
clk: in std_logic;
o: out std_logic_vector(width - 1 downto 0)
);
end synth_reg_w_init;
architecture structural of synth_reg_w_init is
component single_reg_w_init
generic (
width: integer := 8;
init_index: integer := 0;
init_value: bit_vector := b"0000"
);
port (
i: in std_logic_vector(width - 1 downto 0);
ce: in std_logic;
clr: in std_logic;
clk: in std_logic;
o: out std_logic_vector(width - 1 downto 0)
);
end component;
signal dly_i: std_logic_vector((latency + 1) * width - 1 downto 0);
signal dly_clr: std_logic;
begin
latency_eq_0: if (latency = 0) generate
o <= i;
end generate;
latency_gt_0: if (latency >= 1) generate
dly_i((latency + 1) * width - 1 downto latency * width) <= i
after 200 ps;
dly_clr <= clr after 200 ps;
fd_array: for index in latency downto 1 generate
reg_comp: single_reg_w_init
generic map (
width => width,
init_index => init_index,
init_value => init_value
)
port map (
clk => clk,
i => dly_i((index + 1) * width - 1 downto index * width),
o => dly_i(index * width - 1 downto (index - 1) * width),
ce => ce,
clr => dly_clr
);
end generate;
o <= dly_i(width - 1 downto 0);
end generate;
end structural;
-------------------------------------------------------------------
-- System Generator version 10.1.2 VHDL source file.
--
-- Copyright(C) 2008 by Xilinx, Inc. All rights reserved. This
-- text/file contains proprietary, confidential information of Xilinx,
-- Inc., is distributed under license from Xilinx, Inc., and may be used,
-- copied and/or disclosed only pursuant to the terms of a valid license
-- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use
-- this text/file solely for design, simulation, implementation and
-- creation of design files limited to Xilinx devices or technologies.
-- Use with non-Xilinx devices or technologies is expressly prohibited
-- and immediately terminates your license unless covered by a separate
-- agreement.
--
-- Xilinx is providing this design, code, or information "as is" solely
-- for use in developing programs and solutions for Xilinx devices. By
-- providing this design, code, or information as one possible
-- implementation of this feature, application or standard, Xilinx is
-- making no representation that this implementation is free from any
-- claims of infringement. You are responsible for obtaining any rights
-- you may require for your implementation. Xilinx expressly disclaims
-- any warranty whatsoever with respect to the adequacy of the
-- implementation, including but not limited to warranties of
-- merchantability or fitness for a particular purpose.
--
-- Xilinx products are not intended for use in life support appliances,
-- devices, or systems. Use in such applications is expressly prohibited.
--
-- Any modifications that are made to the source code are done at the user's
-- sole risk and will be unsupported.
--
-- This copyright and support notice must be retained as part of this
-- text at all times. (c) Copyright 1995-2008 Xilinx, Inc. All rights
-- reserved.
-------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
entity convert_func_call is
generic (
din_width : integer := 16;
din_bin_pt : integer := 4;
din_arith : integer := xlUnsigned;
dout_width : integer := 8;
dout_bin_pt : integer := 2;
dout_arith : integer := xlUnsigned;
quantization : integer := xlTruncate;
overflow : integer := xlWrap);
port (
din : in std_logic_vector (din_width-1 downto 0);
result : out std_logic_vector (dout_width-1 downto 0));
end convert_func_call;
architecture behavior of convert_func_call is
begin
result <= convert_type(din, din_width, din_bin_pt, din_arith,
dout_width, dout_bin_pt, dout_arith,
quantization, overflow);
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
entity xlconvert is
generic (
din_width : integer := 16;
din_bin_pt : integer := 4;
din_arith : integer := xlUnsigned;
dout_width : integer := 8;
dout_bin_pt : integer := 2;
dout_arith : integer := xlUnsigned;
bool_conversion : integer :=0;
latency : integer := 0;
quantization : integer := xlTruncate;
overflow : integer := xlWrap);
port (
din : in std_logic_vector (din_width-1 downto 0);
ce : in std_logic;
clr : in std_logic;
clk : in std_logic;
dout : out std_logic_vector (dout_width-1 downto 0));
end xlconvert;
architecture behavior of xlconvert is
component synth_reg
generic (width : integer;
latency : integer);
port (i : in std_logic_vector(width-1 downto 0);
ce : in std_logic;
clr : in std_logic;
clk : in std_logic;
o : out std_logic_vector(width-1 downto 0));
end component;
component convert_func_call
generic (
din_width : integer := 16;
din_bin_pt : integer := 4;
din_arith : integer := xlUnsigned;
dout_width : integer := 8;
dout_bin_pt : integer := 2;
dout_arith : integer := xlUnsigned;
quantization : integer := xlTruncate;
overflow : integer := xlWrap);
port (
din : in std_logic_vector (din_width-1 downto 0);
result : out std_logic_vector (dout_width-1 downto 0));
end component;
-- synopsys translate_off
signal real_din, real_dout : real;
-- synopsys translate_on
signal result : std_logic_vector(dout_width-1 downto 0);
begin
-- synopsys translate_off
-- synopsys translate_on
bool_conversion_generate : if (bool_conversion = 1)
generate
result <= din;
end generate;
std_conversion_generate : if (bool_conversion = 0)
generate
convert : convert_func_call
generic map (
din_width => din_width,
din_bin_pt => din_bin_pt,
din_arith => din_arith,
dout_width => dout_width,
dout_bin_pt => dout_bin_pt,
dout_arith => dout_arith,
quantization => quantization,
overflow => overflow)
port map (
din => din,
result => result);
end generate;
latency_test : if (latency > 0)
generate
reg : synth_reg
generic map ( width => dout_width,
latency => latency)
port map (i => result,
ce => ce,
clr => clr,
clk => clk,
o => dout);
end generate;
latency0 : if (latency = 0)
generate
dout <= result;
end generate latency0;
end behavior;
-------------------------------------------------------------------
-- System Generator version 10.1.2 VHDL source file.
--
-- Copyright(C) 2008 by Xilinx, Inc. All rights reserved. This
-- text/file contains proprietary, confidential information of Xilinx,
-- Inc., is distributed under license from Xilinx, Inc., and may be used,
-- copied and/or disclosed only pursuant to the terms of a valid license
-- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use
-- this text/file solely for design, simulation, implementation and
-- creation of design files limited to Xilinx devices or technologies.
-- Use with non-Xilinx devices or technologies is expressly prohibited
-- and immediately terminates your license unless covered by a separate
-- agreement.
--
-- Xilinx is providing this design, code, or information "as is" solely
-- for use in developing programs and solutions for Xilinx devices. By
-- providing this design, code, or information as one possible
-- implementation of this feature, application or standard, Xilinx is
-- making no representation that this implementation is free from any
-- claims of infringement. You are responsible for obtaining any rights
-- you may require for your implementation. Xilinx expressly disclaims
-- any warranty whatsoever with respect to the adequacy of the
-- implementation, including but not limited to warranties of
-- merchantability or fitness for a particular purpose.
--
-- Xilinx products are not intended for use in life support appliances,
-- devices, or systems. Use in such applications is expressly prohibited.
--
-- Any modifications that are made to the source code are done at the user's
-- sole risk and will be unsupported.
--
-- This copyright and support notice must be retained as part of this
-- text at all times. (c) Copyright 1995-2008 Xilinx, Inc. All rights
-- reserved.
-------------------------------------------------------------------
-- synopsys translate_off
library XilinxCoreLib;
-- synopsys translate_on
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
entity xlcounter_free is
generic (
core_name0: string := "";
op_width: integer := 5;
op_arith: integer := xlSigned
);
port (
ce: in std_logic;
clr: in std_logic;
clk: in std_logic;
op: out std_logic_vector(op_width - 1 downto 0);
up: in std_logic_vector(0 downto 0) := (others => '0');
load: in std_logic_vector(0 downto 0) := (others => '0');
din: in std_logic_vector(op_width - 1 downto 0) := (others => '0');
en: in std_logic_vector(0 downto 0);
rst: in std_logic_vector(0 downto 0)
);
end xlcounter_free ;
architecture behavior of xlcounter_free is
component binary_counter_virtex2p_7_0_950e4ab582797264
port (
clk: in std_logic;
ce: in std_logic;
sinit: in std_logic;
q: out std_logic_vector(op_width - 1 downto 0)
);
end component;
attribute syn_black_box of binary_counter_virtex2p_7_0_950e4ab582797264:
component is true;
attribute fpga_dont_touch of binary_counter_virtex2p_7_0_950e4ab582797264:
component is "true";
attribute box_type of binary_counter_virtex2p_7_0_950e4ab582797264:
component is "black_box";
component binary_counter_virtex2p_7_0_b0a257f5389d649a
port (
clk: in std_logic;
ce: in std_logic;
sinit: in std_logic;
q: out std_logic_vector(op_width - 1 downto 0)
);
end component;
attribute syn_black_box of binary_counter_virtex2p_7_0_b0a257f5389d649a:
component is true;
attribute fpga_dont_touch of binary_counter_virtex2p_7_0_b0a257f5389d649a:
component is "true";
attribute box_type of binary_counter_virtex2p_7_0_b0a257f5389d649a:
component is "black_box";
component binary_counter_virtex2p_7_0_b511f9871581ee23
port (
clk: in std_logic;
ce: in std_logic;
sinit: in std_logic;
load: in std_logic;
l: in std_logic_vector(op_width - 1 downto 0);
q: out std_logic_vector(op_width - 1 downto 0)
);
end component;
attribute syn_black_box of binary_counter_virtex2p_7_0_b511f9871581ee23:
component is true;
attribute fpga_dont_touch of binary_counter_virtex2p_7_0_b511f9871581ee23:
component is "true";
attribute box_type of binary_counter_virtex2p_7_0_b511f9871581ee23:
component is "black_box";
component binary_counter_virtex2p_7_0_a22528b4c55dc1cd
port (
clk: in std_logic;
ce: in std_logic;
sinit: in std_logic;
q: out std_logic_vector(op_width - 1 downto 0)
);
end component;
attribute syn_black_box of binary_counter_virtex2p_7_0_a22528b4c55dc1cd:
component is true;
attribute fpga_dont_touch of binary_counter_virtex2p_7_0_a22528b4c55dc1cd:
component is "true";
attribute box_type of binary_counter_virtex2p_7_0_a22528b4c55dc1cd:
component is "black_box";
component binary_counter_virtex2p_7_0_77cea312f82499f0
port (
clk: in std_logic;
ce: in std_logic;
sinit: in std_logic;
load: in std_logic;
l: in std_logic_vector(op_width - 1 downto 0);
q: out std_logic_vector(op_width - 1 downto 0)
);
end component;
attribute syn_black_box of binary_counter_virtex2p_7_0_77cea312f82499f0:
component is true;
attribute fpga_dont_touch of binary_counter_virtex2p_7_0_77cea312f82499f0:
component is "true";
attribute box_type of binary_counter_virtex2p_7_0_77cea312f82499f0:
component is "black_box";
-- synopsys translate_off
constant zeroVec: std_logic_vector(op_width - 1 downto 0) := (others => '0');
constant oneVec: std_logic_vector(op_width - 1 downto 0) := (others => '1');
constant zeroStr: string(1 to op_width) :=
std_logic_vector_to_bin_string(zeroVec);
constant oneStr: string(1 to op_width) :=
std_logic_vector_to_bin_string(oneVec);
-- synopsys translate_on
signal core_sinit: std_logic;
signal core_ce: std_logic;
signal op_net: std_logic_vector(op_width - 1 downto 0);
begin
core_ce <= ce and en(0);
core_sinit <= (clr or rst(0)) and ce;
op <= op_net;
comp0: if ((core_name0 = "binary_counter_virtex2p_7_0_950e4ab582797264")) generate
core_instance0: binary_counter_virtex2p_7_0_950e4ab582797264
port map (
clk => clk,
ce => core_ce,
sinit => core_sinit,
q => op_net
);
end generate;
comp1: if ((core_name0 = "binary_counter_virtex2p_7_0_b0a257f5389d649a")) generate
core_instance1: binary_counter_virtex2p_7_0_b0a257f5389d649a
port map (
clk => clk,
ce => core_ce,
sinit => core_sinit,
q => op_net
);
end generate;
comp2: if ((core_name0 = "binary_counter_virtex2p_7_0_b511f9871581ee23")) generate
core_instance2: binary_counter_virtex2p_7_0_b511f9871581ee23
port map (
clk => clk,
ce => core_ce,
sinit => core_sinit,
load => load(0),
l => din,
q => op_net
);
end generate;
comp3: if ((core_name0 = "binary_counter_virtex2p_7_0_a22528b4c55dc1cd")) generate
core_instance3: binary_counter_virtex2p_7_0_a22528b4c55dc1cd
port map (
clk => clk,
ce => core_ce,
sinit => core_sinit,
q => op_net
);
end generate;
comp4: if ((core_name0 = "binary_counter_virtex2p_7_0_77cea312f82499f0")) generate
core_instance4: binary_counter_virtex2p_7_0_77cea312f82499f0
port map (
clk => clk,
ce => core_ce,
sinit => core_sinit,
load => load(0),
l => din,
q => op_net
);
end generate;
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity inverter_e2b989a05e is
port (
ip : in std_logic_vector((1 - 1) downto 0);
op : out std_logic_vector((1 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end inverter_e2b989a05e;
architecture behavior of inverter_e2b989a05e is
signal ip_1_26: unsigned((1 - 1) downto 0);
type array_type_op_mem_22_20 is array (0 to (1 - 1)) of unsigned((1 - 1) downto 0);
signal op_mem_22_20: array_type_op_mem_22_20 := (
0 => "0");
signal op_mem_22_20_front_din: unsigned((1 - 1) downto 0);
signal op_mem_22_20_back: unsigned((1 - 1) downto 0);
signal op_mem_22_20_push_front_pop_back_en: std_logic;
signal internal_ip_12_1_bitnot: unsigned((1 - 1) downto 0);
begin
ip_1_26 <= std_logic_vector_to_unsigned(ip);
op_mem_22_20_back <= op_mem_22_20(0);
proc_op_mem_22_20: process (clk)
is
variable i: integer;
begin
if (clk'event and (clk = '1')) then
if ((ce = '1') and (op_mem_22_20_push_front_pop_back_en = '1')) then
op_mem_22_20(0) <= op_mem_22_20_front_din;
end if;
end if;
end process proc_op_mem_22_20;
internal_ip_12_1_bitnot <= std_logic_vector_to_unsigned(not unsigned_to_std_logic_vector(ip_1_26));
op_mem_22_20_push_front_pop_back_en <= '0';
op <= unsigned_to_std_logic_vector(internal_ip_12_1_bitnot);
end behavior;
-------------------------------------------------------------------
-- System Generator version 10.1.2 VHDL source file.
--
-- Copyright(C) 2008 by Xilinx, Inc. All rights reserved. This
-- text/file contains proprietary, confidential information of Xilinx,
-- Inc., is distributed under license from Xilinx, Inc., and may be used,
-- copied and/or disclosed only pursuant to the terms of a valid license
-- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use
-- this text/file solely for design, simulation, implementation and
-- creation of design files limited to Xilinx devices or technologies.
-- Use with non-Xilinx devices or technologies is expressly prohibited
-- and immediately terminates your license unless covered by a separate
-- agreement.
--
-- Xilinx is providing this design, code, or information "as is" solely
-- for use in developing programs and solutions for Xilinx devices. By
-- providing this design, code, or information as one possible
-- implementation of this feature, application or standard, Xilinx is
-- making no representation that this implementation is free from any
-- claims of infringement. You are responsible for obtaining any rights
-- you may require for your implementation. Xilinx expressly disclaims
-- any warranty whatsoever with respect to the adequacy of the
-- implementation, including but not limited to warranties of
-- merchantability or fitness for a particular purpose.
--
-- Xilinx products are not intended for use in life support appliances,
-- devices, or systems. Use in such applications is expressly prohibited.
--
-- Any modifications that are made to the source code are done at the user's
-- sole risk and will be unsupported.
--
-- This copyright and support notice must be retained as part of this
-- text at all times. (c) Copyright 1995-2008 Xilinx, Inc. All rights
-- reserved.
-------------------------------------------------------------------
-- synopsys translate_off
library XilinxCoreLib;
-- synopsys translate_on
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use work.conv_pkg.all;
entity xlmult_v9_0 is
generic (
core_name0: string := "";
a_width: integer := 4;
a_bin_pt: integer := 2;
a_arith: integer := xlSigned;
b_width: integer := 4;
b_bin_pt: integer := 1;
b_arith: integer := xlSigned;
p_width: integer := 8;
p_bin_pt: integer := 2;
p_arith: integer := xlSigned;
rst_width: integer := 1;
rst_bin_pt: integer := 0;
rst_arith: integer := xlUnsigned;
en_width: integer := 1;
en_bin_pt: integer := 0;
en_arith: integer := xlUnsigned;
quantization: integer := xlTruncate;
overflow: integer := xlWrap;
extra_registers: integer := 0;
c_a_width: integer := 7;
c_b_width: integer := 7;
c_type: integer := 0;
c_a_type: integer := 0;
c_b_type: integer := 0;
c_pipelined: integer := 1;
c_baat: integer := 4;
multsign: integer := xlSigned;
c_output_width: integer := 16
);
port (
a: in std_logic_vector(a_width - 1 downto 0);
b: in std_logic_vector(b_width - 1 downto 0);
ce: in std_logic;
clr: in std_logic;
clk: in std_logic;
core_ce: in std_logic := '0';
core_clr: in std_logic := '0';
core_clk: in std_logic := '0';
rst: in std_logic_vector(rst_width - 1 downto 0);
en: in std_logic_vector(en_width - 1 downto 0);
p: out std_logic_vector(p_width - 1 downto 0)
);
end xlmult_v9_0 ;
architecture behavior of xlmult_v9_0 is
component synth_reg
generic (
width: integer := 16;
latency: integer := 5
);
port (
i: in std_logic_vector(width - 1 downto 0);
ce: in std_logic;
clr: in std_logic;
clk: in std_logic;
o: out std_logic_vector(width - 1 downto 0)
);
end component;
component multiplier_virtex2p_10_1_817edd563258bb47
port (
b: in std_logic_vector(c_b_width - 1 downto 0);
p: out std_logic_vector(c_output_width - 1 downto 0);
clk: in std_logic;
ce: in std_logic;
sclr: in std_logic;
a: in std_logic_vector(c_a_width - 1 downto 0)
);
end component;
attribute syn_black_box of multiplier_virtex2p_10_1_817edd563258bb47:
component is true;
attribute fpga_dont_touch of multiplier_virtex2p_10_1_817edd563258bb47:
component is "true";
attribute box_type of multiplier_virtex2p_10_1_817edd563258bb47:
component is "black_box";
signal tmp_a: std_logic_vector(c_a_width - 1 downto 0);
signal conv_a: std_logic_vector(c_a_width - 1 downto 0);
signal tmp_b: std_logic_vector(c_b_width - 1 downto 0);
signal conv_b: std_logic_vector(c_b_width - 1 downto 0);
signal tmp_p: std_logic_vector(c_output_width - 1 downto 0);
signal conv_p: std_logic_vector(p_width - 1 downto 0);
-- synopsys translate_off
signal real_a, real_b, real_p: real;
-- synopsys translate_on
signal rfd: std_logic;
signal rdy: std_logic;
signal nd: std_logic;
signal internal_ce: std_logic;
signal internal_clr: std_logic;
signal internal_core_ce: std_logic;
begin
-- synopsys translate_off
-- synopsys translate_on
internal_ce <= ce and en(0);
internal_core_ce <= core_ce and en(0);
internal_clr <= (clr or rst(0)) and ce;
nd <= internal_ce;
input_process: process (a,b)
begin
tmp_a <= zero_ext(a, c_a_width);
tmp_b <= zero_ext(b, c_b_width);
end process;
output_process: process (tmp_p)
begin
conv_p <= convert_type(tmp_p, c_output_width, a_bin_pt+b_bin_pt, multsign,
p_width, p_bin_pt, p_arith, quantization, overflow);
end process;
comp0: if ((core_name0 = "multiplier_virtex2p_10_1_817edd563258bb47")) generate
core_instance0: multiplier_virtex2p_10_1_817edd563258bb47
port map (
a => tmp_a,
clk => clk,
ce => internal_ce,
sclr => internal_clr,
p => tmp_p,
b => tmp_b
);
end generate;
latency_gt_0: if (extra_registers > 0) generate
reg: synth_reg
generic map (
width => p_width,
latency => extra_registers
)
port map (
i => conv_p,
ce => internal_ce,
clr => internal_clr,
clk => clk,
o => p
);
end generate;
latency_eq_0: if (extra_registers = 0) generate
p <= conv_p;
end generate;
end architecture behavior;
-------------------------------------------------------------------
-- System Generator version 10.1.2 VHDL source file.
--
-- Copyright(C) 2008 by Xilinx, Inc. All rights reserved. This
-- text/file contains proprietary, confidential information of Xilinx,
-- Inc., is distributed under license from Xilinx, Inc., and may be used,
-- copied and/or disclosed only pursuant to the terms of a valid license
-- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use
-- this text/file solely for design, simulation, implementation and
-- creation of design files limited to Xilinx devices or technologies.
-- Use with non-Xilinx devices or technologies is expressly prohibited
-- and immediately terminates your license unless covered by a separate
-- agreement.
--
-- Xilinx is providing this design, code, or information "as is" solely
-- for use in developing programs and solutions for Xilinx devices. By
-- providing this design, code, or information as one possible
-- implementation of this feature, application or standard, Xilinx is
-- making no representation that this implementation is free from any
-- claims of infringement. You are responsible for obtaining any rights
-- you may require for your implementation. Xilinx expressly disclaims
-- any warranty whatsoever with respect to the adequacy of the
-- implementation, including but not limited to warranties of
-- merchantability or fitness for a particular purpose.
--
-- Xilinx products are not intended for use in life support appliances,
-- devices, or systems. Use in such applications is expressly prohibited.
--
-- Any modifications that are made to the source code are done at the user's
-- sole risk and will be unsupported.
--
-- This copyright and support notice must be retained as part of this
-- text at all times. (c) Copyright 1995-2008 Xilinx, Inc. All rights
-- reserved.
-------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
entity xlregister is
generic (d_width : integer := 5;
init_value : bit_vector := b"00");
port (d : in std_logic_vector (d_width-1 downto 0);
rst : in std_logic_vector(0 downto 0) := "0";
en : in std_logic_vector(0 downto 0) := "1";
ce : in std_logic;
clk : in std_logic;
q : out std_logic_vector (d_width-1 downto 0));
end xlregister;
architecture behavior of xlregister is
component synth_reg_w_init
generic (width : integer;
init_index : integer;
init_value : bit_vector;
latency : integer);
port (i : in std_logic_vector(width-1 downto 0);
ce : in std_logic;
clr : in std_logic;
clk : in std_logic;
o : out std_logic_vector(width-1 downto 0));
end component;
-- synopsys translate_off
signal real_d, real_q : real;
-- synopsys translate_on
signal internal_clr : std_logic;
signal internal_ce : std_logic;
begin
internal_clr <= rst(0) and ce;
internal_ce <= en(0) and ce;
synth_reg_inst : synth_reg_w_init
generic map (width => d_width,
init_index => 2,
init_value => init_value,
latency => 1)
port map (i => d,
ce => internal_ce,
clr => internal_clr,
clk => clk,
o => q);
end architecture behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity relational_4e76b03051 is
port (
a : in std_logic_vector((18 - 1) downto 0);
b : in std_logic_vector((18 - 1) downto 0);
op : out std_logic_vector((1 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end relational_4e76b03051;
architecture behavior of relational_4e76b03051 is
signal a_1_31: unsigned((18 - 1) downto 0);
signal b_1_34: unsigned((18 - 1) downto 0);
type array_type_op_mem_32_22 is array (0 to (1 - 1)) of boolean;
signal op_mem_32_22: array_type_op_mem_32_22 := (
0 => false);
signal op_mem_32_22_front_din: boolean;
signal op_mem_32_22_back: boolean;
signal op_mem_32_22_push_front_pop_back_en: std_logic;
signal result_12_3_rel: boolean;
begin
a_1_31 <= std_logic_vector_to_unsigned(a);
b_1_34 <= std_logic_vector_to_unsigned(b);
op_mem_32_22_back <= op_mem_32_22(0);
proc_op_mem_32_22: process (clk)
is
variable i: integer;
begin
if (clk'event and (clk = '1')) then
if ((ce = '1') and (op_mem_32_22_push_front_pop_back_en = '1')) then
op_mem_32_22(0) <= op_mem_32_22_front_din;
end if;
end if;
end process proc_op_mem_32_22;
result_12_3_rel <= a_1_31 = b_1_34;
op_mem_32_22_front_din <= result_12_3_rel;
op_mem_32_22_push_front_pop_back_en <= '1';
op <= boolean_to_vector(op_mem_32_22_back);
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity relational_1433264a0c is
port (
a : in std_logic_vector((18 - 1) downto 0);
b : in std_logic_vector((18 - 1) downto 0);
op : out std_logic_vector((1 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end relational_1433264a0c;
architecture behavior of relational_1433264a0c is
signal a_1_31: unsigned((18 - 1) downto 0);
signal b_1_34: unsigned((18 - 1) downto 0);
type array_type_op_mem_32_22 is array (0 to (1 - 1)) of boolean;
signal op_mem_32_22: array_type_op_mem_32_22 := (
0 => false);
signal op_mem_32_22_front_din: boolean;
signal op_mem_32_22_back: boolean;
signal op_mem_32_22_push_front_pop_back_en: std_logic;
signal result_22_3_rel: boolean;
begin
a_1_31 <= std_logic_vector_to_unsigned(a);
b_1_34 <= std_logic_vector_to_unsigned(b);
op_mem_32_22_back <= op_mem_32_22(0);
proc_op_mem_32_22: process (clk)
is
variable i: integer;
begin
if (clk'event and (clk = '1')) then
if ((ce = '1') and (op_mem_32_22_push_front_pop_back_en = '1')) then
op_mem_32_22(0) <= op_mem_32_22_front_din;
end if;
end if;
end process proc_op_mem_32_22;
result_22_3_rel <= a_1_31 >= b_1_34;
op_mem_32_22_front_din <= result_22_3_rel;
op_mem_32_22_push_front_pop_back_en <= '1';
op <= boolean_to_vector(op_mem_32_22_back);
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity constant_963ed6358a is
port (
op : out std_logic_vector((1 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end constant_963ed6358a;
architecture behavior of constant_963ed6358a is
begin
op <= "0";
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity mcode_block_8231ed31e4 is
port (
plbrst : in std_logic_vector((1 - 1) downto 0);
plbabus : in std_logic_vector((32 - 1) downto 0);
plbpavalid : in std_logic_vector((1 - 1) downto 0);
plbrnw : in std_logic_vector((1 - 1) downto 0);
plbwrdbus : in std_logic_vector((32 - 1) downto 0);
rddata : in std_logic_vector((32 - 1) downto 0);
addrpref : in std_logic_vector((15 - 1) downto 0);
wrdbusreg : out std_logic_vector((32 - 1) downto 0);
addrack : out std_logic_vector((1 - 1) downto 0);
rdcomp : out std_logic_vector((1 - 1) downto 0);
wrdack : out std_logic_vector((1 - 1) downto 0);
bankaddr : out std_logic_vector((2 - 1) downto 0);
rnwreg : out std_logic_vector((1 - 1) downto 0);
rddack : out std_logic_vector((1 - 1) downto 0);
rddbus : out std_logic_vector((32 - 1) downto 0);
linearaddr : out std_logic_vector((13 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end mcode_block_8231ed31e4;
architecture behavior of mcode_block_8231ed31e4 is
signal plbrst_2_20: unsigned((1 - 1) downto 0);
signal plbabus_2_28: unsigned((32 - 1) downto 0);
signal plbpavalid_2_37: unsigned((1 - 1) downto 0);
signal plbrnw_2_49: unsigned((1 - 1) downto 0);
signal plbwrdbus_2_57: unsigned((32 - 1) downto 0);
signal rddata_2_68: unsigned((32 - 1) downto 0);
signal addrpref_2_76: unsigned((15 - 1) downto 0);
signal plbrstreg_13_24_next: boolean;
signal plbrstreg_13_24: boolean := false;
signal plbabusreg_14_25_next: unsigned((32 - 1) downto 0);
signal plbabusreg_14_25: unsigned((32 - 1) downto 0) := "00000000000000000000000000000000";
signal plbpavalidreg_15_28_next: boolean;
signal plbpavalidreg_15_28: boolean := false;
signal plbrnwreg_16_24_next: unsigned((1 - 1) downto 0);
signal plbrnwreg_16_24: unsigned((1 - 1) downto 0) := "0";
signal plbwrdbusreg_17_27_next: unsigned((32 - 1) downto 0);
signal plbwrdbusreg_17_27: unsigned((32 - 1) downto 0) := "00000000000000000000000000000000";
signal avalidreg_29_23_next: boolean;
signal avalidreg_29_23: boolean := false;
signal ps1reg_40_20_next: boolean;
signal ps1reg_40_20: boolean := false;
signal psreg_48_19_next: boolean;
signal psreg_48_19: boolean := false;
type array_type_rdcompdelay_59_25 is array (0 to (3 - 1)) of unsigned((1 - 1) downto 0);
signal rdcompdelay_59_25: array_type_rdcompdelay_59_25 := (
"0",
"0",
"0");
signal rdcompdelay_59_25_front_din: unsigned((1 - 1) downto 0);
signal rdcompdelay_59_25_back: unsigned((1 - 1) downto 0);
signal rdcompdelay_59_25_push_front_pop_back_en: std_logic;
signal rdcompreg_63_23_next: unsigned((1 - 1) downto 0);
signal rdcompreg_63_23: unsigned((1 - 1) downto 0) := "0";
signal rddackreg_67_23_next: unsigned((1 - 1) downto 0);
signal rddackreg_67_23: unsigned((1 - 1) downto 0) := "0";
signal wrdackreg_71_23_next: unsigned((1 - 1) downto 0);
signal wrdackreg_71_23: unsigned((1 - 1) downto 0) := "0";
signal rddbusreg_85_23_next: unsigned((32 - 1) downto 0);
signal rddbusreg_85_23: unsigned((32 - 1) downto 0) := "00000000000000000000000000000000";
signal bankaddr_21_1_slice: unsigned((2 - 1) downto 0);
signal linearaddr_22_1_slice: unsigned((13 - 1) downto 0);
signal addrpref_in_33_1_slice: unsigned((15 - 1) downto 0);
signal rel_34_4: boolean;
signal ps1_join_34_1: boolean;
signal ps_43_1_bit: boolean;
signal bitnot_50_49: boolean;
signal bitnot_50_73: boolean;
signal bit_50_49: boolean;
signal addrack_50_1_convert: unsigned((1 - 1) downto 0);
signal bit_56_43: unsigned((1 - 1) downto 0);
signal bitnot_73_35: unsigned((1 - 1) downto 0);
signal wrdackreg_73_1_bit: unsigned((1 - 1) downto 0);
signal rdsel_77_1_bit: unsigned((1 - 1) downto 0);
signal rel_79_4: boolean;
signal rddbus1_join_79_1: unsigned((32 - 1) downto 0);
signal plbwrdbusreg_98_1_slice: unsigned((32 - 1) downto 0);
signal plbrstreg_13_24_next_x_000000: boolean;
signal plbpavalidreg_15_28_next_x_000000: boolean;
begin
plbrst_2_20 <= std_logic_vector_to_unsigned(plbrst);
plbabus_2_28 <= std_logic_vector_to_unsigned(plbabus);
plbpavalid_2_37 <= std_logic_vector_to_unsigned(plbpavalid);
plbrnw_2_49 <= std_logic_vector_to_unsigned(plbrnw);
plbwrdbus_2_57 <= std_logic_vector_to_unsigned(plbwrdbus);
rddata_2_68 <= std_logic_vector_to_unsigned(rddata);
addrpref_2_76 <= std_logic_vector_to_unsigned(addrpref);
proc_plbrstreg_13_24: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
plbrstreg_13_24 <= plbrstreg_13_24_next;
end if;
end if;
end process proc_plbrstreg_13_24;
proc_plbabusreg_14_25: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
plbabusreg_14_25 <= plbabusreg_14_25_next;
end if;
end if;
end process proc_plbabusreg_14_25;
proc_plbpavalidreg_15_28: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
plbpavalidreg_15_28 <= plbpavalidreg_15_28_next;
end if;
end if;
end process proc_plbpavalidreg_15_28;
proc_plbrnwreg_16_24: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
plbrnwreg_16_24 <= plbrnwreg_16_24_next;
end if;
end if;
end process proc_plbrnwreg_16_24;
proc_plbwrdbusreg_17_27: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
plbwrdbusreg_17_27 <= plbwrdbusreg_17_27_next;
end if;
end if;
end process proc_plbwrdbusreg_17_27;
proc_avalidreg_29_23: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
avalidreg_29_23 <= avalidreg_29_23_next;
end if;
end if;
end process proc_avalidreg_29_23;
proc_ps1reg_40_20: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
ps1reg_40_20 <= ps1reg_40_20_next;
end if;
end if;
end process proc_ps1reg_40_20;
proc_psreg_48_19: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
psreg_48_19 <= psreg_48_19_next;
end if;
end if;
end process proc_psreg_48_19;
rdcompdelay_59_25_back <= rdcompdelay_59_25(2);
proc_rdcompdelay_59_25: process (clk)
is
variable i: integer;
begin
if (clk'event and (clk = '1')) then
if ((ce = '1') and (rdcompdelay_59_25_push_front_pop_back_en = '1')) then
for i in 2 downto 1 loop
rdcompdelay_59_25(i) <= rdcompdelay_59_25(i-1);
end loop;
rdcompdelay_59_25(0) <= rdcompdelay_59_25_front_din;
end if;
end if;
end process proc_rdcompdelay_59_25;
proc_rdcompreg_63_23: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
rdcompreg_63_23 <= rdcompreg_63_23_next;
end if;
end if;
end process proc_rdcompreg_63_23;
proc_rddackreg_67_23: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
rddackreg_67_23 <= rddackreg_67_23_next;
end if;
end if;
end process proc_rddackreg_67_23;
proc_wrdackreg_71_23: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
wrdackreg_71_23 <= wrdackreg_71_23_next;
end if;
end if;
end process proc_wrdackreg_71_23;
proc_rddbusreg_85_23: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
rddbusreg_85_23 <= rddbusreg_85_23_next;
end if;
end if;
end process proc_rddbusreg_85_23;
bankaddr_21_1_slice <= u2u_slice(plbabusreg_14_25, 16, 15);
linearaddr_22_1_slice <= u2u_slice(plbabusreg_14_25, 14, 2);
addrpref_in_33_1_slice <= u2u_slice(plbabusreg_14_25, 31, 17);
rel_34_4 <= addrpref_in_33_1_slice = addrpref_2_76;
proc_if_34_1: process (rel_34_4)
is
begin
if rel_34_4 then
ps1_join_34_1 <= true;
else
ps1_join_34_1 <= false;
end if;
end process proc_if_34_1;
ps_43_1_bit <= ((boolean_to_vector(ps1_join_34_1) and boolean_to_vector(plbpavalidreg_15_28)) = "1");
bitnot_50_49 <= ((not boolean_to_vector(plbrstreg_13_24)) = "1");
bitnot_50_73 <= ((not boolean_to_vector(psreg_48_19)) = "1");
bit_50_49 <= ((boolean_to_vector(bitnot_50_49) and boolean_to_vector(ps_43_1_bit) and boolean_to_vector(bitnot_50_73)) = "1");
addrack_50_1_convert <= u2u_cast(std_logic_vector_to_unsigned(boolean_to_vector(bit_50_49)), 0, 1, 0);
bit_56_43 <= std_logic_vector_to_unsigned(unsigned_to_std_logic_vector(addrack_50_1_convert) and unsigned_to_std_logic_vector(plbrnwreg_16_24));
bitnot_73_35 <= std_logic_vector_to_unsigned(not unsigned_to_std_logic_vector(plbrnwreg_16_24));
wrdackreg_73_1_bit <= std_logic_vector_to_unsigned(unsigned_to_std_logic_vector(addrack_50_1_convert) and unsigned_to_std_logic_vector(bitnot_73_35));
rdsel_77_1_bit <= std_logic_vector_to_unsigned(unsigned_to_std_logic_vector(rdcompdelay_59_25_back) or unsigned_to_std_logic_vector(rdcompreg_63_23));
rel_79_4 <= rdsel_77_1_bit = std_logic_vector_to_unsigned("1");
proc_if_79_1: process (rddata_2_68, rel_79_4)
is
begin
if rel_79_4 then
rddbus1_join_79_1 <= rddata_2_68;
else
rddbus1_join_79_1 <= std_logic_vector_to_unsigned("00000000000000000000000000000000");
end if;
end process proc_if_79_1;
plbwrdbusreg_98_1_slice <= u2u_slice(plbwrdbus_2_57, 31, 0);
plbrstreg_13_24_next_x_000000 <= (plbrst_2_20 /= "0");
plbrstreg_13_24_next <= plbrstreg_13_24_next_x_000000;
plbabusreg_14_25_next <= plbabus_2_28;
plbpavalidreg_15_28_next_x_000000 <= (plbpavalid_2_37 /= "0");
plbpavalidreg_15_28_next <= plbpavalidreg_15_28_next_x_000000;
plbrnwreg_16_24_next <= plbrnw_2_49;
plbwrdbusreg_17_27_next <= plbwrdbusreg_98_1_slice;
avalidreg_29_23_next <= plbpavalidreg_15_28;
ps1reg_40_20_next <= ps1_join_34_1;
psreg_48_19_next <= ps_43_1_bit;
rdcompdelay_59_25_front_din <= bit_56_43;
rdcompdelay_59_25_push_front_pop_back_en <= '1';
rdcompreg_63_23_next <= rdcompdelay_59_25_back;
rddackreg_67_23_next <= rdcompreg_63_23;
wrdackreg_71_23_next <= wrdackreg_73_1_bit;
rddbusreg_85_23_next <= rddbus1_join_79_1;
wrdbusreg <= unsigned_to_std_logic_vector(plbwrdbusreg_17_27);
addrack <= unsigned_to_std_logic_vector(addrack_50_1_convert);
rdcomp <= unsigned_to_std_logic_vector(rdcompreg_63_23);
wrdack <= unsigned_to_std_logic_vector(wrdackreg_71_23);
bankaddr <= unsigned_to_std_logic_vector(bankaddr_21_1_slice);
rnwreg <= unsigned_to_std_logic_vector(plbrnwreg_16_24);
rddack <= unsigned_to_std_logic_vector(rddackreg_67_23);
rddbus <= unsigned_to_std_logic_vector(rddbusreg_85_23);
linearaddr <= unsigned_to_std_logic_vector(linearaddr_22_1_slice);
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity mcode_block_66f25059c9 is
port (
wrdbus : in std_logic_vector((32 - 1) downto 0);
bankaddr : in std_logic_vector((2 - 1) downto 0);
linearaddr : in std_logic_vector((13 - 1) downto 0);
rnwreg : in std_logic_vector((1 - 1) downto 0);
addrack : in std_logic_vector((1 - 1) downto 0);
sm_buttons_big : in std_logic_vector((2 - 1) downto 0);
sm_buttons_small : in std_logic_vector((6 - 1) downto 0);
sm_dip_switch : in std_logic_vector((4 - 1) downto 0);
sm_trackball : in std_logic_vector((5 - 1) downto 0);
sm_buzzer_dutycycle : in std_logic_vector((18 - 1) downto 0);
sm_buzzer_enable : in std_logic_vector((1 - 1) downto 0);
sm_buzzer_period : in std_logic_vector((18 - 1) downto 0);
sm_lcd_backgroundcolor : in std_logic_vector((9 - 1) downto 0);
sm_lcd_characteroffset : in std_logic_vector((4 - 1) downto 0);
sm_lcd_charactersselect : in std_logic_vector((3 - 1) downto 0);
sm_lcd_colset : in std_logic_vector((9 - 1) downto 0);
sm_lcd_configlocation : in std_logic_vector((2 - 1) downto 0);
sm_lcd_dividerselect : in std_logic_vector((1 - 1) downto 0);
sm_lcd_firstend : in std_logic_vector((9 - 1) downto 0);
sm_lcd_firststart : in std_logic_vector((9 - 1) downto 0);
sm_lcd_lineoffset : in std_logic_vector((4 - 1) downto 0);
sm_lcd_ramwrite : in std_logic_vector((9 - 1) downto 0);
sm_lcd_reset : in std_logic_vector((1 - 1) downto 0);
sm_lcd_resetlcd : in std_logic_vector((1 - 1) downto 0);
sm_lcd_rowset : in std_logic_vector((9 - 1) downto 0);
sm_lcd_secondend : in std_logic_vector((9 - 1) downto 0);
sm_lcd_secondstart : in std_logic_vector((9 - 1) downto 0);
sm_lcd_send : in std_logic_vector((1 - 1) downto 0);
sm_lcd_totalcmdtransfer : in std_logic_vector((8 - 1) downto 0);
sm_leds : in std_logic_vector((8 - 1) downto 0);
sm_lcd_charactermap : in std_logic_vector((32 - 1) downto 0);
sm_lcd_characters : in std_logic_vector((32 - 1) downto 0);
sm_lcd_commands : in std_logic_vector((32 - 1) downto 0);
read_bank_out : out std_logic_vector((32 - 1) downto 0);
sm_buzzer_dutycycle_din : out std_logic_vector((18 - 1) downto 0);
sm_buzzer_dutycycle_en : out std_logic_vector((1 - 1) downto 0);
sm_buzzer_enable_din : out std_logic_vector((1 - 1) downto 0);
sm_buzzer_enable_en : out std_logic_vector((1 - 1) downto 0);
sm_buzzer_period_din : out std_logic_vector((18 - 1) downto 0);
sm_buzzer_period_en : out std_logic_vector((1 - 1) downto 0);
sm_lcd_backgroundcolor_din : out std_logic_vector((9 - 1) downto 0);
sm_lcd_backgroundcolor_en : out std_logic_vector((1 - 1) downto 0);
sm_lcd_characteroffset_din : out std_logic_vector((4 - 1) downto 0);
sm_lcd_characteroffset_en : out std_logic_vector((1 - 1) downto 0);
sm_lcd_charactersselect_din : out std_logic_vector((3 - 1) downto 0);
sm_lcd_charactersselect_en : out std_logic_vector((1 - 1) downto 0);
sm_lcd_colset_din : out std_logic_vector((9 - 1) downto 0);
sm_lcd_colset_en : out std_logic_vector((1 - 1) downto 0);
sm_lcd_configlocation_din : out std_logic_vector((2 - 1) downto 0);
sm_lcd_configlocation_en : out std_logic_vector((1 - 1) downto 0);
sm_lcd_dividerselect_din : out std_logic_vector((1 - 1) downto 0);
sm_lcd_dividerselect_en : out std_logic_vector((1 - 1) downto 0);
sm_lcd_firstend_din : out std_logic_vector((9 - 1) downto 0);
sm_lcd_firstend_en : out std_logic_vector((1 - 1) downto 0);
sm_lcd_firststart_din : out std_logic_vector((9 - 1) downto 0);
sm_lcd_firststart_en : out std_logic_vector((1 - 1) downto 0);
sm_lcd_lineoffset_din : out std_logic_vector((4 - 1) downto 0);
sm_lcd_lineoffset_en : out std_logic_vector((1 - 1) downto 0);
sm_lcd_ramwrite_din : out std_logic_vector((9 - 1) downto 0);
sm_lcd_ramwrite_en : out std_logic_vector((1 - 1) downto 0);
sm_lcd_reset_din : out std_logic_vector((1 - 1) downto 0);
sm_lcd_reset_en : out std_logic_vector((1 - 1) downto 0);
sm_lcd_resetlcd_din : out std_logic_vector((1 - 1) downto 0);
sm_lcd_resetlcd_en : out std_logic_vector((1 - 1) downto 0);
sm_lcd_rowset_din : out std_logic_vector((9 - 1) downto 0);
sm_lcd_rowset_en : out std_logic_vector((1 - 1) downto 0);
sm_lcd_secondend_din : out std_logic_vector((9 - 1) downto 0);
sm_lcd_secondend_en : out std_logic_vector((1 - 1) downto 0);
sm_lcd_secondstart_din : out std_logic_vector((9 - 1) downto 0);
sm_lcd_secondstart_en : out std_logic_vector((1 - 1) downto 0);
sm_lcd_send_din : out std_logic_vector((1 - 1) downto 0);
sm_lcd_send_en : out std_logic_vector((1 - 1) downto 0);
sm_lcd_totalcmdtransfer_din : out std_logic_vector((8 - 1) downto 0);
sm_lcd_totalcmdtransfer_en : out std_logic_vector((1 - 1) downto 0);
sm_leds_din : out std_logic_vector((8 - 1) downto 0);
sm_leds_en : out std_logic_vector((1 - 1) downto 0);
sm_lcd_charactermap_addr : out std_logic_vector((12 - 1) downto 0);
sm_lcd_charactermap_din : out std_logic_vector((32 - 1) downto 0);
sm_lcd_charactermap_we : out std_logic_vector((1 - 1) downto 0);
sm_lcd_characters_addr : out std_logic_vector((9 - 1) downto 0);
sm_lcd_characters_din : out std_logic_vector((32 - 1) downto 0);
sm_lcd_characters_we : out std_logic_vector((1 - 1) downto 0);
sm_lcd_commands_addr : out std_logic_vector((8 - 1) downto 0);
sm_lcd_commands_din : out std_logic_vector((32 - 1) downto 0);
sm_lcd_commands_we : out std_logic_vector((1 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end mcode_block_66f25059c9;
architecture behavior of mcode_block_66f25059c9 is
signal wrdbus_1_1186: unsigned((32 - 1) downto 0);
signal bankaddr_1_1194: unsigned((2 - 1) downto 0);
signal linearaddr_1_1204: unsigned((13 - 1) downto 0);
signal rnwreg_1_1216: unsigned((1 - 1) downto 0);
signal addrack_1_1224: unsigned((1 - 1) downto 0);
signal sm_buttons_big_1_1233: unsigned((2 - 1) downto 0);
signal sm_buttons_small_1_1249: unsigned((6 - 1) downto 0);
signal sm_dip_switch_1_1267: unsigned((4 - 1) downto 0);
signal sm_trackball_1_1282: unsigned((5 - 1) downto 0);
signal sm_buzzer_dutycycle_1_1296: unsigned((18 - 1) downto 0);
signal sm_buzzer_enable_1_1317: unsigned((1 - 1) downto 0);
signal sm_buzzer_period_1_1335: unsigned((18 - 1) downto 0);
signal sm_lcd_backgroundcolor_1_1353: unsigned((9 - 1) downto 0);
signal sm_lcd_characteroffset_1_1377: unsigned((4 - 1) downto 0);
signal sm_lcd_charactersselect_1_1401: unsigned((3 - 1) downto 0);
signal sm_lcd_colset_1_1426: unsigned((9 - 1) downto 0);
signal sm_lcd_configlocation_1_1441: unsigned((2 - 1) downto 0);
signal sm_lcd_dividerselect_1_1464: unsigned((1 - 1) downto 0);
signal sm_lcd_firstend_1_1486: unsigned((9 - 1) downto 0);
signal sm_lcd_firststart_1_1503: unsigned((9 - 1) downto 0);
signal sm_lcd_lineoffset_1_1522: unsigned((4 - 1) downto 0);
signal sm_lcd_ramwrite_1_1541: unsigned((9 - 1) downto 0);
signal sm_lcd_reset_1_1558: unsigned((1 - 1) downto 0);
signal sm_lcd_resetlcd_1_1572: unsigned((1 - 1) downto 0);
signal sm_lcd_rowset_1_1589: unsigned((9 - 1) downto 0);
signal sm_lcd_secondend_1_1604: unsigned((9 - 1) downto 0);
signal sm_lcd_secondstart_1_1622: unsigned((9 - 1) downto 0);
signal sm_lcd_send_1_1642: unsigned((1 - 1) downto 0);
signal sm_lcd_totalcmdtransfer_1_1655: unsigned((8 - 1) downto 0);
signal sm_leds_1_1680: unsigned((8 - 1) downto 0);
signal sm_lcd_charactermap_1_1689: unsigned((32 - 1) downto 0);
signal sm_lcd_characters_1_1710: unsigned((32 - 1) downto 0);
signal sm_lcd_commands_1_1729: unsigned((32 - 1) downto 0);
signal reg_bank_out_reg_98_30_next: unsigned((32 - 1) downto 0);
signal reg_bank_out_reg_98_30: unsigned((32 - 1) downto 0) := "00000000000000000000000000000000";
signal ram_bank_out_reg_203_30_next: unsigned((32 - 1) downto 0);
signal ram_bank_out_reg_203_30: unsigned((32 - 1) downto 0) := "00000000000000000000000000000000";
signal sm_lcd_charactermap_we_reg_226_40_next: boolean;
signal sm_lcd_charactermap_we_reg_226_40: boolean := false;
signal sm_lcd_characters_we_reg_245_38_next: boolean;
signal sm_lcd_characters_we_reg_245_38: boolean := false;
signal sm_lcd_commands_we_reg_264_36_next: boolean;
signal sm_lcd_commands_we_reg_264_36: boolean := false;
signal sm_lcd_charactermap_addr_reg_287_1_next: unsigned((12 - 1) downto 0);
signal sm_lcd_charactermap_addr_reg_287_1: unsigned((12 - 1) downto 0) := "000000000000";
signal sm_lcd_charactermap_addr_reg_287_1_en: std_logic;
signal sm_lcd_characters_addr_reg_295_1_next: unsigned((9 - 1) downto 0);
signal sm_lcd_characters_addr_reg_295_1: unsigned((9 - 1) downto 0) := "000000000";
signal sm_lcd_characters_addr_reg_295_1_en: std_logic;
signal sm_lcd_commands_addr_reg_303_1_next: unsigned((8 - 1) downto 0);
signal sm_lcd_commands_addr_reg_303_1: unsigned((8 - 1) downto 0) := "00000000";
signal sm_lcd_commands_addr_reg_303_1_en: std_logic;
signal read_bank_out_reg_516_31_next: unsigned((32 - 1) downto 0);
signal read_bank_out_reg_516_31: unsigned((32 - 1) downto 0) := "00000000000000000000000000000000";
signal bankaddr_reg_519_26_next: unsigned((2 - 1) downto 0);
signal bankaddr_reg_519_26: unsigned((2 - 1) downto 0) := "00";
signal rel_101_4: boolean;
signal rel_103_8: boolean;
signal rel_105_8: boolean;
signal rel_107_8: boolean;
signal rel_109_8: boolean;
signal rel_111_8: boolean;
signal rel_113_8: boolean;
signal rel_115_8: boolean;
signal rel_117_8: boolean;
signal rel_119_8: boolean;
signal rel_121_8: boolean;
signal rel_123_8: boolean;
signal rel_125_8: boolean;
signal rel_127_8: boolean;
signal rel_129_8: boolean;
signal rel_131_8: boolean;
signal rel_133_8: boolean;
signal rel_135_8: boolean;
signal rel_137_8: boolean;
signal rel_139_8: boolean;
signal rel_141_8: boolean;
signal rel_143_8: boolean;
signal rel_145_8: boolean;
signal rel_147_8: boolean;
signal rel_149_8: boolean;
signal reg_bank_out_reg_join_101_1: unsigned((32 - 1) downto 0);
signal opcode_160_1_concat: unsigned((17 - 1) downto 0);
signal slice_164_51: unsigned((1 - 1) downto 0);
signal sm_lcd_charactermap_sel_value_164_1_concat: unsigned((1 - 1) downto 0);
signal rel_168_4: boolean;
signal sm_lcd_charactermap_sel_join_168_1: boolean;
signal slice_176_49: unsigned((4 - 1) downto 0);
signal sm_lcd_characters_sel_value_176_1_concat: unsigned((4 - 1) downto 0);
signal rel_180_4: boolean;
signal sm_lcd_characters_sel_join_180_1: boolean;
signal slice_188_47: unsigned((5 - 1) downto 0);
signal sm_lcd_commands_sel_value_188_1_concat: unsigned((5 - 1) downto 0);
signal rel_192_4: boolean;
signal sm_lcd_commands_sel_join_192_1: boolean;
signal ram_bank_out_reg_join_205_1: unsigned((32 - 1) downto 0);
signal slice_214_44: unsigned((32 - 1) downto 0);
signal slice_217_42: unsigned((32 - 1) downto 0);
signal slice_220_40: unsigned((32 - 1) downto 0);
signal slice_231_46: unsigned((1 - 1) downto 0);
signal opcode_sm_lcd_charactermap_228_1_concat: unsigned((5 - 1) downto 0);
signal rel_235_4: boolean;
signal sm_lcd_charactermap_we_reg_join_235_1: boolean;
signal slice_250_46: unsigned((4 - 1) downto 0);
signal opcode_sm_lcd_characters_247_1_concat: unsigned((8 - 1) downto 0);
signal rel_254_4: boolean;
signal sm_lcd_characters_we_reg_join_254_1: boolean;
signal slice_269_46: unsigned((5 - 1) downto 0);
signal opcode_sm_lcd_commands_266_1_concat: unsigned((9 - 1) downto 0);
signal rel_273_4: boolean;
signal sm_lcd_commands_we_reg_join_273_1: boolean;
signal sm_lcd_charactermap_addr_reg_290_5_slice: unsigned((13 - 1) downto 0);
signal rel_289_4: boolean;
signal sm_lcd_charactermap_addr_reg_join_289_1: unsigned((13 - 1) downto 0);
signal sm_lcd_charactermap_addr_reg_join_289_1_en: std_logic;
signal sm_lcd_characters_addr_reg_298_5_slice: unsigned((10 - 1) downto 0);
signal rel_297_4: boolean;
signal sm_lcd_characters_addr_reg_join_297_1: unsigned((10 - 1) downto 0);
signal sm_lcd_characters_addr_reg_join_297_1_en: std_logic;
signal sm_lcd_commands_addr_reg_306_5_slice: unsigned((9 - 1) downto 0);
signal rel_305_4: boolean;
signal sm_lcd_commands_addr_reg_join_305_1: unsigned((9 - 1) downto 0);
signal sm_lcd_commands_addr_reg_join_305_1_en: std_logic;
signal rel_316_4: boolean;
signal sm_buzzer_dutycycle_en_join_316_1: boolean;
signal rel_322_4: boolean;
signal sm_buzzer_enable_en_join_322_1: boolean;
signal rel_328_4: boolean;
signal sm_buzzer_period_en_join_328_1: boolean;
signal rel_334_4: boolean;
signal sm_lcd_backgroundcolor_en_join_334_1: boolean;
signal rel_340_4: boolean;
signal sm_lcd_characteroffset_en_join_340_1: boolean;
signal rel_346_4: boolean;
signal sm_lcd_charactersselect_en_join_346_1: boolean;
signal rel_352_4: boolean;
signal sm_lcd_colset_en_join_352_1: boolean;
signal rel_358_4: boolean;
signal sm_lcd_configlocation_en_join_358_1: boolean;
signal rel_364_4: boolean;
signal sm_lcd_dividerselect_en_join_364_1: boolean;
signal rel_370_4: boolean;
signal sm_lcd_firstend_en_join_370_1: boolean;
signal rel_376_4: boolean;
signal sm_lcd_firststart_en_join_376_1: boolean;
signal rel_382_4: boolean;
signal sm_lcd_lineoffset_en_join_382_1: boolean;
signal rel_388_4: boolean;
signal sm_lcd_ramwrite_en_join_388_1: boolean;
signal rel_394_4: boolean;
signal sm_lcd_reset_en_join_394_1: boolean;
signal rel_400_4: boolean;
signal sm_lcd_resetlcd_en_join_400_1: boolean;
signal rel_406_4: boolean;
signal sm_lcd_rowset_en_join_406_1: boolean;
signal rel_412_4: boolean;
signal sm_lcd_secondend_en_join_412_1: boolean;
signal rel_418_4: boolean;
signal sm_lcd_secondstart_en_join_418_1: boolean;
signal rel_424_4: boolean;
signal sm_lcd_send_en_join_424_1: boolean;
signal rel_430_4: boolean;
signal sm_lcd_totalcmdtransfer_en_join_430_1: boolean;
signal rel_436_4: boolean;
signal sm_leds_en_join_436_1: boolean;
signal slice_451_44: unsigned((18 - 1) downto 0);
signal slice_454_41: unsigned((1 - 1) downto 0);
signal slice_457_41: unsigned((18 - 1) downto 0);
signal slice_460_47: unsigned((9 - 1) downto 0);
signal slice_463_47: unsigned((4 - 1) downto 0);
signal slice_466_48: unsigned((3 - 1) downto 0);
signal slice_469_38: unsigned((9 - 1) downto 0);
signal slice_472_46: unsigned((2 - 1) downto 0);
signal slice_475_45: unsigned((1 - 1) downto 0);
signal slice_478_40: unsigned((9 - 1) downto 0);
signal slice_481_42: unsigned((9 - 1) downto 0);
signal slice_484_42: unsigned((4 - 1) downto 0);
signal slice_487_40: unsigned((9 - 1) downto 0);
signal slice_490_37: unsigned((1 - 1) downto 0);
signal slice_493_40: unsigned((1 - 1) downto 0);
signal slice_496_38: unsigned((9 - 1) downto 0);
signal slice_499_41: unsigned((9 - 1) downto 0);
signal slice_502_43: unsigned((9 - 1) downto 0);
signal slice_505_36: unsigned((1 - 1) downto 0);
signal slice_508_48: unsigned((8 - 1) downto 0);
signal slice_511_32: unsigned((8 - 1) downto 0);
signal rel_521_4: boolean;
signal rel_524_8: boolean;
signal rel_527_8: boolean;
signal rel_530_8: boolean;
signal read_bank_out_reg_join_521_1: unsigned((32 - 1) downto 0);
signal cast_sm_lcd_charactermap_addr_reg_287_1_next: unsigned((12 - 1) downto 0);
signal cast_sm_lcd_characters_addr_reg_295_1_next: unsigned((9 - 1) downto 0);
signal cast_sm_lcd_commands_addr_reg_303_1_next: unsigned((8 - 1) downto 0);
begin
wrdbus_1_1186 <= std_logic_vector_to_unsigned(wrdbus);
bankaddr_1_1194 <= std_logic_vector_to_unsigned(bankaddr);
linearaddr_1_1204 <= std_logic_vector_to_unsigned(linearaddr);
rnwreg_1_1216 <= std_logic_vector_to_unsigned(rnwreg);
addrack_1_1224 <= std_logic_vector_to_unsigned(addrack);
sm_buttons_big_1_1233 <= std_logic_vector_to_unsigned(sm_buttons_big);
sm_buttons_small_1_1249 <= std_logic_vector_to_unsigned(sm_buttons_small);
sm_dip_switch_1_1267 <= std_logic_vector_to_unsigned(sm_dip_switch);
sm_trackball_1_1282 <= std_logic_vector_to_unsigned(sm_trackball);
sm_buzzer_dutycycle_1_1296 <= std_logic_vector_to_unsigned(sm_buzzer_dutycycle);
sm_buzzer_enable_1_1317 <= std_logic_vector_to_unsigned(sm_buzzer_enable);
sm_buzzer_period_1_1335 <= std_logic_vector_to_unsigned(sm_buzzer_period);
sm_lcd_backgroundcolor_1_1353 <= std_logic_vector_to_unsigned(sm_lcd_backgroundcolor);
sm_lcd_characteroffset_1_1377 <= std_logic_vector_to_unsigned(sm_lcd_characteroffset);
sm_lcd_charactersselect_1_1401 <= std_logic_vector_to_unsigned(sm_lcd_charactersselect);
sm_lcd_colset_1_1426 <= std_logic_vector_to_unsigned(sm_lcd_colset);
sm_lcd_configlocation_1_1441 <= std_logic_vector_to_unsigned(sm_lcd_configlocation);
sm_lcd_dividerselect_1_1464 <= std_logic_vector_to_unsigned(sm_lcd_dividerselect);
sm_lcd_firstend_1_1486 <= std_logic_vector_to_unsigned(sm_lcd_firstend);
sm_lcd_firststart_1_1503 <= std_logic_vector_to_unsigned(sm_lcd_firststart);
sm_lcd_lineoffset_1_1522 <= std_logic_vector_to_unsigned(sm_lcd_lineoffset);
sm_lcd_ramwrite_1_1541 <= std_logic_vector_to_unsigned(sm_lcd_ramwrite);
sm_lcd_reset_1_1558 <= std_logic_vector_to_unsigned(sm_lcd_reset);
sm_lcd_resetlcd_1_1572 <= std_logic_vector_to_unsigned(sm_lcd_resetlcd);
sm_lcd_rowset_1_1589 <= std_logic_vector_to_unsigned(sm_lcd_rowset);
sm_lcd_secondend_1_1604 <= std_logic_vector_to_unsigned(sm_lcd_secondend);
sm_lcd_secondstart_1_1622 <= std_logic_vector_to_unsigned(sm_lcd_secondstart);
sm_lcd_send_1_1642 <= std_logic_vector_to_unsigned(sm_lcd_send);
sm_lcd_totalcmdtransfer_1_1655 <= std_logic_vector_to_unsigned(sm_lcd_totalcmdtransfer);
sm_leds_1_1680 <= std_logic_vector_to_unsigned(sm_leds);
sm_lcd_charactermap_1_1689 <= std_logic_vector_to_unsigned(sm_lcd_charactermap);
sm_lcd_characters_1_1710 <= std_logic_vector_to_unsigned(sm_lcd_characters);
sm_lcd_commands_1_1729 <= std_logic_vector_to_unsigned(sm_lcd_commands);
proc_reg_bank_out_reg_98_30: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
reg_bank_out_reg_98_30 <= reg_bank_out_reg_98_30_next;
end if;
end if;
end process proc_reg_bank_out_reg_98_30;
proc_ram_bank_out_reg_203_30: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
ram_bank_out_reg_203_30 <= ram_bank_out_reg_203_30_next;
end if;
end if;
end process proc_ram_bank_out_reg_203_30;
proc_sm_lcd_charactermap_we_reg_226_40: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
sm_lcd_charactermap_we_reg_226_40 <= sm_lcd_charactermap_we_reg_226_40_next;
end if;
end if;
end process proc_sm_lcd_charactermap_we_reg_226_40;
proc_sm_lcd_characters_we_reg_245_38: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
sm_lcd_characters_we_reg_245_38 <= sm_lcd_characters_we_reg_245_38_next;
end if;
end if;
end process proc_sm_lcd_characters_we_reg_245_38;
proc_sm_lcd_commands_we_reg_264_36: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
sm_lcd_commands_we_reg_264_36 <= sm_lcd_commands_we_reg_264_36_next;
end if;
end if;
end process proc_sm_lcd_commands_we_reg_264_36;
proc_sm_lcd_charactermap_addr_reg_287_1: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if ((ce = '1') and (sm_lcd_charactermap_addr_reg_287_1_en = '1')) then
sm_lcd_charactermap_addr_reg_287_1 <= sm_lcd_charactermap_addr_reg_287_1_next;
end if;
end if;
end process proc_sm_lcd_charactermap_addr_reg_287_1;
proc_sm_lcd_characters_addr_reg_295_1: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if ((ce = '1') and (sm_lcd_characters_addr_reg_295_1_en = '1')) then
sm_lcd_characters_addr_reg_295_1 <= sm_lcd_characters_addr_reg_295_1_next;
end if;
end if;
end process proc_sm_lcd_characters_addr_reg_295_1;
proc_sm_lcd_commands_addr_reg_303_1: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if ((ce = '1') and (sm_lcd_commands_addr_reg_303_1_en = '1')) then
sm_lcd_commands_addr_reg_303_1 <= sm_lcd_commands_addr_reg_303_1_next;
end if;
end if;
end process proc_sm_lcd_commands_addr_reg_303_1;
proc_read_bank_out_reg_516_31: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
read_bank_out_reg_516_31 <= read_bank_out_reg_516_31_next;
end if;
end if;
end process proc_read_bank_out_reg_516_31;
proc_bankaddr_reg_519_26: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
bankaddr_reg_519_26 <= bankaddr_reg_519_26_next;
end if;
end if;
end process proc_bankaddr_reg_519_26;
rel_101_4 <= linearaddr_1_1204 = std_logic_vector_to_unsigned("0000000010101");
rel_103_8 <= linearaddr_1_1204 = std_logic_vector_to_unsigned("0000000010110");
rel_105_8 <= linearaddr_1_1204 = std_logic_vector_to_unsigned("0000000010111");
rel_107_8 <= linearaddr_1_1204 = std_logic_vector_to_unsigned("0000000011000");
rel_109_8 <= linearaddr_1_1204 = std_logic_vector_to_unsigned("0000000000000");
rel_111_8 <= linearaddr_1_1204 = std_logic_vector_to_unsigned("0000000000001");
rel_113_8 <= linearaddr_1_1204 = std_logic_vector_to_unsigned("0000000000010");
rel_115_8 <= linearaddr_1_1204 = std_logic_vector_to_unsigned("0000000000011");
rel_117_8 <= linearaddr_1_1204 = std_logic_vector_to_unsigned("0000000000100");
rel_119_8 <= linearaddr_1_1204 = std_logic_vector_to_unsigned("0000000000101");
rel_121_8 <= linearaddr_1_1204 = std_logic_vector_to_unsigned("0000000000110");
rel_123_8 <= linearaddr_1_1204 = std_logic_vector_to_unsigned("0000000000111");
rel_125_8 <= linearaddr_1_1204 = std_logic_vector_to_unsigned("0000000001000");
rel_127_8 <= linearaddr_1_1204 = std_logic_vector_to_unsigned("0000000001001");
rel_129_8 <= linearaddr_1_1204 = std_logic_vector_to_unsigned("0000000001010");
rel_131_8 <= linearaddr_1_1204 = std_logic_vector_to_unsigned("0000000001011");
rel_133_8 <= linearaddr_1_1204 = std_logic_vector_to_unsigned("0000000001100");
rel_135_8 <= linearaddr_1_1204 = std_logic_vector_to_unsigned("0000000001101");
rel_137_8 <= linearaddr_1_1204 = std_logic_vector_to_unsigned("0000000001110");
rel_139_8 <= linearaddr_1_1204 = std_logic_vector_to_unsigned("0000000001111");
rel_141_8 <= linearaddr_1_1204 = std_logic_vector_to_unsigned("0000000010000");
rel_143_8 <= linearaddr_1_1204 = std_logic_vector_to_unsigned("0000000010001");
rel_145_8 <= linearaddr_1_1204 = std_logic_vector_to_unsigned("0000000010010");
rel_147_8 <= linearaddr_1_1204 = std_logic_vector_to_unsigned("0000000010011");
rel_149_8 <= linearaddr_1_1204 = std_logic_vector_to_unsigned("0000000010100");
proc_if_101_1: process (reg_bank_out_reg_98_30, rel_101_4, rel_103_8, rel_105_8, rel_107_8, rel_109_8, rel_111_8, rel_113_8, rel_115_8, rel_117_8, rel_119_8, rel_121_8, rel_123_8, rel_125_8, rel_127_8, rel_129_8, rel_131_8, rel_133_8, rel_135_8, rel_137_8, rel_139_8, rel_141_8, rel_143_8, rel_145_8, rel_147_8, rel_149_8, sm_buttons_big_1_1233, sm_buttons_small_1_1249, sm_buzzer_dutycycle_1_1296, sm_buzzer_enable_1_1317, sm_buzzer_period_1_1335, sm_dip_switch_1_1267, sm_lcd_backgroundcolor_1_1353, sm_lcd_characteroffset_1_1377, sm_lcd_charactersselect_1_1401, sm_lcd_colset_1_1426, sm_lcd_configlocation_1_1441, sm_lcd_dividerselect_1_1464, sm_lcd_firstend_1_1486, sm_lcd_firststart_1_1503, sm_lcd_lineoffset_1_1522, sm_lcd_ramwrite_1_1541, sm_lcd_reset_1_1558, sm_lcd_resetlcd_1_1572, sm_lcd_rowset_1_1589, sm_lcd_secondend_1_1604, sm_lcd_secondstart_1_1622, sm_lcd_send_1_1642, sm_lcd_totalcmdtransfer_1_1655, sm_leds_1_1680, sm_trackball_1_1282)
is
begin
if rel_101_4 then
reg_bank_out_reg_join_101_1 <= u2u_cast(sm_buttons_big_1_1233, 0, 32, 0);
elsif rel_103_8 then
reg_bank_out_reg_join_101_1 <= u2u_cast(sm_buttons_small_1_1249, 0, 32, 0);
elsif rel_105_8 then
reg_bank_out_reg_join_101_1 <= u2u_cast(sm_dip_switch_1_1267, 0, 32, 0);
elsif rel_107_8 then
reg_bank_out_reg_join_101_1 <= u2u_cast(sm_trackball_1_1282, 0, 32, 0);
elsif rel_109_8 then
reg_bank_out_reg_join_101_1 <= u2u_cast(sm_buzzer_dutycycle_1_1296, 0, 32, 0);
elsif rel_111_8 then
reg_bank_out_reg_join_101_1 <= u2u_cast(sm_buzzer_enable_1_1317, 0, 32, 0);
elsif rel_113_8 then
reg_bank_out_reg_join_101_1 <= u2u_cast(sm_buzzer_period_1_1335, 0, 32, 0);
elsif rel_115_8 then
reg_bank_out_reg_join_101_1 <= u2u_cast(sm_lcd_backgroundcolor_1_1353, 0, 32, 0);
elsif rel_117_8 then
reg_bank_out_reg_join_101_1 <= u2u_cast(sm_lcd_characteroffset_1_1377, 0, 32, 0);
elsif rel_119_8 then
reg_bank_out_reg_join_101_1 <= u2u_cast(sm_lcd_charactersselect_1_1401, 0, 32, 0);
elsif rel_121_8 then
reg_bank_out_reg_join_101_1 <= u2u_cast(sm_lcd_colset_1_1426, 0, 32, 0);
elsif rel_123_8 then
reg_bank_out_reg_join_101_1 <= u2u_cast(sm_lcd_configlocation_1_1441, 0, 32, 0);
elsif rel_125_8 then
reg_bank_out_reg_join_101_1 <= u2u_cast(sm_lcd_dividerselect_1_1464, 0, 32, 0);
elsif rel_127_8 then
reg_bank_out_reg_join_101_1 <= u2u_cast(sm_lcd_firstend_1_1486, 0, 32, 0);
elsif rel_129_8 then
reg_bank_out_reg_join_101_1 <= u2u_cast(sm_lcd_firststart_1_1503, 0, 32, 0);
elsif rel_131_8 then
reg_bank_out_reg_join_101_1 <= u2u_cast(sm_lcd_lineoffset_1_1522, 0, 32, 0);
elsif rel_133_8 then
reg_bank_out_reg_join_101_1 <= u2u_cast(sm_lcd_ramwrite_1_1541, 0, 32, 0);
elsif rel_135_8 then
reg_bank_out_reg_join_101_1 <= u2u_cast(sm_lcd_reset_1_1558, 0, 32, 0);
elsif rel_137_8 then
reg_bank_out_reg_join_101_1 <= u2u_cast(sm_lcd_resetlcd_1_1572, 0, 32, 0);
elsif rel_139_8 then
reg_bank_out_reg_join_101_1 <= u2u_cast(sm_lcd_rowset_1_1589, 0, 32, 0);
elsif rel_141_8 then
reg_bank_out_reg_join_101_1 <= u2u_cast(sm_lcd_secondend_1_1604, 0, 32, 0);
elsif rel_143_8 then
reg_bank_out_reg_join_101_1 <= u2u_cast(sm_lcd_secondstart_1_1622, 0, 32, 0);
elsif rel_145_8 then
reg_bank_out_reg_join_101_1 <= u2u_cast(sm_lcd_send_1_1642, 0, 32, 0);
elsif rel_147_8 then
reg_bank_out_reg_join_101_1 <= u2u_cast(sm_lcd_totalcmdtransfer_1_1655, 0, 32, 0);
elsif rel_149_8 then
reg_bank_out_reg_join_101_1 <= u2u_cast(sm_leds_1_1680, 0, 32, 0);
else
reg_bank_out_reg_join_101_1 <= reg_bank_out_reg_98_30;
end if;
end process proc_if_101_1;
opcode_160_1_concat <= std_logic_vector_to_unsigned(unsigned_to_std_logic_vector(addrack_1_1224) & unsigned_to_std_logic_vector(rnwreg_1_1216) & unsigned_to_std_logic_vector(bankaddr_1_1194) & unsigned_to_std_logic_vector(linearaddr_1_1204));
slice_164_51 <= u2u_slice(linearaddr_1_1204, 12, 12);
sm_lcd_charactermap_sel_value_164_1_concat <= std_logic_vector_to_unsigned(unsigned_to_std_logic_vector(slice_164_51));
rel_168_4 <= sm_lcd_charactermap_sel_value_164_1_concat = std_logic_vector_to_unsigned("0");
proc_if_168_1: process (rel_168_4)
is
begin
if rel_168_4 then
sm_lcd_charactermap_sel_join_168_1 <= true;
else
sm_lcd_charactermap_sel_join_168_1 <= false;
end if;
end process proc_if_168_1;
slice_176_49 <= u2u_slice(linearaddr_1_1204, 12, 9);
sm_lcd_characters_sel_value_176_1_concat <= std_logic_vector_to_unsigned(unsigned_to_std_logic_vector(slice_176_49));
rel_180_4 <= sm_lcd_characters_sel_value_176_1_concat = std_logic_vector_to_unsigned("1000");
proc_if_180_1: process (rel_180_4)
is
begin
if rel_180_4 then
sm_lcd_characters_sel_join_180_1 <= true;
else
sm_lcd_characters_sel_join_180_1 <= false;
end if;
end process proc_if_180_1;
slice_188_47 <= u2u_slice(linearaddr_1_1204, 12, 8);
sm_lcd_commands_sel_value_188_1_concat <= std_logic_vector_to_unsigned(unsigned_to_std_logic_vector(slice_188_47));
rel_192_4 <= sm_lcd_commands_sel_value_188_1_concat = std_logic_vector_to_unsigned("10010");
proc_if_192_1: process (rel_192_4)
is
begin
if rel_192_4 then
sm_lcd_commands_sel_join_192_1 <= true;
else
sm_lcd_commands_sel_join_192_1 <= false;
end if;
end process proc_if_192_1;
proc_if_205_1: process (ram_bank_out_reg_203_30, sm_lcd_charactermap_1_1689, sm_lcd_charactermap_sel_join_168_1, sm_lcd_characters_1_1710, sm_lcd_characters_sel_join_180_1, sm_lcd_commands_1_1729, sm_lcd_commands_sel_join_192_1)
is
begin
if sm_lcd_charactermap_sel_join_168_1 then
ram_bank_out_reg_join_205_1 <= sm_lcd_charactermap_1_1689;
elsif sm_lcd_characters_sel_join_180_1 then
ram_bank_out_reg_join_205_1 <= sm_lcd_characters_1_1710;
elsif sm_lcd_commands_sel_join_192_1 then
ram_bank_out_reg_join_205_1 <= sm_lcd_commands_1_1729;
else
ram_bank_out_reg_join_205_1 <= ram_bank_out_reg_203_30;
end if;
end process proc_if_205_1;
slice_214_44 <= u2u_slice(wrdbus_1_1186, 31, 0);
slice_217_42 <= u2u_slice(wrdbus_1_1186, 31, 0);
slice_220_40 <= u2u_slice(wrdbus_1_1186, 31, 0);
slice_231_46 <= u2u_slice(linearaddr_1_1204, 12, 12);
opcode_sm_lcd_charactermap_228_1_concat <= std_logic_vector_to_unsigned(unsigned_to_std_logic_vector(addrack_1_1224) & unsigned_to_std_logic_vector(rnwreg_1_1216) & unsigned_to_std_logic_vector(bankaddr_1_1194) & unsigned_to_std_logic_vector(slice_231_46));
rel_235_4 <= opcode_sm_lcd_charactermap_228_1_concat = std_logic_vector_to_unsigned("10000");
proc_if_235_1: process (rel_235_4)
is
begin
if rel_235_4 then
sm_lcd_charactermap_we_reg_join_235_1 <= true;
else
sm_lcd_charactermap_we_reg_join_235_1 <= false;
end if;
end process proc_if_235_1;
slice_250_46 <= u2u_slice(linearaddr_1_1204, 12, 9);
opcode_sm_lcd_characters_247_1_concat <= std_logic_vector_to_unsigned(unsigned_to_std_logic_vector(addrack_1_1224) & unsigned_to_std_logic_vector(rnwreg_1_1216) & unsigned_to_std_logic_vector(bankaddr_1_1194) & unsigned_to_std_logic_vector(slice_250_46));
rel_254_4 <= opcode_sm_lcd_characters_247_1_concat = std_logic_vector_to_unsigned("10001000");
proc_if_254_1: process (rel_254_4)
is
begin
if rel_254_4 then
sm_lcd_characters_we_reg_join_254_1 <= true;
else
sm_lcd_characters_we_reg_join_254_1 <= false;
end if;
end process proc_if_254_1;
slice_269_46 <= u2u_slice(linearaddr_1_1204, 12, 8);
opcode_sm_lcd_commands_266_1_concat <= std_logic_vector_to_unsigned(unsigned_to_std_logic_vector(addrack_1_1224) & unsigned_to_std_logic_vector(rnwreg_1_1216) & unsigned_to_std_logic_vector(bankaddr_1_1194) & unsigned_to_std_logic_vector(slice_269_46));
rel_273_4 <= opcode_sm_lcd_commands_266_1_concat = std_logic_vector_to_unsigned("100010010");
proc_if_273_1: process (rel_273_4)
is
begin
if rel_273_4 then
sm_lcd_commands_we_reg_join_273_1 <= true;
else
sm_lcd_commands_we_reg_join_273_1 <= false;
end if;
end process proc_if_273_1;
sm_lcd_charactermap_addr_reg_290_5_slice <= u2u_slice(linearaddr_1_1204, 12, 0);
rel_289_4 <= addrack_1_1224 = std_logic_vector_to_unsigned("1");
proc_if_289_1: process (rel_289_4, sm_lcd_charactermap_addr_reg_290_5_slice)
is
begin
if rel_289_4 then
sm_lcd_charactermap_addr_reg_join_289_1_en <= '1';
else
sm_lcd_charactermap_addr_reg_join_289_1_en <= '0';
end if;
sm_lcd_charactermap_addr_reg_join_289_1 <= sm_lcd_charactermap_addr_reg_290_5_slice;
end process proc_if_289_1;
sm_lcd_characters_addr_reg_298_5_slice <= u2u_slice(linearaddr_1_1204, 9, 0);
rel_297_4 <= addrack_1_1224 = std_logic_vector_to_unsigned("1");
proc_if_297_1: process (rel_297_4, sm_lcd_characters_addr_reg_298_5_slice)
is
begin
if rel_297_4 then
sm_lcd_characters_addr_reg_join_297_1_en <= '1';
else
sm_lcd_characters_addr_reg_join_297_1_en <= '0';
end if;
sm_lcd_characters_addr_reg_join_297_1 <= sm_lcd_characters_addr_reg_298_5_slice;
end process proc_if_297_1;
sm_lcd_commands_addr_reg_306_5_slice <= u2u_slice(linearaddr_1_1204, 8, 0);
rel_305_4 <= addrack_1_1224 = std_logic_vector_to_unsigned("1");
proc_if_305_1: process (rel_305_4, sm_lcd_commands_addr_reg_306_5_slice)
is
begin
if rel_305_4 then
sm_lcd_commands_addr_reg_join_305_1_en <= '1';
else
sm_lcd_commands_addr_reg_join_305_1_en <= '0';
end if;
sm_lcd_commands_addr_reg_join_305_1 <= sm_lcd_commands_addr_reg_306_5_slice;
end process proc_if_305_1;
rel_316_4 <= opcode_160_1_concat = std_logic_vector_to_unsigned("10100000000000000");
proc_if_316_1: process (rel_316_4)
is
begin
if rel_316_4 then
sm_buzzer_dutycycle_en_join_316_1 <= true;
else
sm_buzzer_dutycycle_en_join_316_1 <= false;
end if;
end process proc_if_316_1;
rel_322_4 <= opcode_160_1_concat = std_logic_vector_to_unsigned("10100000000000001");
proc_if_322_1: process (rel_322_4)
is
begin
if rel_322_4 then
sm_buzzer_enable_en_join_322_1 <= true;
else
sm_buzzer_enable_en_join_322_1 <= false;
end if;
end process proc_if_322_1;
rel_328_4 <= opcode_160_1_concat = std_logic_vector_to_unsigned("10100000000000010");
proc_if_328_1: process (rel_328_4)
is
begin
if rel_328_4 then
sm_buzzer_period_en_join_328_1 <= true;
else
sm_buzzer_period_en_join_328_1 <= false;
end if;
end process proc_if_328_1;
rel_334_4 <= opcode_160_1_concat = std_logic_vector_to_unsigned("10100000000000011");
proc_if_334_1: process (rel_334_4)
is
begin
if rel_334_4 then
sm_lcd_backgroundcolor_en_join_334_1 <= true;
else
sm_lcd_backgroundcolor_en_join_334_1 <= false;
end if;
end process proc_if_334_1;
rel_340_4 <= opcode_160_1_concat = std_logic_vector_to_unsigned("10100000000000100");
proc_if_340_1: process (rel_340_4)
is
begin
if rel_340_4 then
sm_lcd_characteroffset_en_join_340_1 <= true;
else
sm_lcd_characteroffset_en_join_340_1 <= false;
end if;
end process proc_if_340_1;
rel_346_4 <= opcode_160_1_concat = std_logic_vector_to_unsigned("10100000000000101");
proc_if_346_1: process (rel_346_4)
is
begin
if rel_346_4 then
sm_lcd_charactersselect_en_join_346_1 <= true;
else
sm_lcd_charactersselect_en_join_346_1 <= false;
end if;
end process proc_if_346_1;
rel_352_4 <= opcode_160_1_concat = std_logic_vector_to_unsigned("10100000000000110");
proc_if_352_1: process (rel_352_4)
is
begin
if rel_352_4 then
sm_lcd_colset_en_join_352_1 <= true;
else
sm_lcd_colset_en_join_352_1 <= false;
end if;
end process proc_if_352_1;
rel_358_4 <= opcode_160_1_concat = std_logic_vector_to_unsigned("10100000000000111");
proc_if_358_1: process (rel_358_4)
is
begin
if rel_358_4 then
sm_lcd_configlocation_en_join_358_1 <= true;
else
sm_lcd_configlocation_en_join_358_1 <= false;
end if;
end process proc_if_358_1;
rel_364_4 <= opcode_160_1_concat = std_logic_vector_to_unsigned("10100000000001000");
proc_if_364_1: process (rel_364_4)
is
begin
if rel_364_4 then
sm_lcd_dividerselect_en_join_364_1 <= true;
else
sm_lcd_dividerselect_en_join_364_1 <= false;
end if;
end process proc_if_364_1;
rel_370_4 <= opcode_160_1_concat = std_logic_vector_to_unsigned("10100000000001001");
proc_if_370_1: process (rel_370_4)
is
begin
if rel_370_4 then
sm_lcd_firstend_en_join_370_1 <= true;
else
sm_lcd_firstend_en_join_370_1 <= false;
end if;
end process proc_if_370_1;
rel_376_4 <= opcode_160_1_concat = std_logic_vector_to_unsigned("10100000000001010");
proc_if_376_1: process (rel_376_4)
is
begin
if rel_376_4 then
sm_lcd_firststart_en_join_376_1 <= true;
else
sm_lcd_firststart_en_join_376_1 <= false;
end if;
end process proc_if_376_1;
rel_382_4 <= opcode_160_1_concat = std_logic_vector_to_unsigned("10100000000001011");
proc_if_382_1: process (rel_382_4)
is
begin
if rel_382_4 then
sm_lcd_lineoffset_en_join_382_1 <= true;
else
sm_lcd_lineoffset_en_join_382_1 <= false;
end if;
end process proc_if_382_1;
rel_388_4 <= opcode_160_1_concat = std_logic_vector_to_unsigned("10100000000001100");
proc_if_388_1: process (rel_388_4)
is
begin
if rel_388_4 then
sm_lcd_ramwrite_en_join_388_1 <= true;
else
sm_lcd_ramwrite_en_join_388_1 <= false;
end if;
end process proc_if_388_1;
rel_394_4 <= opcode_160_1_concat = std_logic_vector_to_unsigned("10100000000001101");
proc_if_394_1: process (rel_394_4)
is
begin
if rel_394_4 then
sm_lcd_reset_en_join_394_1 <= true;
else
sm_lcd_reset_en_join_394_1 <= false;
end if;
end process proc_if_394_1;
rel_400_4 <= opcode_160_1_concat = std_logic_vector_to_unsigned("10100000000001110");
proc_if_400_1: process (rel_400_4)
is
begin
if rel_400_4 then
sm_lcd_resetlcd_en_join_400_1 <= true;
else
sm_lcd_resetlcd_en_join_400_1 <= false;
end if;
end process proc_if_400_1;
rel_406_4 <= opcode_160_1_concat = std_logic_vector_to_unsigned("10100000000001111");
proc_if_406_1: process (rel_406_4)
is
begin
if rel_406_4 then
sm_lcd_rowset_en_join_406_1 <= true;
else
sm_lcd_rowset_en_join_406_1 <= false;
end if;
end process proc_if_406_1;
rel_412_4 <= opcode_160_1_concat = std_logic_vector_to_unsigned("10100000000010000");
proc_if_412_1: process (rel_412_4)
is
begin
if rel_412_4 then
sm_lcd_secondend_en_join_412_1 <= true;
else
sm_lcd_secondend_en_join_412_1 <= false;
end if;
end process proc_if_412_1;
rel_418_4 <= opcode_160_1_concat = std_logic_vector_to_unsigned("10100000000010001");
proc_if_418_1: process (rel_418_4)
is
begin
if rel_418_4 then
sm_lcd_secondstart_en_join_418_1 <= true;
else
sm_lcd_secondstart_en_join_418_1 <= false;
end if;
end process proc_if_418_1;
rel_424_4 <= opcode_160_1_concat = std_logic_vector_to_unsigned("10100000000010010");
proc_if_424_1: process (rel_424_4)
is
begin
if rel_424_4 then
sm_lcd_send_en_join_424_1 <= true;
else
sm_lcd_send_en_join_424_1 <= false;
end if;
end process proc_if_424_1;
rel_430_4 <= opcode_160_1_concat = std_logic_vector_to_unsigned("10100000000010011");
proc_if_430_1: process (rel_430_4)
is
begin
if rel_430_4 then
sm_lcd_totalcmdtransfer_en_join_430_1 <= true;
else
sm_lcd_totalcmdtransfer_en_join_430_1 <= false;
end if;
end process proc_if_430_1;
rel_436_4 <= opcode_160_1_concat = std_logic_vector_to_unsigned("10100000000010100");
proc_if_436_1: process (rel_436_4)
is
begin
if rel_436_4 then
sm_leds_en_join_436_1 <= true;
else
sm_leds_en_join_436_1 <= false;
end if;
end process proc_if_436_1;
slice_451_44 <= u2u_slice(wrdbus_1_1186, 17, 0);
slice_454_41 <= u2u_slice(wrdbus_1_1186, 0, 0);
slice_457_41 <= u2u_slice(wrdbus_1_1186, 17, 0);
slice_460_47 <= u2u_slice(wrdbus_1_1186, 8, 0);
slice_463_47 <= u2u_slice(wrdbus_1_1186, 3, 0);
slice_466_48 <= u2u_slice(wrdbus_1_1186, 2, 0);
slice_469_38 <= u2u_slice(wrdbus_1_1186, 8, 0);
slice_472_46 <= u2u_slice(wrdbus_1_1186, 1, 0);
slice_475_45 <= u2u_slice(wrdbus_1_1186, 0, 0);
slice_478_40 <= u2u_slice(wrdbus_1_1186, 8, 0);
slice_481_42 <= u2u_slice(wrdbus_1_1186, 8, 0);
slice_484_42 <= u2u_slice(wrdbus_1_1186, 3, 0);
slice_487_40 <= u2u_slice(wrdbus_1_1186, 8, 0);
slice_490_37 <= u2u_slice(wrdbus_1_1186, 0, 0);
slice_493_40 <= u2u_slice(wrdbus_1_1186, 0, 0);
slice_496_38 <= u2u_slice(wrdbus_1_1186, 8, 0);
slice_499_41 <= u2u_slice(wrdbus_1_1186, 8, 0);
slice_502_43 <= u2u_slice(wrdbus_1_1186, 8, 0);
slice_505_36 <= u2u_slice(wrdbus_1_1186, 0, 0);
slice_508_48 <= u2u_slice(wrdbus_1_1186, 7, 0);
slice_511_32 <= u2u_slice(wrdbus_1_1186, 7, 0);
rel_521_4 <= bankaddr_reg_519_26 = std_logic_vector_to_unsigned("00");
rel_524_8 <= bankaddr_reg_519_26 = std_logic_vector_to_unsigned("01");
rel_527_8 <= bankaddr_reg_519_26 = std_logic_vector_to_unsigned("10");
rel_530_8 <= bankaddr_reg_519_26 = std_logic_vector_to_unsigned("11");
proc_if_521_1: process (ram_bank_out_reg_203_30, read_bank_out_reg_516_31, reg_bank_out_reg_98_30, rel_521_4, rel_524_8, rel_527_8, rel_530_8)
is
begin
if rel_521_4 then
read_bank_out_reg_join_521_1 <= ram_bank_out_reg_203_30;
elsif rel_524_8 then
read_bank_out_reg_join_521_1 <= std_logic_vector_to_unsigned("00000000000000000000000000000000");
elsif rel_527_8 then
read_bank_out_reg_join_521_1 <= reg_bank_out_reg_98_30;
elsif rel_530_8 then
read_bank_out_reg_join_521_1 <= std_logic_vector_to_unsigned("00000000000000000000000000000000");
else
read_bank_out_reg_join_521_1 <= read_bank_out_reg_516_31;
end if;
end process proc_if_521_1;
reg_bank_out_reg_98_30_next <= reg_bank_out_reg_join_101_1;
ram_bank_out_reg_203_30_next <= ram_bank_out_reg_join_205_1;
sm_lcd_charactermap_we_reg_226_40_next <= sm_lcd_charactermap_we_reg_join_235_1;
sm_lcd_characters_we_reg_245_38_next <= sm_lcd_characters_we_reg_join_254_1;
sm_lcd_commands_we_reg_264_36_next <= sm_lcd_commands_we_reg_join_273_1;
cast_sm_lcd_charactermap_addr_reg_287_1_next <= u2u_cast(sm_lcd_charactermap_addr_reg_join_289_1, 0, 12, 0);
sm_lcd_charactermap_addr_reg_287_1_next <= cast_sm_lcd_charactermap_addr_reg_287_1_next;
sm_lcd_charactermap_addr_reg_287_1_en <= sm_lcd_charactermap_addr_reg_join_289_1_en;
cast_sm_lcd_characters_addr_reg_295_1_next <= u2u_cast(sm_lcd_characters_addr_reg_join_297_1, 0, 9, 0);
sm_lcd_characters_addr_reg_295_1_next <= cast_sm_lcd_characters_addr_reg_295_1_next;
sm_lcd_characters_addr_reg_295_1_en <= sm_lcd_characters_addr_reg_join_297_1_en;
cast_sm_lcd_commands_addr_reg_303_1_next <= u2u_cast(sm_lcd_commands_addr_reg_join_305_1, 0, 8, 0);
sm_lcd_commands_addr_reg_303_1_next <= cast_sm_lcd_commands_addr_reg_303_1_next;
sm_lcd_commands_addr_reg_303_1_en <= sm_lcd_commands_addr_reg_join_305_1_en;
read_bank_out_reg_516_31_next <= read_bank_out_reg_join_521_1;
bankaddr_reg_519_26_next <= bankaddr_1_1194;
read_bank_out <= unsigned_to_std_logic_vector(read_bank_out_reg_516_31);
sm_buzzer_dutycycle_din <= unsigned_to_std_logic_vector(slice_451_44);
sm_buzzer_dutycycle_en <= boolean_to_vector(sm_buzzer_dutycycle_en_join_316_1);
sm_buzzer_enable_din <= unsigned_to_std_logic_vector(slice_454_41);
sm_buzzer_enable_en <= boolean_to_vector(sm_buzzer_enable_en_join_322_1);
sm_buzzer_period_din <= unsigned_to_std_logic_vector(slice_457_41);
sm_buzzer_period_en <= boolean_to_vector(sm_buzzer_period_en_join_328_1);
sm_lcd_backgroundcolor_din <= unsigned_to_std_logic_vector(slice_460_47);
sm_lcd_backgroundcolor_en <= boolean_to_vector(sm_lcd_backgroundcolor_en_join_334_1);
sm_lcd_characteroffset_din <= unsigned_to_std_logic_vector(slice_463_47);
sm_lcd_characteroffset_en <= boolean_to_vector(sm_lcd_characteroffset_en_join_340_1);
sm_lcd_charactersselect_din <= unsigned_to_std_logic_vector(slice_466_48);
sm_lcd_charactersselect_en <= boolean_to_vector(sm_lcd_charactersselect_en_join_346_1);
sm_lcd_colset_din <= unsigned_to_std_logic_vector(slice_469_38);
sm_lcd_colset_en <= boolean_to_vector(sm_lcd_colset_en_join_352_1);
sm_lcd_configlocation_din <= unsigned_to_std_logic_vector(slice_472_46);
sm_lcd_configlocation_en <= boolean_to_vector(sm_lcd_configlocation_en_join_358_1);
sm_lcd_dividerselect_din <= unsigned_to_std_logic_vector(slice_475_45);
sm_lcd_dividerselect_en <= boolean_to_vector(sm_lcd_dividerselect_en_join_364_1);
sm_lcd_firstend_din <= unsigned_to_std_logic_vector(slice_478_40);
sm_lcd_firstend_en <= boolean_to_vector(sm_lcd_firstend_en_join_370_1);
sm_lcd_firststart_din <= unsigned_to_std_logic_vector(slice_481_42);
sm_lcd_firststart_en <= boolean_to_vector(sm_lcd_firststart_en_join_376_1);
sm_lcd_lineoffset_din <= unsigned_to_std_logic_vector(slice_484_42);
sm_lcd_lineoffset_en <= boolean_to_vector(sm_lcd_lineoffset_en_join_382_1);
sm_lcd_ramwrite_din <= unsigned_to_std_logic_vector(slice_487_40);
sm_lcd_ramwrite_en <= boolean_to_vector(sm_lcd_ramwrite_en_join_388_1);
sm_lcd_reset_din <= unsigned_to_std_logic_vector(slice_490_37);
sm_lcd_reset_en <= boolean_to_vector(sm_lcd_reset_en_join_394_1);
sm_lcd_resetlcd_din <= unsigned_to_std_logic_vector(slice_493_40);
sm_lcd_resetlcd_en <= boolean_to_vector(sm_lcd_resetlcd_en_join_400_1);
sm_lcd_rowset_din <= unsigned_to_std_logic_vector(slice_496_38);
sm_lcd_rowset_en <= boolean_to_vector(sm_lcd_rowset_en_join_406_1);
sm_lcd_secondend_din <= unsigned_to_std_logic_vector(slice_499_41);
sm_lcd_secondend_en <= boolean_to_vector(sm_lcd_secondend_en_join_412_1);
sm_lcd_secondstart_din <= unsigned_to_std_logic_vector(slice_502_43);
sm_lcd_secondstart_en <= boolean_to_vector(sm_lcd_secondstart_en_join_418_1);
sm_lcd_send_din <= unsigned_to_std_logic_vector(slice_505_36);
sm_lcd_send_en <= boolean_to_vector(sm_lcd_send_en_join_424_1);
sm_lcd_totalcmdtransfer_din <= unsigned_to_std_logic_vector(slice_508_48);
sm_lcd_totalcmdtransfer_en <= boolean_to_vector(sm_lcd_totalcmdtransfer_en_join_430_1);
sm_leds_din <= unsigned_to_std_logic_vector(slice_511_32);
sm_leds_en <= boolean_to_vector(sm_leds_en_join_436_1);
sm_lcd_charactermap_addr <= unsigned_to_std_logic_vector(sm_lcd_charactermap_addr_reg_287_1);
sm_lcd_charactermap_din <= unsigned_to_std_logic_vector(slice_214_44);
sm_lcd_charactermap_we <= boolean_to_vector(sm_lcd_charactermap_we_reg_226_40);
sm_lcd_characters_addr <= unsigned_to_std_logic_vector(sm_lcd_characters_addr_reg_295_1);
sm_lcd_characters_din <= unsigned_to_std_logic_vector(slice_217_42);
sm_lcd_characters_we <= boolean_to_vector(sm_lcd_characters_we_reg_245_38);
sm_lcd_commands_addr <= unsigned_to_std_logic_vector(sm_lcd_commands_addr_reg_303_1);
sm_lcd_commands_din <= unsigned_to_std_logic_vector(slice_220_40);
sm_lcd_commands_we <= boolean_to_vector(sm_lcd_commands_we_reg_264_36);
end behavior;
-------------------------------------------------------------------
-- System Generator version 10.1.2 VHDL source file.
--
-- Copyright(C) 2008 by Xilinx, Inc. All rights reserved. This
-- text/file contains proprietary, confidential information of Xilinx,
-- Inc., is distributed under license from Xilinx, Inc., and may be used,
-- copied and/or disclosed only pursuant to the terms of a valid license
-- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use
-- this text/file solely for design, simulation, implementation and
-- creation of design files limited to Xilinx devices or technologies.
-- Use with non-Xilinx devices or technologies is expressly prohibited
-- and immediately terminates your license unless covered by a separate
-- agreement.
--
-- Xilinx is providing this design, code, or information "as is" solely
-- for use in developing programs and solutions for Xilinx devices. By
-- providing this design, code, or information as one possible
-- implementation of this feature, application or standard, Xilinx is
-- making no representation that this implementation is free from any
-- claims of infringement. You are responsible for obtaining any rights
-- you may require for your implementation. Xilinx expressly disclaims
-- any warranty whatsoever with respect to the adequacy of the
-- implementation, including but not limited to warranties of
-- merchantability or fitness for a particular purpose.
--
-- Xilinx products are not intended for use in life support appliances,
-- devices, or systems. Use in such applications is expressly prohibited.
--
-- Any modifications that are made to the source code are done at the user's
-- sole risk and will be unsupported.
--
-- This copyright and support notice must be retained as part of this
-- text at all times. (c) Copyright 1995-2008 Xilinx, Inc. All rights
-- reserved.
-------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
entity xldelay is
generic(width : integer := -1;
latency : integer := -1;
reg_retiming : integer := 0);
port(d : in std_logic_vector (width-1 downto 0);
ce : in std_logic;
clk : in std_logic;
en : in std_logic;
q : out std_logic_vector (width-1 downto 0));
end xldelay;
architecture behavior of xldelay is
component synth_reg
generic (width : integer;
latency : integer);
port (i : in std_logic_vector(width-1 downto 0);
ce : in std_logic;
clr : in std_logic;
clk : in std_logic;
o : out std_logic_vector(width-1 downto 0));
end component;
component synth_reg_reg
generic (width : integer;
latency : integer);
port (i : in std_logic_vector(width-1 downto 0);
ce : in std_logic;
clr : in std_logic;
clk : in std_logic;
o : out std_logic_vector(width-1 downto 0));
end component;
signal internal_ce : std_logic;
begin
internal_ce <= ce and en;
srl_delay: if (reg_retiming = 0) or (latency < 1) generate
synth_reg_srl_inst : synth_reg
generic map (
width => width,
latency => latency)
port map (
i => d,
ce => internal_ce,
clr => '0',
clk => clk,
o => q);
end generate srl_delay;
reg_delay: if (reg_retiming = 1) and (latency >= 1) generate
synth_reg_reg_inst : synth_reg_reg
generic map (
width => width,
latency => latency)
port map (
i => d,
ce => internal_ce,
clr => '0',
clk => clk,
o => q);
end generate reg_delay;
end architecture behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity logical_4ad38e8aed is
port (
d0 : in std_logic_vector((1 - 1) downto 0);
d1 : in std_logic_vector((1 - 1) downto 0);
d2 : in std_logic_vector((1 - 1) downto 0);
d3 : in std_logic_vector((1 - 1) downto 0);
d4 : in std_logic_vector((1 - 1) downto 0);
d5 : in std_logic_vector((1 - 1) downto 0);
d6 : in std_logic_vector((1 - 1) downto 0);
d7 : in std_logic_vector((1 - 1) downto 0);
y : out std_logic_vector((1 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end logical_4ad38e8aed;
architecture behavior of logical_4ad38e8aed is
signal d0_1_24: std_logic_vector((1 - 1) downto 0);
signal d1_1_27: std_logic_vector((1 - 1) downto 0);
signal d2_1_30: std_logic_vector((1 - 1) downto 0);
signal d3_1_33: std_logic_vector((1 - 1) downto 0);
signal d4_1_36: std_logic_vector((1 - 1) downto 0);
signal d5_1_39: std_logic_vector((1 - 1) downto 0);
signal d6_1_42: std_logic_vector((1 - 1) downto 0);
signal d7_1_45: std_logic_vector((1 - 1) downto 0);
signal fully_2_1_bit: std_logic_vector((1 - 1) downto 0);
begin
d0_1_24 <= d0;
d1_1_27 <= d1;
d2_1_30 <= d2;
d3_1_33 <= d3;
d4_1_36 <= d4;
d5_1_39 <= d5;
d6_1_42 <= d6;
d7_1_45 <= d7;
fully_2_1_bit <= d0_1_24 or d1_1_27 or d2_1_30 or d3_1_33 or d4_1_36 or d5_1_39 or d6_1_42 or d7_1_45;
y <= fully_2_1_bit;
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity concat_2b3acb49f4 is
port (
in0 : in std_logic_vector((1 - 1) downto 0);
in1 : in std_logic_vector((1 - 1) downto 0);
in2 : in std_logic_vector((1 - 1) downto 0);
in3 : in std_logic_vector((1 - 1) downto 0);
in4 : in std_logic_vector((1 - 1) downto 0);
y : out std_logic_vector((5 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end concat_2b3acb49f4;
architecture behavior of concat_2b3acb49f4 is
signal in0_1_23: unsigned((1 - 1) downto 0);
signal in1_1_27: unsigned((1 - 1) downto 0);
signal in2_1_31: unsigned((1 - 1) downto 0);
signal in3_1_35: unsigned((1 - 1) downto 0);
signal in4_1_39: unsigned((1 - 1) downto 0);
signal y_2_1_concat: unsigned((5 - 1) downto 0);
begin
in0_1_23 <= std_logic_vector_to_unsigned(in0);
in1_1_27 <= std_logic_vector_to_unsigned(in1);
in2_1_31 <= std_logic_vector_to_unsigned(in2);
in3_1_35 <= std_logic_vector_to_unsigned(in3);
in4_1_39 <= std_logic_vector_to_unsigned(in4);
y_2_1_concat <= std_logic_vector_to_unsigned(unsigned_to_std_logic_vector(in0_1_23) & unsigned_to_std_logic_vector(in1_1_27) & unsigned_to_std_logic_vector(in2_1_31) & unsigned_to_std_logic_vector(in3_1_35) & unsigned_to_std_logic_vector(in4_1_39));
y <= unsigned_to_std_logic_vector(y_2_1_concat);
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity constant_6293007044 is
port (
op : out std_logic_vector((1 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end constant_6293007044;
architecture behavior of constant_6293007044 is
begin
op <= "1";
end behavior;
-------------------------------------------------------------------
-- System Generator version 10.1.2 VHDL source file.
--
-- Copyright(C) 2008 by Xilinx, Inc. All rights reserved. This
-- text/file contains proprietary, confidential information of Xilinx,
-- Inc., is distributed under license from Xilinx, Inc., and may be used,
-- copied and/or disclosed only pursuant to the terms of a valid license
-- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use
-- this text/file solely for design, simulation, implementation and
-- creation of design files limited to Xilinx devices or technologies.
-- Use with non-Xilinx devices or technologies is expressly prohibited
-- and immediately terminates your license unless covered by a separate
-- agreement.
--
-- Xilinx is providing this design, code, or information "as is" solely
-- for use in developing programs and solutions for Xilinx devices. By
-- providing this design, code, or information as one possible
-- implementation of this feature, application or standard, Xilinx is
-- making no representation that this implementation is free from any
-- claims of infringement. You are responsible for obtaining any rights
-- you may require for your implementation. Xilinx expressly disclaims
-- any warranty whatsoever with respect to the adequacy of the
-- implementation, including but not limited to warranties of
-- merchantability or fitness for a particular purpose.
--
-- Xilinx products are not intended for use in life support appliances,
-- devices, or systems. Use in such applications is expressly prohibited.
--
-- Any modifications that are made to the source code are done at the user's
-- sole risk and will be unsupported.
--
-- This copyright and support notice must be retained as part of this
-- text at all times. (c) Copyright 1995-2008 Xilinx, Inc. All rights
-- reserved.
-------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use work.conv_pkg.all;
entity xlslice is
generic (
new_msb : integer := 9;
new_lsb : integer := 1;
x_width : integer := 16;
y_width : integer := 8);
port (
x : in std_logic_vector (x_width-1 downto 0);
y : out std_logic_vector (y_width-1 downto 0));
end xlslice;
architecture behavior of xlslice is
begin
y <= x(new_msb downto new_lsb);
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity constant_37567836aa is
port (
op : out std_logic_vector((32 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end constant_37567836aa;
architecture behavior of constant_37567836aa is
begin
op <= "00000000000000000000000000000000";
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity mux_2c45f290ed is
port (
sel : in std_logic_vector((1 - 1) downto 0);
d0 : in std_logic_vector((16 - 1) downto 0);
d1 : in std_logic_vector((16 - 1) downto 0);
y : out std_logic_vector((16 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end mux_2c45f290ed;
architecture behavior of mux_2c45f290ed is
signal sel_1_20: std_logic_vector((1 - 1) downto 0);
signal d0_1_24: std_logic_vector((16 - 1) downto 0);
signal d1_1_27: std_logic_vector((16 - 1) downto 0);
signal unregy_join_6_1: std_logic_vector((16 - 1) downto 0);
begin
sel_1_20 <= sel;
d0_1_24 <= d0;
d1_1_27 <= d1;
proc_switch_6_1: process (d0_1_24, d1_1_27, sel_1_20)
is
begin
case sel_1_20 is
when "0" =>
unregy_join_6_1 <= d0_1_24;
when others =>
unregy_join_6_1 <= d1_1_27;
end case;
end process proc_switch_6_1;
y <= unregy_join_6_1;
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity logical_b1e9d7c303 is
port (
d0 : in std_logic_vector((1 - 1) downto 0);
d1 : in std_logic_vector((1 - 1) downto 0);
y : out std_logic_vector((1 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end logical_b1e9d7c303;
architecture behavior of logical_b1e9d7c303 is
signal d0_1_24: std_logic_vector((1 - 1) downto 0);
signal d1_1_27: std_logic_vector((1 - 1) downto 0);
signal bit_2_26: std_logic_vector((1 - 1) downto 0);
signal fully_2_1_bitnot: std_logic_vector((1 - 1) downto 0);
begin
d0_1_24 <= d0;
d1_1_27 <= d1;
bit_2_26 <= d0_1_24 or d1_1_27;
fully_2_1_bitnot <= not bit_2_26;
y <= fully_2_1_bitnot;
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity accum_2cb0e56e96 is
port (
b : in std_logic_vector((1 - 1) downto 0);
rst : in std_logic_vector((1 - 1) downto 0);
en : in std_logic_vector((1 - 1) downto 0);
q : out std_logic_vector((7 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end accum_2cb0e56e96;
architecture behavior of accum_2cb0e56e96 is
signal b_17_24: unsigned((1 - 1) downto 0);
signal rst_17_27: boolean;
signal en_17_32: boolean;
signal accum_reg_41_23: unsigned((7 - 1) downto 0) := "0000000";
signal accum_reg_41_23_rst: std_logic;
signal accum_reg_41_23_en: std_logic;
signal cast_51_42: unsigned((7 - 1) downto 0);
signal accum_reg_join_47_1: unsigned((8 - 1) downto 0);
signal accum_reg_join_47_1_en: std_logic;
signal accum_reg_join_47_1_rst: std_logic;
begin
b_17_24 <= std_logic_vector_to_unsigned(b);
rst_17_27 <= ((rst) = "1");
en_17_32 <= ((en) = "1");
proc_accum_reg_41_23: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if ((ce = '1') and (accum_reg_41_23_rst = '1')) then
accum_reg_41_23 <= "0000000";
elsif ((ce = '1') and (accum_reg_41_23_en = '1')) then
accum_reg_41_23 <= accum_reg_41_23 + cast_51_42;
end if;
end if;
end process proc_accum_reg_41_23;
cast_51_42 <= u2u_cast(b_17_24, 0, 7, 0);
proc_if_47_1: process (accum_reg_41_23, cast_51_42, en_17_32, rst_17_27)
is
begin
if rst_17_27 then
accum_reg_join_47_1_rst <= '1';
elsif en_17_32 then
accum_reg_join_47_1_rst <= '0';
else
accum_reg_join_47_1_rst <= '0';
end if;
if en_17_32 then
accum_reg_join_47_1_en <= '1';
else
accum_reg_join_47_1_en <= '0';
end if;
end process proc_if_47_1;
accum_reg_41_23_rst <= accum_reg_join_47_1_rst;
accum_reg_41_23_en <= accum_reg_join_47_1_en;
q <= unsigned_to_std_logic_vector(accum_reg_41_23);
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity accum_be45dd0aa2 is
port (
b : in std_logic_vector((1 - 1) downto 0);
rst : in std_logic_vector((1 - 1) downto 0);
q : out std_logic_vector((4 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end accum_be45dd0aa2;
architecture behavior of accum_be45dd0aa2 is
signal b_17_24: unsigned((1 - 1) downto 0);
signal rst_17_27: boolean;
signal accum_reg_41_23: unsigned((4 - 1) downto 0) := "0000";
signal accum_reg_41_23_rst: std_logic;
signal cast_51_42: unsigned((4 - 1) downto 0);
signal accum_reg_join_47_1: unsigned((5 - 1) downto 0);
signal accum_reg_join_47_1_rst: std_logic;
begin
b_17_24 <= std_logic_vector_to_unsigned(b);
rst_17_27 <= ((rst) = "1");
proc_accum_reg_41_23: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if ((ce = '1') and (accum_reg_41_23_rst = '1')) then
accum_reg_41_23 <= "0000";
elsif (ce = '1') then
accum_reg_41_23 <= accum_reg_41_23 + cast_51_42;
end if;
end if;
end process proc_accum_reg_41_23;
cast_51_42 <= u2u_cast(b_17_24, 0, 4, 0);
proc_if_47_1: process (accum_reg_41_23, cast_51_42, rst_17_27)
is
begin
if rst_17_27 then
accum_reg_join_47_1_rst <= '1';
else
accum_reg_join_47_1_rst <= '0';
end if;
end process proc_if_47_1;
accum_reg_41_23_rst <= accum_reg_join_47_1_rst;
q <= unsigned_to_std_logic_vector(accum_reg_41_23);
end behavior;
-------------------------------------------------------------------
-- System Generator version 10.1.2 VHDL source file.
--
-- Copyright(C) 2008 by Xilinx, Inc. All rights reserved. This
-- text/file contains proprietary, confidential information of Xilinx,
-- Inc., is distributed under license from Xilinx, Inc., and may be used,
-- copied and/or disclosed only pursuant to the terms of a valid license
-- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use
-- this text/file solely for design, simulation, implementation and
-- creation of design files limited to Xilinx devices or technologies.
-- Use with non-Xilinx devices or technologies is expressly prohibited
-- and immediately terminates your license unless covered by a separate
-- agreement.
--
-- Xilinx is providing this design, code, or information "as is" solely
-- for use in developing programs and solutions for Xilinx devices. By
-- providing this design, code, or information as one possible
-- implementation of this feature, application or standard, Xilinx is
-- making no representation that this implementation is free from any
-- claims of infringement. You are responsible for obtaining any rights
-- you may require for your implementation. Xilinx expressly disclaims
-- any warranty whatsoever with respect to the adequacy of the
-- implementation, including but not limited to warranties of
-- merchantability or fitness for a particular purpose.
--
-- Xilinx products are not intended for use in life support appliances,
-- devices, or systems. Use in such applications is expressly prohibited.
--
-- Any modifications that are made to the source code are done at the user's
-- sole risk and will be unsupported.
--
-- This copyright and support notice must be retained as part of this
-- text at all times. (c) Copyright 1995-2008 Xilinx, Inc. All rights
-- reserved.
-------------------------------------------------------------------
-- synopsys translate_off
library XilinxCoreLib;
-- synopsys translate_on
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use work.conv_pkg.all;
entity xladdsub is
generic (
core_name0: string := "";
a_width: integer := 16;
a_bin_pt: integer := 4;
a_arith: integer := xlUnsigned;
c_in_width: integer := 16;
c_in_bin_pt: integer := 4;
c_in_arith: integer := xlUnsigned;
c_out_width: integer := 16;
c_out_bin_pt: integer := 4;
c_out_arith: integer := xlUnsigned;
b_width: integer := 8;
b_bin_pt: integer := 2;
b_arith: integer := xlUnsigned;
s_width: integer := 17;
s_bin_pt: integer := 4;
s_arith: integer := xlUnsigned;
rst_width: integer := 1;
rst_bin_pt: integer := 0;
rst_arith: integer := xlUnsigned;
en_width: integer := 1;
en_bin_pt: integer := 0;
en_arith: integer := xlUnsigned;
full_s_width: integer := 17;
full_s_arith: integer := xlUnsigned;
mode: integer := xlAddMode;
extra_registers: integer := 0;
latency: integer := 0;
quantization: integer := xlTruncate;
overflow: integer := xlWrap;
c_latency: integer := 0;
c_output_width: integer := 17;
c_has_q : integer := 1;
c_has_s : integer := 0;
c_has_c_out : integer := 0;
c_has_q_c_out : integer := 0;
c_has_b_out : integer := 0;
c_has_q_b_out : integer := 0;
c_has_q_ovfl : integer := 0;
c_has_ovfl : integer := 0
);
port (
a: in std_logic_vector(a_width - 1 downto 0);
b: in std_logic_vector(b_width - 1 downto 0);
c_in : in std_logic_vector (0 downto 0) := "0";
ce: in std_logic;
clr: in std_logic := '0';
clk: in std_logic;
rst: in std_logic_vector(rst_width - 1 downto 0) := "0";
en: in std_logic_vector(en_width - 1 downto 0) := "1";
c_out : out std_logic_vector (0 downto 0);
s: out std_logic_vector(s_width - 1 downto 0)
);
end xladdsub ;
architecture behavior of xladdsub is
component synth_reg
generic (
width: integer := 16;
latency: integer := 5
);
port (
i: in std_logic_vector(width - 1 downto 0);
ce: in std_logic;
clr: in std_logic;
clk: in std_logic;
o: out std_logic_vector(width - 1 downto 0)
);
end component;
function format_input(inp: std_logic_vector; old_width, delta, new_arith,
new_width: integer)
return std_logic_vector
is
variable vec: std_logic_vector(old_width-1 downto 0);
variable padded_inp: std_logic_vector((old_width + delta)-1 downto 0);
variable result: std_logic_vector(new_width-1 downto 0);
begin
vec := inp;
if delta > 0 then
padded_inp := pad_LSB(vec, old_width+delta);
result := extend_MSB(padded_inp, new_width, new_arith);
else
result := extend_MSB(vec, new_width, new_arith);
end if;
return result;
end;
constant full_s_bin_pt: integer := fractional_bits(a_bin_pt, b_bin_pt);
constant full_a_width: integer := full_s_width;
constant full_b_width: integer := full_s_width;
signal full_a: std_logic_vector(full_a_width - 1 downto 0);
signal full_b: std_logic_vector(full_b_width - 1 downto 0);
signal core_s: std_logic_vector(full_s_width - 1 downto 0);
signal conv_s: std_logic_vector(s_width - 1 downto 0);
signal temp_cout : std_logic;
signal internal_clr: std_logic;
signal internal_ce: std_logic;
signal extra_reg_ce: std_logic;
signal override: std_logic;
signal logic1: std_logic_vector(0 downto 0);
component adder_subtracter_virtex2p_7_0_cf28bbebd75d9ce3
port (
a: in std_logic_vector( 9 - 1 downto 0);
s: out std_logic_vector(c_output_width - 1 downto 0);
b: in std_logic_vector(9 - 1 downto 0)
);
end component;
attribute syn_black_box of adder_subtracter_virtex2p_7_0_cf28bbebd75d9ce3:
component is true;
attribute fpga_dont_touch of adder_subtracter_virtex2p_7_0_cf28bbebd75d9ce3:
component is "true";
attribute box_type of adder_subtracter_virtex2p_7_0_cf28bbebd75d9ce3:
component is "black_box";
component adder_subtracter_virtex2p_7_0_453ed16ba8e84295
port (
a: in std_logic_vector( 9 - 1 downto 0);
s: out std_logic_vector(c_output_width - 1 downto 0);
b: in std_logic_vector(9 - 1 downto 0)
);
end component;
attribute syn_black_box of adder_subtracter_virtex2p_7_0_453ed16ba8e84295:
component is true;
attribute fpga_dont_touch of adder_subtracter_virtex2p_7_0_453ed16ba8e84295:
component is "true";
attribute box_type of adder_subtracter_virtex2p_7_0_453ed16ba8e84295:
component is "black_box";
component adder_subtracter_virtex2p_7_0_7182743c9e7adf5e
port (
a: in std_logic_vector( 5 - 1 downto 0);
s: out std_logic_vector(c_output_width - 1 downto 0);
b: in std_logic_vector(5 - 1 downto 0)
);
end component;
attribute syn_black_box of adder_subtracter_virtex2p_7_0_7182743c9e7adf5e:
component is true;
attribute fpga_dont_touch of adder_subtracter_virtex2p_7_0_7182743c9e7adf5e:
component is "true";
attribute box_type of adder_subtracter_virtex2p_7_0_7182743c9e7adf5e:
component is "black_box";
begin
internal_clr <= (clr or (rst(0))) and ce;
internal_ce <= ce and en(0);
logic1(0) <= '1';
addsub_process: process(a, b, core_s)
begin
full_a <= format_input(a, a_width, b_bin_pt - a_bin_pt, a_arith,
full_a_width);
full_b <= format_input(b, b_width, a_bin_pt - b_bin_pt, b_arith,
full_b_width);
conv_s <= convert_type(core_s, full_s_width, full_s_bin_pt, full_s_arith,
s_width, s_bin_pt, s_arith, quantization, overflow);
end process addsub_process;
comp0: if ((core_name0 = "adder_subtracter_virtex2p_7_0_cf28bbebd75d9ce3")) generate
core_instance0: adder_subtracter_virtex2p_7_0_cf28bbebd75d9ce3
port map (
a => full_a,
s => core_s,
b => full_b
);
end generate;
comp1: if ((core_name0 = "adder_subtracter_virtex2p_7_0_453ed16ba8e84295")) generate
core_instance1: adder_subtracter_virtex2p_7_0_453ed16ba8e84295
port map (
a => full_a,
s => core_s,
b => full_b
);
end generate;
comp2: if ((core_name0 = "adder_subtracter_virtex2p_7_0_7182743c9e7adf5e")) generate
core_instance2: adder_subtracter_virtex2p_7_0_7182743c9e7adf5e
port map (
a => full_a,
s => core_s,
b => full_b
);
end generate;
latency_test: if (extra_registers > 0) generate
override_test: if (c_latency > 1) generate
override_pipe: synth_reg
generic map (
width => 1,
latency => c_latency)
port map (
i => logic1,
ce => internal_ce,
clr => internal_clr,
clk => clk,
o(0) => override);
extra_reg_ce <= ce and en(0) and override;
end generate override_test;
no_override: if (c_latency = 0) or (c_latency = 1) generate
extra_reg_ce <= ce and en(0);
end generate no_override;
extra_reg: synth_reg
generic map (
width => s_width,
latency => extra_registers
)
port map (
i => conv_s,
ce => extra_reg_ce,
clr => internal_clr,
clk => clk,
o => s
);
cout_test : if((c_has_c_out = 1) or
(c_has_b_out = 1) or
(c_has_q_c_out = 1) or
(c_has_q_b_out = 1)) generate
c_out_extra_reg: synth_reg
generic map (
width => 1,
latency => extra_registers
)
port map (
i(0) => temp_cout,
ce => extra_reg_ce,
clr => internal_clr,
clk => clk,
o => c_out
);
end generate cout_test;
end generate;
latency_s: if ((latency = 0) or (extra_registers = 0)) generate
s <= conv_s;
end generate latency_s;
latency0: if ( ((latency = 0) or (extra_registers = 0)) and
((c_has_b_out = 1) or
(c_has_q_c_out = 1) or
(c_has_c_out = 1) or
(c_has_q_b_out = 1))) generate
c_out(0) <= temp_cout;
end generate latency0;
tie_dangling_cout: if ((c_has_c_out = 0) and
(c_has_b_out = 0) and
(c_has_q_c_out = 0) and
(c_has_q_b_out = 0)) generate
c_out <= "0";
end generate tie_dangling_cout;
end architecture behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity concat_f62149b02a is
port (
in0 : in std_logic_vector((2 - 1) downto 0);
in1 : in std_logic_vector((7 - 1) downto 0);
y : out std_logic_vector((9 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end concat_f62149b02a;
architecture behavior of concat_f62149b02a is
signal in0_1_23: unsigned((2 - 1) downto 0);
signal in1_1_27: unsigned((7 - 1) downto 0);
signal y_2_1_concat: unsigned((9 - 1) downto 0);
begin
in0_1_23 <= std_logic_vector_to_unsigned(in0);
in1_1_27 <= std_logic_vector_to_unsigned(in1);
y_2_1_concat <= std_logic_vector_to_unsigned(unsigned_to_std_logic_vector(in0_1_23) & unsigned_to_std_logic_vector(in1_1_27));
y <= unsigned_to_std_logic_vector(y_2_1_concat);
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity concat_1ece14600f is
port (
in0 : in std_logic_vector((1 - 1) downto 0);
in1 : in std_logic_vector((8 - 1) downto 0);
y : out std_logic_vector((9 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end concat_1ece14600f;
architecture behavior of concat_1ece14600f is
signal in0_1_23: unsigned((1 - 1) downto 0);
signal in1_1_27: unsigned((8 - 1) downto 0);
signal y_2_1_concat: unsigned((9 - 1) downto 0);
begin
in0_1_23 <= std_logic_vector_to_unsigned(in0);
in1_1_27 <= std_logic_vector_to_unsigned(in1);
y_2_1_concat <= std_logic_vector_to_unsigned(unsigned_to_std_logic_vector(in0_1_23) & unsigned_to_std_logic_vector(in1_1_27));
y <= unsigned_to_std_logic_vector(y_2_1_concat);
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity constant_443ed96427 is
port (
op : out std_logic_vector((9 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end constant_443ed96427;
architecture behavior of constant_443ed96427 is
begin
op <= "101011100";
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity constant_145086465d is
port (
op : out std_logic_vector((4 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end constant_145086465d;
architecture behavior of constant_145086465d is
begin
op <= "1000";
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity constant_fb9f6d3796 is
port (
op : out std_logic_vector((9 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end constant_fb9f6d3796;
architecture behavior of constant_fb9f6d3796 is
begin
op <= "100010101";
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity constant_09a4afb2ee is
port (
op : out std_logic_vector((9 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end constant_09a4afb2ee;
architecture behavior of constant_09a4afb2ee is
begin
op <= "101110101";
end behavior;
-------------------------------------------------------------------
-- System Generator version 10.1.2 VHDL source file.
--
-- Copyright(C) 2008 by Xilinx, Inc. All rights reserved. This
-- text/file contains proprietary, confidential information of Xilinx,
-- Inc., is distributed under license from Xilinx, Inc., and may be used,
-- copied and/or disclosed only pursuant to the terms of a valid license
-- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use
-- this text/file solely for design, simulation, implementation and
-- creation of design files limited to Xilinx devices or technologies.
-- Use with non-Xilinx devices or technologies is expressly prohibited
-- and immediately terminates your license unless covered by a separate
-- agreement.
--
-- Xilinx is providing this design, code, or information "as is" solely
-- for use in developing programs and solutions for Xilinx devices. By
-- providing this design, code, or information as one possible
-- implementation of this feature, application or standard, Xilinx is
-- making no representation that this implementation is free from any
-- claims of infringement. You are responsible for obtaining any rights
-- you may require for your implementation. Xilinx expressly disclaims
-- any warranty whatsoever with respect to the adequacy of the
-- implementation, including but not limited to warranties of
-- merchantability or fitness for a particular purpose.
--
-- Xilinx products are not intended for use in life support appliances,
-- devices, or systems. Use in such applications is expressly prohibited.
--
-- Any modifications that are made to the source code are done at the user's
-- sole risk and will be unsupported.
--
-- This copyright and support notice must be retained as part of this
-- text at all times. (c) Copyright 1995-2008 Xilinx, Inc. All rights
-- reserved.
-------------------------------------------------------------------
-- synopsys translate_off
library XilinxCoreLib;
-- synopsys translate_on
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity xlcounter_limit is
generic (
core_name0: string := "";
op_width: integer := 5;
op_arith: integer := xlSigned;
cnt_63_48: integer:= 0;
cnt_47_32: integer:= 0;
cnt_31_16: integer:= 0;
cnt_15_0: integer:= 0;
count_limited: integer := 0
);
port (
ce: in std_logic;
clr: in std_logic;
clk: in std_logic;
op: out std_logic_vector(op_width - 1 downto 0);
up: in std_logic_vector(0 downto 0) := (others => '0');
en: in std_logic_vector(0 downto 0);
rst: in std_logic_vector(0 downto 0)
);
end xlcounter_limit ;
architecture behavior of xlcounter_limit is
signal high_cnt_to: std_logic_vector(31 downto 0);
signal low_cnt_to: std_logic_vector(31 downto 0);
signal cnt_to: std_logic_vector(63 downto 0);
signal core_sinit, op_thresh0, core_ce: std_logic;
signal rst_overrides_en: std_logic;
signal op_net: std_logic_vector(op_width - 1 downto 0);
-- synopsys translate_off
signal real_op : real;
-- synopsys translate_on
function equals(op, cnt_to : std_logic_vector; width, arith : integer)
return std_logic
is
variable signed_op, signed_cnt_to : signed (width - 1 downto 0);
variable unsigned_op, unsigned_cnt_to : unsigned (width - 1 downto 0);
variable result : std_logic;
begin
-- synopsys translate_off
if ((is_XorU(op)) or (is_XorU(cnt_to)) ) then
result := '0';
return result;
end if;
-- synopsys translate_on
if (op = cnt_to) then
result := '1';
else
result := '0';
end if;
return result;
end;
component binary_counter_virtex2p_7_0_32a1863440903b9d
port (
clk: in std_logic;
ce: in std_logic;
sinit: in std_logic;
q: out std_logic_vector(op_width - 1 downto 0)
);
end component;
attribute syn_black_box of binary_counter_virtex2p_7_0_32a1863440903b9d:
component is true;
attribute fpga_dont_touch of binary_counter_virtex2p_7_0_32a1863440903b9d:
component is "true";
attribute box_type of binary_counter_virtex2p_7_0_32a1863440903b9d:
component is "black_box";
component binary_counter_virtex2p_7_0_23542cbcca0efa2e
port (
clk: in std_logic;
ce: in std_logic;
sinit: in std_logic;
q: out std_logic_vector(op_width - 1 downto 0)
);
end component;
attribute syn_black_box of binary_counter_virtex2p_7_0_23542cbcca0efa2e:
component is true;
attribute fpga_dont_touch of binary_counter_virtex2p_7_0_23542cbcca0efa2e:
component is "true";
attribute box_type of binary_counter_virtex2p_7_0_23542cbcca0efa2e:
component is "black_box";
-- synopsys translate_off
constant zeroVec : std_logic_vector(op_width - 1 downto 0) := (others => '0');
constant oneVec : std_logic_vector(op_width - 1 downto 0) := (others => '1');
constant zeroStr : string(1 to op_width) :=
std_logic_vector_to_bin_string(zeroVec);
constant oneStr : string(1 to op_width) :=
std_logic_vector_to_bin_string(oneVec);
-- synopsys translate_on
begin
-- synopsys translate_off
-- synopsys translate_on
cnt_to(63 downto 48) <= integer_to_std_logic_vector(cnt_63_48, 16, op_arith);
cnt_to(47 downto 32) <= integer_to_std_logic_vector(cnt_47_32, 16, op_arith);
cnt_to(31 downto 16) <= integer_to_std_logic_vector(cnt_31_16, 16, op_arith);
cnt_to(15 downto 0) <= integer_to_std_logic_vector(cnt_15_0, 16, op_arith);
op <= op_net;
core_ce <= ce and en(0);
rst_overrides_en <= rst(0) or en(0);
limit : if (count_limited = 1) generate
eq_cnt_to : process (op_net, cnt_to)
begin
op_thresh0 <= equals(op_net, cnt_to(op_width - 1 downto 0),
op_width, op_arith);
end process;
core_sinit <= (op_thresh0 or clr or rst(0)) and ce and rst_overrides_en;
end generate;
no_limit : if (count_limited = 0) generate
core_sinit <= (clr or rst(0)) and ce and rst_overrides_en;
end generate;
comp0: if ((core_name0 = "binary_counter_virtex2p_7_0_32a1863440903b9d")) generate
core_instance0: binary_counter_virtex2p_7_0_32a1863440903b9d
port map (
clk => clk,
ce => core_ce,
sinit => core_sinit,
q => op_net
);
end generate;
comp1: if ((core_name0 = "binary_counter_virtex2p_7_0_23542cbcca0efa2e")) generate
core_instance1: binary_counter_virtex2p_7_0_23542cbcca0efa2e
port map (
clk => clk,
ce => core_ce,
sinit => core_sinit,
q => op_net
);
end generate;
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity inverter_e5b38cca3b is
port (
ip : in std_logic_vector((1 - 1) downto 0);
op : out std_logic_vector((1 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end inverter_e5b38cca3b;
architecture behavior of inverter_e5b38cca3b is
signal ip_1_26: boolean;
type array_type_op_mem_22_20 is array (0 to (1 - 1)) of boolean;
signal op_mem_22_20: array_type_op_mem_22_20 := (
0 => false);
signal op_mem_22_20_front_din: boolean;
signal op_mem_22_20_back: boolean;
signal op_mem_22_20_push_front_pop_back_en: std_logic;
signal internal_ip_12_1_bitnot: boolean;
begin
ip_1_26 <= ((ip) = "1");
op_mem_22_20_back <= op_mem_22_20(0);
proc_op_mem_22_20: process (clk)
is
variable i: integer;
begin
if (clk'event and (clk = '1')) then
if ((ce = '1') and (op_mem_22_20_push_front_pop_back_en = '1')) then
op_mem_22_20(0) <= op_mem_22_20_front_din;
end if;
end if;
end process proc_op_mem_22_20;
internal_ip_12_1_bitnot <= ((not boolean_to_vector(ip_1_26)) = "1");
op_mem_22_20_push_front_pop_back_en <= '0';
op <= boolean_to_vector(internal_ip_12_1_bitnot);
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity logical_aacf6e1b0e is
port (
d0 : in std_logic_vector((1 - 1) downto 0);
d1 : in std_logic_vector((1 - 1) downto 0);
y : out std_logic_vector((1 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end logical_aacf6e1b0e;
architecture behavior of logical_aacf6e1b0e is
signal d0_1_24: std_logic;
signal d1_1_27: std_logic;
signal fully_2_1_bit: std_logic;
begin
d0_1_24 <= d0(0);
d1_1_27 <= d1(0);
fully_2_1_bit <= d0_1_24 or d1_1_27;
y <= std_logic_to_vector(fully_2_1_bit);
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity logical_80f90b97d0 is
port (
d0 : in std_logic_vector((1 - 1) downto 0);
d1 : in std_logic_vector((1 - 1) downto 0);
y : out std_logic_vector((1 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end logical_80f90b97d0;
architecture behavior of logical_80f90b97d0 is
signal d0_1_24: std_logic;
signal d1_1_27: std_logic;
signal fully_2_1_bit: std_logic;
begin
d0_1_24 <= d0(0);
d1_1_27 <= d1(0);
fully_2_1_bit <= d0_1_24 and d1_1_27;
y <= std_logic_to_vector(fully_2_1_bit);
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity mux_d99e59b6d4 is
port (
sel : in std_logic_vector((1 - 1) downto 0);
d0 : in std_logic_vector((1 - 1) downto 0);
d1 : in std_logic_vector((1 - 1) downto 0);
y : out std_logic_vector((1 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end mux_d99e59b6d4;
architecture behavior of mux_d99e59b6d4 is
signal sel_1_20: std_logic;
signal d0_1_24: std_logic;
signal d1_1_27: std_logic;
signal sel_internal_2_1_convert: std_logic_vector((1 - 1) downto 0);
signal unregy_join_6_1: std_logic;
begin
sel_1_20 <= sel(0);
d0_1_24 <= d0(0);
d1_1_27 <= d1(0);
sel_internal_2_1_convert <= cast(std_logic_to_vector(sel_1_20), 0, 1, 0, xlUnsigned);
proc_switch_6_1: process (d0_1_24, d1_1_27, sel_internal_2_1_convert)
is
begin
case sel_internal_2_1_convert is
when "0" =>
unregy_join_6_1 <= d0_1_24;
when others =>
unregy_join_6_1 <= d1_1_27;
end case;
end process proc_switch_6_1;
y <= std_logic_to_vector(unregy_join_6_1);
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity mux_1f00a411aa is
port (
sel : in std_logic_vector((4 - 1) downto 0);
d0 : in std_logic_vector((9 - 1) downto 0);
d1 : in std_logic_vector((9 - 1) downto 0);
d2 : in std_logic_vector((9 - 1) downto 0);
d3 : in std_logic_vector((9 - 1) downto 0);
d4 : in std_logic_vector((9 - 1) downto 0);
d5 : in std_logic_vector((9 - 1) downto 0);
d6 : in std_logic_vector((9 - 1) downto 0);
d7 : in std_logic_vector((9 - 1) downto 0);
d8 : in std_logic_vector((9 - 1) downto 0);
y : out std_logic_vector((9 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end mux_1f00a411aa;
architecture behavior of mux_1f00a411aa is
signal sel_1_20: std_logic_vector((4 - 1) downto 0);
signal d0_1_24: std_logic_vector((9 - 1) downto 0);
signal d1_1_27: std_logic_vector((9 - 1) downto 0);
signal d2_1_30: std_logic_vector((9 - 1) downto 0);
signal d3_1_33: std_logic_vector((9 - 1) downto 0);
signal d4_1_36: std_logic_vector((9 - 1) downto 0);
signal d5_1_39: std_logic_vector((9 - 1) downto 0);
signal d6_1_42: std_logic_vector((9 - 1) downto 0);
signal d7_1_45: std_logic_vector((9 - 1) downto 0);
signal d8_1_48: std_logic_vector((9 - 1) downto 0);
signal unregy_join_6_1: std_logic_vector((9 - 1) downto 0);
begin
sel_1_20 <= sel;
d0_1_24 <= d0;
d1_1_27 <= d1;
d2_1_30 <= d2;
d3_1_33 <= d3;
d4_1_36 <= d4;
d5_1_39 <= d5;
d6_1_42 <= d6;
d7_1_45 <= d7;
d8_1_48 <= d8;
proc_switch_6_1: process (d0_1_24, d1_1_27, d2_1_30, d3_1_33, d4_1_36, d5_1_39, d6_1_42, d7_1_45, d8_1_48, sel_1_20)
is
begin
case sel_1_20 is
when "0000" =>
unregy_join_6_1 <= d0_1_24;
when "0001" =>
unregy_join_6_1 <= d1_1_27;
when "0010" =>
unregy_join_6_1 <= d2_1_30;
when "0011" =>
unregy_join_6_1 <= d3_1_33;
when "0100" =>
unregy_join_6_1 <= d4_1_36;
when "0101" =>
unregy_join_6_1 <= d5_1_39;
when "0110" =>
unregy_join_6_1 <= d6_1_42;
when "0111" =>
unregy_join_6_1 <= d7_1_45;
when others =>
unregy_join_6_1 <= d8_1_48;
end case;
end process proc_switch_6_1;
y <= unregy_join_6_1;
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity relational_92c392c8b6 is
port (
a : in std_logic_vector((7 - 1) downto 0);
b : in std_logic_vector((9 - 1) downto 0);
op : out std_logic_vector((1 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end relational_92c392c8b6;
architecture behavior of relational_92c392c8b6 is
signal a_1_31: unsigned((7 - 1) downto 0);
signal b_1_34: signed((9 - 1) downto 0);
type array_type_op_mem_32_22 is array (0 to (1 - 1)) of boolean;
signal op_mem_32_22: array_type_op_mem_32_22 := (
0 => false);
signal op_mem_32_22_front_din: boolean;
signal op_mem_32_22_back: boolean;
signal op_mem_32_22_push_front_pop_back_en: std_logic;
signal cast_20_12: signed((9 - 1) downto 0);
signal result_20_3_rel: boolean;
begin
a_1_31 <= std_logic_vector_to_unsigned(a);
b_1_34 <= std_logic_vector_to_signed(b);
op_mem_32_22_back <= op_mem_32_22(0);
proc_op_mem_32_22: process (clk)
is
variable i: integer;
begin
if (clk'event and (clk = '1')) then
if ((ce = '1') and (op_mem_32_22_push_front_pop_back_en = '1')) then
op_mem_32_22(0) <= op_mem_32_22_front_din;
end if;
end if;
end process proc_op_mem_32_22;
cast_20_12 <= u2s_cast(a_1_31, 0, 9, 0);
result_20_3_rel <= cast_20_12 <= b_1_34;
op_mem_32_22_front_din <= result_20_3_rel;
op_mem_32_22_push_front_pop_back_en <= '1';
op <= boolean_to_vector(op_mem_32_22_back);
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity relational_fb96203f91 is
port (
a : in std_logic_vector((4 - 1) downto 0);
b : in std_logic_vector((4 - 1) downto 0);
op : out std_logic_vector((1 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end relational_fb96203f91;
architecture behavior of relational_fb96203f91 is
signal a_1_31: unsigned((4 - 1) downto 0);
signal b_1_34: unsigned((4 - 1) downto 0);
signal result_16_3_rel: boolean;
begin
a_1_31 <= std_logic_vector_to_unsigned(a);
b_1_34 <= std_logic_vector_to_unsigned(b);
result_16_3_rel <= a_1_31 < b_1_34;
op <= boolean_to_vector(result_16_3_rel);
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity mux_998e20a1ca is
port (
sel : in std_logic_vector((2 - 1) downto 0);
d0 : in std_logic_vector((8 - 1) downto 0);
d1 : in std_logic_vector((8 - 1) downto 0);
d2 : in std_logic_vector((8 - 1) downto 0);
d3 : in std_logic_vector((8 - 1) downto 0);
y : out std_logic_vector((8 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end mux_998e20a1ca;
architecture behavior of mux_998e20a1ca is
signal sel_1_20: std_logic_vector((2 - 1) downto 0);
signal d0_1_24: std_logic_vector((8 - 1) downto 0);
signal d1_1_27: std_logic_vector((8 - 1) downto 0);
signal d2_1_30: std_logic_vector((8 - 1) downto 0);
signal d3_1_33: std_logic_vector((8 - 1) downto 0);
signal unregy_join_6_1: std_logic_vector((8 - 1) downto 0);
begin
sel_1_20 <= sel;
d0_1_24 <= d0;
d1_1_27 <= d1;
d2_1_30 <= d2;
d3_1_33 <= d3;
proc_switch_6_1: process (d0_1_24, d1_1_27, d2_1_30, d3_1_33, sel_1_20)
is
begin
case sel_1_20 is
when "00" =>
unregy_join_6_1 <= d0_1_24;
when "01" =>
unregy_join_6_1 <= d1_1_27;
when "10" =>
unregy_join_6_1 <= d2_1_30;
when others =>
unregy_join_6_1 <= d3_1_33;
end case;
end process proc_switch_6_1;
y <= unregy_join_6_1;
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity concat_32864ba5d6 is
port (
in0 : in std_logic_vector((4 - 1) downto 0);
in1 : in std_logic_vector((3 - 1) downto 0);
y : out std_logic_vector((7 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end concat_32864ba5d6;
architecture behavior of concat_32864ba5d6 is
signal in0_1_23: unsigned((4 - 1) downto 0);
signal in1_1_27: unsigned((3 - 1) downto 0);
signal y_2_1_concat: unsigned((7 - 1) downto 0);
begin
in0_1_23 <= std_logic_vector_to_unsigned(in0);
in1_1_27 <= std_logic_vector_to_unsigned(in1);
y_2_1_concat <= std_logic_vector_to_unsigned(unsigned_to_std_logic_vector(in0_1_23) & unsigned_to_std_logic_vector(in1_1_27));
y <= unsigned_to_std_logic_vector(y_2_1_concat);
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity constant_822933f89b is
port (
op : out std_logic_vector((3 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end constant_822933f89b;
architecture behavior of constant_822933f89b is
begin
op <= "000";
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity constant_21d4a28b7e is
port (
op : out std_logic_vector((8 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end constant_21d4a28b7e;
architecture behavior of constant_21d4a28b7e is
begin
op <= "00000011";
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity constant_b940b9054a is
port (
op : out std_logic_vector((8 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end constant_b940b9054a;
architecture behavior of constant_b940b9054a is
begin
op <= "00001010";
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity concat_78218439f3 is
port (
in0 : in std_logic_vector((3 - 1) downto 0);
in1 : in std_logic_vector((4 - 1) downto 0);
in2 : in std_logic_vector((4 - 1) downto 0);
y : out std_logic_vector((11 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end concat_78218439f3;
architecture behavior of concat_78218439f3 is
signal in0_1_23: unsigned((3 - 1) downto 0);
signal in1_1_27: unsigned((4 - 1) downto 0);
signal in2_1_31: unsigned((4 - 1) downto 0);
signal y_2_1_concat: unsigned((11 - 1) downto 0);
begin
in0_1_23 <= std_logic_vector_to_unsigned(in0);
in1_1_27 <= std_logic_vector_to_unsigned(in1);
in2_1_31 <= std_logic_vector_to_unsigned(in2);
y_2_1_concat <= std_logic_vector_to_unsigned(unsigned_to_std_logic_vector(in0_1_23) & unsigned_to_std_logic_vector(in1_1_27) & unsigned_to_std_logic_vector(in2_1_31));
y <= unsigned_to_std_logic_vector(y_2_1_concat);
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity concat_25ab81b400 is
port (
in0 : in std_logic_vector((8 - 1) downto 0);
in1 : in std_logic_vector((6 - 1) downto 0);
y : out std_logic_vector((14 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end concat_25ab81b400;
architecture behavior of concat_25ab81b400 is
signal in0_1_23: unsigned((8 - 1) downto 0);
signal in1_1_27: unsigned((6 - 1) downto 0);
signal y_2_1_concat: unsigned((14 - 1) downto 0);
begin
in0_1_23 <= std_logic_vector_to_unsigned(in0);
in1_1_27 <= std_logic_vector_to_unsigned(in1);
y_2_1_concat <= std_logic_vector_to_unsigned(unsigned_to_std_logic_vector(in0_1_23) & unsigned_to_std_logic_vector(in1_1_27));
y <= unsigned_to_std_logic_vector(y_2_1_concat);
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity concat_e6f5ee726b is
port (
in0 : in std_logic_vector((1 - 1) downto 0);
in1 : in std_logic_vector((1 - 1) downto 0);
y : out std_logic_vector((2 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end concat_e6f5ee726b;
architecture behavior of concat_e6f5ee726b is
signal in0_1_23: unsigned((1 - 1) downto 0);
signal in1_1_27: unsigned((1 - 1) downto 0);
signal y_2_1_concat: unsigned((2 - 1) downto 0);
begin
in0_1_23 <= std_logic_vector_to_unsigned(in0);
in1_1_27 <= std_logic_vector_to_unsigned(in1);
y_2_1_concat <= std_logic_vector_to_unsigned(unsigned_to_std_logic_vector(in0_1_23) & unsigned_to_std_logic_vector(in1_1_27));
y <= unsigned_to_std_logic_vector(y_2_1_concat);
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity constant_469094441c is
port (
op : out std_logic_vector((3 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end constant_469094441c;
architecture behavior of constant_469094441c is
begin
op <= "100";
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity constant_a1c496ea88 is
port (
op : out std_logic_vector((3 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end constant_a1c496ea88;
architecture behavior of constant_a1c496ea88 is
begin
op <= "001";
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity mux_2ec2057ae3 is
port (
sel : in std_logic_vector((2 - 1) downto 0);
d0 : in std_logic_vector((3 - 1) downto 0);
d1 : in std_logic_vector((3 - 1) downto 0);
d2 : in std_logic_vector((3 - 1) downto 0);
d3 : in std_logic_vector((3 - 1) downto 0);
y : out std_logic_vector((3 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end mux_2ec2057ae3;
architecture behavior of mux_2ec2057ae3 is
signal sel_1_20: std_logic_vector((2 - 1) downto 0);
signal d0_1_24: std_logic_vector((3 - 1) downto 0);
signal d1_1_27: std_logic_vector((3 - 1) downto 0);
signal d2_1_30: std_logic_vector((3 - 1) downto 0);
signal d3_1_33: std_logic_vector((3 - 1) downto 0);
signal unregy_join_6_1: std_logic_vector((3 - 1) downto 0);
begin
sel_1_20 <= sel;
d0_1_24 <= d0;
d1_1_27 <= d1;
d2_1_30 <= d2;
d3_1_33 <= d3;
proc_switch_6_1: process (d0_1_24, d1_1_27, d2_1_30, d3_1_33, sel_1_20)
is
begin
case sel_1_20 is
when "00" =>
unregy_join_6_1 <= d0_1_24;
when "01" =>
unregy_join_6_1 <= d1_1_27;
when "10" =>
unregy_join_6_1 <= d2_1_30;
when others =>
unregy_join_6_1 <= d3_1_33;
end case;
end process proc_switch_6_1;
y <= unregy_join_6_1;
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity mux_7586447000 is
port (
sel : in std_logic_vector((3 - 1) downto 0);
d0 : in std_logic_vector((9 - 1) downto 0);
d1 : in std_logic_vector((9 - 1) downto 0);
d2 : in std_logic_vector((9 - 1) downto 0);
d3 : in std_logic_vector((9 - 1) downto 0);
d4 : in std_logic_vector((9 - 1) downto 0);
d5 : in std_logic_vector((9 - 1) downto 0);
d6 : in std_logic_vector((9 - 1) downto 0);
d7 : in std_logic_vector((9 - 1) downto 0);
y : out std_logic_vector((9 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end mux_7586447000;
architecture behavior of mux_7586447000 is
signal sel_1_20: std_logic_vector((3 - 1) downto 0);
signal d0_1_24: std_logic_vector((9 - 1) downto 0);
signal d1_1_27: std_logic_vector((9 - 1) downto 0);
signal d2_1_30: std_logic_vector((9 - 1) downto 0);
signal d3_1_33: std_logic_vector((9 - 1) downto 0);
signal d4_1_36: std_logic_vector((9 - 1) downto 0);
signal d5_1_39: std_logic_vector((9 - 1) downto 0);
signal d6_1_42: std_logic_vector((9 - 1) downto 0);
signal d7_1_45: std_logic_vector((9 - 1) downto 0);
signal unregy_join_6_1: std_logic_vector((9 - 1) downto 0);
begin
sel_1_20 <= sel;
d0_1_24 <= d0;
d1_1_27 <= d1;
d2_1_30 <= d2;
d3_1_33 <= d3;
d4_1_36 <= d4;
d5_1_39 <= d5;
d6_1_42 <= d6;
d7_1_45 <= d7;
proc_switch_6_1: process (d0_1_24, d1_1_27, d2_1_30, d3_1_33, d4_1_36, d5_1_39, d6_1_42, d7_1_45, sel_1_20)
is
begin
case sel_1_20 is
when "000" =>
unregy_join_6_1 <= d0_1_24;
when "001" =>
unregy_join_6_1 <= d1_1_27;
when "010" =>
unregy_join_6_1 <= d2_1_30;
when "011" =>
unregy_join_6_1 <= d3_1_33;
when "100" =>
unregy_join_6_1 <= d4_1_36;
when "101" =>
unregy_join_6_1 <= d5_1_39;
when "110" =>
unregy_join_6_1 <= d6_1_42;
when others =>
unregy_join_6_1 <= d7_1_45;
end case;
end process proc_switch_6_1;
y <= unregy_join_6_1;
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity relational_f7cb2b0c31 is
port (
a : in std_logic_vector((6 - 1) downto 0);
b : in std_logic_vector((1 - 1) downto 0);
op : out std_logic_vector((1 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end relational_f7cb2b0c31;
architecture behavior of relational_f7cb2b0c31 is
signal a_1_31: unsigned((6 - 1) downto 0);
signal b_1_34: unsigned((1 - 1) downto 0);
signal cast_12_17: unsigned((6 - 1) downto 0);
signal result_12_3_rel: boolean;
begin
a_1_31 <= std_logic_vector_to_unsigned(a);
b_1_34 <= std_logic_vector_to_unsigned(b);
cast_12_17 <= u2u_cast(b_1_34, 0, 6, 0);
result_12_3_rel <= a_1_31 = cast_12_17;
op <= boolean_to_vector(result_12_3_rel);
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity relational_ee03197e2c is
port (
a : in std_logic_vector((4 - 1) downto 0);
b : in std_logic_vector((1 - 1) downto 0);
op : out std_logic_vector((1 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end relational_ee03197e2c;
architecture behavior of relational_ee03197e2c is
signal a_1_31: unsigned((4 - 1) downto 0);
signal b_1_34: unsigned((1 - 1) downto 0);
signal cast_12_17: unsigned((4 - 1) downto 0);
signal result_12_3_rel: boolean;
begin
a_1_31 <= std_logic_vector_to_unsigned(a);
b_1_34 <= std_logic_vector_to_unsigned(b);
cast_12_17 <= u2u_cast(b_1_34, 0, 4, 0);
result_12_3_rel <= a_1_31 = cast_12_17;
op <= boolean_to_vector(result_12_3_rel);
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity relational_04b069dd89 is
port (
a : in std_logic_vector((3 - 1) downto 0);
b : in std_logic_vector((1 - 1) downto 0);
op : out std_logic_vector((1 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end relational_04b069dd89;
architecture behavior of relational_04b069dd89 is
signal a_1_31: unsigned((3 - 1) downto 0);
signal b_1_34: unsigned((1 - 1) downto 0);
signal cast_12_17: unsigned((3 - 1) downto 0);
signal result_12_3_rel: boolean;
begin
a_1_31 <= std_logic_vector_to_unsigned(a);
b_1_34 <= std_logic_vector_to_unsigned(b);
cast_12_17 <= u2u_cast(b_1_34, 0, 3, 0);
result_12_3_rel <= a_1_31 = cast_12_17;
op <= boolean_to_vector(result_12_3_rel);
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity mux_8e3eece8f2 is
port (
sel : in std_logic_vector((4 - 1) downto 0);
d0 : in std_logic_vector((1 - 1) downto 0);
d1 : in std_logic_vector((1 - 1) downto 0);
d2 : in std_logic_vector((1 - 1) downto 0);
d3 : in std_logic_vector((1 - 1) downto 0);
d4 : in std_logic_vector((1 - 1) downto 0);
d5 : in std_logic_vector((1 - 1) downto 0);
d6 : in std_logic_vector((1 - 1) downto 0);
d7 : in std_logic_vector((1 - 1) downto 0);
d8 : in std_logic_vector((1 - 1) downto 0);
y : out std_logic_vector((1 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end mux_8e3eece8f2;
architecture behavior of mux_8e3eece8f2 is
signal sel_1_20: std_logic_vector((4 - 1) downto 0);
signal d0_1_24: std_logic_vector((1 - 1) downto 0);
signal d1_1_27: std_logic_vector((1 - 1) downto 0);
signal d2_1_30: std_logic_vector((1 - 1) downto 0);
signal d3_1_33: std_logic_vector((1 - 1) downto 0);
signal d4_1_36: std_logic_vector((1 - 1) downto 0);
signal d5_1_39: std_logic_vector((1 - 1) downto 0);
signal d6_1_42: std_logic_vector((1 - 1) downto 0);
signal d7_1_45: std_logic_vector((1 - 1) downto 0);
signal d8_1_48: std_logic_vector((1 - 1) downto 0);
type array_type_pipe_30_22 is array (0 to (1 - 1)) of std_logic_vector((1 - 1) downto 0);
signal pipe_30_22: array_type_pipe_30_22 := (
0 => "0");
signal pipe_30_22_front_din: std_logic_vector((1 - 1) downto 0);
signal pipe_30_22_back: std_logic_vector((1 - 1) downto 0);
signal pipe_30_22_push_front_pop_back_en: std_logic;
signal unregy_join_6_1: std_logic_vector((1 - 1) downto 0);
begin
sel_1_20 <= sel;
d0_1_24 <= d0;
d1_1_27 <= d1;
d2_1_30 <= d2;
d3_1_33 <= d3;
d4_1_36 <= d4;
d5_1_39 <= d5;
d6_1_42 <= d6;
d7_1_45 <= d7;
d8_1_48 <= d8;
pipe_30_22_back <= pipe_30_22(0);
proc_pipe_30_22: process (clk)
is
variable i: integer;
begin
if (clk'event and (clk = '1')) then
if ((ce = '1') and (pipe_30_22_push_front_pop_back_en = '1')) then
pipe_30_22(0) <= pipe_30_22_front_din;
end if;
end if;
end process proc_pipe_30_22;
proc_switch_6_1: process (d0_1_24, d1_1_27, d2_1_30, d3_1_33, d4_1_36, d5_1_39, d6_1_42, d7_1_45, d8_1_48, sel_1_20)
is
begin
case sel_1_20 is
when "0000" =>
unregy_join_6_1 <= d0_1_24;
when "0001" =>
unregy_join_6_1 <= d1_1_27;
when "0010" =>
unregy_join_6_1 <= d2_1_30;
when "0011" =>
unregy_join_6_1 <= d3_1_33;
when "0100" =>
unregy_join_6_1 <= d4_1_36;
when "0101" =>
unregy_join_6_1 <= d5_1_39;
when "0110" =>
unregy_join_6_1 <= d6_1_42;
when "0111" =>
unregy_join_6_1 <= d7_1_45;
when others =>
unregy_join_6_1 <= d8_1_48;
end case;
end process proc_switch_6_1;
pipe_30_22_front_din <= unregy_join_6_1;
pipe_30_22_push_front_pop_back_en <= '1';
y <= pipe_30_22_back;
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity logical_938d99ac11 is
port (
d0 : in std_logic_vector((1 - 1) downto 0);
d1 : in std_logic_vector((1 - 1) downto 0);
y : out std_logic_vector((1 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end logical_938d99ac11;
architecture behavior of logical_938d99ac11 is
signal d0_1_24: std_logic_vector((1 - 1) downto 0);
signal d1_1_27: std_logic_vector((1 - 1) downto 0);
signal fully_2_1_bit: std_logic_vector((1 - 1) downto 0);
begin
d0_1_24 <= d0;
d1_1_27 <= d1;
fully_2_1_bit <= d0_1_24 and d1_1_27;
y <= fully_2_1_bit;
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity constant_06590e4008 is
port (
op : out std_logic_vector((4 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end constant_06590e4008;
architecture behavior of constant_06590e4008 is
begin
op <= "1111";
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity mux_112ed141f4 is
port (
sel : in std_logic_vector((1 - 1) downto 0);
d0 : in std_logic_vector((1 - 1) downto 0);
d1 : in std_logic_vector((1 - 1) downto 0);
y : out std_logic_vector((1 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end mux_112ed141f4;
architecture behavior of mux_112ed141f4 is
signal sel_1_20: std_logic;
signal d0_1_24: std_logic_vector((1 - 1) downto 0);
signal d1_1_27: std_logic_vector((1 - 1) downto 0);
signal sel_internal_2_1_convert: std_logic_vector((1 - 1) downto 0);
signal unregy_join_6_1: std_logic_vector((1 - 1) downto 0);
begin
sel_1_20 <= sel(0);
d0_1_24 <= d0;
d1_1_27 <= d1;
sel_internal_2_1_convert <= cast(std_logic_to_vector(sel_1_20), 0, 1, 0, xlUnsigned);
proc_switch_6_1: process (d0_1_24, d1_1_27, sel_internal_2_1_convert)
is
begin
case sel_internal_2_1_convert is
when "0" =>
unregy_join_6_1 <= d0_1_24;
when others =>
unregy_join_6_1 <= d1_1_27;
end case;
end process proc_switch_6_1;
y <= unregy_join_6_1;
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity logical_3e1f051fb7 is
port (
d0 : in std_logic_vector((1 - 1) downto 0);
d1 : in std_logic_vector((1 - 1) downto 0);
y : out std_logic_vector((1 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end logical_3e1f051fb7;
architecture behavior of logical_3e1f051fb7 is
signal d0_1_24: std_logic_vector((1 - 1) downto 0);
signal d1_1_27: std_logic_vector((1 - 1) downto 0);
signal fully_2_1_bit: std_logic_vector((1 - 1) downto 0);
begin
d0_1_24 <= d0;
d1_1_27 <= d1;
fully_2_1_bit <= d0_1_24 or d1_1_27;
y <= fully_2_1_bit;
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity mux_791081a00e is
port (
sel : in std_logic_vector((1 - 1) downto 0);
d0 : in std_logic_vector((9 - 1) downto 0);
d1 : in std_logic_vector((9 - 1) downto 0);
y : out std_logic_vector((9 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end mux_791081a00e;
architecture behavior of mux_791081a00e is
signal sel_1_20: std_logic;
signal d0_1_24: std_logic_vector((9 - 1) downto 0);
signal d1_1_27: std_logic_vector((9 - 1) downto 0);
signal sel_internal_2_1_convert: std_logic_vector((1 - 1) downto 0);
signal unregy_join_6_1: std_logic_vector((9 - 1) downto 0);
begin
sel_1_20 <= sel(0);
d0_1_24 <= d0;
d1_1_27 <= d1;
sel_internal_2_1_convert <= cast(std_logic_to_vector(sel_1_20), 0, 1, 0, xlUnsigned);
proc_switch_6_1: process (d0_1_24, d1_1_27, sel_internal_2_1_convert)
is
begin
case sel_internal_2_1_convert is
when "0" =>
unregy_join_6_1 <= d0_1_24;
when others =>
unregy_join_6_1 <= d1_1_27;
end case;
end process proc_switch_6_1;
y <= unregy_join_6_1;
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
-- Generated from Simulink block "user_io_board_controller/Buzzer Controller"
entity buzzer_controller_entity_063692c849 is
port (
ce_1: in std_logic;
clk_1: in std_logic;
from_register: in std_logic_vector(17 downto 0);
from_register1: in std_logic_vector(17 downto 0);
from_register2: in std_logic;
register9_x0: out std_logic
);
end buzzer_controller_entity_063692c849;
architecture structural of buzzer_controller_entity_063692c849 is
signal ce_1_sg_x0: std_logic;
signal clk_1_sg_x0: std_logic;
signal convert_dout_net: std_logic;
signal counter_op_net: std_logic_vector(17 downto 0);
signal from_register1_data_out_net_x0: std_logic_vector(17 downto 0);
signal from_register2_data_out_net_x0: std_logic;
signal from_register_data_out_net_x0: std_logic_vector(17 downto 0);
signal inverter_op_net: std_logic;
signal mult_p_net: std_logic_vector(17 downto 0);
signal register8_q_net: std_logic;
signal register9_q_net_x0: std_logic;
signal relational1_op_net: std_logic;
signal relational_op_net: std_logic;
begin
ce_1_sg_x0 <= ce_1;
clk_1_sg_x0 <= clk_1;
from_register_data_out_net_x0 <= from_register;
from_register1_data_out_net_x0 <= from_register1;
from_register2_data_out_net_x0 <= from_register2;
register9_x0 <= register9_q_net_x0;
convert: entity work.xlconvert
generic map (
bool_conversion => 1,
din_arith => 1,
din_bin_pt => 0,
din_width => 1,
dout_arith => 1,
dout_bin_pt => 0,
dout_width => 1,
latency => 0,
overflow => xlWrap,
quantization => xlTruncate
)
port map (
ce => '0',
clk => '0',
clr => '0',
din(0) => inverter_op_net,
dout(0) => convert_dout_net
);
counter: entity work.xlcounter_free
generic map (
core_name0 => "binary_counter_virtex2p_7_0_950e4ab582797264",
op_arith => xlUnsigned,
op_width => 18
)
port map (
ce => ce_1_sg_x0,
clk => clk_1_sg_x0,
clr => '0',
en => "1",
rst(0) => relational_op_net,
op => counter_op_net
);
inverter: entity work.inverter_e2b989a05e
port map (
ce => ce_1_sg_x0,
clk => clk_1_sg_x0,
clr => '0',
ip(0) => from_register2_data_out_net_x0,
op(0) => inverter_op_net
);
mult: entity work.xlmult_v9_0
generic map (
a_arith => xlUnsigned,
a_bin_pt => 0,
a_width => 18,
b_arith => xlUnsigned,
b_bin_pt => 18,
b_width => 18,
c_a_type => 1,
c_a_width => 18,
c_b_type => 1,
c_b_width => 18,
c_baat => 18,
c_output_width => 36,
c_type => 1,
core_name0 => "multiplier_virtex2p_10_1_817edd563258bb47",
extra_registers => 0,
multsign => 1,
overflow => 1,
p_arith => xlUnsigned,
p_bin_pt => 0,
p_width => 18,
quantization => 1
)
port map (
a => from_register_data_out_net_x0,
b => from_register1_data_out_net_x0,
ce => ce_1_sg_x0,
clk => clk_1_sg_x0,
clr => '0',
core_ce => ce_1_sg_x0,
core_clk => clk_1_sg_x0,
core_clr => '1',
en => "1",
rst => "0",
p => mult_p_net
);
register8: entity work.xlregister
generic map (
d_width => 1,
init_value => b"0"
)
port map (
ce => ce_1_sg_x0,
clk => clk_1_sg_x0,
d(0) => relational1_op_net,
en => "1",
rst(0) => convert_dout_net,
q(0) => register8_q_net
);
register9: entity work.xlregister
generic map (
d_width => 1,
init_value => b"0"
)
port map (
ce => ce_1_sg_x0,
clk => clk_1_sg_x0,
d(0) => register8_q_net,
en => "1",
rst => "0",
q(0) => register9_q_net_x0
);
relational: entity work.relational_4e76b03051
port map (
a => counter_op_net,
b => from_register_data_out_net_x0,
ce => ce_1_sg_x0,
clk => clk_1_sg_x0,
clr => '0',
op(0) => relational_op_net
);
relational1: entity work.relational_1433264a0c
port map (
a => counter_op_net,
b => mult_p_net,
ce => ce_1_sg_x0,
clk => clk_1_sg_x0,
clr => '0',
op(0) => relational1_op_net
);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
-- Generated from Simulink block "user_io_board_controller/EDK Processor"
entity edk_processor_entity_94deb4def9 is
port (
ce_1: in std_logic;
clk_1: in std_logic;
from_register: in std_logic_vector(1 downto 0);
from_register1: in std_logic_vector(5 downto 0);
from_register2: in std_logic_vector(3 downto 0);
from_register3: in std_logic_vector(4 downto 0);
plb_abus: in std_logic_vector(31 downto 0);
plb_pavalid: in std_logic;
plb_rnw: in std_logic;
plb_wrdbus: in std_logic_vector(31 downto 0);
sg_plb_addrpref: in std_logic_vector(14 downto 0);
shared_memory: in std_logic_vector(31 downto 0);
shared_memory1: in std_logic_vector(31 downto 0);
shared_memory2: in std_logic_vector(31 downto 0);
splb_rst: in std_logic;
to_register: in std_logic_vector(17 downto 0);
to_register1: in std_logic;
to_register10: in std_logic_vector(8 downto 0);
to_register11: in std_logic_vector(3 downto 0);
to_register12: in std_logic_vector(8 downto 0);
to_register13: in std_logic;
to_register14: in std_logic;
to_register15: in std_logic_vector(8 downto 0);
to_register16: in std_logic_vector(8 downto 0);
to_register17: in std_logic_vector(8 downto 0);
to_register18: in std_logic;
to_register19: in std_logic_vector(7 downto 0);
to_register2: in std_logic_vector(17 downto 0);
to_register20: in std_logic_vector(7 downto 0);
to_register3: in std_logic_vector(8 downto 0);
to_register4: in std_logic_vector(3 downto 0);
to_register5: in std_logic_vector(2 downto 0);
to_register6: in std_logic_vector(8 downto 0);
to_register7: in std_logic_vector(1 downto 0);
to_register8: in std_logic;
to_register9: in std_logic_vector(8 downto 0);
constant5_x0: out std_logic;
plb_decode_x0: out std_logic;
plb_decode_x1: out std_logic;
plb_decode_x2: out std_logic;
plb_decode_x3: out std_logic;
plb_decode_x4: out std_logic_vector(31 downto 0);
plb_memmap_x0: out std_logic_vector(17 downto 0);
plb_memmap_x1: out std_logic;
plb_memmap_x10: out std_logic_vector(2 downto 0);
plb_memmap_x11: out std_logic;
plb_memmap_x12: out std_logic_vector(8 downto 0);
plb_memmap_x13: out std_logic;
plb_memmap_x14: out std_logic_vector(1 downto 0);
plb_memmap_x15: out std_logic;
plb_memmap_x16: out std_logic;
plb_memmap_x17: out std_logic;
plb_memmap_x18: out std_logic_vector(8 downto 0);
plb_memmap_x19: out std_logic;
plb_memmap_x2: out std_logic;
plb_memmap_x20: out std_logic_vector(8 downto 0);
plb_memmap_x21: out std_logic;
plb_memmap_x22: out std_logic_vector(3 downto 0);
plb_memmap_x23: out std_logic;
plb_memmap_x24: out std_logic_vector(8 downto 0);
plb_memmap_x25: out std_logic;
plb_memmap_x26: out std_logic;
plb_memmap_x27: out std_logic;
plb_memmap_x28: out std_logic;
plb_memmap_x29: out std_logic;
plb_memmap_x3: out std_logic;
plb_memmap_x30: out std_logic_vector(8 downto 0);
plb_memmap_x31: out std_logic;
plb_memmap_x32: out std_logic_vector(8 downto 0);
plb_memmap_x33: out std_logic;
plb_memmap_x34: out std_logic_vector(8 downto 0);
plb_memmap_x35: out std_logic;
plb_memmap_x36: out std_logic;
plb_memmap_x37: out std_logic;
plb_memmap_x38: out std_logic_vector(7 downto 0);
plb_memmap_x39: out std_logic;
plb_memmap_x4: out std_logic_vector(17 downto 0);
plb_memmap_x40: out std_logic_vector(7 downto 0);
plb_memmap_x41: out std_logic;
plb_memmap_x42: out std_logic_vector(11 downto 0);
plb_memmap_x43: out std_logic_vector(31 downto 0);
plb_memmap_x44: out std_logic;
plb_memmap_x45: out std_logic_vector(8 downto 0);
plb_memmap_x46: out std_logic_vector(31 downto 0);
plb_memmap_x47: out std_logic;
plb_memmap_x48: out std_logic_vector(7 downto 0);
plb_memmap_x49: out std_logic_vector(31 downto 0);
plb_memmap_x5: out std_logic;
plb_memmap_x50: out std_logic;
plb_memmap_x6: out std_logic_vector(8 downto 0);
plb_memmap_x7: out std_logic;
plb_memmap_x8: out std_logic_vector(3 downto 0);
plb_memmap_x9: out std_logic
);
end edk_processor_entity_94deb4def9;
architecture structural of edk_processor_entity_94deb4def9 is
signal bankaddr: std_logic_vector(1 downto 0);
signal buttons_big_dout_x0: std_logic_vector(1 downto 0);
signal buttons_small_dout_x0: std_logic_vector(5 downto 0);
signal buzzer_dutycycle_din_x0: std_logic_vector(17 downto 0);
signal buzzer_dutycycle_dout_x0: std_logic_vector(17 downto 0);
signal buzzer_dutycycle_en_x0: std_logic;
signal buzzer_enable_din_x0: std_logic;
signal buzzer_enable_dout_x0: std_logic;
signal buzzer_enable_en_x0: std_logic;
signal buzzer_period_din_x0: std_logic_vector(17 downto 0);
signal buzzer_period_dout_x0: std_logic_vector(17 downto 0);
signal buzzer_period_en_x0: std_logic;
signal ce_1_sg_x1: std_logic;
signal clk_1_sg_x1: std_logic;
signal dip_switch_dout_x0: std_logic_vector(3 downto 0);
signal lcd_backgroundcolor_din_x0: std_logic_vector(8 downto 0);
signal lcd_backgroundcolor_dout_x0: std_logic_vector(8 downto 0);
signal lcd_backgroundcolor_en_x0: std_logic;
signal lcd_charactermap_addr_x0: std_logic_vector(11 downto 0);
signal lcd_charactermap_din_x0: std_logic_vector(31 downto 0);
signal lcd_charactermap_dout_x0: std_logic_vector(31 downto 0);
signal lcd_charactermap_we_x0: std_logic;
signal lcd_characteroffset_din_x0: std_logic_vector(3 downto 0);
signal lcd_characteroffset_dout_x0: std_logic_vector(3 downto 0);
signal lcd_characteroffset_en_x0: std_logic;
signal lcd_characters_addr_x0: std_logic_vector(8 downto 0);
signal lcd_characters_din_x0: std_logic_vector(31 downto 0);
signal lcd_characters_dout_x0: std_logic_vector(31 downto 0);
signal lcd_characters_we_x0: std_logic;
signal lcd_charactersselect_din_x0: std_logic_vector(2 downto 0);
signal lcd_charactersselect_dout_x0: std_logic_vector(2 downto 0);
signal lcd_charactersselect_en_x0: std_logic;
signal lcd_colset_din_x0: std_logic_vector(8 downto 0);
signal lcd_colset_dout_x0: std_logic_vector(8 downto 0);
signal lcd_colset_en_x0: std_logic;
signal lcd_commands_addr_x0: std_logic_vector(7 downto 0);
signal lcd_commands_din_x0: std_logic_vector(31 downto 0);
signal lcd_commands_dout_x0: std_logic_vector(31 downto 0);
signal lcd_commands_we_x0: std_logic;
signal lcd_configlocation_din_x0: std_logic_vector(1 downto 0);
signal lcd_configlocation_dout_x0: std_logic_vector(1 downto 0);
signal lcd_configlocation_en_x0: std_logic;
signal lcd_dividerselect_din_x0: std_logic;
signal lcd_dividerselect_dout_x0: std_logic;
signal lcd_dividerselect_en_x0: std_logic;
signal lcd_firstend_din_x0: std_logic_vector(8 downto 0);
signal lcd_firstend_dout_x0: std_logic_vector(8 downto 0);
signal lcd_firstend_en_x0: std_logic;
signal lcd_firststart_din_x0: std_logic_vector(8 downto 0);
signal lcd_firststart_dout_x0: std_logic_vector(8 downto 0);
signal lcd_firststart_en_x0: std_logic;
signal lcd_lineoffset_din_x0: std_logic_vector(3 downto 0);
signal lcd_lineoffset_dout_x0: std_logic_vector(3 downto 0);
signal lcd_lineoffset_en_x0: std_logic;
signal lcd_ramwrite_din_x0: std_logic_vector(8 downto 0);
signal lcd_ramwrite_dout_x0: std_logic_vector(8 downto 0);
signal lcd_ramwrite_en_x0: std_logic;
signal lcd_reset_din_x0: std_logic;
signal lcd_reset_dout_x0: std_logic;
signal lcd_reset_en_x0: std_logic;
signal lcd_resetlcd_din_x0: std_logic;
signal lcd_resetlcd_dout_x0: std_logic;
signal lcd_resetlcd_en_x0: std_logic;
signal lcd_rowset_din_x0: std_logic_vector(8 downto 0);
signal lcd_rowset_dout_x0: std_logic_vector(8 downto 0);
signal lcd_rowset_en_x0: std_logic;
signal lcd_secondend_din_x0: std_logic_vector(8 downto 0);
signal lcd_secondend_dout_x0: std_logic_vector(8 downto 0);
signal lcd_secondend_en_x0: std_logic;
signal lcd_secondstart_din_x0: std_logic_vector(8 downto 0);
signal lcd_secondstart_dout_x0: std_logic_vector(8 downto 0);
signal lcd_secondstart_en_x0: std_logic;
signal lcd_send_din_x0: std_logic;
signal lcd_send_dout_x0: std_logic;
signal lcd_send_en_x0: std_logic;
signal lcd_totalcmdtransfer_din_x0: std_logic_vector(7 downto 0);
signal lcd_totalcmdtransfer_dout_x0: std_logic_vector(7 downto 0);
signal lcd_totalcmdtransfer_en_x0: std_logic;
signal leds_din_x0: std_logic_vector(7 downto 0);
signal leds_dout_x0: std_logic_vector(7 downto 0);
signal leds_en_x0: std_logic;
signal linearaddr: std_logic_vector(12 downto 0);
signal plb_abus_net_x0: std_logic_vector(31 downto 0);
signal plb_pavalid_net_x0: std_logic;
signal plb_rnw_net_x0: std_logic;
signal plb_wrdbus_net_x0: std_logic_vector(31 downto 0);
signal rddata: std_logic_vector(31 downto 0);
signal rnwreg: std_logic;
signal sg_plb_addrpref_net_x0: std_logic_vector(14 downto 0);
signal sl_addrack_x0: std_logic;
signal sl_rdcomp_x0: std_logic;
signal sl_rddack_x0: std_logic;
signal sl_rddbus_x0: std_logic_vector(31 downto 0);
signal sl_wait_x0: std_logic;
signal sl_wrdack_x0: std_logic;
signal splb_rst_net_x0: std_logic;
signal trackball_dout_x0: std_logic_vector(4 downto 0);
signal wrdbusreg: std_logic_vector(31 downto 0);
begin
ce_1_sg_x1 <= ce_1;
clk_1_sg_x1 <= clk_1;
buttons_big_dout_x0 <= from_register;
buttons_small_dout_x0 <= from_register1;
dip_switch_dout_x0 <= from_register2;
trackball_dout_x0 <= from_register3;
plb_abus_net_x0 <= plb_abus;
plb_pavalid_net_x0 <= plb_pavalid;
plb_rnw_net_x0 <= plb_rnw;
plb_wrdbus_net_x0 <= plb_wrdbus;
sg_plb_addrpref_net_x0 <= sg_plb_addrpref;
lcd_charactermap_dout_x0 <= shared_memory;
lcd_characters_dout_x0 <= shared_memory1;
lcd_commands_dout_x0 <= shared_memory2;
splb_rst_net_x0 <= splb_rst;
buzzer_dutycycle_dout_x0 <= to_register;
buzzer_enable_dout_x0 <= to_register1;
lcd_firststart_dout_x0 <= to_register10;
lcd_lineoffset_dout_x0 <= to_register11;
lcd_ramwrite_dout_x0 <= to_register12;
lcd_reset_dout_x0 <= to_register13;
lcd_resetlcd_dout_x0 <= to_register14;
lcd_rowset_dout_x0 <= to_register15;
lcd_secondend_dout_x0 <= to_register16;
lcd_secondstart_dout_x0 <= to_register17;
lcd_send_dout_x0 <= to_register18;
lcd_totalcmdtransfer_dout_x0 <= to_register19;
buzzer_period_dout_x0 <= to_register2;
leds_dout_x0 <= to_register20;
lcd_backgroundcolor_dout_x0 <= to_register3;
lcd_characteroffset_dout_x0 <= to_register4;
lcd_charactersselect_dout_x0 <= to_register5;
lcd_colset_dout_x0 <= to_register6;
lcd_configlocation_dout_x0 <= to_register7;
lcd_dividerselect_dout_x0 <= to_register8;
lcd_firstend_dout_x0 <= to_register9;
constant5_x0 <= sl_wait_x0;
plb_decode_x0 <= sl_addrack_x0;
plb_decode_x1 <= sl_rdcomp_x0;
plb_decode_x2 <= sl_wrdack_x0;
plb_decode_x3 <= sl_rddack_x0;
plb_decode_x4 <= sl_rddbus_x0;
plb_memmap_x0 <= buzzer_dutycycle_din_x0;
plb_memmap_x1 <= buzzer_dutycycle_en_x0;
plb_memmap_x10 <= lcd_charactersselect_din_x0;
plb_memmap_x11 <= lcd_charactersselect_en_x0;
plb_memmap_x12 <= lcd_colset_din_x0;
plb_memmap_x13 <= lcd_colset_en_x0;
plb_memmap_x14 <= lcd_configlocation_din_x0;
plb_memmap_x15 <= lcd_configlocation_en_x0;
plb_memmap_x16 <= lcd_dividerselect_din_x0;
plb_memmap_x17 <= lcd_dividerselect_en_x0;
plb_memmap_x18 <= lcd_firstend_din_x0;
plb_memmap_x19 <= lcd_firstend_en_x0;
plb_memmap_x2 <= buzzer_enable_din_x0;
plb_memmap_x20 <= lcd_firststart_din_x0;
plb_memmap_x21 <= lcd_firststart_en_x0;
plb_memmap_x22 <= lcd_lineoffset_din_x0;
plb_memmap_x23 <= lcd_lineoffset_en_x0;
plb_memmap_x24 <= lcd_ramwrite_din_x0;
plb_memmap_x25 <= lcd_ramwrite_en_x0;
plb_memmap_x26 <= lcd_reset_din_x0;
plb_memmap_x27 <= lcd_reset_en_x0;
plb_memmap_x28 <= lcd_resetlcd_din_x0;
plb_memmap_x29 <= lcd_resetlcd_en_x0;
plb_memmap_x3 <= buzzer_enable_en_x0;
plb_memmap_x30 <= lcd_rowset_din_x0;
plb_memmap_x31 <= lcd_rowset_en_x0;
plb_memmap_x32 <= lcd_secondend_din_x0;
plb_memmap_x33 <= lcd_secondend_en_x0;
plb_memmap_x34 <= lcd_secondstart_din_x0;
plb_memmap_x35 <= lcd_secondstart_en_x0;
plb_memmap_x36 <= lcd_send_din_x0;
plb_memmap_x37 <= lcd_send_en_x0;
plb_memmap_x38 <= lcd_totalcmdtransfer_din_x0;
plb_memmap_x39 <= lcd_totalcmdtransfer_en_x0;
plb_memmap_x4 <= buzzer_period_din_x0;
plb_memmap_x40 <= leds_din_x0;
plb_memmap_x41 <= leds_en_x0;
plb_memmap_x42 <= lcd_charactermap_addr_x0;
plb_memmap_x43 <= lcd_charactermap_din_x0;
plb_memmap_x44 <= lcd_charactermap_we_x0;
plb_memmap_x45 <= lcd_characters_addr_x0;
plb_memmap_x46 <= lcd_characters_din_x0;
plb_memmap_x47 <= lcd_characters_we_x0;
plb_memmap_x48 <= lcd_commands_addr_x0;
plb_memmap_x49 <= lcd_commands_din_x0;
plb_memmap_x5 <= buzzer_period_en_x0;
plb_memmap_x50 <= lcd_commands_we_x0;
plb_memmap_x6 <= lcd_backgroundcolor_din_x0;
plb_memmap_x7 <= lcd_backgroundcolor_en_x0;
plb_memmap_x8 <= lcd_characteroffset_din_x0;
plb_memmap_x9 <= lcd_characteroffset_en_x0;
constant5: entity work.constant_963ed6358a
port map (
ce => '0',
clk => '0',
clr => '0',
op(0) => sl_wait_x0
);
plb_decode: entity work.mcode_block_8231ed31e4
port map (
addrpref => sg_plb_addrpref_net_x0,
ce => ce_1_sg_x1,
clk => clk_1_sg_x1,
clr => '0',
plbabus => plb_abus_net_x0,
plbpavalid(0) => plb_pavalid_net_x0,
plbrnw(0) => plb_rnw_net_x0,
plbrst(0) => splb_rst_net_x0,
plbwrdbus => plb_wrdbus_net_x0,
rddata => rddata,
addrack(0) => sl_addrack_x0,
bankaddr => bankaddr,
linearaddr => linearaddr,
rdcomp(0) => sl_rdcomp_x0,
rddack(0) => sl_rddack_x0,
rddbus => sl_rddbus_x0,
rnwreg(0) => rnwreg,
wrdack(0) => sl_wrdack_x0,
wrdbusreg => wrdbusreg
);
plb_memmap: entity work.mcode_block_66f25059c9
port map (
addrack(0) => sl_addrack_x0,
bankaddr => bankaddr,
ce => ce_1_sg_x1,
clk => clk_1_sg_x1,
clr => '0',
linearaddr => linearaddr,
rnwreg(0) => rnwreg,
sm_buttons_big => buttons_big_dout_x0,
sm_buttons_small => buttons_small_dout_x0,
sm_buzzer_dutycycle => buzzer_dutycycle_dout_x0,
sm_buzzer_enable(0) => buzzer_enable_dout_x0,
sm_buzzer_period => buzzer_period_dout_x0,
sm_dip_switch => dip_switch_dout_x0,
sm_lcd_backgroundcolor => lcd_backgroundcolor_dout_x0,
sm_lcd_charactermap => lcd_charactermap_dout_x0,
sm_lcd_characteroffset => lcd_characteroffset_dout_x0,
sm_lcd_characters => lcd_characters_dout_x0,
sm_lcd_charactersselect => lcd_charactersselect_dout_x0,
sm_lcd_colset => lcd_colset_dout_x0,
sm_lcd_commands => lcd_commands_dout_x0,
sm_lcd_configlocation => lcd_configlocation_dout_x0,
sm_lcd_dividerselect(0) => lcd_dividerselect_dout_x0,
sm_lcd_firstend => lcd_firstend_dout_x0,
sm_lcd_firststart => lcd_firststart_dout_x0,
sm_lcd_lineoffset => lcd_lineoffset_dout_x0,
sm_lcd_ramwrite => lcd_ramwrite_dout_x0,
sm_lcd_reset(0) => lcd_reset_dout_x0,
sm_lcd_resetlcd(0) => lcd_resetlcd_dout_x0,
sm_lcd_rowset => lcd_rowset_dout_x0,
sm_lcd_secondend => lcd_secondend_dout_x0,
sm_lcd_secondstart => lcd_secondstart_dout_x0,
sm_lcd_send(0) => lcd_send_dout_x0,
sm_lcd_totalcmdtransfer => lcd_totalcmdtransfer_dout_x0,
sm_leds => leds_dout_x0,
sm_trackball => trackball_dout_x0,
wrdbus => wrdbusreg,
read_bank_out => rddata,
sm_buzzer_dutycycle_din => buzzer_dutycycle_din_x0,
sm_buzzer_dutycycle_en(0) => buzzer_dutycycle_en_x0,
sm_buzzer_enable_din(0) => buzzer_enable_din_x0,
sm_buzzer_enable_en(0) => buzzer_enable_en_x0,
sm_buzzer_period_din => buzzer_period_din_x0,
sm_buzzer_period_en(0) => buzzer_period_en_x0,
sm_lcd_backgroundcolor_din => lcd_backgroundcolor_din_x0,
sm_lcd_backgroundcolor_en(0) => lcd_backgroundcolor_en_x0,
sm_lcd_charactermap_addr => lcd_charactermap_addr_x0,
sm_lcd_charactermap_din => lcd_charactermap_din_x0,
sm_lcd_charactermap_we(0) => lcd_charactermap_we_x0,
sm_lcd_characteroffset_din => lcd_characteroffset_din_x0,
sm_lcd_characteroffset_en(0) => lcd_characteroffset_en_x0,
sm_lcd_characters_addr => lcd_characters_addr_x0,
sm_lcd_characters_din => lcd_characters_din_x0,
sm_lcd_characters_we(0) => lcd_characters_we_x0,
sm_lcd_charactersselect_din => lcd_charactersselect_din_x0,
sm_lcd_charactersselect_en(0) => lcd_charactersselect_en_x0,
sm_lcd_colset_din => lcd_colset_din_x0,
sm_lcd_colset_en(0) => lcd_colset_en_x0,
sm_lcd_commands_addr => lcd_commands_addr_x0,
sm_lcd_commands_din => lcd_commands_din_x0,
sm_lcd_commands_we(0) => lcd_commands_we_x0,
sm_lcd_configlocation_din => lcd_configlocation_din_x0,
sm_lcd_configlocation_en(0) => lcd_configlocation_en_x0,
sm_lcd_dividerselect_din(0) => lcd_dividerselect_din_x0,
sm_lcd_dividerselect_en(0) => lcd_dividerselect_en_x0,
sm_lcd_firstend_din => lcd_firstend_din_x0,
sm_lcd_firstend_en(0) => lcd_firstend_en_x0,
sm_lcd_firststart_din => lcd_firststart_din_x0,
sm_lcd_firststart_en(0) => lcd_firststart_en_x0,
sm_lcd_lineoffset_din => lcd_lineoffset_din_x0,
sm_lcd_lineoffset_en(0) => lcd_lineoffset_en_x0,
sm_lcd_ramwrite_din => lcd_ramwrite_din_x0,
sm_lcd_ramwrite_en(0) => lcd_ramwrite_en_x0,
sm_lcd_reset_din(0) => lcd_reset_din_x0,
sm_lcd_reset_en(0) => lcd_reset_en_x0,
sm_lcd_resetlcd_din(0) => lcd_resetlcd_din_x0,
sm_lcd_resetlcd_en(0) => lcd_resetlcd_en_x0,
sm_lcd_rowset_din => lcd_rowset_din_x0,
sm_lcd_rowset_en(0) => lcd_rowset_en_x0,
sm_lcd_secondend_din => lcd_secondend_din_x0,
sm_lcd_secondend_en(0) => lcd_secondend_en_x0,
sm_lcd_secondstart_din => lcd_secondstart_din_x0,
sm_lcd_secondstart_en(0) => lcd_secondstart_en_x0,
sm_lcd_send_din(0) => lcd_send_din_x0,
sm_lcd_send_en(0) => lcd_send_en_x0,
sm_lcd_totalcmdtransfer_din => lcd_totalcmdtransfer_din_x0,
sm_lcd_totalcmdtransfer_en(0) => lcd_totalcmdtransfer_en_x0,
sm_leds_din => leds_din_x0,
sm_leds_en(0) => leds_en_x0
);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
-- Generated from Simulink block "user_io_board_controller/Interactive I/O/8xDebounce"
entity x8xdebounce_entity_bf5cd80880 is
port (
ce_1: in std_logic;
clk_1: in std_logic;
d: in std_logic;
q: out std_logic
);
end x8xdebounce_entity_bf5cd80880;
architecture structural of x8xdebounce_entity_bf5cd80880 is
signal ce_1_sg_x2: std_logic;
signal clk_1_sg_x2: std_logic;
signal delay1_q_net: std_logic;
signal delay2_q_net: std_logic;
signal delay3_q_net: std_logic;
signal delay4_q_net: std_logic;
signal delay5_q_net: std_logic;
signal delay6_q_net: std_logic;
signal delay_q_net: std_logic;
signal logical_y_net_x0: std_logic;
signal trackball_sel2_net_x0: std_logic;
begin
ce_1_sg_x2 <= ce_1;
clk_1_sg_x2 <= clk_1;
trackball_sel2_net_x0 <= d;
q <= logical_y_net_x0;
delay: entity work.xldelay
generic map (
latency => 1,
reg_retiming => 0,
width => 1
)
port map (
ce => ce_1_sg_x2,
clk => clk_1_sg_x2,
d(0) => trackball_sel2_net_x0,
en => '1',
q(0) => delay_q_net
);
delay1: entity work.xldelay
generic map (
latency => 1,
reg_retiming => 0,
width => 1
)
port map (
ce => ce_1_sg_x2,
clk => clk_1_sg_x2,
d(0) => delay_q_net,
en => '1',
q(0) => delay1_q_net
);
delay2: entity work.xldelay
generic map (
latency => 1,
reg_retiming => 0,
width => 1
)
port map (
ce => ce_1_sg_x2,
clk => clk_1_sg_x2,
d(0) => delay1_q_net,
en => '1',
q(0) => delay2_q_net
);
delay3: entity work.xldelay
generic map (
latency => 1,
reg_retiming => 0,
width => 1
)
port map (
ce => ce_1_sg_x2,
clk => clk_1_sg_x2,
d(0) => delay2_q_net,
en => '1',
q(0) => delay3_q_net
);
delay4: entity work.xldelay
generic map (
latency => 1,
reg_retiming => 0,
width => 1
)
port map (
ce => ce_1_sg_x2,
clk => clk_1_sg_x2,
d(0) => delay3_q_net,
en => '1',
q(0) => delay4_q_net
);
delay5: entity work.xldelay
generic map (
latency => 1,
reg_retiming => 0,
width => 1
)
port map (
ce => ce_1_sg_x2,
clk => clk_1_sg_x2,
d(0) => delay4_q_net,
en => '1',
q(0) => delay5_q_net
);
delay6: entity work.xldelay
generic map (
latency => 1,
reg_retiming => 0,
width => 1
)
port map (
ce => ce_1_sg_x2,
clk => clk_1_sg_x2,
d(0) => delay5_q_net,
en => '1',
q(0) => delay6_q_net
);
logical: entity work.logical_4ad38e8aed
port map (
ce => '0',
clk => '0',
clr => '0',
d0(0) => trackball_sel2_net_x0,
d1(0) => delay_q_net,
d2(0) => delay1_q_net,
d3(0) => delay2_q_net,
d4(0) => delay3_q_net,
d5(0) => delay4_q_net,
d6(0) => delay5_q_net,
d7(0) => delay6_q_net,
y(0) => logical_y_net_x0
);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
-- Generated from Simulink block "user_io_board_controller/Interactive I/O"
entity o_entity_1f30dfdbf5 is
port (
buttons_big: in std_logic_vector(1 downto 0);
buttons_small: in std_logic_vector(5 downto 0);
ce_1: in std_logic;
clk_1: in std_logic;
dip_switch: in std_logic_vector(3 downto 0);
from_register: in std_logic_vector(7 downto 0);
trackball_ox: in std_logic;
trackball_oxn: in std_logic;
trackball_oy: in std_logic;
trackball_oyn: in std_logic;
trackball_sel2: in std_logic;
concat_x0: out std_logic_vector(4 downto 0);
constant2_x0: out std_logic;
constant4_x0: out std_logic;
constant6_x0: out std_logic;
constant_x1: out std_logic;
register10_x0: out std_logic;
register11_x0: out std_logic;
register12_x0: out std_logic;
register3_x0: out std_logic_vector(1 downto 0);
register5_x0: out std_logic_vector(5 downto 0);
register7_x0: out std_logic_vector(3 downto 0);
register9_x0: out std_logic_vector(7 downto 0)
);
end o_entity_1f30dfdbf5;
architecture structural of o_entity_1f30dfdbf5 is
signal buttons_big_net_x0: std_logic_vector(1 downto 0);
signal buttons_small_net_x0: std_logic_vector(5 downto 0);
signal ce_1_sg_x7: std_logic;
signal clk_1_sg_x7: std_logic;
signal concat_y_net_x0: std_logic_vector(4 downto 0);
signal constant13_op_net: std_logic;
signal constant2_op_net_x0: std_logic;
signal constant4_op_net_x0: std_logic;
signal constant6_op_net_x0: std_logic;
signal constant_op_net_x0: std_logic;
signal dip_switch_net_x0: std_logic_vector(3 downto 0);
signal from_register_data_out_net_x0: std_logic_vector(7 downto 0);
signal logical_y_net_x0: std_logic;
signal logical_y_net_x1: std_logic;
signal logical_y_net_x2: std_logic;
signal logical_y_net_x3: std_logic;
signal logical_y_net_x4: std_logic;
signal register10_q_net_x0: std_logic;
signal register11_q_net_x0: std_logic;
signal register12_q_net_x0: std_logic;
signal register13_q_net: std_logic;
signal register14_q_net: std_logic;
signal register15_q_net: std_logic;
signal register16_q_net: std_logic;
signal register17_q_net: std_logic;
signal register18_q_net: std_logic;
signal register19_q_net: std_logic;
signal register1_q_net: std_logic;
signal register20_q_net: std_logic;
signal register2_q_net: std_logic_vector(1 downto 0);
signal register3_q_net_x0: std_logic_vector(1 downto 0);
signal register4_q_net: std_logic_vector(5 downto 0);
signal register5_q_net_x0: std_logic_vector(5 downto 0);
signal register6_q_net: std_logic_vector(3 downto 0);
signal register7_q_net_x0: std_logic_vector(3 downto 0);
signal register8_q_net: std_logic_vector(7 downto 0);
signal register9_q_net_x0: std_logic_vector(7 downto 0);
signal register_q_net: std_logic;
signal trackball_ox_net_x1: std_logic;
signal trackball_oxn_net_x1: std_logic;
signal trackball_oy_net_x1: std_logic;
signal trackball_oyn_net_x1: std_logic;
signal trackball_sel2_net_x1: std_logic;
begin
buttons_big_net_x0 <= buttons_big;
buttons_small_net_x0 <= buttons_small;
ce_1_sg_x7 <= ce_1;
clk_1_sg_x7 <= clk_1;
dip_switch_net_x0 <= dip_switch;
from_register_data_out_net_x0 <= from_register;
trackball_ox_net_x1 <= trackball_ox;
trackball_oxn_net_x1 <= trackball_oxn;
trackball_oy_net_x1 <= trackball_oy;
trackball_oyn_net_x1 <= trackball_oyn;
trackball_sel2_net_x1 <= trackball_sel2;
concat_x0 <= concat_y_net_x0;
constant2_x0 <= constant2_op_net_x0;
constant4_x0 <= constant4_op_net_x0;
constant6_x0 <= constant6_op_net_x0;
constant_x1 <= constant_op_net_x0;
register10_x0 <= register10_q_net_x0;
register11_x0 <= register11_q_net_x0;
register12_x0 <= register12_q_net_x0;
register3_x0 <= register3_q_net_x0;
register5_x0 <= register5_q_net_x0;
register7_x0 <= register7_q_net_x0;
register9_x0 <= register9_q_net_x0;
concat: entity work.concat_2b3acb49f4
port map (
ce => '0',
clk => '0',
clr => '0',
in0(0) => register20_q_net,
in1(0) => register1_q_net,
in2(0) => register14_q_net,
in3(0) => register16_q_net,
in4(0) => register18_q_net,
y => concat_y_net_x0
);
constant13: entity work.constant_6293007044
port map (
ce => '0',
clk => '0',
clr => '0',
op(0) => constant13_op_net
);
constant2: entity work.constant_6293007044
port map (
ce => '0',
clk => '0',
clr => '0',
op(0) => constant2_op_net_x0
);
constant4: entity work.constant_6293007044
port map (
ce => '0',
clk => '0',
clr => '0',
op(0) => constant4_op_net_x0
);
constant6: entity work.constant_6293007044
port map (
ce => '0',
clk => '0',
clr => '0',
op(0) => constant6_op_net_x0
);
constant_x0: entity work.constant_6293007044
port map (
ce => '0',
clk => '0',
clr => '0',
op(0) => constant_op_net_x0
);
register1: entity work.xlregister
generic map (
d_width => 1,
init_value => b"0"
)
port map (
ce => ce_1_sg_x7,
clk => clk_1_sg_x7,
d(0) => register_q_net,
en => "1",
rst => "0",
q(0) => register1_q_net
);
register10: entity work.xlregister
generic map (
d_width => 1,
init_value => b"0"
)
port map (
ce => ce_1_sg_x7,
clk => clk_1_sg_x7,
d(0) => constant13_op_net,
en => "1",
rst => "0",
q(0) => register10_q_net_x0
);
register11: entity work.xlregister
generic map (
d_width => 1,
init_value => b"0"
)
port map (
ce => ce_1_sg_x7,
clk => clk_1_sg_x7,
d(0) => constant13_op_net,
en => "1",
rst => "0",
q(0) => register11_q_net_x0
);
register12: entity work.xlregister
generic map (
d_width => 1,
init_value => b"0"
)
port map (
ce => ce_1_sg_x7,
clk => clk_1_sg_x7,
d(0) => constant13_op_net,
en => "1",
rst => "0",
q(0) => register12_q_net_x0
);
register13: entity work.xlregister
generic map (
d_width => 1,
init_value => b"0"
)
port map (
ce => ce_1_sg_x7,
clk => clk_1_sg_x7,
d(0) => logical_y_net_x2,
en => "1",
rst => "0",
q(0) => register13_q_net
);
register14: entity work.xlregister
generic map (
d_width => 1,
init_value => b"0"
)
port map (
ce => ce_1_sg_x7,
clk => clk_1_sg_x7,
d(0) => register13_q_net,
en => "1",
rst => "0",
q(0) => register14_q_net
);
register15: entity work.xlregister
generic map (
d_width => 1,
init_value => b"0"
)
port map (
ce => ce_1_sg_x7,
clk => clk_1_sg_x7,
d(0) => logical_y_net_x3,
en => "1",
rst => "0",
q(0) => register15_q_net
);
register16: entity work.xlregister
generic map (
d_width => 1,
init_value => b"0"
)
port map (
ce => ce_1_sg_x7,
clk => clk_1_sg_x7,
d(0) => register15_q_net,
en => "1",
rst => "0",
q(0) => register16_q_net
);
register17: entity work.xlregister
generic map (
d_width => 1,
init_value => b"0"
)
port map (
ce => ce_1_sg_x7,
clk => clk_1_sg_x7,
d(0) => logical_y_net_x4,
en => "1",
rst => "0",
q(0) => register17_q_net
);
register18: entity work.xlregister
generic map (
d_width => 1,
init_value => b"0"
)
port map (
ce => ce_1_sg_x7,
clk => clk_1_sg_x7,
d(0) => register17_q_net,
en => "1",
rst => "0",
q(0) => register18_q_net
);
register19: entity work.xlregister
generic map (
d_width => 1,
init_value => b"0"
)
port map (
ce => ce_1_sg_x7,
clk => clk_1_sg_x7,
d(0) => logical_y_net_x0,
en => "1",
rst => "0",
q(0) => register19_q_net
);
register2: entity work.xlregister
generic map (
d_width => 2,
init_value => b"00"
)
port map (
ce => ce_1_sg_x7,
clk => clk_1_sg_x7,
d => buttons_big_net_x0,
en => "1",
rst => "0",
q => register2_q_net
);
register20: entity work.xlregister
generic map (
d_width => 1,
init_value => b"0"
)
port map (
ce => ce_1_sg_x7,
clk => clk_1_sg_x7,
d(0) => register19_q_net,
en => "1",
rst => "0",
q(0) => register20_q_net
);
register3: entity work.xlregister
generic map (
d_width => 2,
init_value => b"00"
)
port map (
ce => ce_1_sg_x7,
clk => clk_1_sg_x7,
d => register2_q_net,
en => "1",
rst => "0",
q => register3_q_net_x0
);
register4: entity work.xlregister
generic map (
d_width => 6,
init_value => b"000000"
)
port map (
ce => ce_1_sg_x7,
clk => clk_1_sg_x7,
d => buttons_small_net_x0,
en => "1",
rst => "0",
q => register4_q_net
);
register5: entity work.xlregister
generic map (
d_width => 6,
init_value => b"000000"
)
port map (
ce => ce_1_sg_x7,
clk => clk_1_sg_x7,
d => register4_q_net,
en => "1",
rst => "0",
q => register5_q_net_x0
);
register6: entity work.xlregister
generic map (
d_width => 4,
init_value => b"0000"
)
port map (
ce => ce_1_sg_x7,
clk => clk_1_sg_x7,
d => dip_switch_net_x0,
en => "1",
rst => "0",
q => register6_q_net
);
register7: entity work.xlregister
generic map (
d_width => 4,
init_value => b"0000"
)
port map (
ce => ce_1_sg_x7,
clk => clk_1_sg_x7,
d => register6_q_net,
en => "1",
rst => "0",
q => register7_q_net_x0
);
register8: entity work.xlregister
generic map (
d_width => 8,
init_value => b"00000000"
)
port map (
ce => ce_1_sg_x7,
clk => clk_1_sg_x7,
d => from_register_data_out_net_x0,
en => "1",
rst => "0",
q => register8_q_net
);
register9: entity work.xlregister
generic map (
d_width => 8,
init_value => b"00000000"
)
port map (
ce => ce_1_sg_x7,
clk => clk_1_sg_x7,
d => register8_q_net,
en => "1",
rst => "0",
q => register9_q_net_x0
);
register_x0: entity work.xlregister
generic map (
d_width => 1,
init_value => b"0"
)
port map (
ce => ce_1_sg_x7,
clk => clk_1_sg_x7,
d(0) => logical_y_net_x1,
en => "1",
rst => "0",
q(0) => register_q_net
);
x8xdebounce1_e364c4890f: entity work.x8xdebounce_entity_bf5cd80880
port map (
ce_1 => ce_1_sg_x7,
clk_1 => clk_1_sg_x7,
d => trackball_ox_net_x1,
q => logical_y_net_x1
);
x8xdebounce2_5537837997: entity work.x8xdebounce_entity_bf5cd80880
port map (
ce_1 => ce_1_sg_x7,
clk_1 => clk_1_sg_x7,
d => trackball_oxn_net_x1,
q => logical_y_net_x2
);
x8xdebounce3_1e40372202: entity work.x8xdebounce_entity_bf5cd80880
port map (
ce_1 => ce_1_sg_x7,
clk_1 => clk_1_sg_x7,
d => trackball_oy_net_x1,
q => logical_y_net_x3
);
x8xdebounce4_7911ba4284: entity work.x8xdebounce_entity_bf5cd80880
port map (
ce_1 => ce_1_sg_x7,
clk_1 => clk_1_sg_x7,
d => trackball_oyn_net_x1,
q => logical_y_net_x4
);
x8xdebounce_bf5cd80880: entity work.x8xdebounce_entity_bf5cd80880
port map (
ce_1 => ce_1_sg_x7,
clk_1 => clk_1_sg_x7,
d => trackball_sel2_net_x1,
q => logical_y_net_x0
);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
-- Generated from Simulink block "user_io_board_controller/LCD Controller/CommandROM/Command Memory"
entity command_memory_entity_ca978db4f0 is
port (
addr_9b: in std_logic_vector(8 downto 0);
shared_memory: in std_logic_vector(31 downto 0);
constant1_x0: out std_logic;
constant_x1: out std_logic_vector(31 downto 0);
data_16b: out std_logic_vector(15 downto 0);
x8msb_x0: out std_logic_vector(7 downto 0)
);
end command_memory_entity_ca978db4f0;
architecture structural of command_memory_entity_ca978db4f0 is
signal concat_y_net_x0: std_logic_vector(8 downto 0);
signal constant1_op_net_x0: std_logic;
signal constant_op_net_x0: std_logic_vector(31 downto 0);
signal lsb_y_net: std_logic;
signal mux_y_net_x0: std_logic_vector(15 downto 0);
signal shared_memory_data_out_net_x0: std_logic_vector(31 downto 0);
signal x16lsb_y_net: std_logic_vector(15 downto 0);
signal x16msb_y_net: std_logic_vector(15 downto 0);
signal x8msb_y_net_x0: std_logic_vector(7 downto 0);
begin
concat_y_net_x0 <= addr_9b;
shared_memory_data_out_net_x0 <= shared_memory;
constant1_x0 <= constant1_op_net_x0;
constant_x1 <= constant_op_net_x0;
data_16b <= mux_y_net_x0;
x8msb_x0 <= x8msb_y_net_x0;
constant1: entity work.constant_963ed6358a
port map (
ce => '0',
clk => '0',
clr => '0',
op(0) => constant1_op_net_x0
);
constant_x0: entity work.constant_37567836aa
port map (
ce => '0',
clk => '0',
clr => '0',
op => constant_op_net_x0
);
lsb: entity work.xlslice
generic map (
new_lsb => 0,
new_msb => 0,
x_width => 9,
y_width => 1
)
port map (
x => concat_y_net_x0,
y(0) => lsb_y_net
);
mux: entity work.mux_2c45f290ed
port map (
ce => '0',
clk => '0',
clr => '0',
d0 => x16lsb_y_net,
d1 => x16msb_y_net,
sel(0) => lsb_y_net,
y => mux_y_net_x0
);
x16lsb: entity work.xlslice
generic map (
new_lsb => 0,
new_msb => 15,
x_width => 32,
y_width => 16
)
port map (
x => shared_memory_data_out_net_x0,
y => x16lsb_y_net
);
x16msb: entity work.xlslice
generic map (
new_lsb => 16,
new_msb => 31,
x_width => 32,
y_width => 16
)
port map (
x => shared_memory_data_out_net_x0,
y => x16msb_y_net
);
x8msb: entity work.xlslice
generic map (
new_lsb => 1,
new_msb => 8,
x_width => 9,
y_width => 8
)
port map (
x => concat_y_net_x0,
y => x8msb_y_net_x0
);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
-- Generated from Simulink block "user_io_board_controller/LCD Controller/CommandROM/Neg Edge Detector"
entity neg_edge_detector_entity_b797592ea5 is
port (
ce_1: in std_logic;
clk_1: in std_logic;
input_signal: in std_logic;
rising_edge: out std_logic
);
end neg_edge_detector_entity_b797592ea5;
architecture structural of neg_edge_detector_entity_b797592ea5 is
signal ce_1_sg_x8: std_logic;
signal clk_1_sg_x8: std_logic;
signal delay_q_net: std_logic;
signal inverter_op_net: std_logic;
signal logical_y_net_x0: std_logic;
signal slice4_y_net_x0: std_logic;
begin
ce_1_sg_x8 <= ce_1;
clk_1_sg_x8 <= clk_1;
slice4_y_net_x0 <= input_signal;
rising_edge <= logical_y_net_x0;
delay: entity work.xldelay
generic map (
latency => 1,
reg_retiming => 0,
width => 1
)
port map (
ce => ce_1_sg_x8,
clk => clk_1_sg_x8,
d(0) => inverter_op_net,
en => '1',
q(0) => delay_q_net
);
inverter: entity work.inverter_e2b989a05e
port map (
ce => ce_1_sg_x8,
clk => clk_1_sg_x8,
clr => '0',
ip(0) => slice4_y_net_x0,
op(0) => inverter_op_net
);
logical: entity work.logical_b1e9d7c303
port map (
ce => '0',
clk => '0',
clr => '0',
d0(0) => slice4_y_net_x0,
d1(0) => delay_q_net,
y(0) => logical_y_net_x0
);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
-- Generated from Simulink block "user_io_board_controller/LCD Controller/CommandROM"
entity commandrom_entity_b68e0d97b7 is
port (
ce_1: in std_logic;
clk_1: in std_logic;
from_register1: in std_logic_vector(8 downto 0);
from_register2: in std_logic_vector(8 downto 0);
from_register3: in std_logic_vector(8 downto 0);
from_register4: in std_logic_vector(8 downto 0);
from_register5: in std_logic_vector(8 downto 0);
from_register6: in std_logic_vector(1 downto 0);
reset: in std_logic;
sendcmds: in std_logic;
shared_memory: in std_logic_vector(31 downto 0);
totalnoofcmds: in std_logic_vector(7 downto 0);
transferdone: in std_logic;
cmdsdone: out std_logic;
command_memory: out std_logic_vector(7 downto 0);
command_memory_x0: out std_logic_vector(31 downto 0);
command_memory_x1: out std_logic;
datatosend: out std_logic_vector(8 downto 0);
starttransfer: out std_logic
);
end commandrom_entity_b68e0d97b7;
architecture structural of commandrom_entity_b68e0d97b7 is
signal accumulator1_q_net: std_logic_vector(3 downto 0);
signal accumulator_q_net: std_logic_vector(6 downto 0);
signal addsub_s_net: std_logic_vector(8 downto 0);
signal ce_1_sg_x9: std_logic;
signal clk_1_sg_x9: std_logic;
signal concat2_y_net_x0: std_logic_vector(8 downto 0);
signal concat_y_net_x0: std_logic_vector(8 downto 0);
signal constant11_op_net: std_logic_vector(8 downto 0);
signal constant1_op_net_x1: std_logic;
signal constant2_op_net: std_logic;
signal constant3_op_net: std_logic;
signal constant4_op_net: std_logic_vector(3 downto 0);
signal constant5_op_net: std_logic_vector(8 downto 0);
signal constant6_op_net: std_logic_vector(8 downto 0);
signal constant_op_net_x1: std_logic_vector(31 downto 0);
signal convert1_dout_net: std_logic;
signal convert1_dout_net_x2: std_logic;
signal convert1_dout_net_x3: std_logic;
signal convert2_dout_net: std_logic;
signal convert_dout_net: std_logic;
signal counter1_op_net: std_logic_vector(14 downto 0);
signal counter_op_net: std_logic_vector(6 downto 0);
signal delay_q_net: std_logic;
signal from_register1_data_out_net_x0: std_logic_vector(8 downto 0);
signal from_register2_data_out_net_x0: std_logic_vector(8 downto 0);
signal from_register3_data_out_net_x1: std_logic_vector(8 downto 0);
signal from_register3_data_out_net_x2: std_logic_vector(7 downto 0);
signal from_register4_data_out_net_x0: std_logic_vector(8 downto 0);
signal from_register5_data_out_net_x0: std_logic_vector(8 downto 0);
signal from_register6_data_out_net_x0: std_logic_vector(1 downto 0);
signal inverter1_op_net: std_logic;
signal inverter2_op_net: std_logic;
signal logical1_y_net: std_logic;
signal logical2_y_net: std_logic;
signal logical3_y_net: std_logic;
signal logical_y_net: std_logic;
signal logical_y_net_x0: std_logic;
signal logical_y_net_x2: std_logic;
signal mux1_y_net: std_logic;
signal mux2_y_net_x0: std_logic;
signal mux3_y_net: std_logic_vector(8 downto 0);
signal mux_y_net_x0: std_logic_vector(15 downto 0);
signal register_q_net_x0: std_logic;
signal relational1_op_net: std_logic;
signal relational_op_net: std_logic;
signal shared_memory_data_out_net_x1: std_logic_vector(31 downto 0);
signal slice2_y_net: std_logic_vector(7 downto 0);
signal slice3_y_net: std_logic;
signal slice4_y_net_x0: std_logic;
signal slice5_y_net: std_logic_vector(8 downto 0);
signal x8msb_y_net_x1: std_logic_vector(7 downto 0);
begin
ce_1_sg_x9 <= ce_1;
clk_1_sg_x9 <= clk_1;
from_register1_data_out_net_x0 <= from_register1;
from_register2_data_out_net_x0 <= from_register2;
from_register3_data_out_net_x1 <= from_register3;
from_register4_data_out_net_x0 <= from_register4;
from_register5_data_out_net_x0 <= from_register5;
from_register6_data_out_net_x0 <= from_register6;
convert1_dout_net_x2 <= reset;
logical_y_net_x2 <= sendcmds;
shared_memory_data_out_net_x1 <= shared_memory;
from_register3_data_out_net_x2 <= totalnoofcmds;
convert1_dout_net_x3 <= transferdone;
cmdsdone <= register_q_net_x0;
command_memory <= x8msb_y_net_x1;
command_memory_x0 <= constant_op_net_x1;
command_memory_x1 <= constant1_op_net_x1;
datatosend <= concat2_y_net_x0;
starttransfer <= mux2_y_net_x0;
accumulator: entity work.accum_2cb0e56e96
port map (
b(0) => convert_dout_net,
ce => ce_1_sg_x9,
clk => clk_1_sg_x9,
clr => '0',
en(0) => logical1_y_net,
rst(0) => logical_y_net_x2,
q => accumulator_q_net
);
accumulator1: entity work.accum_be45dd0aa2
port map (
b(0) => convert1_dout_net,
ce => ce_1_sg_x9,
clk => clk_1_sg_x9,
clr => '0',
rst(0) => convert1_dout_net_x2,
q => accumulator1_q_net
);
addsub: entity work.xladdsub
generic map (
a_arith => xlUnsigned,
a_bin_pt => 0,
a_width => 8,
b_arith => xlUnsigned,
b_bin_pt => 0,
b_width => 1,
c_has_b_out => 0,
c_has_c_out => 0,
c_has_q => 0,
c_has_q_b_out => 0,
c_has_q_c_out => 0,
c_has_s => 1,
c_latency => 0,
c_output_width => 9,
core_name0 => "adder_subtracter_virtex2p_7_0_cf28bbebd75d9ce3",
extra_registers => 0,
full_s_arith => 2,
full_s_width => 9,
latency => 0,
mode => 2,
overflow => 1,
quantization => 1,
s_arith => xlSigned,
s_bin_pt => 0,
s_width => 9
)
port map (
a => from_register3_data_out_net_x2,
b(0) => constant3_op_net,
ce => ce_1_sg_x9,
clk => clk_1_sg_x9,
clr => '0',
en => "1",
s => addsub_s_net
);
command_memory_ca978db4f0: entity work.command_memory_entity_ca978db4f0
port map (
addr_9b => concat_y_net_x0,
shared_memory => shared_memory_data_out_net_x1,
constant1_x0 => constant1_op_net_x1,
constant_x1 => constant_op_net_x1,
data_16b => mux_y_net_x0,
x8msb_x0 => x8msb_y_net_x1
);
concat: entity work.concat_f62149b02a
port map (
ce => '0',
clk => '0',
clr => '0',
in0 => from_register6_data_out_net_x0,
in1 => counter_op_net,
y => concat_y_net_x0
);
concat2: entity work.concat_1ece14600f
port map (
ce => '0',
clk => '0',
clr => '0',
in0(0) => inverter1_op_net,
in1 => slice2_y_net,
y => concat2_y_net_x0
);
constant11: entity work.constant_443ed96427
port map (
ce => '0',
clk => '0',
clr => '0',
op => constant11_op_net
);
constant2: entity work.constant_963ed6358a
port map (
ce => '0',
clk => '0',
clr => '0',
op(0) => constant2_op_net
);
constant3: entity work.constant_6293007044
port map (
ce => '0',
clk => '0',
clr => '0',
op(0) => constant3_op_net
);
constant4: entity work.constant_145086465d
port map (
ce => '0',
clk => '0',
clr => '0',
op => constant4_op_net
);
constant5: entity work.constant_fb9f6d3796
port map (
ce => '0',
clk => '0',
clr => '0',
op => constant5_op_net
);
constant6: entity work.constant_09a4afb2ee
port map (
ce => '0',
clk => '0',
clr => '0',
op => constant6_op_net
);
convert: entity work.xlconvert
generic map (
bool_conversion => 0,
din_arith => 1,
din_bin_pt => 0,
din_width => 1,
dout_arith => 1,
dout_bin_pt => 0,
dout_width => 1,
latency => 0,
overflow => xlWrap,
quantization => xlTruncate
)
port map (
ce => '0',
clk => '0',
clr => '0',
din(0) => delay_q_net,
dout(0) => convert_dout_net
);
convert1: entity work.xlconvert
generic map (
bool_conversion => 0,
din_arith => 1,
din_bin_pt => 0,
din_width => 1,
dout_arith => 1,
dout_bin_pt => 0,
dout_width => 1,
latency => 0,
overflow => xlWrap,
quantization => xlTruncate
)
port map (
ce => '0',
clk => '0',
clr => '0',
din(0) => logical3_y_net,
dout(0) => convert1_dout_net
);
convert2: entity work.xlconvert
generic map (
bool_conversion => 1,
din_arith => 1,
din_bin_pt => 0,
din_width => 1,
dout_arith => 1,
dout_bin_pt => 0,
dout_width => 1,
latency => 5,
overflow => xlWrap,
quantization => xlTruncate
)
port map (
ce => ce_1_sg_x9,
clk => clk_1_sg_x9,
clr => '0',
din(0) => logical_y_net_x0,
dout(0) => convert2_dout_net
);
counter: entity work.xlcounter_free
generic map (
core_name0 => "binary_counter_virtex2p_7_0_b0a257f5389d649a",
op_arith => xlUnsigned,
op_width => 7
)
port map (
ce => ce_1_sg_x9,
clk => clk_1_sg_x9,
clr => '0',
en(0) => logical_y_net,
rst(0) => convert1_dout_net_x2,
op => counter_op_net
);
counter1: entity work.xlcounter_limit
generic map (
cnt_15_0 => 17435,
cnt_31_16 => 0,
cnt_47_32 => 0,
cnt_63_48 => 0,
core_name0 => "binary_counter_virtex2p_7_0_32a1863440903b9d",
count_limited => 1,
op_arith => xlUnsigned,
op_width => 15
)
port map (
ce => ce_1_sg_x9,
clk => clk_1_sg_x9,
clr => '0',
en(0) => logical2_y_net,
rst(0) => convert1_dout_net_x2,
op => counter1_op_net
);
delay: entity work.xldelay
generic map (
latency => 4,
reg_retiming => 0,
width => 1
)
port map (
ce => ce_1_sg_x9,
clk => clk_1_sg_x9,
d(0) => logical_y_net,
en => '1',
q(0) => delay_q_net
);
inverter1: entity work.inverter_e2b989a05e
port map (
ce => ce_1_sg_x9,
clk => clk_1_sg_x9,
clr => '0',
ip(0) => slice3_y_net,
op(0) => inverter1_op_net
);
inverter2: entity work.inverter_e5b38cca3b
port map (
ce => ce_1_sg_x9,
clk => clk_1_sg_x9,
clr => '0',
ip(0) => relational_op_net,
op(0) => inverter2_op_net
);
logical: entity work.logical_aacf6e1b0e
port map (
ce => '0',
clk => '0',
clr => '0',
d0(0) => logical_y_net_x2,
d1(0) => convert1_dout_net_x3,
y(0) => logical_y_net
);
logical1: entity work.logical_80f90b97d0
port map (
ce => '0',
clk => '0',
clr => '0',
d0(0) => delay_q_net,
d1(0) => relational_op_net,
y(0) => logical1_y_net
);
logical2: entity work.logical_80f90b97d0
port map (
ce => '0',
clk => '0',
clr => '0',
d0(0) => inverter2_op_net,
d1(0) => convert1_dout_net_x3,
y(0) => logical2_y_net
);
logical3: entity work.logical_80f90b97d0
port map (
ce => '0',
clk => '0',
clr => '0',
d0(0) => relational1_op_net,
d1(0) => logical2_y_net,
y(0) => logical3_y_net
);
mux1: entity work.mux_d99e59b6d4
port map (
ce => '0',
clk => '0',
clr => '0',
d0(0) => constant2_op_net,
d1(0) => delay_q_net,
sel(0) => relational_op_net,
y(0) => mux1_y_net
);
mux2: entity work.mux_d99e59b6d4
port map (
ce => '0',
clk => '0',
clr => '0',
d0(0) => mux1_y_net,
d1(0) => delay_q_net,
sel(0) => inverter2_op_net,
y(0) => mux2_y_net_x0
);
mux3: entity work.mux_1f00a411aa
port map (
ce => '0',
clk => '0',
clr => '0',
d0 => slice5_y_net,
d1 => constant5_op_net,
d2 => from_register1_data_out_net_x0,
d3 => from_register2_data_out_net_x0,
d4 => constant6_op_net,
d5 => from_register4_data_out_net_x0,
d6 => from_register5_data_out_net_x0,
d7 => constant11_op_net,
d8 => from_register3_data_out_net_x1,
sel => accumulator1_q_net,
y => mux3_y_net
);
neg_edge_detector_b797592ea5: entity work.neg_edge_detector_entity_b797592ea5
port map (
ce_1 => ce_1_sg_x9,
clk_1 => clk_1_sg_x9,
input_signal => slice4_y_net_x0,
rising_edge => logical_y_net_x0
);
register_x0: entity work.xlregister
generic map (
d_width => 1,
init_value => b"0"
)
port map (
ce => ce_1_sg_x9,
clk => clk_1_sg_x9,
d(0) => convert2_dout_net,
en(0) => convert2_dout_net,
rst(0) => convert1_dout_net_x2,
q(0) => register_q_net_x0
);
relational: entity work.relational_92c392c8b6
port map (
a => accumulator_q_net,
b => addsub_s_net,
ce => ce_1_sg_x9,
clk => clk_1_sg_x9,
clr => '0',
op(0) => relational_op_net
);
relational1: entity work.relational_fb96203f91
port map (
a => accumulator1_q_net,
b => constant4_op_net,
ce => '0',
clk => '0',
clr => '0',
op(0) => relational1_op_net
);
slice2: entity work.xlslice
generic map (
new_lsb => 0,
new_msb => 7,
x_width => 9,
y_width => 8
)
port map (
x => mux3_y_net,
y => slice2_y_net
);
slice3: entity work.xlslice
generic map (
new_lsb => 8,
new_msb => 8,
x_width => 9,
y_width => 1
)
port map (
x => mux3_y_net,
y(0) => slice3_y_net
);
slice4: entity work.xlslice
generic map (
new_lsb => 14,
new_msb => 14,
x_width => 15,
y_width => 1
)
port map (
x => counter1_op_net,
y(0) => slice4_y_net_x0
);
slice5: entity work.xlslice
generic map (
new_lsb => 0,
new_msb => 8,
x_width => 16,
y_width => 9
)
port map (
x => mux_y_net_x0,
y => slice5_y_net
);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
-- Generated from Simulink block "user_io_board_controller/LCD Controller/DataROM/Character Buffer Shared Memory"
entity character_buffer_shared_memory_entity_1eeb1f8786 is
port (
addr_11b: in std_logic_vector(10 downto 0);
shared_memory: in std_logic_vector(31 downto 0);
constant1_x0: out std_logic;
constant_x1: out std_logic_vector(31 downto 0);
data_8b: out std_logic_vector(7 downto 0);
x9msb_x0: out std_logic_vector(8 downto 0)
);
end character_buffer_shared_memory_entity_1eeb1f8786;
architecture structural of character_buffer_shared_memory_entity_1eeb1f8786 is
signal concat1_y_net_x0: std_logic_vector(10 downto 0);
signal constant1_op_net_x0: std_logic;
signal constant_op_net_x0: std_logic_vector(31 downto 0);
signal mux_y_net_x0: std_logic_vector(7 downto 0);
signal shared_memory_data_out_net_x0: std_logic_vector(31 downto 0);
signal x2lsb_y_net: std_logic_vector(1 downto 0);
signal x8lsb_0_y_net: std_logic_vector(7 downto 0);
signal x8lsb_16_y_net: std_logic_vector(7 downto 0);
signal x8lsb_24_y_net: std_logic_vector(7 downto 0);
signal x8lsb_8_y_net: std_logic_vector(7 downto 0);
signal x9msb_y_net_x0: std_logic_vector(8 downto 0);
begin
concat1_y_net_x0 <= addr_11b;
shared_memory_data_out_net_x0 <= shared_memory;
constant1_x0 <= constant1_op_net_x0;
constant_x1 <= constant_op_net_x0;
data_8b <= mux_y_net_x0;
x9msb_x0 <= x9msb_y_net_x0;
constant1: entity work.constant_963ed6358a
port map (
ce => '0',
clk => '0',
clr => '0',
op(0) => constant1_op_net_x0
);
constant_x0: entity work.constant_37567836aa
port map (
ce => '0',
clk => '0',
clr => '0',
op => constant_op_net_x0
);
mux: entity work.mux_998e20a1ca
port map (
ce => '0',
clk => '0',
clr => '0',
d0 => x8lsb_24_y_net,
d1 => x8lsb_16_y_net,
d2 => x8lsb_8_y_net,
d3 => x8lsb_0_y_net,
sel => x2lsb_y_net,
y => mux_y_net_x0
);
x2lsb: entity work.xlslice
generic map (
new_lsb => 0,
new_msb => 1,
x_width => 11,
y_width => 2
)
port map (
x => concat1_y_net_x0,
y => x2lsb_y_net
);
x8lsb_0: entity work.xlslice
generic map (
new_lsb => 0,
new_msb => 7,
x_width => 32,
y_width => 8
)
port map (
x => shared_memory_data_out_net_x0,
y => x8lsb_0_y_net
);
x8lsb_16: entity work.xlslice
generic map (
new_lsb => 16,
new_msb => 23,
x_width => 32,
y_width => 8
)
port map (
x => shared_memory_data_out_net_x0,
y => x8lsb_16_y_net
);
x8lsb_24: entity work.xlslice
generic map (
new_lsb => 24,
new_msb => 31,
x_width => 32,
y_width => 8
)
port map (
x => shared_memory_data_out_net_x0,
y => x8lsb_24_y_net
);
x8lsb_8: entity work.xlslice
generic map (
new_lsb => 8,
new_msb => 15,
x_width => 32,
y_width => 8
)
port map (
x => shared_memory_data_out_net_x0,
y => x8lsb_8_y_net
);
x9msb: entity work.xlslice
generic map (
new_lsb => 2,
new_msb => 10,
x_width => 11,
y_width => 9
)
port map (
x => concat1_y_net_x0,
y => x9msb_y_net_x0
);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
-- Generated from Simulink block "user_io_board_controller/LCD Controller/DataROM/Character Map Shared Memory"
entity character_map_shared_memory_entity_e504c38a5b is
port (
addr_14b: in std_logic_vector(13 downto 0);
shared_memory: in std_logic_vector(31 downto 0);
constant1_x0: out std_logic;
constant_x1: out std_logic_vector(31 downto 0);
data_8b: out std_logic_vector(7 downto 0);
x12msb_x0: out std_logic_vector(11 downto 0)
);
end character_map_shared_memory_entity_e504c38a5b;
architecture structural of character_map_shared_memory_entity_e504c38a5b is
signal concat_y_net_x0: std_logic_vector(13 downto 0);
signal constant1_op_net_x0: std_logic;
signal constant_op_net_x0: std_logic_vector(31 downto 0);
signal mux_y_net_x0: std_logic_vector(7 downto 0);
signal shared_memory_data_out_net_x0: std_logic_vector(31 downto 0);
signal x12msb_y_net_x0: std_logic_vector(11 downto 0);
signal x2lsb_y_net: std_logic_vector(1 downto 0);
signal x8lsb_0_y_net: std_logic_vector(7 downto 0);
signal x8lsb_16_y_net: std_logic_vector(7 downto 0);
signal x8lsb_24_y_net: std_logic_vector(7 downto 0);
signal x8lsb_8_y_net: std_logic_vector(7 downto 0);
begin
concat_y_net_x0 <= addr_14b;
shared_memory_data_out_net_x0 <= shared_memory;
constant1_x0 <= constant1_op_net_x0;
constant_x1 <= constant_op_net_x0;
data_8b <= mux_y_net_x0;
x12msb_x0 <= x12msb_y_net_x0;
constant1: entity work.constant_963ed6358a
port map (
ce => '0',
clk => '0',
clr => '0',
op(0) => constant1_op_net_x0
);
constant_x0: entity work.constant_37567836aa
port map (
ce => '0',
clk => '0',
clr => '0',
op => constant_op_net_x0
);
mux: entity work.mux_998e20a1ca
port map (
ce => '0',
clk => '0',
clr => '0',
d0 => x8lsb_24_y_net,
d1 => x8lsb_16_y_net,
d2 => x8lsb_8_y_net,
d3 => x8lsb_0_y_net,
sel => x2lsb_y_net,
y => mux_y_net_x0
);
x12msb: entity work.xlslice
generic map (
new_lsb => 2,
new_msb => 13,
x_width => 14,
y_width => 12
)
port map (
x => concat_y_net_x0,
y => x12msb_y_net_x0
);
x2lsb: entity work.xlslice
generic map (
new_lsb => 0,
new_msb => 1,
x_width => 14,
y_width => 2
)
port map (
x => concat_y_net_x0,
y => x2lsb_y_net
);
x8lsb_0: entity work.xlslice
generic map (
new_lsb => 0,
new_msb => 7,
x_width => 32,
y_width => 8
)
port map (
x => shared_memory_data_out_net_x0,
y => x8lsb_0_y_net
);
x8lsb_16: entity work.xlslice
generic map (
new_lsb => 16,
new_msb => 23,
x_width => 32,
y_width => 8
)
port map (
x => shared_memory_data_out_net_x0,
y => x8lsb_16_y_net
);
x8lsb_24: entity work.xlslice
generic map (
new_lsb => 24,
new_msb => 31,
x_width => 32,
y_width => 8
)
port map (
x => shared_memory_data_out_net_x0,
y => x8lsb_24_y_net
);
x8lsb_8: entity work.xlslice
generic map (
new_lsb => 8,
new_msb => 15,
x_width => 32,
y_width => 8
)
port map (
x => shared_memory_data_out_net_x0,
y => x8lsb_8_y_net
);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
-- Generated from Simulink block "user_io_board_controller/LCD Controller/DataROM/Pos Edge Detector"
entity pos_edge_detector_entity_90ec5fccc3 is
port (
ce_1: in std_logic;
clk_1: in std_logic;
input_signal: in std_logic;
rising_edge: out std_logic
);
end pos_edge_detector_entity_90ec5fccc3;
architecture structural of pos_edge_detector_entity_90ec5fccc3 is
signal ce_1_sg_x10: std_logic;
signal clk_1_sg_x10: std_logic;
signal delay_q_net: std_logic;
signal inverter_op_net: std_logic;
signal logical_y_net_x0: std_logic;
signal relational_op_net_x0: std_logic;
begin
ce_1_sg_x10 <= ce_1;
clk_1_sg_x10 <= clk_1;
relational_op_net_x0 <= input_signal;
rising_edge <= logical_y_net_x0;
delay: entity work.xldelay
generic map (
latency => 1,
reg_retiming => 0,
width => 1
)
port map (
ce => ce_1_sg_x10,
clk => clk_1_sg_x10,
d(0) => inverter_op_net,
en => '1',
q(0) => delay_q_net
);
inverter: entity work.inverter_e5b38cca3b
port map (
ce => ce_1_sg_x10,
clk => clk_1_sg_x10,
clr => '0',
ip(0) => relational_op_net_x0,
op(0) => inverter_op_net
);
logical: entity work.logical_80f90b97d0
port map (
ce => '0',
clk => '0',
clr => '0',
d0(0) => relational_op_net_x0,
d1(0) => delay_q_net,
y(0) => logical_y_net_x0
);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
-- Generated from Simulink block "user_io_board_controller/LCD Controller/DataROM/Subsystem"
entity subsystem_entity_8d430c7bea is
port (
ce_1: in std_logic;
clk_1: in std_logic;
not0: in std_logic;
transferdone: in std_logic;
x6bitval: in std_logic;
out1: out std_logic
);
end subsystem_entity_8d430c7bea;
architecture structural of subsystem_entity_8d430c7bea is
signal ce_1_sg_x11: std_logic;
signal clk_1_sg_x11: std_logic;
signal delay_q_net: std_logic;
signal inverter2_op_net_x0: std_logic;
signal logical1_y_net: std_logic;
signal logical2_y_net: std_logic;
signal logical3_y_net_x0: std_logic;
signal logical_y_net_x0: std_logic;
signal relational_op_net_x1: std_logic;
begin
ce_1_sg_x11 <= ce_1;
clk_1_sg_x11 <= clk_1;
inverter2_op_net_x0 <= not0;
logical_y_net_x0 <= transferdone;
relational_op_net_x1 <= x6bitval;
out1 <= logical3_y_net_x0;
delay: entity work.xldelay
generic map (
latency => 1,
reg_retiming => 0,
width => 1
)
port map (
ce => ce_1_sg_x11,
clk => clk_1_sg_x11,
d(0) => logical_y_net_x0,
en => '1',
q(0) => delay_q_net
);
logical1: entity work.logical_80f90b97d0
port map (
ce => '0',
clk => '0',
clr => '0',
d0(0) => inverter2_op_net_x0,
d1(0) => delay_q_net,
y(0) => logical1_y_net
);
logical2: entity work.logical_80f90b97d0
port map (
ce => '0',
clk => '0',
clr => '0',
d0(0) => relational_op_net_x1,
d1(0) => delay_q_net,
y(0) => logical2_y_net
);
logical3: entity work.logical_aacf6e1b0e
port map (
ce => '0',
clk => '0',
clr => '0',
d0(0) => logical2_y_net,
d1(0) => logical1_y_net,
y(0) => logical3_y_net_x0
);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
-- Generated from Simulink block "user_io_board_controller/LCD Controller/DataROM/Subsystem1"
entity subsystem1_entity_a91e11b915 is
port (
ce_1: in std_logic;
clk_1: in std_logic;
x4bits: in std_logic_vector(3 downto 0);
end_x0: out std_logic_vector(8 downto 0);
start: out std_logic_vector(8 downto 0)
);
end subsystem1_entity_a91e11b915;
architecture structural of subsystem1_entity_a91e11b915 is
signal addsub1_s_net_x0: std_logic_vector(8 downto 0);
signal addsub_s_net_x0: std_logic_vector(8 downto 0);
signal ce_1_sg_x12: std_logic;
signal clk_1_sg_x12: std_logic;
signal concat6_y_net: std_logic_vector(6 downto 0);
signal constant1_op_net: std_logic_vector(7 downto 0);
signal constant2_op_net: std_logic_vector(7 downto 0);
signal constant_op_net: std_logic_vector(2 downto 0);
signal slice10_y_net_x0: std_logic_vector(3 downto 0);
begin
ce_1_sg_x12 <= ce_1;
clk_1_sg_x12 <= clk_1;
slice10_y_net_x0 <= x4bits;
end_x0 <= addsub1_s_net_x0;
start <= addsub_s_net_x0;
addsub: entity work.xladdsub
generic map (
a_arith => xlUnsigned,
a_bin_pt => 0,
a_width => 7,
b_arith => xlUnsigned,
b_bin_pt => 0,
b_width => 8,
c_has_b_out => 0,
c_has_c_out => 0,
c_has_q => 0,
c_has_q_b_out => 0,
c_has_q_c_out => 0,
c_has_s => 1,
c_latency => 0,
c_output_width => 9,
core_name0 => "adder_subtracter_virtex2p_7_0_453ed16ba8e84295",
extra_registers => 0,
full_s_arith => 1,
full_s_width => 9,
latency => 0,
mode => 1,
overflow => 1,
quantization => 1,
s_arith => xlUnsigned,
s_bin_pt => 0,
s_width => 9
)
port map (
a => concat6_y_net,
b => constant1_op_net,
ce => ce_1_sg_x12,
clk => clk_1_sg_x12,
clr => '0',
en => "1",
s => addsub_s_net_x0
);
addsub1: entity work.xladdsub
generic map (
a_arith => xlUnsigned,
a_bin_pt => 0,
a_width => 7,
b_arith => xlUnsigned,
b_bin_pt => 0,
b_width => 8,
c_has_b_out => 0,
c_has_c_out => 0,
c_has_q => 0,
c_has_q_b_out => 0,
c_has_q_c_out => 0,
c_has_s => 1,
c_latency => 0,
c_output_width => 9,
core_name0 => "adder_subtracter_virtex2p_7_0_453ed16ba8e84295",
extra_registers => 0,
full_s_arith => 1,
full_s_width => 9,
latency => 0,
mode => 1,
overflow => 1,
quantization => 1,
s_arith => xlUnsigned,
s_bin_pt => 0,
s_width => 9
)
port map (
a => concat6_y_net,
b => constant2_op_net,
ce => ce_1_sg_x12,
clk => clk_1_sg_x12,
clr => '0',
en => "1",
s => addsub1_s_net_x0
);
concat6: entity work.concat_32864ba5d6
port map (
ce => '0',
clk => '0',
clr => '0',
in0 => slice10_y_net_x0,
in1 => constant_op_net,
y => concat6_y_net
);
constant1: entity work.constant_21d4a28b7e
port map (
ce => '0',
clk => '0',
clr => '0',
op => constant1_op_net
);
constant2: entity work.constant_b940b9054a
port map (
ce => '0',
clk => '0',
clr => '0',
op => constant2_op_net
);
constant_x0: entity work.constant_822933f89b
port map (
ce => '0',
clk => '0',
clr => '0',
op => constant_op_net
);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
-- Generated from Simulink block "user_io_board_controller/LCD Controller/DataROM"
entity datarom_entity_fd2cd392cc is
port (
ce_1: in std_logic;
clk_1: in std_logic;
cmdsdone: in std_logic;
from_register1: in std_logic_vector(8 downto 0);
from_register2: in std_logic_vector(8 downto 0);
from_register3: in std_logic_vector(8 downto 0);
from_register4: in std_logic_vector(2 downto 0);
from_register5: in std_logic_vector(3 downto 0);
from_register7: in std_logic_vector(3 downto 0);
reset: in std_logic;
shared_memory: in std_logic_vector(31 downto 0);
shared_memory_x0: in std_logic_vector(31 downto 0);
transferdone: in std_logic;
character_buffer_shared_memory: out std_logic_vector(8 downto 0);
character_buffer_shared_memory_x0: out std_logic_vector(31 downto 0);
character_buffer_shared_memory_x1: out std_logic;
character_map_shared_memory: out std_logic_vector(11 downto 0);
character_map_shared_memory_x0: out std_logic_vector(31 downto 0);
character_map_shared_memory_x1: out std_logic;
data: out std_logic_vector(8 downto 0);
starttransfer: out std_logic
);
end datarom_entity_fd2cd392cc;
architecture structural of datarom_entity_fd2cd392cc is
signal addsub1_s_net: std_logic_vector(3 downto 0);
signal addsub1_s_net_x0: std_logic_vector(8 downto 0);
signal addsub1_s_net_x1: std_logic_vector(8 downto 0);
signal addsub2_s_net: std_logic_vector(3 downto 0);
signal addsub_s_net_x0: std_logic_vector(8 downto 0);
signal addsub_s_net_x1: std_logic_vector(8 downto 0);
signal ce_1_sg_x14: std_logic;
signal clk_1_sg_x14: std_logic;
signal concat1_y_net_x0: std_logic_vector(10 downto 0);
signal concat3_y_net: std_logic_vector(1 downto 0);
signal concat4_y_net_x0: std_logic_vector(8 downto 0);
signal concat5_y_net: std_logic_vector(8 downto 0);
signal concat_y_net_x0: std_logic_vector(13 downto 0);
signal constant10_op_net: std_logic;
signal constant1_op_net_x2: std_logic;
signal constant1_op_net_x3: std_logic;
signal constant4_op_net: std_logic;
signal constant5_op_net: std_logic;
signal constant6_op_net: std_logic_vector(2 downto 0);
signal constant7_op_net: std_logic_vector(2 downto 0);
signal constant8_op_net: std_logic_vector(2 downto 0);
signal constant9_op_net: std_logic;
signal constant_op_net_x2: std_logic_vector(31 downto 0);
signal constant_op_net_x3: std_logic_vector(31 downto 0);
signal convert1_dout_net: std_logic;
signal convert1_dout_net_x4: std_logic;
signal convert1_dout_net_x5: std_logic;
signal convert_dout_net: std_logic;
signal counter1_op_net: std_logic_vector(13 downto 0);
signal counter_op_net: std_logic_vector(2 downto 0);
signal delay_q_net_x0: std_logic;
signal from_register1_data_out_net_x0: std_logic_vector(8 downto 0);
signal from_register2_data_out_net_x0: std_logic_vector(8 downto 0);
signal from_register3_data_out_net_x0: std_logic_vector(8 downto 0);
signal from_register4_data_out_net_x0: std_logic_vector(2 downto 0);
signal from_register5_data_out_net_x0: std_logic_vector(3 downto 0);
signal from_register7_data_out_net_x0: std_logic_vector(3 downto 0);
signal inverter2_op_net_x0: std_logic;
signal inverter3_op_net: std_logic;
signal logical1_y_net: std_logic;
signal logical3_y_net_x0: std_logic;
signal logical_y_net_x0: std_logic;
signal logical_y_net_x1: std_logic;
signal mux2_y_net: std_logic_vector(2 downto 0);
signal mux3_y_net: std_logic_vector(8 downto 0);
signal mux_y_net_x0: std_logic_vector(7 downto 0);
signal mux_y_net_x1: std_logic_vector(7 downto 0);
signal register_q_net: std_logic_vector(5 downto 0);
signal register_q_net_x1: std_logic;
signal relational1_op_net: std_logic;
signal relational2_op_net: std_logic;
signal relational_op_net_x1: std_logic;
signal shared_memory_data_out_net_x2: std_logic_vector(31 downto 0);
signal shared_memory_data_out_net_x3: std_logic_vector(31 downto 0);
signal slice10_y_net_x0: std_logic_vector(3 downto 0);
signal slice11_y_net_x0: std_logic_vector(3 downto 0);
signal slice4_y_net: std_logic_vector(7 downto 0);
signal slice5_y_net: std_logic_vector(5 downto 0);
signal slice6_y_net: std_logic_vector(5 downto 0);
signal slice7_y_net: std_logic_vector(3 downto 0);
signal slice8_y_net: std_logic_vector(7 downto 0);
signal slice9_y_net: std_logic;
signal x12msb_y_net_x1: std_logic_vector(11 downto 0);
signal x4lsb_y_net: std_logic_vector(3 downto 0);
signal x4msb_y_net: std_logic_vector(3 downto 0);
signal x9msb_y_net_x1: std_logic_vector(8 downto 0);
begin
ce_1_sg_x14 <= ce_1;
clk_1_sg_x14 <= clk_1;
register_q_net_x1 <= cmdsdone;
from_register1_data_out_net_x0 <= from_register1;
from_register2_data_out_net_x0 <= from_register2;
from_register3_data_out_net_x0 <= from_register3;
from_register4_data_out_net_x0 <= from_register4;
from_register5_data_out_net_x0 <= from_register5;
from_register7_data_out_net_x0 <= from_register7;
convert1_dout_net_x4 <= reset;
shared_memory_data_out_net_x2 <= shared_memory;
shared_memory_data_out_net_x3 <= shared_memory_x0;
convert1_dout_net_x5 <= transferdone;
character_buffer_shared_memory <= x9msb_y_net_x1;
character_buffer_shared_memory_x0 <= constant_op_net_x2;
character_buffer_shared_memory_x1 <= constant1_op_net_x2;
character_map_shared_memory <= x12msb_y_net_x1;
character_map_shared_memory_x0 <= constant_op_net_x3;
character_map_shared_memory_x1 <= constant1_op_net_x3;
data <= concat4_y_net_x0;
starttransfer <= delay_q_net_x0;
addsub1: entity work.xladdsub
generic map (
a_arith => xlUnsigned,
a_bin_pt => 0,
a_width => 4,
b_arith => xlUnsigned,
b_bin_pt => 0,
b_width => 4,
c_has_b_out => 0,
c_has_c_out => 0,
c_has_q => 0,
c_has_q_b_out => 0,
c_has_q_c_out => 0,
c_has_s => 1,
c_latency => 0,
c_output_width => 5,
core_name0 => "adder_subtracter_virtex2p_7_0_7182743c9e7adf5e",
extra_registers => 0,
full_s_arith => 1,
full_s_width => 5,
latency => 0,
mode => 1,
overflow => 1,
quantization => 1,
s_arith => xlUnsigned,
s_bin_pt => 0,
s_width => 4
)
port map (
a => from_register7_data_out_net_x0,
b => x4msb_y_net,
ce => ce_1_sg_x14,
clk => clk_1_sg_x14,
clr => '0',
en => "1",
s => addsub1_s_net
);
addsub2: entity work.xladdsub
generic map (
a_arith => xlUnsigned,
a_bin_pt => 0,
a_width => 4,
b_arith => xlUnsigned,
b_bin_pt => 0,
b_width => 4,
c_has_b_out => 0,
c_has_c_out => 0,
c_has_q => 0,
c_has_q_b_out => 0,
c_has_q_c_out => 0,
c_has_s => 1,
c_latency => 0,
c_output_width => 5,
core_name0 => "adder_subtracter_virtex2p_7_0_7182743c9e7adf5e",
extra_registers => 0,
full_s_arith => 1,
full_s_width => 5,
latency => 0,
mode => 1,
overflow => 1,
quantization => 1,
s_arith => xlUnsigned,
s_bin_pt => 0,
s_width => 4
)
port map (
a => x4lsb_y_net,
b => from_register5_data_out_net_x0,
ce => ce_1_sg_x14,
clk => clk_1_sg_x14,
clr => '0',
en => "1",
s => addsub2_s_net
);
character_buffer_shared_memory_1eeb1f8786: entity work.character_buffer_shared_memory_entity_1eeb1f8786
port map (
addr_11b => concat1_y_net_x0,
shared_memory => shared_memory_data_out_net_x2,
constant1_x0 => constant1_op_net_x2,
constant_x1 => constant_op_net_x2,
data_8b => mux_y_net_x0,
x9msb_x0 => x9msb_y_net_x1
);
character_map_shared_memory_e504c38a5b: entity work.character_map_shared_memory_entity_e504c38a5b
port map (
addr_14b => concat_y_net_x0,
shared_memory => shared_memory_data_out_net_x3,
constant1_x0 => constant1_op_net_x3,
constant_x1 => constant_op_net_x3,
data_8b => mux_y_net_x1,
x12msb_x0 => x12msb_y_net_x1
);
concat: entity work.concat_25ab81b400
port map (
ce => '0',
clk => '0',
clr => '0',
in0 => mux_y_net_x0,
in1 => register_q_net,
y => concat_y_net_x0
);
concat1: entity work.concat_78218439f3
port map (
ce => '0',
clk => '0',
clr => '0',
in0 => from_register4_data_out_net_x0,
in1 => addsub1_s_net,
in2 => addsub2_s_net,
y => concat1_y_net_x0
);
concat3: entity work.concat_e6f5ee726b
port map (
ce => '0',
clk => '0',
clr => '0',
in0(0) => convert_dout_net,
in1(0) => convert1_dout_net,
y => concat3_y_net
);
concat4: entity work.concat_1ece14600f
port map (
ce => '0',
clk => '0',
clr => '0',
in0(0) => inverter3_op_net,
in1 => slice8_y_net,
y => concat4_y_net_x0
);
concat5: entity work.concat_1ece14600f
port map (
ce => '0',
clk => '0',
clr => '0',
in0(0) => constant10_op_net,
in1 => mux_y_net_x1,
y => concat5_y_net
);
constant10: entity work.constant_963ed6358a
port map (
ce => '0',
clk => '0',
clr => '0',
op(0) => constant10_op_net
);
constant4: entity work.constant_963ed6358a
port map (
ce => '0',
clk => '0',
clr => '0',
op(0) => constant4_op_net
);
constant5: entity work.constant_963ed6358a
port map (
ce => '0',
clk => '0',
clr => '0',
op(0) => constant5_op_net
);
constant6: entity work.constant_822933f89b
port map (
ce => '0',
clk => '0',
clr => '0',
op => constant6_op_net
);
constant7: entity work.constant_469094441c
port map (
ce => '0',
clk => '0',
clr => '0',
op => constant7_op_net
);
constant8: entity work.constant_a1c496ea88
port map (
ce => '0',
clk => '0',
clr => '0',
op => constant8_op_net
);
constant9: entity work.constant_963ed6358a
port map (
ce => '0',
clk => '0',
clr => '0',
op(0) => constant9_op_net
);
convert: entity work.xlconvert
generic map (
bool_conversion => 0,
din_arith => 1,
din_bin_pt => 0,
din_width => 1,
dout_arith => 1,
dout_bin_pt => 0,
dout_width => 1,
latency => 0,
overflow => xlWrap,
quantization => xlTruncate
)
port map (
ce => '0',
clk => '0',
clr => '0',
din(0) => relational_op_net_x1,
dout(0) => convert_dout_net
);
convert1: entity work.xlconvert
generic map (
bool_conversion => 0,
din_arith => 1,
din_bin_pt => 0,
din_width => 1,
dout_arith => 1,
dout_bin_pt => 0,
dout_width => 1,
latency => 0,
overflow => xlWrap,
quantization => xlTruncate
)
port map (
ce => '0',
clk => '0',
clr => '0',
din(0) => relational1_op_net,
dout(0) => convert1_dout_net
);
counter: entity work.xlcounter_free
generic map (
core_name0 => "binary_counter_virtex2p_7_0_b511f9871581ee23",
op_arith => xlUnsigned,
op_width => 3
)
port map (
ce => ce_1_sg_x14,
clk => clk_1_sg_x14,
clr => '0',
din => mux2_y_net,
en(0) => logical3_y_net_x0,
load(0) => logical_y_net_x1,
rst => "0",
op => counter_op_net
);
counter1: entity work.xlcounter_free
generic map (
core_name0 => "binary_counter_virtex2p_7_0_a22528b4c55dc1cd",
op_arith => xlUnsigned,
op_width => 14
)
port map (
ce => ce_1_sg_x14,
clk => clk_1_sg_x14,
clr => '0',
en(0) => logical1_y_net,
rst(0) => convert1_dout_net_x4,
op => counter1_op_net
);
delay: entity work.xldelay
generic map (
latency => 4,
reg_retiming => 0,
width => 1
)
port map (
ce => ce_1_sg_x14,
clk => clk_1_sg_x14,
d(0) => logical_y_net_x0,
en => '1',
q(0) => delay_q_net_x0
);
inverter2: entity work.inverter_e5b38cca3b
port map (
ce => ce_1_sg_x14,
clk => clk_1_sg_x14,
clr => '0',
ip(0) => relational2_op_net,
op(0) => inverter2_op_net_x0
);
inverter3: entity work.inverter_e2b989a05e
port map (
ce => ce_1_sg_x14,
clk => clk_1_sg_x14,
clr => '0',
ip(0) => slice9_y_net,
op(0) => inverter3_op_net
);
logical: entity work.logical_80f90b97d0
port map (
ce => '0',
clk => '0',
clr => '0',
d0(0) => convert1_dout_net_x5,
d1(0) => register_q_net_x1,
y(0) => logical_y_net_x0
);
logical1: entity work.logical_80f90b97d0
port map (
ce => '0',
clk => '0',
clr => '0',
d0(0) => relational2_op_net,
d1(0) => logical_y_net_x0,
y(0) => logical1_y_net
);
mux2: entity work.mux_2ec2057ae3
port map (
ce => '0',
clk => '0',
clr => '0',
d0 => constant6_op_net,
d1 => constant6_op_net,
d2 => constant7_op_net,
d3 => constant8_op_net,
sel => concat3_y_net,
y => mux2_y_net
);
mux3: entity work.mux_7586447000
port map (
ce => '0',
clk => '0',
clr => '0',
d0 => concat5_y_net,
d1 => from_register3_data_out_net_x0,
d2 => addsub_s_net_x0,
d3 => addsub1_s_net_x0,
d4 => from_register1_data_out_net_x0,
d5 => addsub_s_net_x1,
d6 => addsub1_s_net_x1,
d7 => from_register2_data_out_net_x0,
sel => counter_op_net,
y => mux3_y_net
);
pos_edge_detector_90ec5fccc3: entity work.pos_edge_detector_entity_90ec5fccc3
port map (
ce_1 => ce_1_sg_x14,
clk_1 => clk_1_sg_x14,
input_signal => relational_op_net_x1,
rising_edge => logical_y_net_x1
);
register_x0: entity work.xlregister
generic map (
d_width => 6,
init_value => b"000000"
)
port map (
ce => ce_1_sg_x14,
clk => clk_1_sg_x14,
d => slice5_y_net,
en => "1",
rst => "0",
q => register_q_net
);
relational: entity work.relational_f7cb2b0c31
port map (
a => slice6_y_net,
b(0) => constant4_op_net,
ce => '0',
clk => '0',
clr => '0',
op(0) => relational_op_net_x1
);
relational1: entity work.relational_ee03197e2c
port map (
a => slice7_y_net,
b(0) => constant5_op_net,
ce => '0',
clk => '0',
clr => '0',
op(0) => relational1_op_net
);
relational2: entity work.relational_04b069dd89
port map (
a => counter_op_net,
b(0) => constant9_op_net,
ce => '0',
clk => '0',
clr => '0',
op(0) => relational2_op_net
);
slice10: entity work.xlslice
generic map (
new_lsb => 10,
new_msb => 13,
x_width => 14,
y_width => 4
)
port map (
x => counter1_op_net,
y => slice10_y_net_x0
);
slice11: entity work.xlslice
generic map (
new_lsb => 6,
new_msb => 9,
x_width => 14,
y_width => 4
)
port map (
x => counter1_op_net,
y => slice11_y_net_x0
);
slice4: entity work.xlslice
generic map (
new_lsb => 6,
new_msb => 13,
x_width => 14,
y_width => 8
)
port map (
x => counter1_op_net,
y => slice4_y_net
);
slice5: entity work.xlslice
generic map (
new_lsb => 0,
new_msb => 5,
x_width => 14,
y_width => 6
)
port map (
x => counter1_op_net,
y => slice5_y_net
);
slice6: entity work.xlslice
generic map (
new_lsb => 0,
new_msb => 5,
x_width => 14,
y_width => 6
)
port map (
x => counter1_op_net,
y => slice6_y_net
);
slice7: entity work.xlslice
generic map (
new_lsb => 6,
new_msb => 9,
x_width => 14,
y_width => 4
)
port map (
x => counter1_op_net,
y => slice7_y_net
);
slice8: entity work.xlslice
generic map (
new_lsb => 0,
new_msb => 7,
x_width => 9,
y_width => 8
)
port map (
x => mux3_y_net,
y => slice8_y_net
);
slice9: entity work.xlslice
generic map (
new_lsb => 8,
new_msb => 8,
x_width => 9,
y_width => 1
)
port map (
x => mux3_y_net,
y(0) => slice9_y_net
);
subsystem1_a91e11b915: entity work.subsystem1_entity_a91e11b915
port map (
ce_1 => ce_1_sg_x14,
clk_1 => clk_1_sg_x14,
x4bits => slice10_y_net_x0,
end_x0 => addsub1_s_net_x0,
start => addsub_s_net_x0
);
subsystem2_4e1ae86655: entity work.subsystem1_entity_a91e11b915
port map (
ce_1 => ce_1_sg_x14,
clk_1 => clk_1_sg_x14,
x4bits => slice11_y_net_x0,
end_x0 => addsub1_s_net_x1,
start => addsub_s_net_x1
);
subsystem_8d430c7bea: entity work.subsystem_entity_8d430c7bea
port map (
ce_1 => ce_1_sg_x14,
clk_1 => clk_1_sg_x14,
not0 => inverter2_op_net_x0,
transferdone => logical_y_net_x0,
x6bitval => relational_op_net_x1,
out1 => logical3_y_net_x0
);
x4lsb: entity work.xlslice
generic map (
new_lsb => 0,
new_msb => 3,
x_width => 8,
y_width => 4
)
port map (
x => slice4_y_net,
y => x4lsb_y_net
);
x4msb: entity work.xlslice
generic map (
new_lsb => 4,
new_msb => 7,
x_width => 8,
y_width => 4
)
port map (
x => slice4_y_net,
y => x4msb_y_net
);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
-- Generated from Simulink block "user_io_board_controller/LCD Controller/SPI/Data_Latch"
entity data_latch_entity_d697580f4e is
port (
bit_select: in std_logic_vector(3 downto 0);
ce_1: in std_logic;
clk_1: in std_logic;
data_to_transmit: in std_logic_vector(8 downto 0);
latchdata: in std_logic;
reset: in std_logic;
spi_data: out std_logic
);
end data_latch_entity_d697580f4e;
architecture structural of data_latch_entity_d697580f4e is
signal ce_1_sg_x16: std_logic;
signal clk_1_sg_x16: std_logic;
signal convert1_dout_net_x5: std_logic;
signal counter_op_net_x0: std_logic_vector(3 downto 0);
signal mux1_y_net_x0: std_logic;
signal mux_y_net_x1: std_logic_vector(8 downto 0);
signal mux_y_net_x2: std_logic;
signal register_q_net: std_logic_vector(8 downto 0);
signal slice1_y_net: std_logic;
signal slice2_y_net: std_logic;
signal slice3_y_net: std_logic;
signal slice4_y_net: std_logic;
signal slice5_y_net: std_logic;
signal slice6_y_net: std_logic;
signal slice7_y_net: std_logic;
signal slice8_y_net: std_logic;
signal slice_y_net: std_logic;
begin
counter_op_net_x0 <= bit_select;
ce_1_sg_x16 <= ce_1;
clk_1_sg_x16 <= clk_1;
mux_y_net_x1 <= data_to_transmit;
mux1_y_net_x0 <= latchdata;
convert1_dout_net_x5 <= reset;
spi_data <= mux_y_net_x2;
mux: entity work.mux_8e3eece8f2
port map (
ce => ce_1_sg_x16,
clk => clk_1_sg_x16,
clr => '0',
d0(0) => slice_y_net,
d1(0) => slice1_y_net,
d2(0) => slice2_y_net,
d3(0) => slice3_y_net,
d4(0) => slice4_y_net,
d5(0) => slice5_y_net,
d6(0) => slice6_y_net,
d7(0) => slice7_y_net,
d8(0) => slice8_y_net,
sel => counter_op_net_x0,
y(0) => mux_y_net_x2
);
register_x0: entity work.xlregister
generic map (
d_width => 9,
init_value => b"000000000"
)
port map (
ce => ce_1_sg_x16,
clk => clk_1_sg_x16,
d => mux_y_net_x1,
en(0) => mux1_y_net_x0,
rst(0) => convert1_dout_net_x5,
q => register_q_net
);
slice: entity work.xlslice
generic map (
new_lsb => 8,
new_msb => 8,
x_width => 9,
y_width => 1
)
port map (
x => register_q_net,
y(0) => slice_y_net
);
slice1: entity work.xlslice
generic map (
new_lsb => 7,
new_msb => 7,
x_width => 9,
y_width => 1
)
port map (
x => register_q_net,
y(0) => slice1_y_net
);
slice2: entity work.xlslice
generic map (
new_lsb => 6,
new_msb => 6,
x_width => 9,
y_width => 1
)
port map (
x => register_q_net,
y(0) => slice2_y_net
);
slice3: entity work.xlslice
generic map (
new_lsb => 5,
new_msb => 5,
x_width => 9,
y_width => 1
)
port map (
x => register_q_net,
y(0) => slice3_y_net
);
slice4: entity work.xlslice
generic map (
new_lsb => 4,
new_msb => 4,
x_width => 9,
y_width => 1
)
port map (
x => register_q_net,
y(0) => slice4_y_net
);
slice5: entity work.xlslice
generic map (
new_lsb => 3,
new_msb => 3,
x_width => 9,
y_width => 1
)
port map (
x => register_q_net,
y(0) => slice5_y_net
);
slice6: entity work.xlslice
generic map (
new_lsb => 2,
new_msb => 2,
x_width => 9,
y_width => 1
)
port map (
x => register_q_net,
y(0) => slice6_y_net
);
slice7: entity work.xlslice
generic map (
new_lsb => 1,
new_msb => 1,
x_width => 9,
y_width => 1
)
port map (
x => register_q_net,
y(0) => slice7_y_net
);
slice8: entity work.xlslice
generic map (
new_lsb => 0,
new_msb => 0,
x_width => 9,
y_width => 1
)
port map (
x => register_q_net,
y(0) => slice8_y_net
);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
-- Generated from Simulink block "user_io_board_controller/LCD Controller/SPI/Pos Edge Detector"
entity pos_edge_detector_entity_ac86c1f1ba is
port (
ce_1: in std_logic;
clk_1: in std_logic;
input_signal: in std_logic;
rising_edge: out std_logic
);
end pos_edge_detector_entity_ac86c1f1ba;
architecture structural of pos_edge_detector_entity_ac86c1f1ba is
signal ce_1_sg_x17: std_logic;
signal clk_1_sg_x17: std_logic;
signal delay_q_net: std_logic;
signal inverter_op_net: std_logic;
signal logical_y_net_x0: std_logic;
signal mux_y_net_x0: std_logic;
begin
ce_1_sg_x17 <= ce_1;
clk_1_sg_x17 <= clk_1;
mux_y_net_x0 <= input_signal;
rising_edge <= logical_y_net_x0;
delay: entity work.xldelay
generic map (
latency => 1,
reg_retiming => 0,
width => 1
)
port map (
ce => ce_1_sg_x17,
clk => clk_1_sg_x17,
d(0) => inverter_op_net,
en => '1',
q(0) => delay_q_net
);
inverter: entity work.inverter_e2b989a05e
port map (
ce => ce_1_sg_x17,
clk => clk_1_sg_x17,
clr => '0',
ip(0) => mux_y_net_x0,
op(0) => inverter_op_net
);
logical: entity work.logical_938d99ac11
port map (
ce => '0',
clk => '0',
clr => '0',
d0(0) => mux_y_net_x0,
d1(0) => delay_q_net,
y(0) => logical_y_net_x0
);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
-- Generated from Simulink block "user_io_board_controller/LCD Controller/SPI"
entity spi_entity_fd37afb7f3 is
port (
ce_1: in std_logic;
clk_1: in std_logic;
data_to_transfer: in std_logic_vector(8 downto 0);
dividerselect: in std_logic;
reset: in std_logic;
send: in std_logic;
cs: out std_logic;
data: out std_logic;
done: out std_logic;
scl: out std_logic
);
end spi_entity_fd37afb7f3;
architecture structural of spi_entity_fd37afb7f3 is
signal ce_1_sg_x19: std_logic;
signal clk_1_sg_x19: std_logic;
signal constant_op_net: std_logic_vector(3 downto 0);
signal convert1_dout_net_x7: std_logic;
signal convert1_dout_net_x8: std_logic;
signal convert_dout_net_x0: std_logic;
signal convert_dout_net_x1: std_logic;
signal counter1_op_net: std_logic_vector(3 downto 0);
signal counter_op_net_x0: std_logic_vector(3 downto 0);
signal delay1_q_net: std_logic;
signal delay_q_net: std_logic;
signal inverter1_op_net_x0: std_logic;
signal inverter_op_net_x0: std_logic;
signal logical_y_net: std_logic;
signal logical_y_net_x0: std_logic;
signal logical_y_net_x1: std_logic;
signal mux1_y_net: std_logic;
signal mux1_y_net_x1: std_logic;
signal mux_y_net_x3: std_logic_vector(8 downto 0);
signal mux_y_net_x4: std_logic;
signal mux_y_net_x5: std_logic;
signal register_q_net: std_logic;
signal slice1_y_net: std_logic;
signal slice2_y_net: std_logic;
signal slice_y_net: std_logic;
begin
ce_1_sg_x19 <= ce_1;
clk_1_sg_x19 <= clk_1;
mux_y_net_x3 <= data_to_transfer;
convert_dout_net_x1 <= dividerselect;
convert1_dout_net_x7 <= reset;
mux1_y_net_x1 <= send;
cs <= inverter_op_net_x0;
data <= mux_y_net_x4;
done <= convert1_dout_net_x8;
scl <= mux_y_net_x5;
constant_x0: entity work.constant_06590e4008
port map (
ce => '0',
clk => '0',
clr => '0',
op => constant_op_net
);
convert: entity work.xlconvert
generic map (
bool_conversion => 1,
din_arith => 1,
din_bin_pt => 0,
din_width => 1,
dout_arith => 1,
dout_bin_pt => 0,
dout_width => 1,
latency => 0,
overflow => xlWrap,
quantization => xlTruncate
)
port map (
ce => '0',
clk => '0',
clr => '0',
din(0) => mux1_y_net,
dout(0) => convert_dout_net_x0
);
convert1: entity work.xlconvert
generic map (
bool_conversion => 1,
din_arith => 1,
din_bin_pt => 0,
din_width => 1,
dout_arith => 1,
dout_bin_pt => 0,
dout_width => 1,
latency => 0,
overflow => xlWrap,
quantization => xlTruncate
)
port map (
ce => '0',
clk => '0',
clr => '0',
din(0) => logical_y_net_x1,
dout(0) => convert1_dout_net_x8
);
counter: entity work.xlcounter_limit
generic map (
cnt_15_0 => 8,
cnt_31_16 => 0,
cnt_47_32 => 0,
cnt_63_48 => 0,
core_name0 => "binary_counter_virtex2p_7_0_23542cbcca0efa2e",
count_limited => 1,
op_arith => xlUnsigned,
op_width => 4
)
port map (
ce => ce_1_sg_x19,
clk => clk_1_sg_x19,
clr => '0',
en(0) => convert_dout_net_x0,
rst(0) => convert1_dout_net_x7,
op => counter_op_net_x0
);
counter1: entity work.xlcounter_free
generic map (
core_name0 => "binary_counter_virtex2p_7_0_77cea312f82499f0",
op_arith => xlUnsigned,
op_width => 4
)
port map (
ce => ce_1_sg_x19,
clk => clk_1_sg_x19,
clr => '0',
din => constant_op_net,
en(0) => register_q_net,
load(0) => convert1_dout_net_x8,
rst(0) => convert1_dout_net_x7,
op => counter1_op_net
);
data_latch_d697580f4e: entity work.data_latch_entity_d697580f4e
port map (
bit_select => counter_op_net_x0,
ce_1 => ce_1_sg_x19,
clk_1 => clk_1_sg_x19,
data_to_transmit => mux_y_net_x3,
latchdata => mux1_y_net_x1,
reset => convert1_dout_net_x7,
spi_data => mux_y_net_x4
);
delay: entity work.xldelay
generic map (
latency => 2,
reg_retiming => 0,
width => 1
)
port map (
ce => ce_1_sg_x19,
clk => clk_1_sg_x19,
d(0) => logical_y_net_x0,
en => '1',
q(0) => delay_q_net
);
delay1: entity work.xldelay
generic map (
latency => 6,
reg_retiming => 0,
width => 1
)
port map (
ce => ce_1_sg_x19,
clk => clk_1_sg_x19,
d(0) => logical_y_net_x0,
en => '1',
q(0) => delay1_q_net
);
inverter: entity work.inverter_e5b38cca3b
port map (
ce => ce_1_sg_x19,
clk => clk_1_sg_x19,
clr => '0',
ip(0) => register_q_net,
op(0) => inverter_op_net_x0
);
inverter1: entity work.inverter_e2b989a05e
port map (
ce => ce_1_sg_x19,
clk => clk_1_sg_x19,
clr => '0',
ip(0) => slice1_y_net,
op(0) => inverter1_op_net_x0
);
logical: entity work.logical_aacf6e1b0e
port map (
ce => '0',
clk => '0',
clr => '0',
d0(0) => convert1_dout_net_x8,
d1(0) => mux1_y_net_x1,
y(0) => logical_y_net
);
mux: entity work.mux_112ed141f4
port map (
ce => '0',
clk => '0',
clr => '0',
d0(0) => slice_y_net,
d1(0) => slice2_y_net,
sel(0) => convert_dout_net_x1,
y(0) => mux_y_net_x5
);
mux1: entity work.mux_112ed141f4
port map (
ce => '0',
clk => '0',
clr => '0',
d0(0) => delay_q_net,
d1(0) => delay1_q_net,
sel(0) => convert_dout_net_x1,
y(0) => mux1_y_net
);
pos_edge_detector2_d448638b52: entity work.pos_edge_detector_entity_ac86c1f1ba
port map (
ce_1 => ce_1_sg_x19,
clk_1 => clk_1_sg_x19,
input_signal => inverter1_op_net_x0,
rising_edge => logical_y_net_x1
);
pos_edge_detector_ac86c1f1ba: entity work.pos_edge_detector_entity_ac86c1f1ba
port map (
ce_1 => ce_1_sg_x19,
clk_1 => clk_1_sg_x19,
input_signal => mux_y_net_x5,
rising_edge => logical_y_net_x0
);
register_x0: entity work.xlregister
generic map (
d_width => 1,
init_value => b"0"
)
port map (
ce => ce_1_sg_x19,
clk => clk_1_sg_x19,
d(0) => mux1_y_net_x1,
en(0) => logical_y_net,
rst(0) => convert1_dout_net_x7,
q(0) => register_q_net
);
slice: entity work.xlslice
generic map (
new_lsb => 2,
new_msb => 2,
x_width => 4,
y_width => 1
)
port map (
x => counter1_op_net,
y(0) => slice_y_net
);
slice1: entity work.xlslice
generic map (
new_lsb => 3,
new_msb => 3,
x_width => 4,
y_width => 1
)
port map (
x => counter_op_net_x0,
y(0) => slice1_y_net
);
slice2: entity work.xlslice
generic map (
new_lsb => 3,
new_msb => 3,
x_width => 4,
y_width => 1
)
port map (
x => counter1_op_net,
y(0) => slice2_y_net
);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
-- Generated from Simulink block "user_io_board_controller/LCD Controller"
entity lcd_controller_entity_e3a358fc2f is
port (
ce_1: in std_logic;
clk_1: in std_logic;
from_register: in std_logic;
from_register1: in std_logic_vector(8 downto 0);
from_register1_x0: in std_logic_vector(8 downto 0);
from_register2: in std_logic_vector(8 downto 0);
from_register2_x0: in std_logic_vector(8 downto 0);
from_register3: in std_logic_vector(8 downto 0);
from_register3_x0: in std_logic_vector(8 downto 0);
from_register3_x1: in std_logic_vector(7 downto 0);
from_register4: in std_logic_vector(8 downto 0);
from_register4_x0: in std_logic_vector(2 downto 0);
from_register4_x1: in std_logic;
from_register5: in std_logic_vector(8 downto 0);
from_register5_x0: in std_logic_vector(3 downto 0);
from_register5_x1: in std_logic;
from_register6: in std_logic_vector(1 downto 0);
from_register6_x0: in std_logic;
from_register7: in std_logic_vector(3 downto 0);
reset: in std_logic;
shared_memory: in std_logic_vector(31 downto 0);
shared_memory_x0: in std_logic_vector(31 downto 0);
shared_memory_x1: in std_logic_vector(31 downto 0);
commandrom: out std_logic_vector(7 downto 0);
commandrom_x0: out std_logic_vector(31 downto 0);
commandrom_x1: out std_logic;
datarom: out std_logic_vector(8 downto 0);
datarom_x0: out std_logic_vector(31 downto 0);
datarom_x1: out std_logic;
datarom_x2: out std_logic_vector(11 downto 0);
datarom_x3: out std_logic_vector(31 downto 0);
datarom_x4: out std_logic;
delay_x0: out std_logic;
spi: out std_logic;
spi_x0: out std_logic;
spi_x1: out std_logic
);
end lcd_controller_entity_e3a358fc2f;
architecture structural of lcd_controller_entity_e3a358fc2f is
signal ce_1_sg_x20: std_logic;
signal clk_1_sg_x20: std_logic;
signal concat2_y_net_x0: std_logic_vector(8 downto 0);
signal concat4_y_net_x0: std_logic_vector(8 downto 0);
signal constant1_op_net_x4: std_logic;
signal constant1_op_net_x5: std_logic;
signal constant1_op_net_x6: std_logic;
signal constant_op_net_x4: std_logic_vector(31 downto 0);
signal constant_op_net_x5: std_logic_vector(31 downto 0);
signal constant_op_net_x6: std_logic_vector(31 downto 0);
signal convert1_dout_net_x7: std_logic;
signal convert1_dout_net_x8: std_logic;
signal convert2_dout_net_x0: std_logic;
signal convert_dout_net_x1: std_logic;
signal delay_q_net_x0: std_logic;
signal delay_q_net_x1: std_logic;
signal from_register1_data_out_net_x2: std_logic_vector(8 downto 0);
signal from_register1_data_out_net_x3: std_logic_vector(8 downto 0);
signal from_register2_data_out_net_x2: std_logic_vector(8 downto 0);
signal from_register2_data_out_net_x3: std_logic_vector(8 downto 0);
signal from_register3_data_out_net_x3: std_logic_vector(8 downto 0);
signal from_register3_data_out_net_x4: std_logic_vector(8 downto 0);
signal from_register3_data_out_net_x5: std_logic_vector(7 downto 0);
signal from_register4_data_out_net_x2: std_logic_vector(8 downto 0);
signal from_register4_data_out_net_x3: std_logic_vector(2 downto 0);
signal from_register4_data_out_net_x4: std_logic;
signal from_register5_data_out_net_x2: std_logic_vector(8 downto 0);
signal from_register5_data_out_net_x3: std_logic_vector(3 downto 0);
signal from_register5_data_out_net_x4: std_logic;
signal from_register6_data_out_net_x1: std_logic_vector(1 downto 0);
signal from_register6_data_out_net_x2: std_logic;
signal from_register7_data_out_net_x1: std_logic_vector(3 downto 0);
signal from_register_data_out_net_x0: std_logic;
signal inverter_op_net_x1: std_logic;
signal logical1_y_net: std_logic;
signal logical_y_net_x3: std_logic;
signal mux1_y_net_x1: std_logic;
signal mux2_y_net_x0: std_logic;
signal mux_y_net_x3: std_logic_vector(8 downto 0);
signal mux_y_net_x6: std_logic;
signal mux_y_net_x7: std_logic;
signal register_q_net_x1: std_logic;
signal reset_net_x0: std_logic;
signal shared_memory_data_out_net_x4: std_logic_vector(31 downto 0);
signal shared_memory_data_out_net_x5: std_logic_vector(31 downto 0);
signal shared_memory_data_out_net_x6: std_logic_vector(31 downto 0);
signal x12msb_y_net_x2: std_logic_vector(11 downto 0);
signal x8msb_y_net_x2: std_logic_vector(7 downto 0);
signal x9msb_y_net_x2: std_logic_vector(8 downto 0);
begin
ce_1_sg_x20 <= ce_1;
clk_1_sg_x20 <= clk_1;
from_register_data_out_net_x0 <= from_register;
from_register1_data_out_net_x2 <= from_register1;
from_register1_data_out_net_x3 <= from_register1_x0;
from_register2_data_out_net_x2 <= from_register2;
from_register2_data_out_net_x3 <= from_register2_x0;
from_register3_data_out_net_x3 <= from_register3;
from_register3_data_out_net_x4 <= from_register3_x0;
from_register3_data_out_net_x5 <= from_register3_x1;
from_register4_data_out_net_x2 <= from_register4;
from_register4_data_out_net_x3 <= from_register4_x0;
from_register4_data_out_net_x4 <= from_register4_x1;
from_register5_data_out_net_x2 <= from_register5;
from_register5_data_out_net_x3 <= from_register5_x0;
from_register5_data_out_net_x4 <= from_register5_x1;
from_register6_data_out_net_x1 <= from_register6;
from_register6_data_out_net_x2 <= from_register6_x0;
from_register7_data_out_net_x1 <= from_register7;
reset_net_x0 <= reset;
shared_memory_data_out_net_x4 <= shared_memory;
shared_memory_data_out_net_x5 <= shared_memory_x0;
shared_memory_data_out_net_x6 <= shared_memory_x1;
commandrom <= x8msb_y_net_x2;
commandrom_x0 <= constant_op_net_x4;
commandrom_x1 <= constant1_op_net_x4;
datarom <= x9msb_y_net_x2;
datarom_x0 <= constant_op_net_x5;
datarom_x1 <= constant1_op_net_x5;
datarom_x2 <= x12msb_y_net_x2;
datarom_x3 <= constant_op_net_x6;
datarom_x4 <= constant1_op_net_x6;
delay_x0 <= delay_q_net_x1;
spi <= mux_y_net_x6;
spi_x0 <= inverter_op_net_x1;
spi_x1 <= mux_y_net_x7;
commandrom_b68e0d97b7: entity work.commandrom_entity_b68e0d97b7
port map (
ce_1 => ce_1_sg_x20,
clk_1 => clk_1_sg_x20,
from_register1 => from_register1_data_out_net_x2,
from_register2 => from_register2_data_out_net_x2,
from_register3 => from_register3_data_out_net_x3,
from_register4 => from_register4_data_out_net_x2,
from_register5 => from_register5_data_out_net_x2,
from_register6 => from_register6_data_out_net_x1,
reset => convert1_dout_net_x7,
sendcmds => logical_y_net_x3,
shared_memory => shared_memory_data_out_net_x4,
totalnoofcmds => from_register3_data_out_net_x5,
transferdone => convert1_dout_net_x8,
cmdsdone => register_q_net_x1,
command_memory => x8msb_y_net_x2,
command_memory_x0 => constant_op_net_x4,
command_memory_x1 => constant1_op_net_x4,
datatosend => concat2_y_net_x0,
starttransfer => mux2_y_net_x0
);
convert: entity work.xlconvert
generic map (
bool_conversion => 1,
din_arith => 1,
din_bin_pt => 0,
din_width => 1,
dout_arith => 1,
dout_bin_pt => 0,
dout_width => 1,
latency => 0,
overflow => xlWrap,
quantization => xlTruncate
)
port map (
ce => '0',
clk => '0',
clr => '0',
din(0) => from_register4_data_out_net_x4,
dout(0) => convert_dout_net_x1
);
convert1: entity work.xlconvert
generic map (
bool_conversion => 1,
din_arith => 1,
din_bin_pt => 0,
din_width => 1,
dout_arith => 1,
dout_bin_pt => 0,
dout_width => 1,
latency => 0,
overflow => xlWrap,
quantization => xlTruncate
)
port map (
ce => '0',
clk => '0',
clr => '0',
din(0) => logical1_y_net,
dout(0) => convert1_dout_net_x7
);
convert2: entity work.xlconvert
generic map (
bool_conversion => 1,
din_arith => 1,
din_bin_pt => 0,
din_width => 1,
dout_arith => 1,
dout_bin_pt => 0,
dout_width => 1,
latency => 0,
overflow => xlWrap,
quantization => xlTruncate
)
port map (
ce => '0',
clk => '0',
clr => '0',
din(0) => from_register5_data_out_net_x4,
dout(0) => convert2_dout_net_x0
);
datarom_fd2cd392cc: entity work.datarom_entity_fd2cd392cc
port map (
ce_1 => ce_1_sg_x20,
clk_1 => clk_1_sg_x20,
cmdsdone => register_q_net_x1,
from_register1 => from_register1_data_out_net_x3,
from_register2 => from_register2_data_out_net_x3,
from_register3 => from_register3_data_out_net_x4,
from_register4 => from_register4_data_out_net_x3,
from_register5 => from_register5_data_out_net_x3,
from_register7 => from_register7_data_out_net_x1,
reset => convert1_dout_net_x7,
shared_memory => shared_memory_data_out_net_x5,
shared_memory_x0 => shared_memory_data_out_net_x6,
transferdone => convert1_dout_net_x8,
character_buffer_shared_memory => x9msb_y_net_x2,
character_buffer_shared_memory_x0 => constant_op_net_x5,
character_buffer_shared_memory_x1 => constant1_op_net_x5,
character_map_shared_memory => x12msb_y_net_x2,
character_map_shared_memory_x0 => constant_op_net_x6,
character_map_shared_memory_x1 => constant1_op_net_x6,
data => concat4_y_net_x0,
starttransfer => delay_q_net_x0
);
delay: entity work.xldelay
generic map (
latency => 1,
reg_retiming => 0,
width => 1
)
port map (
ce => ce_1_sg_x20,
clk => clk_1_sg_x20,
d(0) => from_register6_data_out_net_x2,
en => '1',
q(0) => delay_q_net_x1
);
logical1: entity work.logical_3e1f051fb7
port map (
ce => '0',
clk => '0',
clr => '0',
d0(0) => reset_net_x0,
d1(0) => from_register_data_out_net_x0,
y(0) => logical1_y_net
);
mux: entity work.mux_791081a00e
port map (
ce => '0',
clk => '0',
clr => '0',
d0 => concat2_y_net_x0,
d1 => concat4_y_net_x0,
sel(0) => register_q_net_x1,
y => mux_y_net_x3
);
mux1: entity work.mux_d99e59b6d4
port map (
ce => '0',
clk => '0',
clr => '0',
d0(0) => mux2_y_net_x0,
d1(0) => delay_q_net_x0,
sel(0) => register_q_net_x1,
y(0) => mux1_y_net_x1
);
pos_edge_detector_63345fcb1c: entity work.pos_edge_detector_entity_90ec5fccc3
port map (
ce_1 => ce_1_sg_x20,
clk_1 => clk_1_sg_x20,
input_signal => convert2_dout_net_x0,
rising_edge => logical_y_net_x3
);
spi_fd37afb7f3: entity work.spi_entity_fd37afb7f3
port map (
ce_1 => ce_1_sg_x20,
clk_1 => clk_1_sg_x20,
data_to_transfer => mux_y_net_x3,
dividerselect => convert_dout_net_x1,
reset => convert1_dout_net_x7,
send => mux1_y_net_x1,
cs => inverter_op_net_x1,
data => mux_y_net_x6,
done => convert1_dout_net_x8,
scl => mux_y_net_x7
);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
-- Generated from Simulink block "user_io_board_controller"
entity user_io_board_controller is
port (
buttons_big: in std_logic_vector(1 downto 0);
buttons_small: in std_logic_vector(5 downto 0);
ce_1: in std_logic;
clk_1: in std_logic;
data_out: in std_logic_vector(17 downto 0);
data_out_x0: in std_logic_vector(17 downto 0);
data_out_x1: in std_logic;
data_out_x10: in std_logic;
data_out_x11: in std_logic_vector(7 downto 0);
data_out_x12: in std_logic;
data_out_x13: in std_logic;
data_out_x14: in std_logic;
data_out_x15: in std_logic_vector(8 downto 0);
data_out_x16: in std_logic_vector(8 downto 0);
data_out_x17: in std_logic_vector(8 downto 0);
data_out_x18: in std_logic_vector(8 downto 0);
data_out_x19: in std_logic_vector(8 downto 0);
data_out_x2: in std_logic_vector(1 downto 0);
data_out_x20: in std_logic_vector(1 downto 0);
data_out_x21: in std_logic_vector(31 downto 0);
data_out_x22: in std_logic_vector(3 downto 0);
data_out_x23: in std_logic_vector(3 downto 0);
data_out_x24: in std_logic_vector(8 downto 0);
data_out_x25: in std_logic_vector(8 downto 0);
data_out_x26: in std_logic_vector(8 downto 0);
data_out_x27: in std_logic_vector(2 downto 0);
data_out_x28: in std_logic_vector(31 downto 0);
data_out_x29: in std_logic_vector(31 downto 0);
data_out_x3: in std_logic_vector(5 downto 0);
data_out_x4: in std_logic_vector(3 downto 0);
data_out_x5: in std_logic_vector(4 downto 0);
data_out_x6: in std_logic_vector(31 downto 0);
data_out_x7: in std_logic_vector(31 downto 0);
data_out_x8: in std_logic_vector(31 downto 0);
data_out_x9: in std_logic_vector(7 downto 0);
dip_switch: in std_logic_vector(3 downto 0);
dout: in std_logic_vector(17 downto 0);
dout_x0: in std_logic;
dout_x1: in std_logic_vector(17 downto 0);
dout_x10: in std_logic_vector(3 downto 0);
dout_x11: in std_logic_vector(8 downto 0);
dout_x12: in std_logic;
dout_x13: in std_logic;
dout_x14: in std_logic_vector(8 downto 0);
dout_x15: in std_logic_vector(8 downto 0);
dout_x16: in std_logic_vector(8 downto 0);
dout_x17: in std_logic;
dout_x18: in std_logic_vector(7 downto 0);
dout_x19: in std_logic_vector(7 downto 0);
dout_x2: in std_logic_vector(8 downto 0);
dout_x3: in std_logic_vector(3 downto 0);
dout_x4: in std_logic_vector(2 downto 0);
dout_x5: in std_logic_vector(8 downto 0);
dout_x6: in std_logic_vector(1 downto 0);
dout_x7: in std_logic;
dout_x8: in std_logic_vector(8 downto 0);
dout_x9: in std_logic_vector(8 downto 0);
plb_abus: in std_logic_vector(31 downto 0);
plb_pavalid: in std_logic;
plb_rnw: in std_logic;
plb_wrdbus: in std_logic_vector(31 downto 0);
reset: in std_logic;
sg_plb_addrpref: in std_logic_vector(14 downto 0);
splb_rst: in std_logic;
trackball_ox: in std_logic;
trackball_oxn: in std_logic;
trackball_oy: in std_logic;
trackball_oyn: in std_logic;
trackball_sel2: in std_logic;
addr: out std_logic_vector(11 downto 0);
addr_x0: out std_logic_vector(8 downto 0);
addr_x1: out std_logic_vector(7 downto 0);
addr_x2: out std_logic_vector(7 downto 0);
addr_x3: out std_logic_vector(8 downto 0);
addr_x4: out std_logic_vector(11 downto 0);
buzzer: out std_logic;
cs: out std_logic;
data_in: out std_logic_vector(17 downto 0);
data_in_x0: out std_logic;
data_in_x1: out std_logic_vector(17 downto 0);
data_in_x10: out std_logic_vector(3 downto 0);
data_in_x11: out std_logic_vector(8 downto 0);
data_in_x12: out std_logic;
data_in_x13: out std_logic;
data_in_x14: out std_logic_vector(8 downto 0);
data_in_x15: out std_logic_vector(8 downto 0);
data_in_x16: out std_logic_vector(8 downto 0);
data_in_x17: out std_logic;
data_in_x18: out std_logic_vector(7 downto 0);
data_in_x19: out std_logic_vector(7 downto 0);
data_in_x2: out std_logic_vector(8 downto 0);
data_in_x20: out std_logic_vector(31 downto 0);
data_in_x21: out std_logic_vector(31 downto 0);
data_in_x22: out std_logic_vector(31 downto 0);
data_in_x23: out std_logic_vector(4 downto 0);
data_in_x24: out std_logic_vector(1 downto 0);
data_in_x25: out std_logic_vector(5 downto 0);
data_in_x26: out std_logic_vector(3 downto 0);
data_in_x27: out std_logic_vector(31 downto 0);
data_in_x28: out std_logic_vector(31 downto 0);
data_in_x29: out std_logic_vector(31 downto 0);
data_in_x3: out std_logic_vector(3 downto 0);
data_in_x4: out std_logic_vector(2 downto 0);
data_in_x5: out std_logic_vector(8 downto 0);
data_in_x6: out std_logic_vector(1 downto 0);
data_in_x7: out std_logic;
data_in_x8: out std_logic_vector(8 downto 0);
data_in_x9: out std_logic_vector(8 downto 0);
en: out std_logic;
en_x0: out std_logic;
en_x1: out std_logic;
en_x10: out std_logic;
en_x11: out std_logic;
en_x12: out std_logic;
en_x13: out std_logic;
en_x14: out std_logic;
en_x15: out std_logic;
en_x16: out std_logic;
en_x17: out std_logic;
en_x18: out std_logic;
en_x19: out std_logic;
en_x2: out std_logic;
en_x20: out std_logic;
en_x21: out std_logic;
en_x22: out std_logic;
en_x23: out std_logic;
en_x3: out std_logic;
en_x4: out std_logic;
en_x5: out std_logic;
en_x6: out std_logic;
en_x7: out std_logic;
en_x8: out std_logic;
en_x9: out std_logic;
leds: out std_logic_vector(7 downto 0);
resetlcd: out std_logic;
scl: out std_logic;
sdi: out std_logic;
sl_addrack: out std_logic;
sl_rdcomp: out std_logic;
sl_rddack: out std_logic;
sl_rddbus: out std_logic_vector(31 downto 0);
sl_wait: out std_logic;
sl_wrcomp: out std_logic;
sl_wrdack: out std_logic;
trackball_sel1: out std_logic;
trackball_xscn: out std_logic;
trackball_yscn: out std_logic;
we: out std_logic;
we_x0: out std_logic;
we_x1: out std_logic;
we_x2: out std_logic;
we_x3: out std_logic;
we_x4: out std_logic
);
end user_io_board_controller;
architecture structural of user_io_board_controller is
signal addr_net: std_logic_vector(11 downto 0);
signal addr_x0_net: std_logic_vector(8 downto 0);
signal addr_x1_net: std_logic_vector(7 downto 0);
signal addr_x2_net: std_logic_vector(7 downto 0);
signal addr_x3_net: std_logic_vector(8 downto 0);
signal addr_x4_net: std_logic_vector(11 downto 0);
signal buttons_big_net: std_logic_vector(1 downto 0);
signal buttons_small_net: std_logic_vector(5 downto 0);
signal buzzer_net: std_logic;
signal ce_1_sg_x21: std_logic;
signal clk_1_sg_x21: std_logic;
signal cs_net: std_logic;
signal data_in_net: std_logic_vector(17 downto 0);
signal data_in_x0_net: std_logic;
signal data_in_x10_net: std_logic_vector(3 downto 0);
signal data_in_x11_net: std_logic_vector(8 downto 0);
signal data_in_x12_net: std_logic;
signal data_in_x13_net: std_logic;
signal data_in_x14_net: std_logic_vector(8 downto 0);
signal data_in_x15_net: std_logic_vector(8 downto 0);
signal data_in_x16_net: std_logic_vector(8 downto 0);
signal data_in_x17_net: std_logic;
signal data_in_x18_net: std_logic_vector(7 downto 0);
signal data_in_x19_net: std_logic_vector(7 downto 0);
signal data_in_x1_net: std_logic_vector(17 downto 0);
signal data_in_x20_net: std_logic_vector(31 downto 0);
signal data_in_x21_net: std_logic_vector(31 downto 0);
signal data_in_x22_net: std_logic_vector(31 downto 0);
signal data_in_x23_net: std_logic_vector(4 downto 0);
signal data_in_x24_net: std_logic_vector(1 downto 0);
signal data_in_x25_net: std_logic_vector(5 downto 0);
signal data_in_x26_net: std_logic_vector(3 downto 0);
signal data_in_x27_net: std_logic_vector(31 downto 0);
signal data_in_x28_net: std_logic_vector(31 downto 0);
signal data_in_x29_net: std_logic_vector(31 downto 0);
signal data_in_x2_net: std_logic_vector(8 downto 0);
signal data_in_x3_net: std_logic_vector(3 downto 0);
signal data_in_x4_net: std_logic_vector(2 downto 0);
signal data_in_x5_net: std_logic_vector(8 downto 0);
signal data_in_x6_net: std_logic_vector(1 downto 0);
signal data_in_x7_net: std_logic;
signal data_in_x8_net: std_logic_vector(8 downto 0);
signal data_in_x9_net: std_logic_vector(8 downto 0);
signal data_out_net: std_logic_vector(17 downto 0);
signal data_out_x0_net: std_logic_vector(17 downto 0);
signal data_out_x10_net: std_logic;
signal data_out_x11_net: std_logic_vector(7 downto 0);
signal data_out_x12_net: std_logic;
signal data_out_x13_net: std_logic;
signal data_out_x14_net: std_logic;
signal data_out_x15_net: std_logic_vector(8 downto 0);
signal data_out_x16_net: std_logic_vector(8 downto 0);
signal data_out_x17_net: std_logic_vector(8 downto 0);
signal data_out_x18_net: std_logic_vector(8 downto 0);
signal data_out_x19_net: std_logic_vector(8 downto 0);
signal data_out_x1_net: std_logic;
signal data_out_x20_net: std_logic_vector(1 downto 0);
signal data_out_x21_net: std_logic_vector(31 downto 0);
signal data_out_x22_net: std_logic_vector(3 downto 0);
signal data_out_x23_net: std_logic_vector(3 downto 0);
signal data_out_x24_net: std_logic_vector(8 downto 0);
signal data_out_x25_net: std_logic_vector(8 downto 0);
signal data_out_x26_net: std_logic_vector(8 downto 0);
signal data_out_x27_net: std_logic_vector(2 downto 0);
signal data_out_x28_net: std_logic_vector(31 downto 0);
signal data_out_x29_net: std_logic_vector(31 downto 0);
signal data_out_x2_net: std_logic_vector(1 downto 0);
signal data_out_x3_net: std_logic_vector(5 downto 0);
signal data_out_x4_net: std_logic_vector(3 downto 0);
signal data_out_x5_net: std_logic_vector(4 downto 0);
signal data_out_x6_net: std_logic_vector(31 downto 0);
signal data_out_x7_net: std_logic_vector(31 downto 0);
signal data_out_x8_net: std_logic_vector(31 downto 0);
signal data_out_x9_net: std_logic_vector(7 downto 0);
signal dip_switch_net: std_logic_vector(3 downto 0);
signal dout_net: std_logic_vector(17 downto 0);
signal dout_x0_net: std_logic;
signal dout_x10_net: std_logic_vector(3 downto 0);
signal dout_x11_net: std_logic_vector(8 downto 0);
signal dout_x12_net: std_logic;
signal dout_x13_net: std_logic;
signal dout_x14_net: std_logic_vector(8 downto 0);
signal dout_x15_net: std_logic_vector(8 downto 0);
signal dout_x16_net: std_logic_vector(8 downto 0);
signal dout_x17_net: std_logic;
signal dout_x18_net: std_logic_vector(7 downto 0);
signal dout_x19_net: std_logic_vector(7 downto 0);
signal dout_x1_net: std_logic_vector(17 downto 0);
signal dout_x2_net: std_logic_vector(8 downto 0);
signal dout_x3_net: std_logic_vector(3 downto 0);
signal dout_x4_net: std_logic_vector(2 downto 0);
signal dout_x5_net: std_logic_vector(8 downto 0);
signal dout_x6_net: std_logic_vector(1 downto 0);
signal dout_x7_net: std_logic;
signal dout_x8_net: std_logic_vector(8 downto 0);
signal dout_x9_net: std_logic_vector(8 downto 0);
signal en_net: std_logic;
signal en_x0_net: std_logic;
signal en_x10_net: std_logic;
signal en_x11_net: std_logic;
signal en_x12_net: std_logic;
signal en_x13_net: std_logic;
signal en_x14_net: std_logic;
signal en_x15_net: std_logic;
signal en_x16_net: std_logic;
signal en_x17_net: std_logic;
signal en_x18_net: std_logic;
signal en_x19_net: std_logic;
signal en_x1_net: std_logic;
signal en_x20_net: std_logic;
signal en_x21_net: std_logic;
signal en_x22_net: std_logic;
signal en_x23_net: std_logic;
signal en_x2_net: std_logic;
signal en_x3_net: std_logic;
signal en_x4_net: std_logic;
signal en_x5_net: std_logic;
signal en_x6_net: std_logic;
signal en_x7_net: std_logic;
signal en_x8_net: std_logic;
signal en_x9_net: std_logic;
signal leds_net: std_logic_vector(7 downto 0);
signal plb_abus_net: std_logic_vector(31 downto 0);
signal plb_pavalid_net: std_logic;
signal plb_rnw_net: std_logic;
signal plb_wrdbus_net: std_logic_vector(31 downto 0);
signal reset_net: std_logic;
signal resetlcd_net: std_logic;
signal scl_net: std_logic;
signal sdi_net: std_logic;
signal sg_plb_addrpref_net: std_logic_vector(14 downto 0);
signal sl_addrack_net: std_logic;
signal sl_rdcomp_net: std_logic;
signal sl_rddack_net: std_logic;
signal sl_rddbus_net: std_logic_vector(31 downto 0);
signal sl_wait_net: std_logic;
signal sl_wrdack_x1: std_logic;
signal splb_rst_net: std_logic;
signal trackball_ox_net: std_logic;
signal trackball_oxn_net: std_logic;
signal trackball_oy_net: std_logic;
signal trackball_oyn_net: std_logic;
signal trackball_sel1_net: std_logic;
signal trackball_sel2_net: std_logic;
signal trackball_xscn_net: std_logic;
signal trackball_yscn_net: std_logic;
signal we_net: std_logic;
signal we_x0_net: std_logic;
signal we_x1_net: std_logic;
signal we_x2_net: std_logic;
signal we_x3_net: std_logic;
signal we_x4_net: std_logic;
begin
buttons_big_net <= buttons_big;
buttons_small_net <= buttons_small;
ce_1_sg_x21 <= ce_1;
clk_1_sg_x21 <= clk_1;
data_out_net <= data_out;
data_out_x0_net <= data_out_x0;
data_out_x1_net <= data_out_x1;
data_out_x10_net <= data_out_x10;
data_out_x11_net <= data_out_x11;
data_out_x12_net <= data_out_x12;
data_out_x13_net <= data_out_x13;
data_out_x14_net <= data_out_x14;
data_out_x15_net <= data_out_x15;
data_out_x16_net <= data_out_x16;
data_out_x17_net <= data_out_x17;
data_out_x18_net <= data_out_x18;
data_out_x19_net <= data_out_x19;
data_out_x2_net <= data_out_x2;
data_out_x20_net <= data_out_x20;
data_out_x21_net <= data_out_x21;
data_out_x22_net <= data_out_x22;
data_out_x23_net <= data_out_x23;
data_out_x24_net <= data_out_x24;
data_out_x25_net <= data_out_x25;
data_out_x26_net <= data_out_x26;
data_out_x27_net <= data_out_x27;
data_out_x28_net <= data_out_x28;
data_out_x29_net <= data_out_x29;
data_out_x3_net <= data_out_x3;
data_out_x4_net <= data_out_x4;
data_out_x5_net <= data_out_x5;
data_out_x6_net <= data_out_x6;
data_out_x7_net <= data_out_x7;
data_out_x8_net <= data_out_x8;
data_out_x9_net <= data_out_x9;
dip_switch_net <= dip_switch;
dout_net <= dout;
dout_x0_net <= dout_x0;
dout_x1_net <= dout_x1;
dout_x10_net <= dout_x10;
dout_x11_net <= dout_x11;
dout_x12_net <= dout_x12;
dout_x13_net <= dout_x13;
dout_x14_net <= dout_x14;
dout_x15_net <= dout_x15;
dout_x16_net <= dout_x16;
dout_x17_net <= dout_x17;
dout_x18_net <= dout_x18;
dout_x19_net <= dout_x19;
dout_x2_net <= dout_x2;
dout_x3_net <= dout_x3;
dout_x4_net <= dout_x4;
dout_x5_net <= dout_x5;
dout_x6_net <= dout_x6;
dout_x7_net <= dout_x7;
dout_x8_net <= dout_x8;
dout_x9_net <= dout_x9;
plb_abus_net <= plb_abus;
plb_pavalid_net <= plb_pavalid;
plb_rnw_net <= plb_rnw;
plb_wrdbus_net <= plb_wrdbus;
reset_net <= reset;
sg_plb_addrpref_net <= sg_plb_addrpref;
splb_rst_net <= splb_rst;
trackball_ox_net <= trackball_ox;
trackball_oxn_net <= trackball_oxn;
trackball_oy_net <= trackball_oy;
trackball_oyn_net <= trackball_oyn;
trackball_sel2_net <= trackball_sel2;
addr <= addr_net;
addr_x0 <= addr_x0_net;
addr_x1 <= addr_x1_net;
addr_x2 <= addr_x2_net;
addr_x3 <= addr_x3_net;
addr_x4 <= addr_x4_net;
buzzer <= buzzer_net;
cs <= cs_net;
data_in <= data_in_net;
data_in_x0 <= data_in_x0_net;
data_in_x1 <= data_in_x1_net;
data_in_x10 <= data_in_x10_net;
data_in_x11 <= data_in_x11_net;
data_in_x12 <= data_in_x12_net;
data_in_x13 <= data_in_x13_net;
data_in_x14 <= data_in_x14_net;
data_in_x15 <= data_in_x15_net;
data_in_x16 <= data_in_x16_net;
data_in_x17 <= data_in_x17_net;
data_in_x18 <= data_in_x18_net;
data_in_x19 <= data_in_x19_net;
data_in_x2 <= data_in_x2_net;
data_in_x20 <= data_in_x20_net;
data_in_x21 <= data_in_x21_net;
data_in_x22 <= data_in_x22_net;
data_in_x23 <= data_in_x23_net;
data_in_x24 <= data_in_x24_net;
data_in_x25 <= data_in_x25_net;
data_in_x26 <= data_in_x26_net;
data_in_x27 <= data_in_x27_net;
data_in_x28 <= data_in_x28_net;
data_in_x29 <= data_in_x29_net;
data_in_x3 <= data_in_x3_net;
data_in_x4 <= data_in_x4_net;
data_in_x5 <= data_in_x5_net;
data_in_x6 <= data_in_x6_net;
data_in_x7 <= data_in_x7_net;
data_in_x8 <= data_in_x8_net;
data_in_x9 <= data_in_x9_net;
en <= en_net;
en_x0 <= en_x0_net;
en_x1 <= en_x1_net;
en_x10 <= en_x10_net;
en_x11 <= en_x11_net;
en_x12 <= en_x12_net;
en_x13 <= en_x13_net;
en_x14 <= en_x14_net;
en_x15 <= en_x15_net;
en_x16 <= en_x16_net;
en_x17 <= en_x17_net;
en_x18 <= en_x18_net;
en_x19 <= en_x19_net;
en_x2 <= en_x2_net;
en_x20 <= en_x20_net;
en_x21 <= en_x21_net;
en_x22 <= en_x22_net;
en_x23 <= en_x23_net;
en_x3 <= en_x3_net;
en_x4 <= en_x4_net;
en_x5 <= en_x5_net;
en_x6 <= en_x6_net;
en_x7 <= en_x7_net;
en_x8 <= en_x8_net;
en_x9 <= en_x9_net;
leds <= leds_net;
resetlcd <= resetlcd_net;
scl <= scl_net;
sdi <= sdi_net;
sl_addrack <= sl_addrack_net;
sl_rdcomp <= sl_rdcomp_net;
sl_rddack <= sl_rddack_net;
sl_rddbus <= sl_rddbus_net;
sl_wait <= sl_wait_net;
sl_wrcomp <= sl_wrdack_x1;
sl_wrdack <= sl_wrdack_x1;
trackball_sel1 <= trackball_sel1_net;
trackball_xscn <= trackball_xscn_net;
trackball_yscn <= trackball_yscn_net;
we <= we_net;
we_x0 <= we_x0_net;
we_x1 <= we_x1_net;
we_x2 <= we_x2_net;
we_x3 <= we_x3_net;
we_x4 <= we_x4_net;
buzzer_controller_063692c849: entity work.buzzer_controller_entity_063692c849
port map (
ce_1 => ce_1_sg_x21,
clk_1 => clk_1_sg_x21,
from_register => data_out_net,
from_register1 => data_out_x0_net,
from_register2 => data_out_x1_net,
register9_x0 => buzzer_net
);
edk_processor_94deb4def9: entity work.edk_processor_entity_94deb4def9
port map (
ce_1 => ce_1_sg_x21,
clk_1 => clk_1_sg_x21,
from_register => data_out_x2_net,
from_register1 => data_out_x3_net,
from_register2 => data_out_x4_net,
from_register3 => data_out_x5_net,
plb_abus => plb_abus_net,
plb_pavalid => plb_pavalid_net,
plb_rnw => plb_rnw_net,
plb_wrdbus => plb_wrdbus_net,
sg_plb_addrpref => sg_plb_addrpref_net,
shared_memory => data_out_x6_net,
shared_memory1 => data_out_x7_net,
shared_memory2 => data_out_x8_net,
splb_rst => splb_rst_net,
to_register => dout_net,
to_register1 => dout_x0_net,
to_register10 => dout_x9_net,
to_register11 => dout_x10_net,
to_register12 => dout_x11_net,
to_register13 => dout_x12_net,
to_register14 => dout_x13_net,
to_register15 => dout_x14_net,
to_register16 => dout_x15_net,
to_register17 => dout_x16_net,
to_register18 => dout_x17_net,
to_register19 => dout_x18_net,
to_register2 => dout_x1_net,
to_register20 => dout_x19_net,
to_register3 => dout_x2_net,
to_register4 => dout_x3_net,
to_register5 => dout_x4_net,
to_register6 => dout_x5_net,
to_register7 => dout_x6_net,
to_register8 => dout_x7_net,
to_register9 => dout_x8_net,
constant5_x0 => sl_wait_net,
plb_decode_x0 => sl_addrack_net,
plb_decode_x1 => sl_rdcomp_net,
plb_decode_x2 => sl_wrdack_x1,
plb_decode_x3 => sl_rddack_net,
plb_decode_x4 => sl_rddbus_net,
plb_memmap_x0 => data_in_net,
plb_memmap_x1 => en_net,
plb_memmap_x10 => data_in_x4_net,
plb_memmap_x11 => en_x4_net,
plb_memmap_x12 => data_in_x5_net,
plb_memmap_x13 => en_x5_net,
plb_memmap_x14 => data_in_x6_net,
plb_memmap_x15 => en_x6_net,
plb_memmap_x16 => data_in_x7_net,
plb_memmap_x17 => en_x7_net,
plb_memmap_x18 => data_in_x8_net,
plb_memmap_x19 => en_x8_net,
plb_memmap_x2 => data_in_x0_net,
plb_memmap_x20 => data_in_x9_net,
plb_memmap_x21 => en_x9_net,
plb_memmap_x22 => data_in_x10_net,
plb_memmap_x23 => en_x10_net,
plb_memmap_x24 => data_in_x11_net,
plb_memmap_x25 => en_x11_net,
plb_memmap_x26 => data_in_x12_net,
plb_memmap_x27 => en_x12_net,
plb_memmap_x28 => data_in_x13_net,
plb_memmap_x29 => en_x13_net,
plb_memmap_x3 => en_x0_net,
plb_memmap_x30 => data_in_x14_net,
plb_memmap_x31 => en_x14_net,
plb_memmap_x32 => data_in_x15_net,
plb_memmap_x33 => en_x15_net,
plb_memmap_x34 => data_in_x16_net,
plb_memmap_x35 => en_x16_net,
plb_memmap_x36 => data_in_x17_net,
plb_memmap_x37 => en_x17_net,
plb_memmap_x38 => data_in_x18_net,
plb_memmap_x39 => en_x18_net,
plb_memmap_x4 => data_in_x1_net,
plb_memmap_x40 => data_in_x19_net,
plb_memmap_x41 => en_x19_net,
plb_memmap_x42 => addr_net,
plb_memmap_x43 => data_in_x20_net,
plb_memmap_x44 => we_net,
plb_memmap_x45 => addr_x0_net,
plb_memmap_x46 => data_in_x21_net,
plb_memmap_x47 => we_x0_net,
plb_memmap_x48 => addr_x1_net,
plb_memmap_x49 => data_in_x22_net,
plb_memmap_x5 => en_x1_net,
plb_memmap_x50 => we_x1_net,
plb_memmap_x6 => data_in_x2_net,
plb_memmap_x7 => en_x2_net,
plb_memmap_x8 => data_in_x3_net,
plb_memmap_x9 => en_x3_net
);
lcd_controller_e3a358fc2f: entity work.lcd_controller_entity_e3a358fc2f
port map (
ce_1 => ce_1_sg_x21,
clk_1 => clk_1_sg_x21,
from_register => data_out_x10_net,
from_register1 => data_out_x15_net,
from_register1_x0 => data_out_x24_net,
from_register2 => data_out_x16_net,
from_register2_x0 => data_out_x25_net,
from_register3 => data_out_x17_net,
from_register3_x0 => data_out_x26_net,
from_register3_x1 => data_out_x11_net,
from_register4 => data_out_x18_net,
from_register4_x0 => data_out_x27_net,
from_register4_x1 => data_out_x12_net,
from_register5 => data_out_x19_net,
from_register5_x0 => data_out_x23_net,
from_register5_x1 => data_out_x13_net,
from_register6 => data_out_x20_net,
from_register6_x0 => data_out_x14_net,
from_register7 => data_out_x22_net,
reset => reset_net,
shared_memory => data_out_x21_net,
shared_memory_x0 => data_out_x28_net,
shared_memory_x1 => data_out_x29_net,
commandrom => addr_x2_net,
commandrom_x0 => data_in_x27_net,
commandrom_x1 => we_x2_net,
datarom => addr_x3_net,
datarom_x0 => data_in_x28_net,
datarom_x1 => we_x3_net,
datarom_x2 => addr_x4_net,
datarom_x3 => data_in_x29_net,
datarom_x4 => we_x4_net,
delay_x0 => resetlcd_net,
spi => sdi_net,
spi_x0 => cs_net,
spi_x1 => scl_net
);
o_1f30dfdbf5: entity work.o_entity_1f30dfdbf5
port map (
buttons_big => buttons_big_net,
buttons_small => buttons_small_net,
ce_1 => ce_1_sg_x21,
clk_1 => clk_1_sg_x21,
dip_switch => dip_switch_net,
from_register => data_out_x9_net,
trackball_ox => trackball_ox_net,
trackball_oxn => trackball_oxn_net,
trackball_oy => trackball_oy_net,
trackball_oyn => trackball_oyn_net,
trackball_sel2 => trackball_sel2_net,
concat_x0 => data_in_x23_net,
constant2_x0 => en_x21_net,
constant4_x0 => en_x22_net,
constant6_x0 => en_x23_net,
constant_x1 => en_x20_net,
register10_x0 => trackball_xscn_net,
register11_x0 => trackball_yscn_net,
register12_x0 => trackball_sel1_net,
register3_x0 => data_in_x24_net,
register5_x0 => data_in_x25_net,
register7_x0 => data_in_x26_net,
register9_x0 => leds_net
);
end structural;
-------------------------------------------------------------------
-- System Generator version 10.1.2 VHDL source file.
--
-- Copyright(C) 2008 by Xilinx, Inc. All rights reserved. This
-- text/file contains proprietary, confidential information of Xilinx,
-- Inc., is distributed under license from Xilinx, Inc., and may be used,
-- copied and/or disclosed only pursuant to the terms of a valid license
-- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use
-- this text/file solely for design, simulation, implementation and
-- creation of design files limited to Xilinx devices or technologies.
-- Use with non-Xilinx devices or technologies is expressly prohibited
-- and immediately terminates your license unless covered by a separate
-- agreement.
--
-- Xilinx is providing this design, code, or information "as is" solely
-- for use in developing programs and solutions for Xilinx devices. By
-- providing this design, code, or information as one possible
-- implementation of this feature, application or standard, Xilinx is
-- making no representation that this implementation is free from any
-- claims of infringement. You are responsible for obtaining any rights
-- you may require for your implementation. Xilinx expressly disclaims
-- any warranty whatsoever with respect to the adequacy of the
-- implementation, including but not limited to warranties of
-- merchantability or fitness for a particular purpose.
--
-- Xilinx products are not intended for use in life support appliances,
-- devices, or systems. Use in such applications is expressly prohibited.
--
-- Any modifications that are made to the source code are done at the user's
-- sole risk and will be unsupported.
--
-- This copyright and support notice must be retained as part of this
-- text at all times. (c) Copyright 1995-2008 Xilinx, Inc. All rights
-- reserved.
-------------------------------------------------------------------
-------------------------------------------------------------------
-- System Generator version 10.1.2 VHDL source file.
--
-- Copyright(C) 2008 by Xilinx, Inc. All rights reserved. This
-- text/file contains proprietary, confidential information of Xilinx,
-- Inc., is distributed under license from Xilinx, Inc., and may be used,
-- copied and/or disclosed only pursuant to the terms of a valid license
-- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use
-- this text/file solely for design, simulation, implementation and
-- creation of design files limited to Xilinx devices or technologies.
-- Use with non-Xilinx devices or technologies is expressly prohibited
-- and immediately terminates your license unless covered by a separate
-- agreement.
--
-- Xilinx is providing this design, code, or information "as is" solely
-- for use in developing programs and solutions for Xilinx devices. By
-- providing this design, code, or information as one possible
-- implementation of this feature, application or standard, Xilinx is
-- making no representation that this implementation is free from any
-- claims of infringement. You are responsible for obtaining any rights
-- you may require for your implementation. Xilinx expressly disclaims
-- any warranty whatsoever with respect to the adequacy of the
-- implementation, including but not limited to warranties of
-- merchantability or fitness for a particular purpose.
--
-- Xilinx products are not intended for use in life support appliances,
-- devices, or systems. Use in such applications is expressly prohibited.
--
-- Any modifications that are made to the source code are done at the user's
-- sole risk and will be unsupported.
--
-- This copyright and support notice must be retained as part of this
-- text at all times. (c) Copyright 1995-2008 Xilinx, Inc. All rights
-- reserved.
-------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
use work.clock_pkg.all;
entity xlclkprobe is
port (clk : in std_logic;
clr : in std_logic;
ce : in std_logic;
fakeOutForXst : out std_logic);
end xlclkprobe;
architecture behavior of xlclkprobe is
begin
fakeOutForXst <= '0';
-- synopsys translate_off
work.clock_pkg.int_clk <= clk;
-- synopsys translate_on
end behavior;
-------------------------------------------------------------------
-- System Generator version 10.1.2 VHDL source file.
--
-- Copyright(C) 2008 by Xilinx, Inc. All rights reserved. This
-- text/file contains proprietary, confidential information of Xilinx,
-- Inc., is distributed under license from Xilinx, Inc., and may be used,
-- copied and/or disclosed only pursuant to the terms of a valid license
-- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use
-- this text/file solely for design, simulation, implementation and
-- creation of design files limited to Xilinx devices or technologies.
-- Use with non-Xilinx devices or technologies is expressly prohibited
-- and immediately terminates your license unless covered by a separate
-- agreement.
--
-- Xilinx is providing this design, code, or information "as is" solely
-- for use in developing programs and solutions for Xilinx devices. By
-- providing this design, code, or information as one possible
-- implementation of this feature, application or standard, Xilinx is
-- making no representation that this implementation is free from any
-- claims of infringement. You are responsible for obtaining any rights
-- you may require for your implementation. Xilinx expressly disclaims
-- any warranty whatsoever with respect to the adequacy of the
-- implementation, including but not limited to warranties of
-- merchantability or fitness for a particular purpose.
--
-- Xilinx products are not intended for use in life support appliances,
-- devices, or systems. Use in such applications is expressly prohibited.
--
-- Any modifications that are made to the source code are done at the user's
-- sole risk and will be unsupported.
--
-- This copyright and support notice must be retained as part of this
-- text at all times. (c) Copyright 1995-2008 Xilinx, Inc. All rights
-- reserved.
-------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
-- synopsys translate_off
library unisim;
use unisim.vcomponents.all;
-- synopsys translate_on
entity xlclockdriver is
generic (
period: integer := 2;
log_2_period: integer := 0;
pipeline_regs: integer := 5;
use_bufg: integer := 0
);
port (
sysclk: in std_logic;
sysclr: in std_logic;
sysce: in std_logic;
clk: out std_logic;
clr: out std_logic;
ce: out std_logic
);
end xlclockdriver;
architecture behavior of xlclockdriver is
component bufg
port (
i: in std_logic;
o: out std_logic
);
end component;
component synth_reg_w_init
generic (
width: integer;
init_index: integer;
init_value: bit_vector;
latency: integer
);
port (
i: in std_logic_vector(width - 1 downto 0);
ce: in std_logic;
clr: in std_logic;
clk: in std_logic;
o: out std_logic_vector(width - 1 downto 0)
);
end component;
function size_of_uint(inp: integer; power_of_2: boolean)
return integer
is
constant inp_vec: std_logic_vector(31 downto 0) :=
integer_to_std_logic_vector(inp,32, xlUnsigned);
variable result: integer;
begin
result := 32;
for i in 0 to 31 loop
if inp_vec(i) = '1' then
result := i;
end if;
end loop;
if power_of_2 then
return result;
else
return result+1;
end if;
end;
function is_power_of_2(inp: std_logic_vector)
return boolean
is
constant width: integer := inp'length;
variable vec: std_logic_vector(width - 1 downto 0);
variable single_bit_set: boolean;
variable more_than_one_bit_set: boolean;
variable result: boolean;
begin
vec := inp;
single_bit_set := false;
more_than_one_bit_set := false;
-- synopsys translate_off
if (is_XorU(vec)) then
return false;
end if;
-- synopsys translate_on
if width > 0 then
for i in 0 to width - 1 loop
if vec(i) = '1' then
if single_bit_set then
more_than_one_bit_set := true;
end if;
single_bit_set := true;
end if;
end loop;
end if;
if (single_bit_set and not(more_than_one_bit_set)) then
result := true;
else
result := false;
end if;
return result;
end;
function ce_reg_init_val(index, period : integer)
return integer
is
variable result: integer;
begin
result := 0;
if ((index mod period) = 0) then
result := 1;
end if;
return result;
end;
function remaining_pipe_regs(num_pipeline_regs, period : integer)
return integer
is
variable factor, result: integer;
begin
factor := (num_pipeline_regs / period);
result := num_pipeline_regs - (period * factor) + 1;
return result;
end;
function sg_min(L, R: INTEGER) return INTEGER is
begin
if L < R then
return L;
else
return R;
end if;
end;
constant max_pipeline_regs : integer := 8;
constant pipe_regs : integer := 5;
constant num_pipeline_regs : integer := sg_min(pipeline_regs, max_pipeline_regs);
constant rem_pipeline_regs : integer := remaining_pipe_regs(num_pipeline_regs,period);
constant period_floor: integer := max(2, period);
constant power_of_2_counter: boolean :=
is_power_of_2(integer_to_std_logic_vector(period_floor,32, xlUnsigned));
constant cnt_width: integer :=
size_of_uint(period_floor, power_of_2_counter);
constant clk_for_ce_pulse_minus1: std_logic_vector(cnt_width - 1 downto 0) :=
integer_to_std_logic_vector((period_floor - 2),cnt_width, xlUnsigned);
constant clk_for_ce_pulse_minus2: std_logic_vector(cnt_width - 1 downto 0) :=
integer_to_std_logic_vector(max(0,period - 3),cnt_width, xlUnsigned);
constant clk_for_ce_pulse_minus_regs: std_logic_vector(cnt_width - 1 downto 0) :=
integer_to_std_logic_vector(max(0,period - rem_pipeline_regs),cnt_width, xlUnsigned);
signal clk_num: unsigned(cnt_width - 1 downto 0) := (others => '0');
signal ce_vec : std_logic_vector(num_pipeline_regs downto 0);
attribute MAX_FANOUT : string;
attribute MAX_FANOUT of ce_vec:signal is "REDUCE";
signal internal_ce: std_logic_vector(0 downto 0);
signal cnt_clr, cnt_clr_dly: std_logic_vector (0 downto 0);
begin
clk <= sysclk;
clr <= sysclr;
cntr_gen: process(sysclk)
begin
if sysclk'event and sysclk = '1' then
if (sysce = '1') then
if ((cnt_clr_dly(0) = '1') or (sysclr = '1')) then
clk_num <= (others => '0');
else
clk_num <= clk_num + 1;
end if;
end if;
end if;
end process;
clr_gen: process(clk_num, sysclr)
begin
if power_of_2_counter then
cnt_clr(0) <= sysclr;
else
if (unsigned_to_std_logic_vector(clk_num) = clk_for_ce_pulse_minus1
or sysclr = '1') then
cnt_clr(0) <= '1';
else
cnt_clr(0) <= '0';
end if;
end if;
end process;
clr_reg: synth_reg_w_init
generic map (
width => 1,
init_index => 0,
init_value => b"0000",
latency => 1
)
port map (
i => cnt_clr,
ce => sysce,
clr => sysclr,
clk => sysclk,
o => cnt_clr_dly
);
pipelined_ce : if period > 1 generate
ce_gen: process(clk_num)
begin
if unsigned_to_std_logic_vector(clk_num) = clk_for_ce_pulse_minus_regs then
ce_vec(num_pipeline_regs) <= '1';
else
ce_vec(num_pipeline_regs) <= '0';
end if;
end process;
ce_pipeline: for index in num_pipeline_regs downto 1 generate
ce_reg : synth_reg_w_init
generic map (
width => 1,
init_index => ce_reg_init_val(index, period),
init_value => b"0000",
latency => 1
)
port map (
i => ce_vec(index downto index),
ce => sysce,
clr => sysclr,
clk => sysclk,
o => ce_vec(index-1 downto index-1)
);
end generate;
internal_ce <= ce_vec(0 downto 0);
end generate;
use_bufg_true: if period > 1 and use_bufg = 1 generate
ce_bufg_inst: bufg
port map (
i => internal_ce(0),
o => ce
);
end generate;
use_bufg_false: if period > 1 and (use_bufg = 0) generate
ce <= internal_ce(0);
end generate;
generate_system_clk: if period = 1 generate
ce <= sysce;
end generate;
end architecture behavior;
-------------------------------------------------------------------
-- System Generator version 10.1.2 VHDL source file.
--
-- Copyright(C) 2008 by Xilinx, Inc. All rights reserved. This
-- text/file contains proprietary, confidential information of Xilinx,
-- Inc., is distributed under license from Xilinx, Inc., and may be used,
-- copied and/or disclosed only pursuant to the terms of a valid license
-- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use
-- this text/file solely for design, simulation, implementation and
-- creation of design files limited to Xilinx devices or technologies.
-- Use with non-Xilinx devices or technologies is expressly prohibited
-- and immediately terminates your license unless covered by a separate
-- agreement.
--
-- Xilinx is providing this design, code, or information "as is" solely
-- for use in developing programs and solutions for Xilinx devices. By
-- providing this design, code, or information as one possible
-- implementation of this feature, application or standard, Xilinx is
-- making no representation that this implementation is free from any
-- claims of infringement. You are responsible for obtaining any rights
-- you may require for your implementation. Xilinx expressly disclaims
-- any warranty whatsoever with respect to the adequacy of the
-- implementation, including but not limited to warranties of
-- merchantability or fitness for a particular purpose.
--
-- Xilinx products are not intended for use in life support appliances,
-- devices, or systems. Use in such applications is expressly prohibited.
--
-- Any modifications that are made to the source code are done at the user's
-- sole risk and will be unsupported.
--
-- This copyright and support notice must be retained as part of this
-- text at all times. (c) Copyright 1995-2008 Xilinx, Inc. All rights
-- reserved.
-------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
entity xland2 is
port (
a : in std_logic;
b : in std_logic;
dout : out std_logic
);
end xland2;
architecture behavior of xland2 is
begin
dout <= a and b;
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
entity default_clock_driver is
port (
sysce: in std_logic;
sysce_clr: in std_logic;
sysclk: in std_logic;
ce_1: out std_logic;
clk_1: out std_logic
);
end default_clock_driver;
architecture structural of default_clock_driver is
attribute syn_noprune: boolean;
attribute syn_noprune of structural : architecture is true;
attribute optimize_primitives: boolean;
attribute optimize_primitives of structural : architecture is false;
attribute dont_touch: boolean;
attribute dont_touch of structural : architecture is true;
signal sysce_clr_x0: std_logic;
signal sysce_x0: std_logic;
signal sysclk_x0: std_logic;
signal xlclockdriver_1_ce: std_logic;
signal xlclockdriver_1_clk: std_logic;
begin
sysce_x0 <= sysce;
sysce_clr_x0 <= sysce_clr;
sysclk_x0 <= sysclk;
ce_1 <= xlclockdriver_1_ce;
clk_1 <= xlclockdriver_1_clk;
xlclockdriver_1: entity work.xlclockdriver
generic map (
log_2_period => 1,
period => 1,
use_bufg => 0
)
port map (
sysce => sysce_x0,
sysclk => sysclk_x0,
sysclr => sysce_clr_x0,
ce => xlclockdriver_1_ce,
clk => xlclockdriver_1_clk
);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
entity user_io_board_controller_cw is
port (
buttons_big: in std_logic_vector(1 downto 0);
buttons_small: in std_logic_vector(5 downto 0);
ce: in std_logic := '1';
clk: in std_logic; -- clock period = 10.0 ns (100.0 Mhz)
dip_switch: in std_logic_vector(3 downto 0);
plb_abus: in std_logic_vector(31 downto 0);
plb_pavalid: in std_logic;
plb_rnw: in std_logic;
plb_wrdbus: in std_logic_vector(31 downto 0);
reset: in std_logic;
sg_plb_addrpref: in std_logic_vector(14 downto 0);
splb_rst: in std_logic;
trackball_ox: in std_logic;
trackball_oxn: in std_logic;
trackball_oy: in std_logic;
trackball_oyn: in std_logic;
trackball_sel2: in std_logic;
buzzer: out std_logic;
cs: out std_logic;
leds: out std_logic_vector(7 downto 0);
resetlcd: out std_logic;
scl: out std_logic;
sdi: out std_logic;
sl_addrack: out std_logic;
sl_rdcomp: out std_logic;
sl_rddack: out std_logic;
sl_rddbus: out std_logic_vector(31 downto 0);
sl_wait: out std_logic;
sl_wrcomp: out std_logic;
sl_wrdack: out std_logic;
trackball_sel1: out std_logic;
trackball_xscn: out std_logic;
trackball_yscn: out std_logic
);
end user_io_board_controller_cw;
architecture structural of user_io_board_controller_cw is
component dual_port_block_memory_virtex2p_6_3_25371f622c89ba44
port (
addra: in std_logic_vector(8 downto 0);
addrb: in std_logic_vector(8 downto 0);
clka: in std_logic;
clkb: in std_logic;
dina: in std_logic_vector(31 downto 0);
dinb: in std_logic_vector(31 downto 0);
ena: in std_logic;
enb: in std_logic;
wea: in std_logic;
web: in std_logic;
douta: out std_logic_vector(31 downto 0);
doutb: out std_logic_vector(31 downto 0)
);
end component;
attribute syn_black_box: boolean;
attribute syn_black_box of dual_port_block_memory_virtex2p_6_3_25371f622c89ba44: component is true;
attribute box_type: string;
attribute box_type of dual_port_block_memory_virtex2p_6_3_25371f622c89ba44: component is "black_box";
attribute syn_noprune: boolean;
attribute optimize_primitives: boolean;
attribute dont_touch: boolean;
attribute syn_noprune of dual_port_block_memory_virtex2p_6_3_25371f622c89ba44: component is true;
attribute optimize_primitives of dual_port_block_memory_virtex2p_6_3_25371f622c89ba44: component is false;
attribute dont_touch of dual_port_block_memory_virtex2p_6_3_25371f622c89ba44: component is true;
component dual_port_block_memory_virtex2p_6_3_e98c3706f2ec2c76
port (
addra: in std_logic_vector(11 downto 0);
addrb: in std_logic_vector(11 downto 0);
clka: in std_logic;
clkb: in std_logic;
dina: in std_logic_vector(31 downto 0);
dinb: in std_logic_vector(31 downto 0);
ena: in std_logic;
enb: in std_logic;
wea: in std_logic;
web: in std_logic;
douta: out std_logic_vector(31 downto 0);
doutb: out std_logic_vector(31 downto 0)
);
end component;
attribute syn_black_box of dual_port_block_memory_virtex2p_6_3_e98c3706f2ec2c76: component is true;
attribute box_type of dual_port_block_memory_virtex2p_6_3_e98c3706f2ec2c76: component is "black_box";
attribute syn_noprune of dual_port_block_memory_virtex2p_6_3_e98c3706f2ec2c76: component is true;
attribute optimize_primitives of dual_port_block_memory_virtex2p_6_3_e98c3706f2ec2c76: component is false;
attribute dont_touch of dual_port_block_memory_virtex2p_6_3_e98c3706f2ec2c76: component is true;
component dual_port_block_memory_virtex2p_6_3_fb7cc8c2a7e578f8
port (
addra: in std_logic_vector(7 downto 0);
addrb: in std_logic_vector(7 downto 0);
clka: in std_logic;
clkb: in std_logic;
dina: in std_logic_vector(31 downto 0);
dinb: in std_logic_vector(31 downto 0);
ena: in std_logic;
enb: in std_logic;
wea: in std_logic;
web: in std_logic;
douta: out std_logic_vector(31 downto 0);
doutb: out std_logic_vector(31 downto 0)
);
end component;
attribute syn_black_box of dual_port_block_memory_virtex2p_6_3_fb7cc8c2a7e578f8: component is true;
attribute box_type of dual_port_block_memory_virtex2p_6_3_fb7cc8c2a7e578f8: component is "black_box";
attribute syn_noprune of dual_port_block_memory_virtex2p_6_3_fb7cc8c2a7e578f8: component is true;
attribute optimize_primitives of dual_port_block_memory_virtex2p_6_3_fb7cc8c2a7e578f8: component is false;
attribute dont_touch of dual_port_block_memory_virtex2p_6_3_fb7cc8c2a7e578f8: component is true;
component xlpersistentdff
port (
clk: in std_logic;
d: in std_logic;
q: out std_logic
);
end component;
attribute syn_black_box of xlpersistentdff: component is true;
attribute box_type of xlpersistentdff: component is "black_box";
attribute syn_noprune of xlpersistentdff: component is true;
attribute optimize_primitives of xlpersistentdff: component is false;
attribute dont_touch of xlpersistentdff: component is true;
signal Buttons_Big_reg_ce: std_logic;
signal Buttons_Small_reg_ce: std_logic;
signal Buzzer_DutyCycle_reg_ce: std_logic;
signal Buzzer_Enable_reg_ce: std_logic;
signal Buzzer_Period_reg_ce: std_logic;
signal DIP_Switch_reg_ce: std_logic;
signal LCD_BackgroundColor_reg_ce: std_logic;
signal LCD_CharacterOffset_reg_ce: std_logic;
signal LCD_CharactersSelect_reg_ce: std_logic;
signal LCD_ColSet_reg_ce: std_logic;
signal LCD_ConfigLocation_reg_ce: std_logic;
signal LCD_DividerSelect_reg_ce: std_logic;
signal LCD_FirstEnd_reg_ce: std_logic;
signal LCD_FirstStart_reg_ce: std_logic;
signal LCD_LineOffset_reg_ce: std_logic;
signal LCD_RamWrite_reg_ce: std_logic;
signal LCD_ResetLCD_reg_ce: std_logic;
signal LCD_Reset_reg_ce: std_logic;
signal LCD_RowSet_reg_ce: std_logic;
signal LCD_SecondEnd_reg_ce: std_logic;
signal LCD_SecondStart_reg_ce: std_logic;
signal LCD_Send_reg_ce: std_logic;
signal LCD_TotalCmdTransfer_reg_ce: std_logic;
signal LEDs_reg_ce: std_logic;
signal Trackball_reg_ce: std_logic;
signal addr_net: std_logic_vector(11 downto 0);
signal addr_x0_net: std_logic_vector(8 downto 0);
signal addr_x1_net: std_logic_vector(7 downto 0);
signal addr_x2_net: std_logic_vector(7 downto 0);
signal addr_x3_net: std_logic_vector(8 downto 0);
signal addr_x4_net: std_logic_vector(11 downto 0);
signal buttons_big_net: std_logic_vector(1 downto 0);
signal buttons_small_net: std_logic_vector(5 downto 0);
signal buzzer_net: std_logic;
signal ce_1_sg_x21: std_logic;
attribute MAX_FANOUT: string;
attribute MAX_FANOUT of ce_1_sg_x21: signal is "REDUCE";
signal clkNet: std_logic;
signal clk_1_sg_x21: std_logic;
signal cs_net: std_logic;
signal data_in_net: std_logic_vector(17 downto 0);
signal data_in_x0_net: std_logic;
signal data_in_x10_net: std_logic_vector(3 downto 0);
signal data_in_x11_net: std_logic_vector(8 downto 0);
signal data_in_x12_net: std_logic;
signal data_in_x13_net: std_logic;
signal data_in_x14_net: std_logic_vector(8 downto 0);
signal data_in_x15_net: std_logic_vector(8 downto 0);
signal data_in_x16_net: std_logic_vector(8 downto 0);
signal data_in_x17_net: std_logic;
signal data_in_x18_net: std_logic_vector(7 downto 0);
signal data_in_x19_net: std_logic_vector(7 downto 0);
signal data_in_x1_net: std_logic_vector(17 downto 0);
signal data_in_x20_net: std_logic_vector(31 downto 0);
signal data_in_x21_net: std_logic_vector(31 downto 0);
signal data_in_x22_net: std_logic_vector(31 downto 0);
signal data_in_x23_net: std_logic_vector(4 downto 0);
signal data_in_x24_net: std_logic_vector(1 downto 0);
signal data_in_x25_net: std_logic_vector(5 downto 0);
signal data_in_x26_net: std_logic_vector(3 downto 0);
signal data_in_x27_net: std_logic_vector(31 downto 0);
signal data_in_x28_net: std_logic_vector(31 downto 0);
signal data_in_x29_net: std_logic_vector(31 downto 0);
signal data_in_x2_net: std_logic_vector(8 downto 0);
signal data_in_x3_net: std_logic_vector(3 downto 0);
signal data_in_x4_net: std_logic_vector(2 downto 0);
signal data_in_x5_net: std_logic_vector(8 downto 0);
signal data_in_x6_net: std_logic_vector(1 downto 0);
signal data_in_x7_net: std_logic;
signal data_in_x8_net: std_logic_vector(8 downto 0);
signal data_in_x9_net: std_logic_vector(8 downto 0);
signal data_out_net: std_logic_vector(17 downto 0);
signal data_out_x0_net: std_logic_vector(17 downto 0);
signal data_out_x10_net: std_logic;
signal data_out_x11_net: std_logic_vector(7 downto 0);
signal data_out_x12_net: std_logic;
signal data_out_x13_net: std_logic;
signal data_out_x14_net: std_logic;
signal data_out_x15_net: std_logic_vector(8 downto 0);
signal data_out_x16_net: std_logic_vector(8 downto 0);
signal data_out_x17_net: std_logic_vector(8 downto 0);
signal data_out_x18_net: std_logic_vector(8 downto 0);
signal data_out_x19_net: std_logic_vector(8 downto 0);
signal data_out_x1_net: std_logic;
signal data_out_x20_net: std_logic_vector(1 downto 0);
signal data_out_x21_net: std_logic_vector(31 downto 0);
signal data_out_x22_net: std_logic_vector(3 downto 0);
signal data_out_x23_net: std_logic_vector(3 downto 0);
signal data_out_x24_net: std_logic_vector(8 downto 0);
signal data_out_x25_net: std_logic_vector(8 downto 0);
signal data_out_x26_net: std_logic_vector(8 downto 0);
signal data_out_x27_net: std_logic_vector(2 downto 0);
signal data_out_x28_net: std_logic_vector(31 downto 0);
signal data_out_x29_net: std_logic_vector(31 downto 0);
signal data_out_x2_net: std_logic_vector(1 downto 0);
signal data_out_x3_net: std_logic_vector(5 downto 0);
signal data_out_x4_net: std_logic_vector(3 downto 0);
signal data_out_x5_net: std_logic_vector(4 downto 0);
signal data_out_x6_net: std_logic_vector(31 downto 0);
signal data_out_x7_net: std_logic_vector(31 downto 0);
signal data_out_x8_net: std_logic_vector(31 downto 0);
signal data_out_x9_net: std_logic_vector(7 downto 0);
signal dip_switch_net: std_logic_vector(3 downto 0);
signal en_net: std_logic;
signal en_x0_net: std_logic;
signal en_x10_net: std_logic;
signal en_x11_net: std_logic;
signal en_x12_net: std_logic;
signal en_x13_net: std_logic;
signal en_x14_net: std_logic;
signal en_x15_net: std_logic;
signal en_x16_net: std_logic;
signal en_x17_net: std_logic;
signal en_x18_net: std_logic;
signal en_x19_net: std_logic;
signal en_x1_net: std_logic;
signal en_x20_net: std_logic;
signal en_x21_net: std_logic;
signal en_x22_net: std_logic;
signal en_x23_net: std_logic;
signal en_x2_net: std_logic;
signal en_x3_net: std_logic;
signal en_x4_net: std_logic;
signal en_x5_net: std_logic;
signal en_x6_net: std_logic;
signal en_x7_net: std_logic;
signal en_x8_net: std_logic;
signal en_x9_net: std_logic;
signal leds_net: std_logic_vector(7 downto 0);
signal persistentdff_inst_q: std_logic;
attribute syn_keep: boolean;
attribute syn_keep of persistentdff_inst_q: signal is true;
attribute keep: boolean;
attribute keep of persistentdff_inst_q: signal is true;
attribute preserve_signal: boolean;
attribute preserve_signal of persistentdff_inst_q: signal is true;
signal plb_abus_net: std_logic_vector(31 downto 0);
signal plb_pavalid_net: std_logic;
signal plb_rnw_net: std_logic;
signal plb_wrdbus_net: std_logic_vector(31 downto 0);
signal reset_net: std_logic;
signal resetlcd_net: std_logic;
signal scl_net: std_logic;
signal sdi_net: std_logic;
signal sg_plb_addrpref_net: std_logic_vector(14 downto 0);
signal sl_addrack_net: std_logic;
signal sl_rdcomp_net: std_logic;
signal sl_rddack_net: std_logic;
signal sl_rddbus_net: std_logic_vector(31 downto 0);
signal sl_wait_net: std_logic;
signal sl_wrdack_x1: std_logic;
signal sl_wrdack_x2: std_logic;
signal splb_rst_net: std_logic;
signal trackball_ox_net: std_logic;
signal trackball_oxn_net: std_logic;
signal trackball_oy_net: std_logic;
signal trackball_oyn_net: std_logic;
signal trackball_sel1_net: std_logic;
signal trackball_sel2_net: std_logic;
signal trackball_xscn_net: std_logic;
signal trackball_yscn_net: std_logic;
signal we_net: std_logic;
signal we_x0_net: std_logic;
signal we_x1_net: std_logic;
signal we_x2_net: std_logic;
signal we_x3_net: std_logic;
signal we_x4_net: std_logic;
begin
buttons_big_net <= buttons_big;
buttons_small_net <= buttons_small;
clkNet <= clk;
dip_switch_net <= dip_switch;
plb_abus_net <= plb_abus;
plb_pavalid_net <= plb_pavalid;
plb_rnw_net <= plb_rnw;
plb_wrdbus_net <= plb_wrdbus;
reset_net <= reset;
sg_plb_addrpref_net <= sg_plb_addrpref;
splb_rst_net <= splb_rst;
trackball_ox_net <= trackball_ox;
trackball_oxn_net <= trackball_oxn;
trackball_oy_net <= trackball_oy;
trackball_oyn_net <= trackball_oyn;
trackball_sel2_net <= trackball_sel2;
buzzer <= buzzer_net;
cs <= cs_net;
leds <= leds_net;
resetlcd <= resetlcd_net;
scl <= scl_net;
sdi <= sdi_net;
sl_addrack <= sl_addrack_net;
sl_rdcomp <= sl_rdcomp_net;
sl_rddack <= sl_rddack_net;
sl_rddbus <= sl_rddbus_net;
sl_wait <= sl_wait_net;
sl_wrcomp <= sl_wrdack_x2;
sl_wrdack <= sl_wrdack_x1;
trackball_sel1 <= trackball_sel1_net;
trackball_xscn <= trackball_xscn_net;
trackball_yscn <= trackball_yscn_net;
Buttons_Big_ce_and2_comp: entity work.xland2
port map (
a => ce_1_sg_x21,
b => en_x21_net,
dout => Buttons_Big_reg_ce
);
Buttons_Big_x0: entity work.synth_reg_w_init
generic map (
width => 2,
init_index => 2,
init_value => b"00",
latency => 1
)
port map (
ce => Buttons_Big_reg_ce,
clk => clk_1_sg_x21,
clr => '0',
i => data_in_x24_net,
o => data_out_x2_net
);
Buttons_Small_ce_and2_comp: entity work.xland2
port map (
a => ce_1_sg_x21,
b => en_x22_net,
dout => Buttons_Small_reg_ce
);
Buttons_Small_x0: entity work.synth_reg_w_init
generic map (
width => 6,
init_index => 2,
init_value => b"000000",
latency => 1
)
port map (
ce => Buttons_Small_reg_ce,
clk => clk_1_sg_x21,
clr => '0',
i => data_in_x25_net,
o => data_out_x3_net
);
Buzzer_DutyCycle: entity work.synth_reg_w_init
generic map (
width => 18,
init_index => 2,
init_value => b"000000000000000000",
latency => 1
)
port map (
ce => Buzzer_DutyCycle_reg_ce,
clk => clk_1_sg_x21,
clr => '0',
i => data_in_net,
o => data_out_x0_net
);
Buzzer_DutyCycle_ce_and2_comp: entity work.xland2
port map (
a => ce_1_sg_x21,
b => en_net,
dout => Buzzer_DutyCycle_reg_ce
);
Buzzer_Enable: entity work.synth_reg_w_init
generic map (
width => 1,
init_index => 2,
init_value => b"0",
latency => 1
)
port map (
ce => Buzzer_Enable_reg_ce,
clk => clk_1_sg_x21,
clr => '0',
i(0) => data_in_x0_net,
o(0) => data_out_x1_net
);
Buzzer_Enable_ce_and2_comp: entity work.xland2
port map (
a => ce_1_sg_x21,
b => en_x0_net,
dout => Buzzer_Enable_reg_ce
);
Buzzer_Period: entity work.synth_reg_w_init
generic map (
width => 18,
init_index => 2,
init_value => b"000000000000000000",
latency => 1
)
port map (
ce => Buzzer_Period_reg_ce,
clk => clk_1_sg_x21,
clr => '0',
i => data_in_x1_net,
o => data_out_net
);
Buzzer_Period_ce_and2_comp: entity work.xland2
port map (
a => ce_1_sg_x21,
b => en_x1_net,
dout => Buzzer_Period_reg_ce
);
DIP_Switch_ce_and2_comp: entity work.xland2
port map (
a => ce_1_sg_x21,
b => en_x23_net,
dout => DIP_Switch_reg_ce
);
DIP_Switch_x0: entity work.synth_reg_w_init
generic map (
width => 4,
init_index => 2,
init_value => b"0000",
latency => 1
)
port map (
ce => DIP_Switch_reg_ce,
clk => clk_1_sg_x21,
clr => '0',
i => data_in_x26_net,
o => data_out_x4_net
);
LCD_BackgroundColor: entity work.synth_reg_w_init
generic map (
width => 9,
init_index => 2,
init_value => b"000000000",
latency => 1
)
port map (
ce => LCD_BackgroundColor_reg_ce,
clk => clk_1_sg_x21,
clr => '0',
i => data_in_x2_net,
o => data_out_x17_net
);
LCD_BackgroundColor_ce_and2_comp: entity work.xland2
port map (
a => ce_1_sg_x21,
b => en_x2_net,
dout => LCD_BackgroundColor_reg_ce
);
LCD_CharacterMap: dual_port_block_memory_virtex2p_6_3_e98c3706f2ec2c76
port map (
addra => addr_x4_net,
addrb => addr_net,
clka => clk_1_sg_x21,
clkb => clk_1_sg_x21,
dina => data_in_x29_net,
dinb => data_in_x20_net,
ena => ce_1_sg_x21,
enb => ce_1_sg_x21,
wea => we_x4_net,
web => we_net,
douta => data_out_x29_net,
doutb => data_out_x6_net
);
LCD_CharacterOffset: entity work.synth_reg_w_init
generic map (
width => 4,
init_index => 2,
init_value => b"0000",
latency => 1
)
port map (
ce => LCD_CharacterOffset_reg_ce,
clk => clk_1_sg_x21,
clr => '0',
i => data_in_x3_net,
o => data_out_x23_net
);
LCD_CharacterOffset_ce_and2_comp: entity work.xland2
port map (
a => ce_1_sg_x21,
b => en_x3_net,
dout => LCD_CharacterOffset_reg_ce
);
LCD_Characters: dual_port_block_memory_virtex2p_6_3_25371f622c89ba44
port map (
addra => addr_x3_net,
addrb => addr_x0_net,
clka => clk_1_sg_x21,
clkb => clk_1_sg_x21,
dina => data_in_x28_net,
dinb => data_in_x21_net,
ena => ce_1_sg_x21,
enb => ce_1_sg_x21,
wea => we_x3_net,
web => we_x0_net,
douta => data_out_x28_net,
doutb => data_out_x7_net
);
LCD_CharactersSelect: entity work.synth_reg_w_init
generic map (
width => 3,
init_index => 2,
init_value => b"000",
latency => 1
)
port map (
ce => LCD_CharactersSelect_reg_ce,
clk => clk_1_sg_x21,
clr => '0',
i => data_in_x4_net,
o => data_out_x27_net
);
LCD_CharactersSelect_ce_and2_comp: entity work.xland2
port map (
a => ce_1_sg_x21,
b => en_x4_net,
dout => LCD_CharactersSelect_reg_ce
);
LCD_ColSet: entity work.synth_reg_w_init
generic map (
width => 9,
init_index => 2,
init_value => b"000000000",
latency => 1
)
port map (
ce => LCD_ColSet_reg_ce,
clk => clk_1_sg_x21,
clr => '0',
i => data_in_x5_net,
o => data_out_x24_net
);
LCD_ColSet_ce_and2_comp: entity work.xland2
port map (
a => ce_1_sg_x21,
b => en_x5_net,
dout => LCD_ColSet_reg_ce
);
LCD_Commands: dual_port_block_memory_virtex2p_6_3_fb7cc8c2a7e578f8
port map (
addra => addr_x2_net,
addrb => addr_x1_net,
clka => clk_1_sg_x21,
clkb => clk_1_sg_x21,
dina => data_in_x27_net,
dinb => data_in_x22_net,
ena => ce_1_sg_x21,
enb => ce_1_sg_x21,
wea => we_x2_net,
web => we_x1_net,
douta => data_out_x21_net,
doutb => data_out_x8_net
);
LCD_ConfigLocation: entity work.synth_reg_w_init
generic map (
width => 2,
init_index => 2,
init_value => b"00",
latency => 1
)
port map (
ce => LCD_ConfigLocation_reg_ce,
clk => clk_1_sg_x21,
clr => '0',
i => data_in_x6_net,
o => data_out_x20_net
);
LCD_ConfigLocation_ce_and2_comp: entity work.xland2
port map (
a => ce_1_sg_x21,
b => en_x6_net,
dout => LCD_ConfigLocation_reg_ce
);
LCD_DividerSelect: entity work.synth_reg_w_init
generic map (
width => 1,
init_index => 2,
init_value => b"0",
latency => 1
)
port map (
ce => LCD_DividerSelect_reg_ce,
clk => clk_1_sg_x21,
clr => '0',
i(0) => data_in_x7_net,
o(0) => data_out_x12_net
);
LCD_DividerSelect_ce_and2_comp: entity work.xland2
port map (
a => ce_1_sg_x21,
b => en_x7_net,
dout => LCD_DividerSelect_reg_ce
);
LCD_FirstEnd: entity work.synth_reg_w_init
generic map (
width => 9,
init_index => 2,
init_value => b"000000000",
latency => 1
)
port map (
ce => LCD_FirstEnd_reg_ce,
clk => clk_1_sg_x21,
clr => '0',
i => data_in_x8_net,
o => data_out_x16_net
);
LCD_FirstEnd_ce_and2_comp: entity work.xland2
port map (
a => ce_1_sg_x21,
b => en_x8_net,
dout => LCD_FirstEnd_reg_ce
);
LCD_FirstStart: entity work.synth_reg_w_init
generic map (
width => 9,
init_index => 2,
init_value => b"000000000",
latency => 1
)
port map (
ce => LCD_FirstStart_reg_ce,
clk => clk_1_sg_x21,
clr => '0',
i => data_in_x9_net,
o => data_out_x15_net
);
LCD_FirstStart_ce_and2_comp: entity work.xland2
port map (
a => ce_1_sg_x21,
b => en_x9_net,
dout => LCD_FirstStart_reg_ce
);
LCD_LineOffset: entity work.synth_reg_w_init
generic map (
width => 4,
init_index => 2,
init_value => b"0000",
latency => 1
)
port map (
ce => LCD_LineOffset_reg_ce,
clk => clk_1_sg_x21,
clr => '0',
i => data_in_x10_net,
o => data_out_x22_net
);
LCD_LineOffset_ce_and2_comp: entity work.xland2
port map (
a => ce_1_sg_x21,
b => en_x10_net,
dout => LCD_LineOffset_reg_ce
);
LCD_RamWrite: entity work.synth_reg_w_init
generic map (
width => 9,
init_index => 2,
init_value => b"000000000",
latency => 1
)
port map (
ce => LCD_RamWrite_reg_ce,
clk => clk_1_sg_x21,
clr => '0',
i => data_in_x11_net,
o => data_out_x25_net
);
LCD_RamWrite_ce_and2_comp: entity work.xland2
port map (
a => ce_1_sg_x21,
b => en_x11_net,
dout => LCD_RamWrite_reg_ce
);
LCD_Reset: entity work.synth_reg_w_init
generic map (
width => 1,
init_index => 2,
init_value => b"0",
latency => 1
)
port map (
ce => LCD_Reset_reg_ce,
clk => clk_1_sg_x21,
clr => '0',
i(0) => data_in_x12_net,
o(0) => data_out_x10_net
);
LCD_ResetLCD: entity work.synth_reg_w_init
generic map (
width => 1,
init_index => 2,
init_value => b"0",
latency => 1
)
port map (
ce => LCD_ResetLCD_reg_ce,
clk => clk_1_sg_x21,
clr => '0',
i(0) => data_in_x13_net,
o(0) => data_out_x14_net
);
LCD_ResetLCD_ce_and2_comp: entity work.xland2
port map (
a => ce_1_sg_x21,
b => en_x13_net,
dout => LCD_ResetLCD_reg_ce
);
LCD_Reset_ce_and2_comp: entity work.xland2
port map (
a => ce_1_sg_x21,
b => en_x12_net,
dout => LCD_Reset_reg_ce
);
LCD_RowSet: entity work.synth_reg_w_init
generic map (
width => 9,
init_index => 2,
init_value => b"000000000",
latency => 1
)
port map (
ce => LCD_RowSet_reg_ce,
clk => clk_1_sg_x21,
clr => '0',
i => data_in_x14_net,
o => data_out_x26_net
);
LCD_RowSet_ce_and2_comp: entity work.xland2
port map (
a => ce_1_sg_x21,
b => en_x14_net,
dout => LCD_RowSet_reg_ce
);
LCD_SecondEnd: entity work.synth_reg_w_init
generic map (
width => 9,
init_index => 2,
init_value => b"000000000",
latency => 1
)
port map (
ce => LCD_SecondEnd_reg_ce,
clk => clk_1_sg_x21,
clr => '0',
i => data_in_x15_net,
o => data_out_x19_net
);
LCD_SecondEnd_ce_and2_comp: entity work.xland2
port map (
a => ce_1_sg_x21,
b => en_x15_net,
dout => LCD_SecondEnd_reg_ce
);
LCD_SecondStart: entity work.synth_reg_w_init
generic map (
width => 9,
init_index => 2,
init_value => b"000000000",
latency => 1
)
port map (
ce => LCD_SecondStart_reg_ce,
clk => clk_1_sg_x21,
clr => '0',
i => data_in_x16_net,
o => data_out_x18_net
);
LCD_SecondStart_ce_and2_comp: entity work.xland2
port map (
a => ce_1_sg_x21,
b => en_x16_net,
dout => LCD_SecondStart_reg_ce
);
LCD_Send: entity work.synth_reg_w_init
generic map (
width => 1,
init_index => 2,
init_value => b"0",
latency => 1
)
port map (
ce => LCD_Send_reg_ce,
clk => clk_1_sg_x21,
clr => '0',
i(0) => data_in_x17_net,
o(0) => data_out_x13_net
);
LCD_Send_ce_and2_comp: entity work.xland2
port map (
a => ce_1_sg_x21,
b => en_x17_net,
dout => LCD_Send_reg_ce
);
LCD_TotalCmdTransfer: entity work.synth_reg_w_init
generic map (
width => 8,
init_index => 2,
init_value => b"00000000",
latency => 1
)
port map (
ce => LCD_TotalCmdTransfer_reg_ce,
clk => clk_1_sg_x21,
clr => '0',
i => data_in_x18_net,
o => data_out_x11_net
);
LCD_TotalCmdTransfer_ce_and2_comp: entity work.xland2
port map (
a => ce_1_sg_x21,
b => en_x18_net,
dout => LCD_TotalCmdTransfer_reg_ce
);
LEDs_ce_and2_comp: entity work.xland2
port map (
a => ce_1_sg_x21,
b => en_x19_net,
dout => LEDs_reg_ce
);
LEDs_x0: entity work.synth_reg_w_init
generic map (
width => 8,
init_index => 2,
init_value => b"00000000",
latency => 1
)
port map (
ce => LEDs_reg_ce,
clk => clk_1_sg_x21,
clr => '0',
i => data_in_x19_net,
o => data_out_x9_net
);
Trackball: entity work.synth_reg_w_init
generic map (
width => 5,
init_index => 2,
init_value => b"00000",
latency => 1
)
port map (
ce => Trackball_reg_ce,
clk => clk_1_sg_x21,
clr => '0',
i => data_in_x23_net,
o => data_out_x5_net
);
Trackball_ce_and2_comp: entity work.xland2
port map (
a => ce_1_sg_x21,
b => en_x20_net,
dout => Trackball_reg_ce
);
clk_probe: entity work.xlclkprobe
port map (
ce => '1',
clk => clkNet,
clr => '0'
);
default_clock_driver_x0: entity work.default_clock_driver
port map (
sysce => '1',
sysce_clr => '0',
sysclk => clkNet,
ce_1 => ce_1_sg_x21,
clk_1 => clk_1_sg_x21
);
persistentdff_inst: xlpersistentdff
port map (
clk => clkNet,
d => persistentdff_inst_q,
q => persistentdff_inst_q
);
user_io_board_controller_x0: entity work.user_io_board_controller
port map (
buttons_big => buttons_big_net,
buttons_small => buttons_small_net,
ce_1 => ce_1_sg_x21,
clk_1 => clk_1_sg_x21,
data_out => data_out_net,
data_out_x0 => data_out_x0_net,
data_out_x1 => data_out_x1_net,
data_out_x10 => data_out_x10_net,
data_out_x11 => data_out_x11_net,
data_out_x12 => data_out_x12_net,
data_out_x13 => data_out_x13_net,
data_out_x14 => data_out_x14_net,
data_out_x15 => data_out_x15_net,
data_out_x16 => data_out_x16_net,
data_out_x17 => data_out_x17_net,
data_out_x18 => data_out_x18_net,
data_out_x19 => data_out_x19_net,
data_out_x2 => data_out_x2_net,
data_out_x20 => data_out_x20_net,
data_out_x21 => data_out_x21_net,
data_out_x22 => data_out_x22_net,
data_out_x23 => data_out_x23_net,
data_out_x24 => data_out_x24_net,
data_out_x25 => data_out_x25_net,
data_out_x26 => data_out_x26_net,
data_out_x27 => data_out_x27_net,
data_out_x28 => data_out_x28_net,
data_out_x29 => data_out_x29_net,
data_out_x3 => data_out_x3_net,
data_out_x4 => data_out_x4_net,
data_out_x5 => data_out_x5_net,
data_out_x6 => data_out_x6_net,
data_out_x7 => data_out_x7_net,
data_out_x8 => data_out_x8_net,
data_out_x9 => data_out_x9_net,
dip_switch => dip_switch_net,
dout => data_out_x0_net,
dout_x0 => data_out_x1_net,
dout_x1 => data_out_net,
dout_x10 => data_out_x22_net,
dout_x11 => data_out_x25_net,
dout_x12 => data_out_x10_net,
dout_x13 => data_out_x14_net,
dout_x14 => data_out_x26_net,
dout_x15 => data_out_x19_net,
dout_x16 => data_out_x18_net,
dout_x17 => data_out_x13_net,
dout_x18 => data_out_x11_net,
dout_x19 => data_out_x9_net,
dout_x2 => data_out_x17_net,
dout_x3 => data_out_x23_net,
dout_x4 => data_out_x27_net,
dout_x5 => data_out_x24_net,
dout_x6 => data_out_x20_net,
dout_x7 => data_out_x12_net,
dout_x8 => data_out_x16_net,
dout_x9 => data_out_x15_net,
plb_abus => plb_abus_net,
plb_pavalid => plb_pavalid_net,
plb_rnw => plb_rnw_net,
plb_wrdbus => plb_wrdbus_net,
reset => reset_net,
sg_plb_addrpref => sg_plb_addrpref_net,
splb_rst => splb_rst_net,
trackball_ox => trackball_ox_net,
trackball_oxn => trackball_oxn_net,
trackball_oy => trackball_oy_net,
trackball_oyn => trackball_oyn_net,
trackball_sel2 => trackball_sel2_net,
addr => addr_net,
addr_x0 => addr_x0_net,
addr_x1 => addr_x1_net,
addr_x2 => addr_x2_net,
addr_x3 => addr_x3_net,
addr_x4 => addr_x4_net,
buzzer => buzzer_net,
cs => cs_net,
data_in => data_in_net,
data_in_x0 => data_in_x0_net,
data_in_x1 => data_in_x1_net,
data_in_x10 => data_in_x10_net,
data_in_x11 => data_in_x11_net,
data_in_x12 => data_in_x12_net,
data_in_x13 => data_in_x13_net,
data_in_x14 => data_in_x14_net,
data_in_x15 => data_in_x15_net,
data_in_x16 => data_in_x16_net,
data_in_x17 => data_in_x17_net,
data_in_x18 => data_in_x18_net,
data_in_x19 => data_in_x19_net,
data_in_x2 => data_in_x2_net,
data_in_x20 => data_in_x20_net,
data_in_x21 => data_in_x21_net,
data_in_x22 => data_in_x22_net,
data_in_x23 => data_in_x23_net,
data_in_x24 => data_in_x24_net,
data_in_x25 => data_in_x25_net,
data_in_x26 => data_in_x26_net,
data_in_x27 => data_in_x27_net,
data_in_x28 => data_in_x28_net,
data_in_x29 => data_in_x29_net,
data_in_x3 => data_in_x3_net,
data_in_x4 => data_in_x4_net,
data_in_x5 => data_in_x5_net,
data_in_x6 => data_in_x6_net,
data_in_x7 => data_in_x7_net,
data_in_x8 => data_in_x8_net,
data_in_x9 => data_in_x9_net,
en => en_net,
en_x0 => en_x0_net,
en_x1 => en_x1_net,
en_x10 => en_x10_net,
en_x11 => en_x11_net,
en_x12 => en_x12_net,
en_x13 => en_x13_net,
en_x14 => en_x14_net,
en_x15 => en_x15_net,
en_x16 => en_x16_net,
en_x17 => en_x17_net,
en_x18 => en_x18_net,
en_x19 => en_x19_net,
en_x2 => en_x2_net,
en_x20 => en_x20_net,
en_x21 => en_x21_net,
en_x22 => en_x22_net,
en_x23 => en_x23_net,
en_x3 => en_x3_net,
en_x4 => en_x4_net,
en_x5 => en_x5_net,
en_x6 => en_x6_net,
en_x7 => en_x7_net,
en_x8 => en_x8_net,
en_x9 => en_x9_net,
leds => leds_net,
resetlcd => resetlcd_net,
scl => scl_net,
sdi => sdi_net,
sl_addrack => sl_addrack_net,
sl_rdcomp => sl_rdcomp_net,
sl_rddack => sl_rddack_net,
sl_rddbus => sl_rddbus_net,
sl_wait => sl_wait_net,
sl_wrcomp => sl_wrdack_x2,
sl_wrdack => sl_wrdack_x1,
trackball_sel1 => trackball_sel1_net,
trackball_xscn => trackball_xscn_net,
trackball_yscn => trackball_yscn_net,
we => we_net,
we_x0 => we_x0_net,
we_x1 => we_x1_net,
we_x2 => we_x2_net,
we_x3 => we_x3_net,
we_x4 => we_x4_net
);
end structural;
| bsd-2-clause | 22a04ef84e42bacc39da492c14399ce6 | 0.604473 | 3.047177 | false | false | false | false |
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/fifo_generator_v10_0_pkg.vhd | 9 | 129,958 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
bvJN6dShMt6/M4FI1Aju7cIawEk4rn8Pd9LxuW0za8cEfJnIMvO6wSvhS7Cer+u4QZe6gPZutcXb
2V7LYKVTFQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
We/ohqQ1qIofqD7waNEB4beVWFWkb/dXXmCiCj9AAXTXnz8aww9HV8/ZPukvA5qw3j1USG+Idi9B
pReRCd+RHzpGIlH8iDJesXEIO6aJzyf10QNKScgGZceiGwn6MzASZ4cedWDX0EvBRUOkyUve6OaW
IfxYnPnSH8wCLgasg/k=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
DL2BWTjl4Wsw29qfKoh93y4POM5L1NGzNFuoDa0TKNWGL0IzaBwDkP1rybNgdfYenkHQ70xFMtzN
WDtfLM5i9aIhZ/xHnI9Itz387vkrUvm9rxp2sBqiNj2iDZxBM1lzozNpW0DF3NuZp8xJoZ324fH3
N5rjKab86pY8yiIksbt4q0Le6T9yMlVGQUWYHAOb/xvZu6cxH0pD9TryLraS8kzzNpJiyc6xyGny
B0r0CeulFCjXGJfkgB4tc2UQrxrmjkT3fVlhovC14yuDxfrwhVJzrCWB23mPTPQ4TAkfeO6qQrIf
hs0FworeqoH2g/wZUISTEXk1dBSluNl4sb2bYQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TleyQIRUX1v0OO2T9giA/2gOoXxVtRlAA/mfsEnkk7bOpB9Vx40EySGHMm5LQItvlnFvsc0eNtK1
5XqjuirUTpsCmitG5U5U1VNRtLCNpqN5jABlTZuOb4JwX87EWPYBPwa2tI9L2W9o/UNOzAvENgT4
6fHajaCxYZHwENAXjb8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ZU66iDX2ouoHD1csQvBvMbzJDd59rXMax3BOWdzC2LeQJmGkJWM7iu+9AYiaepO4hmDptkLr+f3f
LU8rqeaWq03SCtToKbTJaDtvXdkRXM4bi+EjbX4baNx9MR2ml27Pe4biPEYno3meCKHzV2/v6hr5
HXKDVvfQIGzBBHii9fd6HQoAHa+DxXyqOJJ7604d1I9kbE7j69k0GHJO9HtNPHsOzCm53QCWuVix
RkhYlx0slOD9zRBsUvl3gd+aW5g0eQWp20iPL3eZs0poz71w7o0KhN8ArxnXoHbn1KKuZrM83lm0
tzyKIx6+HSHHRgrUvUh9INMeFsGbIEqHWVy25g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 94464)
`protect data_block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`protect end_protected
| gpl-3.0 | 28e501d86ff7bca588a3bb14be9bd375 | 0.954085 | 1.810353 | false | false | false | false |
Given-Jiang/Gray_Processing | tb_Gray_Processing/hdl/alt_dspbuilder_decoder.vhd | 7 | 1,660 | -- This file is not intended for synthesis, is is present so that simulators
-- see a complete view of the system.
-- You may use the entity declaration from this file as the basis for a
-- component declaration in a VHDL file instantiating this entity.
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.NUMERIC_STD.all;
entity alt_dspbuilder_decoder is
generic (
DECODE : string := "00000000";
PIPELINE : natural := 0;
WIDTH : natural := 8
);
port (
dec : out std_logic;
clock : in std_logic;
sclr : in std_logic;
data : in std_logic_vector(width-1 downto 0);
aclr : in std_logic;
ena : in std_logic
);
end entity alt_dspbuilder_decoder;
architecture rtl of alt_dspbuilder_decoder is
component alt_dspbuilder_decoder_GNSCEXJCJK is
generic (
DECODE : string := "000000000000000000001111";
PIPELINE : natural := 0;
WIDTH : natural := 24
);
port (
aclr : in std_logic;
clock : in std_logic;
data : in std_logic_vector(24-1 downto 0);
dec : out std_logic;
ena : in std_logic;
sclr : in std_logic
);
end component alt_dspbuilder_decoder_GNSCEXJCJK;
begin
alt_dspbuilder_decoder_GNSCEXJCJK_0: if ((DECODE = "000000000000000000001111") and (PIPELINE = 0) and (WIDTH = 24)) generate
inst_alt_dspbuilder_decoder_GNSCEXJCJK_0: alt_dspbuilder_decoder_GNSCEXJCJK
generic map(DECODE => "000000000000000000001111", PIPELINE => 0, WIDTH => 24)
port map(aclr => aclr, clock => clock, data => data, dec => dec, ena => ena, sclr => sclr);
end generate;
assert not (((DECODE = "000000000000000000001111") and (PIPELINE = 0) and (WIDTH = 24)))
report "Please run generate again" severity error;
end architecture rtl;
| mit | 237e2674b734530a182ffa76376ef249 | 0.705422 | 3.472803 | false | false | false | false |
Given-Jiang/Gray_Processing | tb_Gray_Processing/hdl/Gray_Processing_GN_Gray_Processing_Gray_Processing_Module.vhd | 2 | 29,196 | -- Gray_Processing_GN_Gray_Processing_Gray_Processing_Module.vhd
-- Generated using ACDS version 13.1 162 at 2015.02.12.11:11:33
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity Gray_Processing_GN_Gray_Processing_Gray_Processing_Module is
port (
data_out : out std_logic_vector(23 downto 0); -- data_out.wire
sop : in std_logic := '0'; -- sop.wire
eop : in std_logic := '0'; -- eop.wire
Clock : in std_logic := '0'; -- Clock.clk
aclr : in std_logic := '0'; -- .reset
data_in : in std_logic_vector(23 downto 0) := (others => '0') -- data_in.wire
);
end entity Gray_Processing_GN_Gray_Processing_Gray_Processing_Module;
architecture rtl of Gray_Processing_GN_Gray_Processing_Gray_Processing_Module is
component alt_dspbuilder_clock_GNQFU4PUDH is
port (
aclr : in std_logic := 'X'; -- reset
aclr_n : in std_logic := 'X'; -- reset_n
aclr_out : out std_logic; -- reset
clock : in std_logic := 'X'; -- clk
clock_out : out std_logic -- clk
);
end component alt_dspbuilder_clock_GNQFU4PUDH;
component alt_dspbuilder_cast_GNKXX25S2S is
generic (
round : natural := 0;
saturate : natural := 0
);
port (
input : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(7 downto 0) -- wire
);
end component alt_dspbuilder_cast_GNKXX25S2S;
component alt_dspbuilder_cast_GN6OMCQQS7 is
generic (
round : natural := 0;
saturate : natural := 0
);
port (
input : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(7 downto 0) -- wire
);
end component alt_dspbuilder_cast_GN6OMCQQS7;
component alt_dspbuilder_cast_GN7IAAYCSZ is
generic (
round : natural := 0;
saturate : natural := 0
);
port (
input : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(7 downto 0) -- wire
);
end component alt_dspbuilder_cast_GN7IAAYCSZ;
component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V is
generic (
LogicalOp : string := "AltAND";
number_inputs : positive := 2
);
port (
result : out std_logic; -- wire
data0 : in std_logic := 'X'; -- wire
data1 : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V;
component alt_dspbuilder_barrelshifter_GNV5DVAGHT is
generic (
DISTANCE_WIDTH : natural := 3;
NDIRECTION : natural := 0;
SIGNED : integer := 1;
use_dedicated_circuitry : string := "false";
PIPELINE : natural := 0;
WIDTH : natural := 8
);
port (
a : in std_logic_vector(WIDTH-1 downto 0) := (others => 'X'); -- wire
aclr : in std_logic := 'X'; -- clk
clock : in std_logic := 'X'; -- clk
direction : in std_logic := 'X'; -- wire
distance : in std_logic_vector(DISTANCE_WIDTH-1 downto 0) := (others => 'X'); -- wire
ena : in std_logic := 'X'; -- wire
r : out std_logic_vector(WIDTH-1 downto 0); -- wire
user_aclr : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_barrelshifter_GNV5DVAGHT;
component alt_dspbuilder_gnd_GN is
port (
output : out std_logic -- wire
);
end component alt_dspbuilder_gnd_GN;
component alt_dspbuilder_vcc_GN is
port (
output : out std_logic -- wire
);
end component alt_dspbuilder_vcc_GN;
component alt_dspbuilder_multiply_add_GNKLXFKAO3 is
generic (
family : string := "Stratix";
direction : string := "AddAdd";
data3b_const : string := "00000000";
data2b_const : string := "00000000";
representation : string := "SIGNED";
dataWidth : integer := 8;
data4b_const : string := "00000000";
number_multipliers : integer := 2;
pipeline_register : string := "NoRegister";
use_dedicated_circuitry : integer := 0;
data1b_const : string := "00000000";
use_b_consts : natural := 0
);
port (
clock : in std_logic := 'X'; -- clk
aclr : in std_logic := 'X'; -- reset
data1a : in std_logic_vector(7 downto 0) := (others => 'X'); -- wire
data2a : in std_logic_vector(7 downto 0) := (others => 'X'); -- wire
data3a : in std_logic_vector(7 downto 0) := (others => 'X'); -- wire
result : out std_logic_vector(17 downto 0); -- wire
user_aclr : in std_logic := 'X'; -- wire
ena : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_multiply_add_GNKLXFKAO3;
component alt_dspbuilder_multiplexer_GNCALBUTDR is
generic (
HDLTYPE : string := "STD_LOGIC_VECTOR";
use_one_hot_select_bus : natural := 0;
width : positive := 8;
pipeline : natural := 0;
number_inputs : natural := 4
);
port (
clock : in std_logic := 'X'; -- clk
aclr : in std_logic := 'X'; -- reset
sel : in std_logic_vector(0 downto 0) := (others => 'X'); -- wire
result : out std_logic_vector(23 downto 0); -- wire
ena : in std_logic := 'X'; -- wire
user_aclr : in std_logic := 'X'; -- wire
in0 : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
in1 : in std_logic_vector(23 downto 0) := (others => 'X') -- wire
);
end component alt_dspbuilder_multiplexer_GNCALBUTDR;
component alt_dspbuilder_cast_GNJGR7GQ2L is
generic (
round : natural := 0;
saturate : natural := 0
);
port (
input : in std_logic_vector(17 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(7 downto 0) -- wire
);
end component alt_dspbuilder_cast_GNJGR7GQ2L;
component alt_dspbuilder_constant_GNZEH3JAKA is
generic (
HDLTYPE : string := "STD_LOGIC_VECTOR";
BitPattern : string := "0000";
width : natural := 4
);
port (
output : out std_logic_vector(23 downto 0) -- wire
);
end component alt_dspbuilder_constant_GNZEH3JAKA;
component alt_dspbuilder_if_statement_GN7VA7SRUP is
generic (
use_else_output : natural := 0;
bwr : natural := 0;
use_else_input : natural := 0;
signed : natural := 1;
HDLTYPE : string := "STD_LOGIC_VECTOR";
if_expression : string := "a";
number_inputs : integer := 1;
width : natural := 8
);
port (
true : out std_logic; -- wire
a : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
b : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
c : in std_logic_vector(23 downto 0) := (others => 'X') -- wire
);
end component alt_dspbuilder_if_statement_GN7VA7SRUP;
component alt_dspbuilder_port_GN37ALZBS4 is
port (
input : in std_logic := 'X'; -- wire
output : out std_logic -- wire
);
end component alt_dspbuilder_port_GN37ALZBS4;
component alt_dspbuilder_bus_concat_GN55ETJ4VI is
generic (
widthB : natural := 8;
widthA : natural := 8
);
port (
a : in std_logic_vector(widthA-1 downto 0) := (others => 'X'); -- wire
aclr : in std_logic := 'X'; -- clk
b : in std_logic_vector(widthB-1 downto 0) := (others => 'X'); -- wire
clock : in std_logic := 'X'; -- clk
output : out std_logic_vector(widthA+widthB-1 downto 0) -- wire
);
end component alt_dspbuilder_bus_concat_GN55ETJ4VI;
component alt_dspbuilder_delay_GNHYCSAEGT is
generic (
ClockPhase : string := "1";
delay : positive := 1;
use_init : natural := 0;
BitPattern : string := "00000001";
width : positive := 8
);
port (
aclr : in std_logic := 'X'; -- clk
clock : in std_logic := 'X'; -- clk
ena : in std_logic := 'X'; -- wire
input : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(width-1 downto 0); -- wire
sclr : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_delay_GNHYCSAEGT;
component alt_dspbuilder_bus_concat_GNIIOZRPJD is
generic (
widthB : natural := 8;
widthA : natural := 8
);
port (
a : in std_logic_vector(widthA-1 downto 0) := (others => 'X'); -- wire
aclr : in std_logic := 'X'; -- clk
b : in std_logic_vector(widthB-1 downto 0) := (others => 'X'); -- wire
clock : in std_logic := 'X'; -- clk
output : out std_logic_vector(widthA+widthB-1 downto 0) -- wire
);
end component alt_dspbuilder_bus_concat_GNIIOZRPJD;
component alt_dspbuilder_constant_GNNKZSYI73 is
generic (
HDLTYPE : string := "STD_LOGIC_VECTOR";
BitPattern : string := "0000";
width : natural := 4
);
port (
output : out std_logic_vector(23 downto 0) -- wire
);
end component alt_dspbuilder_constant_GNNKZSYI73;
component alt_dspbuilder_delay_GNUECIBFDH is
generic (
ClockPhase : string := "1";
delay : positive := 1;
use_init : natural := 0;
BitPattern : string := "00000001";
width : positive := 8
);
port (
aclr : in std_logic := 'X'; -- clk
clock : in std_logic := 'X'; -- clk
ena : in std_logic := 'X'; -- wire
input : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(width-1 downto 0); -- wire
sclr : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_delay_GNUECIBFDH;
component alt_dspbuilder_constant_GNPXZ5JSVR is
generic (
HDLTYPE : string := "STD_LOGIC_VECTOR";
BitPattern : string := "0000";
width : natural := 4
);
port (
output : out std_logic_vector(3 downto 0) -- wire
);
end component alt_dspbuilder_constant_GNPXZ5JSVR;
component alt_dspbuilder_port_GNOC3SGKQJ is
port (
input : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(23 downto 0) -- wire
);
end component alt_dspbuilder_port_GNOC3SGKQJ;
component alt_dspbuilder_cast_GNSB3OXIQS is
generic (
round : natural := 0;
saturate : natural := 0
);
port (
input : in std_logic_vector(0 downto 0) := (others => 'X'); -- wire
output : out std_logic -- wire
);
end component alt_dspbuilder_cast_GNSB3OXIQS;
component alt_dspbuilder_cast_GN46N4UJ5S is
generic (
round : natural := 0;
saturate : natural := 0
);
port (
input : in std_logic := 'X'; -- wire
output : out std_logic_vector(0 downto 0) -- wire
);
end component alt_dspbuilder_cast_GN46N4UJ5S;
signal barrel_shifteruser_aclrgnd_output_wire : std_logic; -- Barrel_Shifteruser_aclrGND:output -> Barrel_Shifter:user_aclr
signal barrel_shifterenavcc_output_wire : std_logic; -- Barrel_ShifterenaVCC:output -> Barrel_Shifter:ena
signal multiply_adduser_aclrgnd_output_wire : std_logic; -- Multiply_Adduser_aclrGND:output -> Multiply_Add:user_aclr
signal multiply_addenavcc_output_wire : std_logic; -- Multiply_AddenaVCC:output -> Multiply_Add:ena
signal multiplexeruser_aclrgnd_output_wire : std_logic; -- Multiplexeruser_aclrGND:output -> Multiplexer:user_aclr
signal multiplexerenavcc_output_wire : std_logic; -- MultiplexerenaVCC:output -> Multiplexer:ena
signal delay1sclrgnd_output_wire : std_logic; -- Delay1sclrGND:output -> Delay1:sclr
signal delay1enavcc_output_wire : std_logic; -- Delay1enaVCC:output -> Delay1:ena
signal delay2sclrgnd_output_wire : std_logic; -- Delay2sclrGND:output -> Delay2:sclr
signal delay2enavcc_output_wire : std_logic; -- Delay2enaVCC:output -> Delay2:ena
signal bus_concatenation_output_wire : std_logic_vector(15 downto 0); -- Bus_Concatenation:output -> Bus_Concatenation1:b
signal barrel_shifter_r_wire : std_logic_vector(17 downto 0); -- Barrel_Shifter:r -> Bus_Conversion:input
signal bus_conversion_output_wire : std_logic_vector(7 downto 0); -- Bus_Conversion:output -> [Bus_Concatenation1:a, Bus_Concatenation:a, Bus_Concatenation:b]
signal data_in_0_output_wire : std_logic_vector(23 downto 0); -- data_in_0:output -> [Bus_Conversion1:input, Bus_Conversion2:input, Bus_Conversion3:input, If_Statement1:a, Multiplexer:in0]
signal constant1_output_wire : std_logic_vector(3 downto 0); -- Constant1:output -> Barrel_Shifter:distance
signal delay2_output_wire : std_logic_vector(0 downto 0); -- Delay2:output -> [Delay:input, cast1:input]
signal constant3_output_wire : std_logic_vector(23 downto 0); -- Constant3:output -> If_Statement1:b
signal constant4_output_wire : std_logic_vector(23 downto 0); -- Constant4:output -> If_Statement1:c
signal if_statement1_true_wire : std_logic; -- If_Statement1:true -> Logical_Bit_Operator:data0
signal sop_0_output_wire : std_logic; -- sop_0:output -> Logical_Bit_Operator:data1
signal eop_0_output_wire : std_logic; -- eop_0:output -> Logical_Bit_Operator1:data0
signal delay_output_wire : std_logic_vector(0 downto 0); -- Delay:output -> [Multiplexer:sel, cast3:input]
signal bus_concatenation1_output_wire : std_logic_vector(23 downto 0); -- Bus_Concatenation1:output -> Multiplexer:in1
signal bus_conversion3_output_wire : std_logic_vector(7 downto 0); -- Bus_Conversion3:output -> Multiply_Add:data1a
signal bus_conversion2_output_wire : std_logic_vector(7 downto 0); -- Bus_Conversion2:output -> Multiply_Add:data2a
signal bus_conversion1_output_wire : std_logic_vector(7 downto 0); -- Bus_Conversion1:output -> Multiply_Add:data3a
signal multiply_add_result_wire : std_logic_vector(17 downto 0); -- Multiply_Add:result -> Barrel_Shifter:a
signal multiplexer_result_wire : std_logic_vector(23 downto 0); -- Multiplexer:result -> data_out_0:input
signal delay1_output_wire : std_logic_vector(0 downto 0); -- Delay1:output -> cast0:input
signal cast0_output_wire : std_logic; -- cast0:output -> Delay:sclr
signal cast1_output_wire : std_logic; -- cast1:output -> Delay:ena
signal logical_bit_operator_result_wire : std_logic; -- Logical_Bit_Operator:result -> cast2:input
signal cast2_output_wire : std_logic_vector(0 downto 0); -- cast2:output -> Delay2:input
signal cast3_output_wire : std_logic; -- cast3:output -> Logical_Bit_Operator1:data1
signal logical_bit_operator1_result_wire : std_logic; -- Logical_Bit_Operator1:result -> cast4:input
signal cast4_output_wire : std_logic_vector(0 downto 0); -- cast4:output -> Delay1:input
signal clock_0_clock_output_reset : std_logic; -- Clock_0:aclr_out -> [Barrel_Shifter:aclr, Bus_Concatenation1:aclr, Bus_Concatenation:aclr, Delay1:aclr, Delay2:aclr, Delay:aclr, Multiplexer:aclr, Multiply_Add:aclr]
signal clock_0_clock_output_clk : std_logic; -- Clock_0:clock_out -> [Barrel_Shifter:clock, Bus_Concatenation1:clock, Bus_Concatenation:clock, Delay1:clock, Delay2:clock, Delay:clock, Multiplexer:clock, Multiply_Add:clock]
begin
clock_0 : component alt_dspbuilder_clock_GNQFU4PUDH
port map (
clock_out => clock_0_clock_output_clk, -- clock_output.clk
aclr_out => clock_0_clock_output_reset, -- .reset
clock => Clock, -- clock.clk
aclr => aclr -- .reset
);
bus_conversion1 : component alt_dspbuilder_cast_GNKXX25S2S
generic map (
round => 0,
saturate => 0
)
port map (
input => data_in_0_output_wire, -- input.wire
output => bus_conversion1_output_wire -- output.wire
);
bus_conversion2 : component alt_dspbuilder_cast_GN6OMCQQS7
generic map (
round => 0,
saturate => 0
)
port map (
input => data_in_0_output_wire, -- input.wire
output => bus_conversion2_output_wire -- output.wire
);
bus_conversion3 : component alt_dspbuilder_cast_GN7IAAYCSZ
generic map (
round => 0,
saturate => 0
)
port map (
input => data_in_0_output_wire, -- input.wire
output => bus_conversion3_output_wire -- output.wire
);
logical_bit_operator : component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V
generic map (
LogicalOp => "AltAND",
number_inputs => 2
)
port map (
result => logical_bit_operator_result_wire, -- result.wire
data0 => if_statement1_true_wire, -- data0.wire
data1 => sop_0_output_wire -- data1.wire
);
barrel_shifter : component alt_dspbuilder_barrelshifter_GNV5DVAGHT
generic map (
DISTANCE_WIDTH => 4,
NDIRECTION => 1,
SIGNED => 0,
use_dedicated_circuitry => "false",
PIPELINE => 0,
WIDTH => 18
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
a => multiply_add_result_wire, -- a.wire
r => barrel_shifter_r_wire, -- r.wire
distance => constant1_output_wire, -- distance.wire
ena => barrel_shifterenavcc_output_wire, -- ena.wire
user_aclr => barrel_shifteruser_aclrgnd_output_wire -- user_aclr.wire
);
barrel_shifteruser_aclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => barrel_shifteruser_aclrgnd_output_wire -- output.wire
);
barrel_shifterenavcc : component alt_dspbuilder_vcc_GN
port map (
output => barrel_shifterenavcc_output_wire -- output.wire
);
multiply_add : component alt_dspbuilder_multiply_add_GNKLXFKAO3
generic map (
family => "Cyclone V",
direction => "AddAdd",
data3b_const => "00011110",
data2b_const => "10010110",
representation => "UNSIGNED",
dataWidth => 8,
data4b_const => "01001100",
number_multipliers => 3,
pipeline_register => "NoRegister",
use_dedicated_circuitry => 1,
data1b_const => "01001100",
use_b_consts => 1
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
data1a => bus_conversion3_output_wire, -- data1a.wire
data2a => bus_conversion2_output_wire, -- data2a.wire
data3a => bus_conversion1_output_wire, -- data3a.wire
result => multiply_add_result_wire, -- result.wire
user_aclr => multiply_adduser_aclrgnd_output_wire, -- user_aclr.wire
ena => multiply_addenavcc_output_wire -- ena.wire
);
multiply_adduser_aclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => multiply_adduser_aclrgnd_output_wire -- output.wire
);
multiply_addenavcc : component alt_dspbuilder_vcc_GN
port map (
output => multiply_addenavcc_output_wire -- output.wire
);
multiplexer : component alt_dspbuilder_multiplexer_GNCALBUTDR
generic map (
HDLTYPE => "STD_LOGIC_VECTOR",
use_one_hot_select_bus => 0,
width => 24,
pipeline => 0,
number_inputs => 2
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
sel => delay_output_wire, -- sel.wire
result => multiplexer_result_wire, -- result.wire
ena => multiplexerenavcc_output_wire, -- ena.wire
user_aclr => multiplexeruser_aclrgnd_output_wire, -- user_aclr.wire
in0 => data_in_0_output_wire, -- in0.wire
in1 => bus_concatenation1_output_wire -- in1.wire
);
multiplexeruser_aclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => multiplexeruser_aclrgnd_output_wire -- output.wire
);
multiplexerenavcc : component alt_dspbuilder_vcc_GN
port map (
output => multiplexerenavcc_output_wire -- output.wire
);
bus_conversion : component alt_dspbuilder_cast_GNJGR7GQ2L
generic map (
round => 0,
saturate => 0
)
port map (
input => barrel_shifter_r_wire, -- input.wire
output => bus_conversion_output_wire -- output.wire
);
constant4 : component alt_dspbuilder_constant_GNZEH3JAKA
generic map (
HDLTYPE => "STD_LOGIC_VECTOR",
BitPattern => "000000000000000000001111",
width => 24
)
port map (
output => constant4_output_wire -- output.wire
);
if_statement1 : component alt_dspbuilder_if_statement_GN7VA7SRUP
generic map (
use_else_output => 0,
bwr => 0,
use_else_input => 0,
signed => 0,
HDLTYPE => "STD_LOGIC_VECTOR",
if_expression => "(a=b) and (a /= c)",
number_inputs => 3,
width => 24
)
port map (
true => if_statement1_true_wire, -- true.wire
a => data_in_0_output_wire, -- a.wire
b => constant3_output_wire, -- b.wire
c => constant4_output_wire -- c.wire
);
sop_0 : component alt_dspbuilder_port_GN37ALZBS4
port map (
input => sop, -- input.wire
output => sop_0_output_wire -- output.wire
);
bus_concatenation1 : component alt_dspbuilder_bus_concat_GN55ETJ4VI
generic map (
widthB => 16,
widthA => 8
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
a => bus_conversion_output_wire, -- a.wire
b => bus_concatenation_output_wire, -- b.wire
output => bus_concatenation1_output_wire -- output.wire
);
delay1 : component alt_dspbuilder_delay_GNHYCSAEGT
generic map (
ClockPhase => "1",
delay => 1,
use_init => 0,
BitPattern => "0",
width => 1
)
port map (
input => cast4_output_wire, -- input.wire
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
output => delay1_output_wire, -- output.wire
sclr => delay1sclrgnd_output_wire, -- sclr.wire
ena => delay1enavcc_output_wire -- ena.wire
);
delay1sclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => delay1sclrgnd_output_wire -- output.wire
);
delay1enavcc : component alt_dspbuilder_vcc_GN
port map (
output => delay1enavcc_output_wire -- output.wire
);
bus_concatenation : component alt_dspbuilder_bus_concat_GNIIOZRPJD
generic map (
widthB => 8,
widthA => 8
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
a => bus_conversion_output_wire, -- a.wire
b => bus_conversion_output_wire, -- b.wire
output => bus_concatenation_output_wire -- output.wire
);
constant3 : component alt_dspbuilder_constant_GNNKZSYI73
generic map (
HDLTYPE => "STD_LOGIC_VECTOR",
BitPattern => "000000000000000000000000",
width => 24
)
port map (
output => constant3_output_wire -- output.wire
);
delay2 : component alt_dspbuilder_delay_GNHYCSAEGT
generic map (
ClockPhase => "1",
delay => 1,
use_init => 0,
BitPattern => "0",
width => 1
)
port map (
input => cast2_output_wire, -- input.wire
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
output => delay2_output_wire, -- output.wire
sclr => delay2sclrgnd_output_wire, -- sclr.wire
ena => delay2enavcc_output_wire -- ena.wire
);
delay2sclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => delay2sclrgnd_output_wire -- output.wire
);
delay2enavcc : component alt_dspbuilder_vcc_GN
port map (
output => delay2enavcc_output_wire -- output.wire
);
delay : component alt_dspbuilder_delay_GNUECIBFDH
generic map (
ClockPhase => "1",
delay => 1,
use_init => 1,
BitPattern => "0",
width => 1
)
port map (
input => delay2_output_wire, -- input.wire
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
output => delay_output_wire, -- output.wire
sclr => cast0_output_wire, -- sclr.wire
ena => cast1_output_wire -- ena.wire
);
constant1 : component alt_dspbuilder_constant_GNPXZ5JSVR
generic map (
HDLTYPE => "STD_LOGIC_VECTOR",
BitPattern => "1000",
width => 4
)
port map (
output => constant1_output_wire -- output.wire
);
data_out_0 : component alt_dspbuilder_port_GNOC3SGKQJ
port map (
input => multiplexer_result_wire, -- input.wire
output => data_out -- output.wire
);
data_in_0 : component alt_dspbuilder_port_GNOC3SGKQJ
port map (
input => data_in, -- input.wire
output => data_in_0_output_wire -- output.wire
);
eop_0 : component alt_dspbuilder_port_GN37ALZBS4
port map (
input => eop, -- input.wire
output => eop_0_output_wire -- output.wire
);
logical_bit_operator1 : component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V
generic map (
LogicalOp => "AltAND",
number_inputs => 2
)
port map (
result => logical_bit_operator1_result_wire, -- result.wire
data0 => eop_0_output_wire, -- data0.wire
data1 => cast3_output_wire -- data1.wire
);
cast0 : component alt_dspbuilder_cast_GNSB3OXIQS
generic map (
round => 0,
saturate => 0
)
port map (
input => delay1_output_wire, -- input.wire
output => cast0_output_wire -- output.wire
);
cast1 : component alt_dspbuilder_cast_GNSB3OXIQS
generic map (
round => 0,
saturate => 0
)
port map (
input => delay2_output_wire, -- input.wire
output => cast1_output_wire -- output.wire
);
cast2 : component alt_dspbuilder_cast_GN46N4UJ5S
generic map (
round => 0,
saturate => 0
)
port map (
input => logical_bit_operator_result_wire, -- input.wire
output => cast2_output_wire -- output.wire
);
cast3 : component alt_dspbuilder_cast_GNSB3OXIQS
generic map (
round => 0,
saturate => 0
)
port map (
input => delay_output_wire, -- input.wire
output => cast3_output_wire -- output.wire
);
cast4 : component alt_dspbuilder_cast_GN46N4UJ5S
generic map (
round => 0,
saturate => 0
)
port map (
input => logical_bit_operator1_result_wire, -- input.wire
output => cast4_output_wire -- output.wire
);
end architecture rtl; -- of Gray_Processing_GN_Gray_Processing_Gray_Processing_Module
| mit | 9201825568fc0f6247ef0c039528bd26 | 0.556686 | 3.383474 | false | false | false | false |
Given-Jiang/Gray_Processing | tb_Gray_Processing/hdl/alt_dspbuilder_multiply_add_GNKLXFKAO3.vhd | 8 | 1,702 | library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_multiply_add_GNKLXFKAO3 is
generic ( family : string := "Cyclone V";
direction : string := "AddAdd";
data3b_const : string := "00011110";
data2b_const : string := "10010110";
representation : string := "UNSIGNED";
dataWidth : integer := 8;
data4b_const : string := "01001100";
number_multipliers : integer := 3;
pipeline_register : string := "NoRegister";
use_dedicated_circuitry : integer := 1;
data1b_const : string := "01001100";
use_b_consts : natural := 1);
port(
clock : in std_logic;
aclr : in std_logic;
data1a : in std_logic_vector(7 downto 0);
data2a : in std_logic_vector(7 downto 0);
data3a : in std_logic_vector(7 downto 0);
result : out std_logic_vector(17 downto 0);
user_aclr : in std_logic;
ena : in std_logic);
end entity;
architecture rtl of alt_dspbuilder_multiply_add_GNKLXFKAO3 is
Begin
MultiplyAddi : alt_dspbuilder_AltMultConst generic map (
CA => "01001100",
CB => "10010110",
CC => "00011110",
CD => "01001100",
width_a => 8,
width_r => 18,
RegStruct => NoRegister,
data_signed => false
)
port map (
datain => data1a ,
datbin => data2a ,
datcin => data3a ,
datdin => "00000000" ,
dataout => result(17 downto 0),
clock => clock,
ena => ena,
aclr => aclr,
user_aclr => user_aclr
);
end architecture; | mit | 54b2bd0bf92380785cc778757697bdf6 | 0.599882 | 2.980736 | false | false | false | false |
shailcoolboy/Warp-Trinity | edk_user_repository/WARP/pcores/linkport_v1_00_a/hdl/vhdl/aurora_ctrl.vhd | 4 | 850 | library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity aurora_ctrl is
port (
pwdn_in : in std_logic ;
user_clk : in std_logic ;
dcm_not_locked : out std_logic;
loopback : out std_logic_vector(1 downto 0);
power_down : out std_logic;
nfc_req_1 : out std_logic ;
nfc_nb : out std_logic_vector(3 downto 0)
);
end aurora_ctrl;
architecture aurora_ctrl_b1 of aurora_ctrl is
signal pwdn_reg : std_logic_vector(1 downto 0);
begin
dcm_not_locked <= '0';
loopback <= "00";
process(user_clk)
begin
if user_clk = '1' and user_clk'event then
pwdn_reg <= pwdn_reg(0)&pwdn_in;
end if;
end process;
-- power_down <= pwdn_reg(1);
power_down <= '0';
-- Native Flow Control Interface
nfc_req_1 <= '1';
nfc_nb <= "0000";
end aurora_ctrl_b1;
| bsd-2-clause | d1da4b359b089d4cc3678a5559426262 | 0.64 | 2.599388 | false | false | false | false |
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/blk_mem_gen_v8_0/blk_mem_gen_bindec.vhd | 9 | 10,044 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Yb3D6waro/MZBsuFpvtFj6sZqrK43pekOKIGmdVLBSWL9eHgkVVs43xQ4WZ5VAQHXyaaR2UHX42l
dvtW1NtoXw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
D/M3RcK3fkI8BNwJTbI+mA6WB3cDyAxLcdfGuPPey/e22NH1yxwx3JEntM8N7uVCCUxs4uKY2zep
EOXOfa6XocmmEk3WK7GLNyEXZ1jleJknVnQmSBWKnrGvCSwBhLNqfM6dxkGdLXp33pU7l4PyDzJu
N+W+y80oBQgwNEdA5HY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MdgbmWQNHnvEeiVPgg41/59lUqBTgqnOm2zh6MHNleH99i6f1rM4zcLmLtVv/DEFRJBqEmsomuVy
IZADvqD+jZ5WCJiiS8+T/bl9OcGkhn3nsvhUWg7/cxEWvPFRCWuMN/Frsdui2aRHRKckO5Zd5fP+
3Ji/EvtvZWclB03CaLIcEMxblyxyz1vzBjawhW1kjUPpfe841D4Qm4qhfwEsAEI0hIzaTjGc/yKI
cruHLOVb2yQlonCP9EBm2jQBU1lamG/F9sfhxv/lmdWQOuI92eCvc/mmC03RSU8wWuvQ1WA3QUCs
nvEZi1LwQCGlvoDPmnaV/BuLqKXFozmkeUIKhw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
WQbMGMaNiIK7TJJMi3gd0cJLcciBdyvJeUUr6Rjg+ELVb+q1+DFsmISarmPlrxOjFhhHcKbTpi2x
CJnF9v16LbpQLxph6UNhrBu0uQv+Bp8kd2brrW+gvuhN7FXzc4Ybj+25aljjkbWq2ShUUDROxemv
QlyY8o0ZR7RWU92LST8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
exUr+cfVqAX7/JkszeiGLbdL3JYj38QTRFlw1UcebLkYGnnK4b26DlXgH9DxascJjHQgPb6EiT0U
xT7UDqIQVqwgaYVeYcM4rvyuVFQ3CZ6yMzPnn2qbO5PLhimz19fPSiR+PdMHrGFFqSKUi+eOPvZ1
k/aPkTHI8Wh7XKtWr0dSWUzrqTja8+Gk+sJ4TUuqj65Z4Mv0aVVOuCbcdw7dZ2XHv9GIKOgrvrZl
/sM+bEi1cVJfzsDvMiGq9UdUnwlBWOqTJwvrReFmsNHFT7JHxXiGbCQZSxotB0ChdpdMOeoFleoJ
/yCsQGDaTZhTdGqhoqjU1yzBjdbqQ9tmH/C3Tg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5696)
`protect data_block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`protect end_protected
| gpl-3.0 | 04b59d3be0057610a03486a70b680a4e | 0.926225 | 1.892951 | false | false | false | false |
estradjm/Class-Work | HDL/Traffic Intersection/clockCounter.vhdl | 1 | 557 | library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
ENTITY ClockCounter IS
GENERIC (UpperBound: integer);
PORT ( Clock: IN std_logic;
Enable: OUT std_logic);
END ClockCounter;
ARCHITECTURE behavior OF ClockCounter IS
signal count : integer range 0 to(UpperBound-1);
BEGIN
PROCESS (Clock)
BEGIN
IF (rising_edge(Clock)) then
IF(count = (UpperBound-1)) then
count <= 0;
Enable <= '1';
else
count <= count+1;
Enable <= '0';
end if;
end if;
END PROCESS;
END behavior;
| apache-2.0 | 6480a5d820379be3c4558360527edaa1 | 0.642729 | 3.043716 | false | false | false | false |
shailcoolboy/Warp-Trinity | edk_user_repository/WARP/pcores/linkport_v1_00_a/hdl/vhdl/rx_ll_pdu_datapath.vhd | 4 | 8,771 | -------------------------------------------------------------------------------
--
-- Project: Aurora Module Generator version 2.4
--
-- Date: $Date: 2005/11/07 21:30:54 $
-- Tag: $Name: i+IP+98818 $
-- File: $RCSfile: rx_ll_pdu_datapath_vhd.ejava,v $
-- Rev: $Revision: 1.1.2.4 $
--
-- Company: Xilinx
-- Contributors: R. K. Awalt, B. L. Woodard, N. Gulstone
--
-- Disclaimer: XILINX IS PROVIDING THIS DESIGN, CODE, OR
-- INFORMATION "AS IS" SOLELY FOR USE IN DEVELOPING
-- PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS
-- ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE,
-- APPLICATION OR STANDARD, XILINX IS MAKING NO
-- REPRESENTATION THAT THIS IMPLEMENTATION IS FREE
-- FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE
-- RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY
-- REQUIRE FOR YOUR IMPLEMENTATION. XILINX
-- EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH
-- RESPECT TO THE ADEQUACY OF THE IMPLEMENTATION,
-- INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE
-- FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES
-- OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE.
--
-- (c) Copyright 2004 Xilinx, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- RX_LL_PDU_DATAPATH
--
-- Author: Nigel Gulstone
-- Xilinx - Embedded Networking System Engineering Group
--
-- Description: the RX_LL_PDU_DATAPATH module takes regular PDU data in Aurora format
-- and transforms it to LocalLink formatted data
--
-- This module supports 1 2-byte lane designs
--
--
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_ARITH.all;
use IEEE.STD_LOGIC_UNSIGNED.all;
use WORK.AURORA.all;
entity RX_LL_PDU_DATAPATH is
port (
-- Traffic Separator Interface
PDU_DATA : in std_logic_vector(0 to 15);
PDU_DATA_V : in std_logic;
PDU_PAD : in std_logic;
PDU_SCP : in std_logic;
PDU_ECP : in std_logic;
-- LocalLink PDU Interface
RX_D : out std_logic_vector(0 to 15);
RX_REM : out std_logic;
RX_SRC_RDY_N : out std_logic;
RX_SOF_N : out std_logic;
RX_EOF_N : out std_logic;
-- Error Interface
FRAME_ERROR : out std_logic;
-- System Interface
USER_CLK : in std_logic;
RESET : in std_logic
);
end RX_LL_PDU_DATAPATH;
architecture RTL of RX_LL_PDU_DATAPATH is
--****************************Parameter Declarations**************************
constant DLY : time := 1 ns;
--****************************External Register Declarations**************************
signal RX_D_Buffer : std_logic_vector(0 to 15);
signal RX_REM_Buffer : std_logic;
signal RX_SRC_RDY_N_Buffer : std_logic;
signal RX_SOF_N_Buffer : std_logic;
signal RX_EOF_N_Buffer : std_logic;
signal FRAME_ERROR_Buffer : std_logic;
--****************************Internal Register Declarations**************************
signal storage_r : std_logic_vector(0 to 15);
signal storage_v_r : std_logic;
signal in_frame_r : std_logic;
signal sof_in_storage_r : std_logic;
signal pad_in_storage_r : std_logic;
--*********************************Wire Declarations**********************************
signal src_rdy_n_c : std_logic;
signal storage_ce_c : std_logic;
begin
--*********************************Main Body of Code**********************************
-- VHDL Helper Logic
RX_D <= RX_D_Buffer;
RX_REM <= RX_REM_Buffer;
RX_SRC_RDY_N <= RX_SRC_RDY_N_Buffer;
RX_SOF_N <= RX_SOF_N_Buffer;
RX_EOF_N <= RX_EOF_N_Buffer;
FRAME_ERROR <= FRAME_ERROR_Buffer;
--All input goes into a storage register before it is sent on to the output
process(USER_CLK)
begin
if(USER_CLK 'event and USER_CLK = '1') then
if(storage_ce_c = '1') then
storage_r <= PDU_DATA after DLY;
end if;
end if;
end process;
--Keep track of whether or not there is data in storage
process(USER_CLK)
begin
if(USER_CLK 'event and USER_CLK = '1') then
if(RESET= '1') then
storage_v_r <= '0' after DLY;
elsif(storage_ce_c = '1') then
storage_v_r <= '1' after DLY;
elsif(storage_v_r = '1') then
storage_v_r <= src_rdy_n_c after DLY;
end if;
end if;
end process;
--Output data is registered
process(USER_CLK)
begin
if(USER_CLK 'event and USER_CLK = '1') then
RX_D_Buffer <= storage_r after DLY;
end if;
end process;
--Assert the SRC_RDY_N signal when there is data in storage and incomiming data or the
-- end of a frame
src_rdy_n_c <= not (storage_v_r and (storage_ce_c or PDU_ECP));
--Register the SRC_RDY_N signal
process(USER_CLK)
begin
if(USER_CLK 'event and USER_CLK = '1') then
if(RESET = '1') then
RX_SRC_RDY_N_Buffer <= '1' after DLY;
else
RX_SRC_RDY_N_Buffer <= src_rdy_n_c after DLY;
end if;
end if;
end process;
--Load data into storage when there is valid incoming data
storage_ce_c <= in_frame_r and PDU_DATA_V;
--Data is in a frame when it is preceded by an SOF followed by any number of non-ecp characters
process(USER_CLK)
begin
if(USER_CLK 'event and USER_CLK = '1') then
if(RESET = '1') then
in_frame_r <= '0' after DLY;
elsif(PDU_SCP = '1') then
in_frame_r <= '1' after DLY;
elsif(PDU_ECP = '1') then
in_frame_r <= '0' after DLY;
end if;
end if;
end process;
--Hold start of frame until it can be asserted with data
process(USER_CLK)
begin
if(USER_CLK 'event and USER_CLK = '1') then
if(PDU_SCP = '1') then
sof_in_storage_r <= '1' after DLY;
elsif(sof_in_storage_r = '1') then
sof_in_storage_r <= src_rdy_n_c after DLY;
end if;
end if;
end process;
--Register sof_in_storage for use on the LocalLink Interface
process(USER_CLK)
begin
if(USER_CLK 'event and USER_CLK = '1') then
RX_SOF_N_Buffer <= not sof_in_storage_r after DLY;
end if;
end process;
--Register eof for use on the LocalLink Interface
process(USER_CLK)
begin
if(USER_CLK 'event and USER_CLK = '1') then
RX_EOF_N_Buffer <= not PDU_ECP after DLY;
end if;
end process;
--Store the pad signal for any data that gets moved into storage
process(USER_CLK)
begin
if(USER_CLK 'event and USER_CLK = '1') then
if(storage_ce_c = '1') then
pad_in_storage_r <= PDU_PAD after DLY;
end if;
end if;
end process;
--Register the pad signal for use on the LocalLink inteface
process(USER_CLK)
begin
if(USER_CLK 'event and USER_CLK = '1') then
RX_REM_Buffer <= not pad_in_storage_r after DLY;
end if;
end process;
--Indicate a frame error when a start arrives inframe, and end arrives out
-- of frame, or an end arrives with no data in storage, indicating an empty
-- frame
process(USER_CLK)
begin
if(USER_CLK 'event and USER_CLK = '1') then
FRAME_ERROR_Buffer <= (PDU_SCP and in_frame_r) or
(PDU_ECP and not in_frame_r) or
(PDU_ECP and not storage_v_r) after DLY;
end if;
end process;
end RTL;
| bsd-2-clause | 0babdd30a16c8345447f54fea25f9389 | 0.494014 | 4.0494 | false | false | false | false |
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/VHDL_StratixIV_OrphanedGland/sha256/rtl/sha256_qp.vhd | 4 | 13,870 | --
-- Copyright (c) 2011 OrphanedGland ([email protected])
-- Send donations to : 1PioyqqFWXbKryxysGqoq5XAu9MTRANCEP
--
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
--
-- SHA256 core using quasi-pipelining technique
-- Inspired by fpgaminer's sha256_transform.v
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity sha256_qp is
generic (
default_h : boolean := true
);
port (
clk : in std_logic;
reset : in std_logic;
msg_in : in std_logic_vector(511 downto 0);
h_in : in std_logic_vector(255 downto 0) := (others => '0');
digest : out std_logic_vector(255 downto 0)
);
end entity sha256_qp;
architecture sha256_qp_rtl of sha256_qp is
alias slv is std_logic_vector;
subtype msg is unsigned(511 downto 0);
subtype word is unsigned(31 downto 0);
function e0(x: unsigned(31 downto 0)) return unsigned is
begin
return (x(1 downto 0) & x(31 downto 2)) xor (x(12 downto 0) & x(31 downto 13)) xor (x(21 downto 0) & x(31 downto 22));
end e0;
function e1(x: unsigned(31 downto 0)) return unsigned is
begin
return (x(5 downto 0) & x(31 downto 6)) xor (x(10 downto 0) & x(31 downto 11)) xor (x(24 downto 0) & x(31 downto 25));
end e1;
function s0(x: unsigned(31 downto 0)) return unsigned is
variable y : unsigned(31 downto 0);
begin
y(31 downto 29) := x(6 downto 4) xor x(17 downto 15);
y(28 downto 0) := (x(3 downto 0) & x(31 downto 7)) xor (x(14 downto 0) & x(31 downto 18)) xor x(31 downto 3);
return y;
end s0;
function s1(x: unsigned(31 downto 0)) return unsigned is
variable y : unsigned(31 downto 0);
begin
y(31 downto 22) := x(16 downto 7) xor x(18 downto 9);
y(21 downto 0) := (x(6 downto 0) & x(31 downto 17)) xor (x(8 downto 0) & x(31 downto 19)) xor x(31 downto 10);
return y;
end s1;
function ch(x: unsigned(31 downto 0); y: unsigned(31 downto 0); z: unsigned(31 downto 0)) return unsigned is
begin
return (x and y) xor (not(x) and z);
end ch;
function maj(x: unsigned(31 downto 0); y: unsigned(31 downto 0); z: unsigned(31 downto 0)) return unsigned is
begin
return (x and y) xor (x and z) xor (y and z);
end maj;
type msg_array is array(0 to 63) of msg;
type word_array_64 is array(0 to 63) of word;
type word_array_65 is array(0 to 64) of word;
type word_array_66 is array(0 to 65) of word;
type hash_array is array(0 to 7) of word;
constant k : word_array_64 := ( X"428a2f98", X"71374491", X"b5c0fbcf", X"e9b5dba5", X"3956c25b", X"59f111f1", X"923f82a4", X"ab1c5ed5",
X"d807aa98", X"12835b01", X"243185be", X"550c7dc3", X"72be5d74", X"80deb1fe", X"9bdc06a7", X"c19bf174",
X"e49b69c1", X"efbe4786", X"0fc19dc6", X"240ca1cc", X"2de92c6f", X"4a7484aa", X"5cb0a9dc", X"76f988da",
X"983e5152", X"a831c66d", X"b00327c8", X"bf597fc7", X"c6e00bf3", X"d5a79147", X"06ca6351", X"14292967",
X"27b70a85", X"2e1b2138", X"4d2c6dfc", X"53380d13", X"650a7354", X"766a0abb", X"81c2c92e", X"92722c85",
X"a2bfe8a1", X"a81a664b", X"c24b8b70", X"c76c51a3", X"d192e819", X"d6990624", X"f40e3585", X"106aa070",
X"19a4c116", X"1e376c08", X"2748774c", X"34b0bcb5", X"391c0cb3", X"4ed8aa4a", X"5b9cca4f", X"682e6ff3",
X"748f82ee", X"78a5636f", X"84c87814", X"8cc70208", X"90befffa", X"a4506ceb", X"bef9a3f7", X"c67178f2" );
constant h_default : hash_array := ( X"6a09e667", X"bb67ae85", X"3c6ef372", X"a54ff53a", X"510e527f", X"9b05688c", X"1f83d9ab", X"5be0cd19" );
signal w : msg_array;
signal new_w : word_array_64;
signal a : word_array_66;
signal b : word_array_66;
signal c : word_array_66;
signal d : word_array_66;
signal e : word_array_66;
signal f : word_array_66;
signal g : word_array_66;
signal h : word_array_66;
signal hash : hash_array;
signal h_init : hash_array;
signal delta0 : word_array_64;
signal delta1 : word_array_64;
signal m1 : word_array_64;
signal m2 : word_array_64;
signal epsilon : word_array_65;
signal l : word_array_65;
signal alpha : word_array_66;
signal q_w : msg_array;
signal q_a : word_array_66;
signal q_b : word_array_66;
signal q_c : word_array_66;
signal q_d : word_array_66;
signal q_e : word_array_66;
signal q_f : word_array_66;
signal q_g : word_array_66;
signal q_h : word_array_66;
signal q_hash : hash_array;
signal q_m1 : word_array_64;
signal q_m2 : word_array_64;
signal q_l : word_array_65;
begin
output_mapping: for i in 0 to 7 generate
--digest((i+1)*32-1 downto i*32) <= slv(q_hash(7-i));
digest((i+1)*32-1 downto i*32) <= slv(q_hash(i));
end generate output_mapping;
default_h_gen: if default_h = true generate
h_init <= h_default;
end generate default_h_gen;
h_gen: if default_h = false generate
h_array_gen: for i in 0 to 7 generate
h_init(i) <= unsigned(h_in((i+1)*32-1 downto i*32));
end generate h_array_gen;
end generate h_gen;
hash_pipeline: for i in 0 to 65 generate
first_stage: if i = 0 generate
w(i) <= unsigned(msg_in);
a(i) <= h_init(0);
b(i) <= h_init(1);
c(i) <= h_init(2);
d(i) <= h_init(3);
e(i) <= h_init(4);
f(i) <= h_init(5);
g(i) <= h_init(6);
h(i) <= h_init(7);
delta0(i) <= h_init(3);
delta1(i) <= h_init(7);
m1(i) <= delta0(i) + delta1(i) + k(i) + w(i)(31 downto 0);
m2(i) <= delta1(i) + k(i) + w(i)(31 downto 0);
epsilon(i) <= e1(h_init(4)) + ch(h_init(4), h_init(5), h_init(6));
l(i) <= (others => '0');
alpha(i) <= e0(h_init(0)) + maj(h_init(0), h_init(1), h_init(2));
end generate first_stage;
second_stage: if i = 1 generate
new_w(i) <= s1(q_w(i-1)(479 downto 448)) + q_w(i-1)(319 downto 288) + s0(q_w(i-1)(63 downto 32)) + q_w(i-1)(31 downto 0);
w(i) <= new_w(i) & q_w(i-1)(511 downto 32);
a(i) <= h_init(0);
b(i) <= h_init(1);
c(i) <= h_init(2);
d(i) <= h_init(3);
e(i) <= epsilon(i);
f(i) <= h_init(4);
g(i) <= h_init(5);
h(i) <= h_init(6);
delta0(i) <= h_init(2);
delta1(i) <= h_init(6);
m1(i) <= delta0(i) + delta1(i) + k(i) + w(i)(31 downto 0);
m2(i) <= delta1(i) + k(i) + w(i)(31 downto 0);
epsilon(i) <= q_m1(i-1) + e1(h_init(4)) + ch(h_init(4), h_init(5), h_init(6));
l(i) <= q_m2(i-1) + e1(h_init(4)) + ch(h_init(4), h_init(5), h_init(6));
alpha(i) <= e0(h_init(0)) + maj(h_init(0), h_init(1), h_init(2));
end generate second_stage;
third_stage: if i = 2 generate
new_w(i) <= s1(q_w(i-1)(479 downto 448)) + q_w(i-1)(319 downto 288) + s0(q_w(i-1)(63 downto 32)) + q_w(i-1)(31 downto 0);
w(i) <= new_w(i) & q_w(i-1)(511 downto 32);
a(i) <= alpha(i);
b(i) <= h_init(0);
c(i) <= h_init(1);
d(i) <= h_init(2);
e(i) <= epsilon(i);
f(i) <= q_e(i-1);
g(i) <= h_init(4); -- q_f(i-1)
h(i) <= h_init(5); -- q_g(i-1)
delta0(i) <= h_init(1);
delta1(i) <= h_init(5);
m1(i) <= delta0(i) + delta1(i) + k(i) + w(i)(31 downto 0);
m2(i) <= delta1(i) + k(i) + w(i)(31 downto 0);
epsilon(i) <= q_m1(i-1) + e1(q_e(i-1)) + ch(q_e(i-1), h_init(4), h_init(5));
l(i) <= q_m2(i-1) + e1(q_e(i-1)) + ch(q_e(i-1), h_init(4), h_init(5));
alpha(i) <= q_l(i-1) + e0(h_init(0)) + maj(h_init(0), h_init(1), h_init(2));
end generate third_stage;
normal_stage: if i > 2 and i < 64 generate
new_w(i) <= s1(q_w(i-1)(479 downto 448)) + q_w(i-1)(319 downto 288) + s0(q_w(i-1)(63 downto 32)) + q_w(i-1)(31 downto 0);
w(i) <= new_w(i) & q_w(i-1)(511 downto 32);
a(i) <= alpha(i);
b(i) <= q_a(i-1);
c(i) <= q_b(i-1);
d(i) <= q_c(i-1);
e(i) <= epsilon(i);
f(i) <= q_e(i-1);
g(i) <= q_f(i-1);
h(i) <= q_g(i-1);
delta0(i) <= q_b(i-1);
delta1(i) <= q_g(i-1);
m1(i) <= delta0(i) + delta1(i) + k(i) + w(i)(31 downto 0);
m2(i) <= delta1(i) + k(i) + w(i)(31 downto 0);
epsilon(i) <= q_m1(i-1) + e1(q_e(i-1)) + ch(q_e(i-1), q_f(i-1), q_g(i-1));
l(i) <= q_m2(i-1) + e1(q_e(i-1)) + ch(q_e(i-1), q_f(i-1), q_g(i-1));
alpha(i) <= q_l(i-1) + e0(q_a(i-1)) + maj(q_a(i-1), q_b(i-1), q_c(i-1));
end generate normal_stage;
second_last_stage: if i = 64 generate
a(i) <= alpha(i);
b(i) <= q_a(i-1);
c(i) <= q_b(i-1);
d(i) <= q_c(i-1);
e(i) <= epsilon(i);
f(i) <= q_e(i-1);
g(i) <= q_f(i-1);
h(i) <= q_g(i-1);
epsilon(i) <= q_m1(i-1) + e1(q_e(i-1)) + ch(q_e(i-1), q_f(i-1), q_g(i-1));
l(i) <= q_m2(i-1) + e1(q_e(i-1)) + ch(q_e(i-1), q_f(i-1), q_g(i-1));
alpha(i) <= q_l(i-1) + e0(q_a(i-1)) + maj(q_a(i-1), q_b(i-1), q_c(i-1));
end generate second_last_stage;
last_stage: if i = 65 generate
a(i) <= alpha(i);
b(i) <= q_a(i-1);
c(i) <= q_b(i-1);
d(i) <= q_c(i-1);
e(i) <= q_e(i-1);
f(i) <= q_f(i-1);
g(i) <= q_g(i-1);
h(i) <= q_h(i-1);
alpha(i) <= q_l(i-1) + e0(q_a(i-1)) + maj(q_a(i-1), q_b(i-1), q_c(i-1));
end generate last_stage;
end generate hash_pipeline;
hash(0) <= q_a(65) + h_init(0);
hash(1) <= q_b(65) + h_init(1);
hash(2) <= q_c(65) + h_init(2);
hash(3) <= q_d(65) + h_init(3);
hash(4) <= q_e(65) + h_init(4);
hash(5) <= q_f(65) + h_init(5);
hash(6) <= q_g(65) + h_init(6);
hash(7) <= q_h(65) + h_init(7);
registers : process(clk, reset) is
begin
if reset = '1' then
null;
elsif rising_edge(clk) then
q_w <= w;
q_a <= a;
q_b <= b;
q_c <= c;
q_d <= d;
q_e <= e;
q_f <= f;
q_g <= g;
q_h <= h;
q_hash <= hash;
q_m1 <= m1;
q_m2 <= m2;
q_l <= l;
end if;
end process registers;
end architecture sha256_qp_rtl;
| gpl-3.0 | f96262c927ad501e104087f2f17f265c | 0.413843 | 3.011944 | false | false | false | false |
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/builtin/builtin_extdepth_v6.vhd | 9 | 49,967 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
VQA3JFR2BjnW2YJLBYoR5zTfb7dRSbg2idu6E4yl43DyDR3wLlFmB6i7aa8Ik9S93/JypiSaCzno
FXY9KDHD0w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Dh+R3rxX7U/ex7qN0311xsbG2NIzDQD6C8HGcESGVZN6hupgKJILcFm7Uv8dncrWyHvHGvC5KtmZ
y6RPwvZb2X7jPxorWbl4F+2y9LHwqHm2PEW+CHDUnKi18dr3Zx1Jbi7I2Zc+uYqeW5EkHp8PcSAQ
lxdDYtJqRQq0+EvGD3U=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
QIGZuRId+oKQonojH7pTER+hES8221HLAniqwoc6m3IU0mRKYlVj97lynzUEsCFptjMpw3RRAxJh
cU4uucsjhWfOAV7PPHqEVZvBzoBOrx0Th+AVcDRkmfkur6IBRtSgPiSDxbEhRFx5BOdYett3bs3t
nY8YJLp4vbTYmQCth0muqECFDW2dyWgcrq55EKD8YwxeTAV4Xv/Bx9wTsX2oZUnXqzQN9GMqS32b
IqRT9aGeru/tINJd145PJ0K7RiK6vulWn0ILZtWItV8LsSonrvxp+Zhfql0lB1a2GuszHTu/WrRy
ocZJuzi0aA9Ro6+PHMRyJMhJEYp5JFmRTj18+Q==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
0gsiKb7ZifbtH3YCBos/DsSvxfZzYZUD26WqnFLbImU2s8HSN+H/JYLV8eKv9vitU8NqFokev80E
bDOFExI2OuYBwvrrO6K+hM5ftztqpF16z7ydbRrq7uE9yqcT/wcwQzk5MZFHAtCm5pzOzowIl6KS
qX8y77n/QH9k0oz7Rjs=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
duMAei9J+TG5JXxgJ/wZ4QhD9TzDI88nqppIUIkkGr7CEw88KkWXP8NRgMRs+OGuVHWlIfa4ok7M
6mJ6OTyi1UaunCIU0dXPUhkNeH7zk6EX9wGobRlJVrQDIR4q97PCjr7SGtG96BPlhTsdkBS5cFU9
ebBTYdQo8pnCgurbthYqmF72/mYJOOhPvZCUsl1gC++N2sOI6jIGEmmM8hLHYNmVZHdJ3wnB7wk8
QHgbjpZqSQx34uKznLKEwwEl5bapzDYvuMhlWn8gq5Co15HzjBj1qK9jFJx7BUfFrB+h3LcnRzNS
OvmSnV3igz+HPT0I9K8hG7FqSJp13wD7IJ9HMg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 35248)
`protect data_block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`protect end_protected
| gpl-3.0 | 8eff92cadf552f323bcc63258ed985bb | 0.948606 | 1.82122 | false | false | false | false |
Given-Jiang/Gray_Processing | tb_Gray_Processing/hdl/alt_dspbuilder_multiply_add_GNPSDKCBU2.vhd | 1 | 1,730 | library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_multiply_add_GNPSDKCBU2 is
generic ( family : string := "Cyclone V";
direction : string := "AddAdd";
data3b_const : string := "00011110";
data2b_const : string := "10010110";
representation : string := "UNSIGNED";
dataWidth : integer := 8;
data4b_const : string := "01001100";
number_multipliers : integer := 3;
pipeline_register : string := "InputsMultiplierandAdder";
use_dedicated_circuitry : integer := 1;
data1b_const : string := "01001100";
use_b_consts : natural := 1);
port(
clock : in std_logic;
aclr : in std_logic;
data1a : in std_logic_vector(7 downto 0);
data2a : in std_logic_vector(7 downto 0);
data3a : in std_logic_vector(7 downto 0);
result : out std_logic_vector(17 downto 0);
user_aclr : in std_logic;
ena : in std_logic);
end entity;
architecture rtl of alt_dspbuilder_multiply_add_GNPSDKCBU2 is
Begin
MultiplyAddi : alt_dspbuilder_AltMultConst generic map (
CA => "01001100",
CB => "10010110",
CC => "00011110",
CD => "01001100",
width_a => 8,
width_r => 18,
RegStruct => InputsMultiplierandAdder,
data_signed => false
)
port map (
datain => data1a ,
datbin => data2a ,
datcin => data3a ,
datdin => "00000000" ,
dataout => result(17 downto 0),
clock => clock,
ena => ena,
aclr => aclr,
user_aclr => user_aclr
);
end architecture; | mit | ecafd1925e03d2a93a9575db948153f4 | 0.606358 | 3.029772 | false | false | false | false |
Andy46/OV7670-VHDL | OV7670/src/mod_VGA/VGA_800x640.vhd | 1 | 3,893 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 20:24:58 11/12/2013
-- Design Name:
-- Module Name: VGA - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
--use IEEE.STD_LOGIC_ARITH.ALL;
--use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity VGA is
Port ( clk100MHz, reset : std_logic;
colRed, colGreen, colBlue : in std_logic_vector(3 downto 0);
Hsync, Vsync : out std_logic;
vgaRed, vgaGreen, vgaBlue : out std_logic_vector(3 downto 0));
end VGA;
architecture Behavioral of VGA is
--Divisor de frecuencia
--Constantes
--Componentes
component Divisor50MHz is
Port ( clk_in, reset : in std_logic;
clk_out : out std_logic);
end component;
--Señales
signal clk50MHz : std_logic;
--Contadores vertical y horizontal
--Constantes
constant UNO : std_logic_vector(15 downto 0) := "0000000000000001";
--Componentes
component FullAdder16bits is
port ( clk, reset : std_logic;
a, b : in std_logic_vector(15 downto 0);
cin : in std_logic;
sum : out std_logic_vector(15 downto 0);
cout : out std_logic);
end component;
--Señales
signal Vcount, Vcount_next : std_logic_vector(15 downto 0);
signal Hcount, Hcount_next : std_logic_vector(15 downto 0);
begin
--Asignar colores
process(clk100MHz, reset, Vcount, Hcount, colRed, colGreen, colBlue)
begin
if (Vcount >= std_logic_vector(to_unsigned(0, 16)) and Vcount <= std_logic_vector(to_unsigned(799, 16)) and
Hcount >= std_logic_vector(to_unsigned(0, 16)) and Hcount <= std_logic_vector(to_unsigned(799, 16))) then
vgaRed <= colRed;
vgaGreen <= colGreen;
vgaBlue <= colBlue;
else
vgaRed <= (others => '0');
vgaGreen <= (others => '0');
vgaBlue <= (others => '0');
end if;
end process;
Div_VGA: Divisor50MHz port map(clk_in => clk100MHz, reset => reset, clk_out => clk50MHz);
--Process VSYNC
FA_Vsync: FullAdder16bits port map(clk => clk100MHz, reset => reset, a => Vcount, b => std_logic_vector(to_unsigned(1, 16)),
cin => '0', sum => Vcount_next);
process(clk50MHz, reset, Hcount, Vcount)
begin
if reset = '0' then
Vcount <= (others => '0');
VSync <= '0';
elsif clk50MHz'event and clk50MHz = '1' then
if Hcount = std_logic_vector(to_unsigned(1040, 16)) then --800 | 800
if Vcount = std_logic_vector(to_unsigned(665, 16)) then --525 | 448
Vcount <= (others => '0');
else
Vcount <= Vcount_next;
end if;
if Vcount >= std_logic_vector(to_unsigned(636, 16)) and Vcount < std_logic_vector(to_unsigned(642, 16)) then --490,492 | 386,388
Vsync <= '1';
else
Vsync <= '0';
end if;
end if;
end if;
end process;
--Process HSYNC
FA_Hsync: FullAdder16bits port map(clk => clk100MHz, reset => reset, a => Hcount, b => UNO,
cin => '0', sum => Hcount_next);
process(clk50MHz, reset)
begin
if reset = '0' then
Hcount <= (others => '0');
Hsync <= '0';
elsif clk50MHz'event and clk50MHz = '1' then
if Hcount = std_logic_vector(to_unsigned(1040, 16)) then --800 | 800
Hcount <= (others => '0');
else
Hcount <= Hcount_next;
end if;
if Hcount >= std_logic_vector(to_unsigned(855, 16)) and Hcount < std_logic_vector(to_unsigned(975, 16)) then --656,752 | 656,752
Hsync <= '1';
else
Hsync <= '0';
end if;
end if;
end process;
end Behavioral; | mit | 4a1edd9078c05a3a9d868e4a2dfad9b4 | 0.627537 | 3.241465 | false | false | false | false |
shailcoolboy/Warp-Trinity | edk_user_repository/WARP/pcores/linkport_v1_00_a/hdl/vhdl/standard_cc_module.vhd | 4 | 10,922 |
--
-- Project: Aurora Module Generator version 2.4
--
-- Date: $Date: 2005/11/07 21:30:55 $
-- Tag: $Name: i+IP+98818 $
-- File: $RCSfile: standard_cc_module_vhd.ejava,v $
-- Rev: $Revision: 1.1.2.4 $
--
-- Company: Xilinx
-- Contributors: R. K. Awalt, B. L. Woodard, N. Gulstone
--
-- Disclaimer: XILINX IS PROVIDING THIS DESIGN, CODE, OR
-- INFORMATION "AS IS" SOLELY FOR USE IN DEVELOPING
-- PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS
-- ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE,
-- APPLICATION OR STANDARD, XILINX IS MAKING NO
-- REPRESENTATION THAT THIS IMPLEMENTATION IS FREE
-- FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE
-- RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY
-- REQUIRE FOR YOUR IMPLEMENTATION. XILINX
-- EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH
-- RESPECT TO THE ADEQUACY OF THE IMPLEMENTATION,
-- INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE
-- FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES
-- OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE.
--
-- (c) Copyright 2004 Xilinx, Inc.
-- All rights reserved.
--
--
-- STANDARD_CC_MODULE
--
-- Author: Nigel Gulstone
-- Xilinx - Embedded Networking System Engeneering Group
--
-- Description: This module drives the Aurora module's Clock Compensation
-- interface. Clock Compensation sequences are generated according
-- to the requirements in the Aurora Protocol specification.
--
-- This module supports Aurora Modules with any number of
-- 2-byte lanes and no User Flow Control.
--
library IEEE;
use IEEE.STD_LOGIC_1164.all;
-- synthesis translate_off
library UNISIM;
use UNISIM.all;
-- synthesis translate_on
entity STANDARD_CC_MODULE is
port
(
-- Clock Compensation Control Interface
WARN_CC : out std_logic;
DO_CC : out std_logic;
-- System Interface
DCM_NOT_LOCKED : in std_logic;
USER_CLK : in std_logic;
CHANNEL_UP : in std_logic
);
end STANDARD_CC_MODULE;
architecture RTL of STANDARD_CC_MODULE is
--******************************Parameter Declarations*******************************
constant DLY : time := 1 ns;
--************************** Internal Register Declarations **************************
signal prepare_count_r : std_logic_vector(0 to 9) := "0000000000";
signal cc_count_r : std_logic_vector(0 to 5) := "000000";
signal reset_r : std_logic;
signal count_13d_srl_r : std_logic_vector(0 to 11);
signal count_13d_flop_r : std_logic;
signal count_16d_srl_r : std_logic_vector(0 to 14);
signal count_16d_flop_r : std_logic;
signal count_24d_srl_r : std_logic_vector(0 to 22);
signal count_24d_flop_r : std_logic;
--*********************************Wire Declarations**********************************
signal start_cc_c : std_logic;
signal inner_count_done_r : std_logic;
signal middle_count_done_c : std_logic;
signal cc_idle_count_done_c : std_logic;
--*********************************Main Body of Code**********************************
begin
--________________________Clock Correction State Machine__________________________
-- The clock correction state machine is a counter with three sections. The first
-- section counts out the idle period before a clock correction occurs. The second
-- section counts out a period when NFC and UFC operations should not be attempted
-- because they will not be completed. The last section counts out the cycles of
-- the clock correction sequence.
-- The inner count for the CC counter counts to 13. It is implemented using
-- an SRL16 and a flop
-- The SRL counts 12 bits of the count
process(USER_CLK)
begin
if(USER_CLK'event and USER_CLK = '1') then
count_13d_srl_r <= (count_13d_flop_r & count_13d_srl_r(0 to 10)) after DLY;
end if;
end process;
-- The inner count is done when a 1 reaches the end of the SRL
inner_count_done_r <= count_13d_srl_r(11);
-- The flop extends the shift register to 13 bits for counting. It is held at
-- zero while channel up is low to clear the register, and is seeded with a
-- single 1 when channel up transitions from 0 to 1
process(USER_CLK)
begin
if(USER_CLK'event and USER_CLK = '1') then
if(CHANNEL_UP = '0') then
count_13d_flop_r <= '0' after DLY;
elsif( (CHANNEL_UP and reset_r)= '1') then
count_13d_flop_r <= '1' after DLY;
else
count_13d_flop_r <= inner_count_done_r after DLY;
end if;
end if;
end process;
-- The middle count for the CC counter counts to 16. Its count increments only
-- when the inner count is done. It is implemented using an SRL16 and a flop
-- The SRL counts 15 bits of the count. It is enabled only when the inner count
-- is done
process(USER_CLK)
begin
if(USER_CLK'event and USER_CLK = '1') then
if((inner_count_done_r or not CHANNEL_UP) = '1') then
count_16d_srl_r <= ( count_16d_flop_r & count_16d_srl_r(0 to 13) ) after DLY;
end if;
end if;
end process;
-- The middle count is done when a 1 reaches the end of the SRL and the inner
-- count finishes
middle_count_done_c <= inner_count_done_r and count_16d_srl_r(14);
-- The flop extends the shift register to 16 bits for counting. It is held at
-- zero while channel up is low to clear the register, and is seeded with a
-- single 1 when channel up transitions from 0 to 1
process(USER_CLK)
begin
if(USER_CLK'event and USER_CLK = '1') then
if(CHANNEL_UP = '0') then
count_16d_flop_r <= '0' after DLY;
elsif((CHANNEL_UP and reset_r)='1') then
count_16d_flop_r <= '1' after DLY;
elsif(inner_count_done_r = '1') then
count_16d_flop_r <= middle_count_done_c after DLY;
end if;
end if;
end process;
-- The outer count (aka the cc idle count) is done when it reaches 24. Its count
-- increments only when the middle count is done. It is implemented with 2
-- SRL16Es.
-- The SRL counts 23 bits of the count. It is enabled only when the middle count is
-- done
process(USER_CLK)
begin
if(USER_CLK'event and USER_CLK = '1') then
if((middle_count_done_c or not CHANNEL_UP) = '1') then
count_24d_srl_r <= (count_24d_flop_r & count_24d_srl_r(0 to 21)) after DLY;
end if;
end if;
end process;
-- The cc idle count is done when a 1 reaches the end of the SRL and the middle count finishes
cc_idle_count_done_c <= middle_count_done_c and count_24d_srl_r(22);
-- The flop extends the shift register to 24 bits for counting. It is held at
-- zero while channel up is low to clear the register, and is seeded with a single
-- 1 when channel up transitions from 0 to 1
process(USER_CLK)
begin
if(USER_CLK'event and USER_CLK = '1') then
if(CHANNEL_UP = '0') then
count_24d_flop_r <= '0' after DLY;
elsif( (CHANNEL_UP and reset_r) = '1') then
count_24d_flop_r <= '1' after DLY;
elsif( middle_count_done_c = '1') then
count_24d_flop_r <= cc_idle_count_done_c after DLY;
end if;
end if;
end process;
-- Because UFC and CC sequences are not allowed to preempt one another, there
-- there is a warning signal to indicate an impending CC sequence. This signal
-- is used to prevent UFC messages from starting.
-- For 1 lane, we need an 10-cycle count.
process(USER_CLK)
begin
if(USER_CLK'event and USER_CLK = '1') then
prepare_count_r <= (cc_idle_count_done_c & prepare_count_r(0 to 8)) after DLY;
end if;
end process;
-- The state machine stays in the prepare_cc state from when the cc idle
-- count finishes, to when the prepare count has finished. While in this
-- state, UFC operations cannot start, which prevents them from having to
-- be pre-empted by CC sequences.
process(USER_CLK)
begin
if(USER_CLK'event and USER_CLK = '1') then
if(CHANNEL_UP = '0') then
WARN_CC <= '0' after DLY;
elsif(cc_idle_count_done_c = '1') then
WARN_CC <= '1' after DLY;
elsif(prepare_count_r(9) = '1') then
WARN_CC <= '0' after DLY;
end if;
end if;
end process;
-- Track the state of channel up on the previous cycle. We use this signal to determine
-- when to seed the shift register counters with ones
process(USER_CLK)
begin
if(USER_CLK'event and USER_CLK = '1') then
reset_r <= not CHANNEL_UP after DLY;
end if;
end process;
--Do a CC after CHANNEL_UP is asserted or CC_warning is complete.
start_cc_c <= prepare_count_r(9) or (CHANNEL_UP and reset_r);
-- This SRL counter keeps track of the number of cycles spent in the CC
-- sequence. It starts counting when the prepare_cc state ends, and
-- finishes counting after 6 cycles have passed.
process(USER_CLK)
begin
if(USER_CLK'event and USER_CLK = '1') then
cc_count_r <= ( (not CHANNEL_UP or prepare_count_r(9)) & cc_count_r(0 to 4) ) after DLY;
end if;
end process;
-- The TX_LL module stays in the do_cc state for 6 cycles. It starts
-- when the prepare_cc state ends.
process(USER_CLK)
begin
if(USER_CLK'event and USER_CLK = '1') then
if(CHANNEL_UP = '0') then
DO_CC <= '0' after DLY;
elsif(start_cc_c = '1') then
DO_CC <= '1' after DLY;
elsif(cc_count_r(5) = '1') then
DO_CC <= '0' after DLY;
end if;
end if;
end process;
end RTL;
| bsd-2-clause | 24c884b175eacc2aeedb935db4c1ffa8 | 0.558689 | 3.893761 | false | false | false | false |
inmcm/Simon_Speck_Ciphers | VHDL/SPECK_CIPHER_TB.vhd | 1 | 14,538 | -- SPECK_CIPHER_TB.vhd
-- Copyright 2016 Michael Calvin McCoy
-- [email protected]
-- see LICENSE.md
--------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 18:00:46 10/04/2015
-- Design Name:
-- Module Name: D:/Work/Code/Simon_Speck_Ciphers/VHDL/SPECK_CIPHER_TB.vhd
-- Project Name: Speck
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: SPECK_CIPHER
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
use work.SPECK_CONSTANTS.all;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY SPECK_CIPHER_TB IS
END SPECK_CIPHER_TB;
ARCHITECTURE behavior OF SPECK_CIPHER_TB IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT SPECK_CIPHER
GENERIC(KEY_SIZE : integer range 0 to 256;
BLOCK_SIZE : integer range 0 to 128;
ROUND_LIMIT: integer range 0 to 72);
PORT(
SYS_CLK : IN std_logic;
RST : IN std_logic;
BUSY : OUT std_logic;
CONTROL : IN std_logic_vector(1 downto 0);
KEY : IN std_logic_vector(KEY_SIZE - 1 downto 0);
BLOCK_INPUT : IN std_logic_vector(BLOCK_SIZE - 1 downto 0);
BLOCK_OUTPUT : OUT std_logic_vector(BLOCK_SIZE - 1 downto 0)
);
END COMPONENT;
--Global Inputs
signal SYS_CLK : std_logic := '0';
signal RST : std_logic := '0';
signal CONTROL : std_logic_vector(1 downto 0) := (others => '0');
--UUT 1
signal KEY_1 : std_logic_vector(63 downto 0) := (others => '0');
signal BLOCK_INPUT_1 : std_logic_vector(31 downto 0) := (others => '0');
signal BUSY_1 : std_logic;
signal BLOCK_OUTPUT_1 : std_logic_vector(31 downto 0);
--UUT 2
signal KEY_2 : std_logic_vector(71 downto 0) := (others => '0');
signal BLOCK_INPUT_2 : std_logic_vector(47 downto 0) := (others => '0');
signal BUSY_2 : std_logic;
signal BLOCK_OUTPUT_2 : std_logic_vector(47 downto 0);
--UUT 3
signal KEY_3 : std_logic_vector(95 downto 0) := (others => '0');
signal BLOCK_INPUT_3 : std_logic_vector(47 downto 0) := (others => '0');
signal BUSY_3 : std_logic;
signal BLOCK_OUTPUT_3 : std_logic_vector(47 downto 0);
--UUT 4
signal KEY_4 : std_logic_vector(95 downto 0) := (others => '0');
signal BLOCK_INPUT_4 : std_logic_vector(63 downto 0) := (others => '0');
signal BUSY_4 : std_logic;
signal BLOCK_OUTPUT_4 : std_logic_vector(63 downto 0);
--UUT 5
signal KEY_5 : std_logic_vector(127 downto 0) := (others => '0');
signal BLOCK_INPUT_5 : std_logic_vector(63 downto 0) := (others => '0');
signal BUSY_5 : std_logic;
signal BLOCK_OUTPUT_5 : std_logic_vector(63 downto 0);
--UUT 6
signal KEY_6 : std_logic_vector(95 downto 0) := (others => '0');
signal BLOCK_INPUT_6 : std_logic_vector(95 downto 0) := (others => '0');
signal BUSY_6 : std_logic;
signal BLOCK_OUTPUT_6 : std_logic_vector(95 downto 0);
--UUT 7
signal KEY_7 : std_logic_vector(143 downto 0) := (others => '0');
signal BLOCK_INPUT_7 : std_logic_vector(95 downto 0) := (others => '0');
signal BUSY_7 : std_logic;
signal BLOCK_OUTPUT_7 : std_logic_vector(95 downto 0);
--UUT 8
signal KEY_8 : std_logic_vector(127 downto 0) := (others => '0');
signal BLOCK_INPUT_8 : std_logic_vector(127 downto 0) := (others => '0');
signal BUSY_8 : std_logic;
signal BLOCK_OUTPUT_8 : std_logic_vector(127 downto 0);
--UUT 9
signal KEY_9 : std_logic_vector(191 downto 0) := (others => '0');
signal BLOCK_INPUT_9 : std_logic_vector(127 downto 0) := (others => '0');
signal BUSY_9 : std_logic;
signal BLOCK_OUTPUT_9 : std_logic_vector(127 downto 0);
--UUT 10
signal KEY_10 : std_logic_vector(255 downto 0) := (others => '0');
signal BLOCK_INPUT_10 : std_logic_vector(127 downto 0) := (others => '0');
signal BUSY_10 : std_logic;
signal BLOCK_OUTPUT_10 : std_logic_vector(127 downto 0);
-- Clock period definitions
constant SYS_CLK_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut_1: SPECK_CIPHER
GENERIC MAP (KEY_SIZE => 64,
BLOCK_SIZE => 32,
ROUND_LIMIT => Round_Count_Lookup(64, 32))
PORT MAP (
SYS_CLK => SYS_CLK,
RST => RST,
BUSY => BUSY_1,
CONTROL => CONTROL,
KEY => KEY_1,
BLOCK_INPUT => BLOCK_INPUT_1,
BLOCK_OUTPUT => BLOCK_OUTPUT_1
);
uut_2: SPECK_CIPHER
GENERIC MAP (KEY_SIZE => 72,
BLOCK_SIZE => 48,
ROUND_LIMIT => Round_Count_Lookup(72, 48))
PORT MAP (
SYS_CLK => SYS_CLK,
RST => RST,
BUSY => BUSY_2,
CONTROL => CONTROL,
KEY => KEY_2,
BLOCK_INPUT => BLOCK_INPUT_2,
BLOCK_OUTPUT => BLOCK_OUTPUT_2
);
uut_3: SPECK_CIPHER
GENERIC MAP (KEY_SIZE => 96,
BLOCK_SIZE => 48,
ROUND_LIMIT => Round_Count_Lookup(96, 48))
PORT MAP (
SYS_CLK => SYS_CLK,
RST => RST,
BUSY => BUSY_3,
CONTROL => CONTROL,
KEY => KEY_3,
BLOCK_INPUT => BLOCK_INPUT_3,
BLOCK_OUTPUT => BLOCK_OUTPUT_3
);
uut_4: SPECK_CIPHER
GENERIC MAP (KEY_SIZE => 96,
BLOCK_SIZE => 64,
ROUND_LIMIT => Round_Count_Lookup(96, 64))
PORT MAP (
SYS_CLK => SYS_CLK,
RST => RST,
BUSY => BUSY_4,
CONTROL => CONTROL,
KEY => KEY_4,
BLOCK_INPUT => BLOCK_INPUT_4,
BLOCK_OUTPUT => BLOCK_OUTPUT_4
);
uut_5: SPECK_CIPHER
GENERIC MAP (KEY_SIZE => 128,
BLOCK_SIZE => 64,
ROUND_LIMIT => Round_Count_Lookup(128, 64))
PORT MAP (
SYS_CLK => SYS_CLK,
RST => RST,
BUSY => BUSY_5,
CONTROL => CONTROL,
KEY => KEY_5,
BLOCK_INPUT => BLOCK_INPUT_5,
BLOCK_OUTPUT => BLOCK_OUTPUT_5
);
uut_6: SPECK_CIPHER
GENERIC MAP (KEY_SIZE => 96,
BLOCK_SIZE => 96,
ROUND_LIMIT => Round_Count_Lookup(96, 96))
PORT MAP (
SYS_CLK => SYS_CLK,
RST => RST,
BUSY => BUSY_6,
CONTROL => CONTROL,
KEY => KEY_6,
BLOCK_INPUT => BLOCK_INPUT_6,
BLOCK_OUTPUT => BLOCK_OUTPUT_6
);
uut_7: SPECK_CIPHER
GENERIC MAP (KEY_SIZE => 144,
BLOCK_SIZE => 96,
ROUND_LIMIT => Round_Count_Lookup(144, 96))
PORT MAP (
SYS_CLK => SYS_CLK,
RST => RST,
BUSY => BUSY_7,
CONTROL => CONTROL,
KEY => KEY_7,
BLOCK_INPUT => BLOCK_INPUT_7,
BLOCK_OUTPUT => BLOCK_OUTPUT_7
);
uut_8: SPECK_CIPHER
GENERIC MAP (KEY_SIZE => 128,
BLOCK_SIZE => 128,
ROUND_LIMIT => Round_Count_Lookup(128, 128))
PORT MAP (
SYS_CLK => SYS_CLK,
RST => RST,
BUSY => BUSY_8,
CONTROL => CONTROL,
KEY => KEY_8,
BLOCK_INPUT => BLOCK_INPUT_8,
BLOCK_OUTPUT => BLOCK_OUTPUT_8
);
uut_9: SPECK_CIPHER
GENERIC MAP (KEY_SIZE => 192,
BLOCK_SIZE => 128,
ROUND_LIMIT => Round_Count_Lookup(192, 128))
PORT MAP (
SYS_CLK => SYS_CLK,
RST => RST,
BUSY => BUSY_9,
CONTROL => CONTROL,
KEY => KEY_9,
BLOCK_INPUT => BLOCK_INPUT_9,
BLOCK_OUTPUT => BLOCK_OUTPUT_9
);
uut_10: SPECK_CIPHER
GENERIC MAP (KEY_SIZE => 256,
BLOCK_SIZE => 128,
ROUND_LIMIT => Round_Count_Lookup(256, 128))
PORT MAP (
SYS_CLK => SYS_CLK,
RST => RST,
BUSY => BUSY_10,
CONTROL => CONTROL,
KEY => KEY_10,
BLOCK_INPUT => BLOCK_INPUT_10,
BLOCK_OUTPUT => BLOCK_OUTPUT_10
);
-- Clock process definitions
SYS_CLK_process :process
begin
for i in 0 to 500 loop
SYS_CLK <= '0';
wait for SYS_CLK_period/2;
SYS_CLK <= '1';
wait for SYS_CLK_period/2;
end loop ;
wait;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
wait for SYS_CLK_period*10;
KEY_1 <= X"1918111009080100";
KEY_2 <= X"1211100a0908020100";
KEY_3 <= X"1a19181211100a0908020100";
KEY_4 <= X"131211100b0a090803020100";
KEY_5 <= X"1b1a1918131211100b0a090803020100";
KEY_6 <= X"0d0c0b0a0908050403020100";
KEY_7 <= X"1514131211100d0c0b0a0908050403020100";
KEY_8 <= X"0f0e0d0c0b0a09080706050403020100";
KEY_9 <= X"17161514131211100f0e0d0c0b0a09080706050403020100";
KEY_10 <= X"1f1e1d1c1b1a191817161514131211100f0e0d0c0b0a09080706050403020100";
CONTROL <= "01";
wait for SYS_CLK_period*3;
CONTROL <= "00";
wait for SYS_CLK_period*100;
BLOCK_INPUT_1 <= X"6574694c";
BLOCK_INPUT_2 <= X"20796c6c6172";
BLOCK_INPUT_3 <= X"6d2073696874";
BLOCK_INPUT_4 <= X"74614620736e6165";
BLOCK_INPUT_5 <= X"3b7265747475432d";
BLOCK_INPUT_6 <= X"65776f68202c656761737520";
BLOCK_INPUT_7 <= X"656d6974206e69202c726576";
BLOCK_INPUT_8 <= X"6c617669757165207469206564616d20";
BLOCK_INPUT_9 <= X"726148206665696843206f7420746e65";
BLOCK_INPUT_10 <= X"65736f6874206e49202e72656e6f6f70";
CONTROL <= "11";
wait for SYS_CLK_period*3;
CONTROL <= "00";
wait for SYS_CLK_period*100;
assert BLOCK_OUTPUT_1 /= X"a86842f2" report "UUT1 Encryption Success" severity note;
assert BLOCK_OUTPUT_1 = X"a86842f2" report "UUT1 Encryption Failed" severity failure;
assert BLOCK_OUTPUT_2 /= X"c049a5385adc" report "UUT2 Encryption Success" severity note;
assert BLOCK_OUTPUT_2 = X"c049a5385adc" report "UUT2 Encryption Failed" severity failure;
assert BLOCK_OUTPUT_3 /= X"735e10b6445d" report "UUT3 Encryption Success" severity note;
assert BLOCK_OUTPUT_3 = X"735e10b6445d" report "UUT3 Encryption Failed" severity failure;
assert BLOCK_OUTPUT_4 /= X"9f7952ec4175946c" report "UUT4 Encryption Success" severity note;
assert BLOCK_OUTPUT_4 = X"9f7952ec4175946c" report "UUT4 Encryption Failed" severity failure;
assert BLOCK_OUTPUT_5 /= X"8c6fa548454e028b" report "UUT5 Encryption Success" severity note;
assert BLOCK_OUTPUT_5 = X"8c6fa548454e028b" report "UUT5 Encryption Failed" severity failure;
assert BLOCK_OUTPUT_6 /= X"9e4d09ab717862bdde8f79aa" report "UUT6 Encryption Success" severity note;
assert BLOCK_OUTPUT_6 = X"9e4d09ab717862bdde8f79aa" report "UUT6 Encryption Failed" severity failure;
assert BLOCK_OUTPUT_7 /= X"2bf31072228a7ae440252ee6" report "UUT7 Encryption Success" severity note;
assert BLOCK_OUTPUT_7 = X"2bf31072228a7ae440252ee6" report "UUT7 Encryption Failed" severity failure;
assert BLOCK_OUTPUT_8 /= X"a65d9851797832657860fedf5c570d18" report "UUT8 Encryption Success" severity note;
assert BLOCK_OUTPUT_8 = X"a65d9851797832657860fedf5c570d18" report "UUT8 Encryption Failed" severity failure;
assert BLOCK_OUTPUT_9 /= X"1be4cf3a13135566f9bc185de03c1886" report "UUT9 Encryption Success" severity note;
assert BLOCK_OUTPUT_9 = X"1be4cf3a13135566f9bc185de03c1886" report "UUT9 Encryption Failed" severity failure;
assert BLOCK_OUTPUT_10 /= X"4109010405c0f53e4eeeb48d9c188f43" report "UUT10 Encryption Success" severity note;
assert BLOCK_OUTPUT_10 = X"4109010405c0f53e4eeeb48d9c188f43" report "UUT10 Encryption Failed" severity failure;
BLOCK_INPUT_1 <= X"a86842f2";
BLOCK_INPUT_2 <= X"c049a5385adc";
BLOCK_INPUT_3 <= X"735e10b6445d";
BLOCK_INPUT_4 <= X"9f7952ec4175946c";
BLOCK_INPUT_5 <= X"8c6fa548454e028b";
BLOCK_INPUT_6 <= X"9e4d09ab717862bdde8f79aa";
BLOCK_INPUT_7 <= X"2bf31072228a7ae440252ee6";
BLOCK_INPUT_8 <= X"a65d9851797832657860fedf5c570d18";
BLOCK_INPUT_9 <= X"1be4cf3a13135566f9bc185de03c1886";
BLOCK_INPUT_10 <= X"4109010405c0f53e4eeeb48d9c188f43";
CONTROL <= "10";
wait for SYS_CLK_period*3;
CONTROL <= "00";
wait for SYS_CLK_period*100;
assert BLOCK_OUTPUT_1 /= X"6574694c" report "UUT1 Decryption Success" severity note;
assert BLOCK_OUTPUT_1 = X"6574694c" report "UUT1 Decryption Failed" severity failure;
assert BLOCK_OUTPUT_2 /= X"20796c6c6172" report "UUT2 Decryption Success" severity note;
assert BLOCK_OUTPUT_2 = X"20796c6c6172" report "UUT2 Decryption Failed" severity failure;
assert BLOCK_OUTPUT_3 /= X"6d2073696874" report "UUT3 Decryption Success" severity note;
assert BLOCK_OUTPUT_3 = X"6d2073696874" report "UUT3 Decryption Failed" severity failure;
assert BLOCK_OUTPUT_4 /= X"74614620736e6165" report "UUT4 Decryption Success" severity note;
assert BLOCK_OUTPUT_4 = X"74614620736e6165" report "UUT4 Decryption Failed" severity failure;
assert BLOCK_OUTPUT_5 /= X"3b7265747475432d" report "UUT5 Decryption Success" severity note;
assert BLOCK_OUTPUT_5 = X"3b7265747475432d" report "UUT5 Decryption Failed" severity failure;
assert BLOCK_OUTPUT_6 /= X"65776f68202c656761737520" report "UUT6 Decryption Success" severity note;
assert BLOCK_OUTPUT_6 = X"65776f68202c656761737520" report "UUT6 Decryption Failed" severity failure;
assert BLOCK_OUTPUT_7 /= X"656d6974206e69202c726576" report "UUT7 Decryption Success" severity note;
assert BLOCK_OUTPUT_7 = X"656d6974206e69202c726576" report "UUT7 Decryption Failed" severity failure;
assert BLOCK_OUTPUT_8 /= X"6c617669757165207469206564616d20" report "UUT8 Decryption Success" severity note;
assert BLOCK_OUTPUT_8 = X"6c617669757165207469206564616d20" report "UUT8 Decryption Failed" severity failure;
assert BLOCK_OUTPUT_9 /= X"726148206665696843206f7420746e65" report "UUT9 Decryption Success" severity note;
assert BLOCK_OUTPUT_9 = X"726148206665696843206f7420746e65" report "UUT9 Decryption Failed" severity failure;
assert BLOCK_OUTPUT_10 /= X"65736f6874206e49202e72656e6f6f70" report "UUT10 Decryption Success" severity note;
assert BLOCK_OUTPUT_10 = X"65736f6874206e49202e72656e6f6f70" report "UUT10 Decryption Failed" severity failure;
-- insert stimulus here
wait;
end process;
END;
| mit | 223d39968c50c9988e3fa1b2a177c6fb | 0.642798 | 3.191658 | false | false | false | false |
timvideos/HDMI2USB-jahanzeb-firmware | ipcore_dir/ddr2ram/example_design/sim/functional/sim_tb_top.vhd | 3 | 16,489 | --*****************************************************************************
-- (c) Copyright 2009 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--*****************************************************************************
-- ____ ____
-- / /\/ /
-- /___/ \ / Vendor : Xilinx
-- \ \ \/ Version : 3.92
-- \ \ Application : MIG
-- / / Filename : sim_tb_top.vhd
-- /___/ /\ Date Last Modified : $Date: 2011/06/02 07:16:56 $
-- \ \ / \ Date Created : Jul 03 2009
-- \___\/\___\
--
-- Device : Spartan-6
-- Design Name : DDR/DDR2/DDR3/LPDDR
-- Purpose : This is the simulation testbench which is used to verify the
-- design. The basic clocks and resets to the interface are
-- generated here. This also connects the memory interface to the
-- memory model.
--*****************************************************************************
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
entity sim_tb_top is
end entity sim_tb_top;
architecture arch of sim_tb_top is
-- ========================================================================== --
-- Parameters --
-- ========================================================================== --
constant DEBUG_EN : integer :=0;
constant C3_HW_TESTING : string := "FALSE";
function c3_sim_hw (val1:std_logic_vector( 31 downto 0); val2: std_logic_vector( 31 downto 0) ) return std_logic_vector is
begin
if (C3_HW_TESTING = "FALSE") then
return val1;
else
return val2;
end if;
end function;
constant C3_MEMCLK_PERIOD : integer := 3200;
constant C3_RST_ACT_LOW : integer := 0;
constant C3_INPUT_CLK_TYPE : string := "SINGLE_ENDED";
constant C3_CLK_PERIOD_NS : real := 3200.0 / 1000.0;
constant C3_TCYC_SYS : real := C3_CLK_PERIOD_NS/2.0;
constant C3_TCYC_SYS_DIV2 : time := C3_TCYC_SYS * 1 ns;
constant C3_NUM_DQ_PINS : integer := 16;
constant C3_MEM_ADDR_WIDTH : integer := 13;
constant C3_MEM_BANKADDR_WIDTH : integer := 3;
constant C3_MEM_ADDR_ORDER : string := "ROW_BANK_COLUMN";
constant C3_P0_MASK_SIZE : integer := 4;
constant C3_P0_DATA_PORT_SIZE : integer := 32;
constant C3_P1_MASK_SIZE : integer := 4;
constant C3_P1_DATA_PORT_SIZE : integer := 32;
constant C3_CALIB_SOFT_IP : string := "TRUE";
constant C3_SIMULATION : string := "TRUE";
-- ========================================================================== --
-- Component Declarations
-- ========================================================================== --
component example_top is
generic
(
C3_P0_MASK_SIZE : integer;
C3_P0_DATA_PORT_SIZE : integer;
C3_P1_MASK_SIZE : integer;
C3_P1_DATA_PORT_SIZE : integer;
C3_MEMCLK_PERIOD : integer;
C3_RST_ACT_LOW : integer;
C3_INPUT_CLK_TYPE : string;
DEBUG_EN : integer;
C3_CALIB_SOFT_IP : string;
C3_SIMULATION : string;
C3_HW_TESTING : string;
C3_MEM_ADDR_ORDER : string;
C3_NUM_DQ_PINS : integer;
C3_MEM_ADDR_WIDTH : integer;
C3_MEM_BANKADDR_WIDTH : integer
);
port
(
calib_done : out std_logic;
error : out std_logic;
mcb3_dram_dq : inout std_logic_vector(C3_NUM_DQ_PINS-1 downto 0);
mcb3_dram_a : out std_logic_vector(C3_MEM_ADDR_WIDTH-1 downto 0);
mcb3_dram_ba : out std_logic_vector(C3_MEM_BANKADDR_WIDTH-1 downto 0);
mcb3_dram_ras_n : out std_logic;
mcb3_dram_cas_n : out std_logic;
mcb3_dram_we_n : out std_logic;
mcb3_dram_odt : out std_logic;
mcb3_dram_cke : out std_logic;
mcb3_dram_dm : out std_logic;
mcb3_rzq : inout std_logic;
mcb3_zio : inout std_logic;
c3_sys_clk : in std_logic;
c3_sys_rst_i : in std_logic;
mcb3_dram_dqs : inout std_logic;
mcb3_dram_dqs_n : inout std_logic;
mcb3_dram_udqs : inout std_logic;
mcb3_dram_udqs_n : inout std_logic;
mcb3_dram_udm : out std_logic;
mcb3_dram_ck : out std_logic;
mcb3_dram_ck_n : out std_logic
);
end component;
component ddr2_model_c3 is
port (
ck : in std_logic;
ck_n : in std_logic;
cke : in std_logic;
cs_n : in std_logic;
ras_n : in std_logic;
cas_n : in std_logic;
we_n : in std_logic;
dm_rdqs : inout std_logic_vector((C3_NUM_DQ_PINS/16) downto 0);
ba : in std_logic_vector((C3_MEM_BANKADDR_WIDTH - 1) downto 0);
addr : in std_logic_vector((C3_MEM_ADDR_WIDTH - 1) downto 0);
dq : inout std_logic_vector((C3_NUM_DQ_PINS - 1) downto 0);
dqs : inout std_logic_vector((C3_NUM_DQ_PINS/16) downto 0);
dqs_n : inout std_logic_vector((C3_NUM_DQ_PINS/16) downto 0);
rdqs_n : out std_logic_vector((C3_NUM_DQ_PINS/16) downto 0);
odt : in std_logic
);
end component;
-- ========================================================================== --
-- Signal Declarations --
-- ========================================================================== --
-- Clocks
signal c3_sys_clk : std_logic := '0';
signal c3_sys_clk_p : std_logic;
signal c3_sys_clk_n : std_logic;
-- System Reset
signal c3_sys_rst : std_logic := '0';
signal c3_sys_rst_i : std_logic;
-- Design-Top Port Map
signal mcb3_dram_a : std_logic_vector(C3_MEM_ADDR_WIDTH-1 downto 0);
signal mcb3_dram_ba : std_logic_vector(C3_MEM_BANKADDR_WIDTH-1 downto 0);
signal mcb3_dram_ck : std_logic;
signal mcb3_dram_ck_n : std_logic;
signal mcb3_dram_dq : std_logic_vector(C3_NUM_DQ_PINS-1 downto 0);
signal mcb3_dram_dqs : std_logic;
signal mcb3_dram_dqs_n : std_logic;
signal mcb3_dram_dm : std_logic;
signal mcb3_dram_ras_n : std_logic;
signal mcb3_dram_cas_n : std_logic;
signal mcb3_dram_we_n : std_logic;
signal mcb3_dram_cke : std_logic;
signal mcb3_dram_odt : std_logic;
signal calib_done : std_logic;
signal error : std_logic;
signal mcb3_dram_udqs : std_logic;
signal mcb3_dram_udqs_n : std_logic;
signal mcb3_dram_dqs_vector : std_logic_vector(1 downto 0);
signal mcb3_dram_dqs_n_vector : std_logic_vector(1 downto 0);
signal mcb3_dram_udm :std_logic; -- for X16 parts
signal mcb3_dram_dm_vector : std_logic_vector(1 downto 0);
signal mcb3_command : std_logic_vector(2 downto 0);
signal mcb3_enable1 : std_logic;
signal mcb3_enable2 : std_logic;
signal rzq3 : std_logic;
signal zio3 : std_logic;
function vector (asi:std_logic) return std_logic_vector is
variable v : std_logic_vector(0 downto 0) ;
begin
v(0) := asi;
return(v);
end function vector;
begin
-- ========================================================================== --
-- Clocks Generation --
-- ========================================================================== --
process
begin
c3_sys_clk <= not c3_sys_clk;
wait for (C3_TCYC_SYS_DIV2);
end process;
c3_sys_clk_p <= c3_sys_clk;
c3_sys_clk_n <= not c3_sys_clk;
-- ========================================================================== --
-- Reset Generation --
-- ========================================================================== --
process
begin
c3_sys_rst <= '0';
wait for 200 ns;
c3_sys_rst <= '1';
wait;
end process;
c3_sys_rst_i <= c3_sys_rst when (C3_RST_ACT_LOW = 1) else (not c3_sys_rst);
-- The PULLDOWN component is connected to the ZIO signal primarily to avoid the
-- unknown state in simulation. In real hardware, ZIO should be a no connect(NC) pin.
zio_pulldown3 : PULLDOWN port map(O => zio3);
rzq_pulldown3 : PULLDOWN port map(O => rzq3);
-- ========================================================================== --
-- DESIGN TOP INSTANTIATION --
-- ========================================================================== --
design_top : example_top generic map
(
C3_P0_MASK_SIZE => C3_P0_MASK_SIZE,
C3_P0_DATA_PORT_SIZE => C3_P0_DATA_PORT_SIZE,
C3_P1_MASK_SIZE => C3_P1_MASK_SIZE,
C3_P1_DATA_PORT_SIZE => C3_P1_DATA_PORT_SIZE,
C3_MEMCLK_PERIOD => C3_MEMCLK_PERIOD,
C3_RST_ACT_LOW => C3_RST_ACT_LOW,
C3_INPUT_CLK_TYPE => C3_INPUT_CLK_TYPE,
DEBUG_EN => DEBUG_EN,
C3_MEM_ADDR_ORDER => C3_MEM_ADDR_ORDER,
C3_NUM_DQ_PINS => C3_NUM_DQ_PINS,
C3_MEM_ADDR_WIDTH => C3_MEM_ADDR_WIDTH,
C3_MEM_BANKADDR_WIDTH => C3_MEM_BANKADDR_WIDTH,
C3_HW_TESTING => C3_HW_TESTING,
C3_SIMULATION => C3_SIMULATION,
C3_CALIB_SOFT_IP => C3_CALIB_SOFT_IP
)
port map (
calib_done => calib_done,
error => error,
c3_sys_clk => c3_sys_clk,
c3_sys_rst_i => c3_sys_rst_i,
mcb3_dram_dq => mcb3_dram_dq,
mcb3_dram_a => mcb3_dram_a,
mcb3_dram_ba => mcb3_dram_ba,
mcb3_dram_ras_n => mcb3_dram_ras_n,
mcb3_dram_cas_n => mcb3_dram_cas_n,
mcb3_dram_we_n => mcb3_dram_we_n,
mcb3_dram_odt => mcb3_dram_odt,
mcb3_dram_cke => mcb3_dram_cke,
mcb3_dram_ck => mcb3_dram_ck,
mcb3_dram_ck_n => mcb3_dram_ck_n,
mcb3_dram_dqs_n => mcb3_dram_dqs_n,
mcb3_dram_udqs => mcb3_dram_udqs, -- for X16 parts
mcb3_dram_udqs_n => mcb3_dram_udqs_n, -- for X16 parts
mcb3_dram_udm => mcb3_dram_udm, -- for X16 parts
mcb3_dram_dm => mcb3_dram_dm,
mcb3_rzq => rzq3,
mcb3_zio => zio3,
mcb3_dram_dqs => mcb3_dram_dqs
);
-- ========================================================================== --
-- Memory model instances --
-- ========================================================================== --
mcb3_command <= (mcb3_dram_ras_n & mcb3_dram_cas_n & mcb3_dram_we_n);
process(mcb3_dram_ck)
begin
if (rising_edge(mcb3_dram_ck)) then
if (c3_sys_rst = '0') then
mcb3_enable1 <= '0';
mcb3_enable2 <= '0';
elsif (mcb3_command = "100") then
mcb3_enable2 <= '0';
elsif (mcb3_command = "101") then
mcb3_enable2 <= '1';
else
mcb3_enable2 <= mcb3_enable2;
end if;
mcb3_enable1 <= mcb3_enable2;
end if;
end process;
-----------------------------------------------------------------------------
--read
-----------------------------------------------------------------------------
mcb3_dram_dqs_vector(1 downto 0) <= (mcb3_dram_udqs & mcb3_dram_dqs)
when (mcb3_enable2 = '0' and mcb3_enable1 = '0')
else "ZZ";
mcb3_dram_dqs_n_vector(1 downto 0) <= (mcb3_dram_udqs_n & mcb3_dram_dqs_n)
when (mcb3_enable2 = '0' and mcb3_enable1 = '0')
else "ZZ";
-----------------------------------------------------------------------------
--write
-----------------------------------------------------------------------------
mcb3_dram_dqs <= mcb3_dram_dqs_vector(0)
when ( mcb3_enable1 = '1') else 'Z';
mcb3_dram_udqs <= mcb3_dram_dqs_vector(1)
when (mcb3_enable1 = '1') else 'Z';
mcb3_dram_dqs_n <= mcb3_dram_dqs_n_vector(0)
when (mcb3_enable1 = '1') else 'Z';
mcb3_dram_udqs_n <= mcb3_dram_dqs_n_vector(1)
when (mcb3_enable1 = '1') else 'Z';
mcb3_dram_dm_vector <= (mcb3_dram_udm & mcb3_dram_dm);
u_mem_c3 : ddr2_model_c3 port map(
ck => mcb3_dram_ck,
ck_n => mcb3_dram_ck_n,
cke => mcb3_dram_cke,
cs_n => '0',
ras_n => mcb3_dram_ras_n,
cas_n => mcb3_dram_cas_n,
we_n => mcb3_dram_we_n,
dm_rdqs => mcb3_dram_dm_vector ,
ba => mcb3_dram_ba,
addr => mcb3_dram_a,
dq => mcb3_dram_dq,
dqs => mcb3_dram_dqs_vector,
dqs_n => mcb3_dram_dqs_n_vector,
rdqs_n => open,
odt => mcb3_dram_odt
);
-----------------------------------------------------------------------------
-- Reporting the test case status
-----------------------------------------------------------------------------
Logging: process
begin
wait for 200 us;
if (calib_done = '1') then
if (error = '0') then
report ("****TEST PASSED****");
else
report ("****TEST FAILED: DATA ERROR****");
end if;
else
report ("****TEST FAILED: INITIALIZATION DID NOT COMPLETE****");
end if;
end process;
end architecture;
| bsd-2-clause | 4e16a25aff54d5c359c623295771b9c4 | 0.476014 | 3.707059 | false | false | false | false |
pvarin/SampleVHDL | testbench/gates_tb.vhd | 1 | 981 | library ieee;
use ieee.std_logic_1164.all;
entity gates_tb is
generic(half_period : Time := 1 ns);
end gates_tb;
architecture behavior of gates_tb is
-- declare signals
signal clk: std_logic := '0';
signal clk2: std_logic := '0';
signal and_out, or_out, not_out: std_logic;
begin
-- declare and map gate to test
or2 : entity work.OR2(basic)
port map (A => clk, B => clk2, F => or_out);
and2 : entity work.AND2(basic)
port map (A => clk, B => clk2, F => and_out);
not2 : entity work.NOT2(basic)
port map (A => clk, F => not_out);
-- define test process
-- test:
-- wire things here
-- setup the clock
clock : process begin
clk <= not clk;
wait for half_period;
assert NOW <= 200 ns report "End of Test" severity error;
end process clock;
-- setup the second clock
clock2 : process begin
clk2 <= not clk2;
wait for half_period*2;
assert NOW <= 200 ns report "End of Test" severity error;
end process clock2;
end architecture; -- behavior | bsd-3-clause | 04c103a63b17fde66479a68bffaa0cf1 | 0.66055 | 2.937126 | false | true | false | false |
shailcoolboy/Warp-Trinity | PlatformSupport/Deprecated/pcores/Aurora_MGT/Pcore/mgt_fifo1_v1_00_a/hdl/vhdl/mgt_fifo1.vhd | 2 | 29,287 | ------------------------------------------------------------------------------
-- mgt_fifo1.vhd - entity/architecture pair
------------------------------------------------------------------------------
-- IMPORTANT:
-- DO NOT MODIFY THIS FILE EXCEPT IN THE DESIGNATED SECTIONS.
--
-- SEARCH FOR --USER TO DETERMINE WHERE CHANGES ARE ALLOWED.
--
-- TYPICALLY, THE ONLY ACCEPTABLE CHANGES INVOLVE ADDING NEW
-- PORTS AND GENERICS THAT GET PASSED THROUGH TO THE INSTANTIATION
-- OF THE USER_LOGIC ENTITY.
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2005 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ** YOU MAY COPY AND MODIFY THESE FILES FOR YOUR OWN INTERNAL USE SOLELY **
-- ** WITH XILINX PROGRAMMABLE LOGIC DEVICES AND XILINX EDK SYSTEM OR **
-- ** CREATE IP MODULES SOLELY FOR XILINX PROGRAMMABLE LOGIC DEVICES AND **
-- ** XILINX EDK SYSTEM. NO RIGHTS ARE GRANTED TO DISTRIBUTE ANY FILES **
-- ** UNLESS THEY ARE DISTRIBUTED IN XILINX PROGRAMMABLE LOGIC DEVICES. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: mgt_fifo1.vhd
-- Version: 1.00.a
-- Description: Top level design, instantiates IPIF and user logic.
-- Date: Tue Jul 05 10:18:46 2005 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v2_00_a;
use proc_common_v2_00_a.proc_common_pkg.all;
use proc_common_v2_00_a.ipif_pkg.all;
library opb_ipif_v3_01_a;
use opb_ipif_v3_01_a.all;
library mgt_fifo1_v1_00_a;
use mgt_fifo1_v1_00_a.all;
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_BASEADDR -- User logic base address
-- C_HIGHADDR -- User logic high address
-- C_OPB_AWIDTH -- OPB address bus width
-- C_OPB_DWIDTH -- OPB data bus width
-- C_FAMILY -- Target FPGA architecture
--
-- Definition of Ports:
-- OPB_Clk -- OPB Clock
-- OPB_Rst -- OPB Reset
-- Sl_DBus -- Slave data bus
-- Sl_errAck -- Slave error acknowledge
-- Sl_retry -- Slave retry
-- Sl_toutSup -- Slave timeout suppress
-- Sl_xferAck -- Slave transfer acknowledge
-- OPB_ABus -- OPB address bus
-- OPB_BE -- OPB byte enable
-- OPB_DBus -- OPB data bus
-- OPB_RNW -- OPB read/not write
-- OPB_select -- OPB select
-- OPB_seqAddr -- OPB sequential address
------------------------------------------------------------------------------
entity mgt_fifo1 is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_BASEADDR : std_logic_vector := X"00000000";
C_HIGHADDR : std_logic_vector := X"0000FFFF";
C_OPB_AWIDTH : integer := 32;
C_OPB_DWIDTH : integer := 32;
C_FAMILY : string := "virtex2p"
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
iTOP_REF_CLK : in std_logic;
iRXN : in std_logic;
iRXP : in std_logic;
iTXN : out std_logic;
iTXP : out std_logic;
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
OPB_Clk : in std_logic;
OPB_Rst : in std_logic;
Sl_DBus : out std_logic_vector(0 to C_OPB_DWIDTH-1);
Sl_errAck : out std_logic;
Sl_retry : out std_logic;
Sl_toutSup : out std_logic;
Sl_xferAck : out std_logic;
OPB_ABus : in std_logic_vector(0 to C_OPB_AWIDTH-1);
OPB_BE : in std_logic_vector(0 to C_OPB_DWIDTH/8-1);
OPB_DBus : in std_logic_vector(0 to C_OPB_DWIDTH-1);
OPB_RNW : in std_logic;
OPB_select : in std_logic;
OPB_seqAddr : in std_logic
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
attribute SIGIS : string;
attribute SIGIS of OPB_Clk : signal is "Clk";
attribute SIGIS of OPB_Rst : signal is "Rst";
end entity mgt_fifo1;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of mgt_fifo1 is
------------------------------------------
-- Constant: array of address range identifiers
------------------------------------------
constant ARD_ID_ARRAY : INTEGER_ARRAY_TYPE :=
(
0 => USER_00, -- user logic S/W register address space
1 => IPIF_RDFIFO_REG, -- include read FIFO register service
2 => IPIF_RDFIFO_DATA, -- include read FIFO service
3 => IPIF_WRFIFO_REG, -- include write FIFO register service
4 => IPIF_WRFIFO_DATA -- include write FIFO service
);
------------------------------------------
-- Constant: array of address pairs for each address range
------------------------------------------
constant ZERO_ADDR_PAD : std_logic_vector(0 to 64-C_OPB_AWIDTH-1) := (others => '0');
constant USER_BASEADDR : std_logic_vector := C_BASEADDR or X"00000000";
constant USER_HIGHADDR : std_logic_vector := C_BASEADDR or X"000000FF";
constant RDFIFO_REG_BASEADDR : std_logic_vector := C_BASEADDR or X"00000100";
constant RDFIFO_REG_HIGHADDR : std_logic_vector := C_BASEADDR or X"000001FF";
constant RDFIFO_DATA_BASEADDR : std_logic_vector := C_BASEADDR or X"00000200";
constant RDFIFO_DATA_HIGHADDR : std_logic_vector := C_BASEADDR or X"000002FF";
constant WRFIFO_REG_BASEADDR : std_logic_vector := C_BASEADDR or X"00000300";
constant WRFIFO_REG_HIGHADDR : std_logic_vector := C_BASEADDR or X"000003FF";
constant WRFIFO_DATA_BASEADDR : std_logic_vector := C_BASEADDR or X"00000400";
constant WRFIFO_DATA_HIGHADDR : std_logic_vector := C_BASEADDR or X"000004FF";
constant ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE :=
(
ZERO_ADDR_PAD & USER_BASEADDR, -- user logic base address
ZERO_ADDR_PAD & USER_HIGHADDR, -- user logic high address
ZERO_ADDR_PAD & RDFIFO_REG_BASEADDR, -- read FIFO register base address
ZERO_ADDR_PAD & RDFIFO_REG_HIGHADDR, -- read FIFO register high address
ZERO_ADDR_PAD & RDFIFO_DATA_BASEADDR, -- read FIFO data base address
ZERO_ADDR_PAD & RDFIFO_DATA_HIGHADDR, -- read FIFO data high address
ZERO_ADDR_PAD & WRFIFO_REG_BASEADDR, -- write FIFO register base address
ZERO_ADDR_PAD & WRFIFO_REG_HIGHADDR, -- write FIFO register high address
ZERO_ADDR_PAD & WRFIFO_DATA_BASEADDR, -- write FIFO data base address
ZERO_ADDR_PAD & WRFIFO_DATA_HIGHADDR -- write FIFO data high address
);
------------------------------------------
-- Constant: array of data widths for each target address range
------------------------------------------
constant USER_DWIDTH : integer := 32;
constant USER_RDFIFO_DWIDTH : integer := 32;
constant USER_WRFIFO_DWIDTH : integer := 32;
constant ARD_DWIDTH_ARRAY : INTEGER_ARRAY_TYPE :=
(
0 => USER_DWIDTH, -- user logic data width
1 => C_OPB_DWIDTH, -- read FIFO register data width
2 => USER_RDFIFO_DWIDTH, -- read FIFO data width
3 => C_OPB_DWIDTH, -- write FIFO register data width
4 => USER_WRFIFO_DWIDTH -- write FIFO data width
);
------------------------------------------
-- Constant: array of desired number of chip enables for each address range
------------------------------------------
constant USER_NUM_CE : integer := 2;
constant ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE :=
(
0 => pad_power2(USER_NUM_CE), -- user logic number of CEs
1 => 2, -- read FIFO register - 2 CEs
2 => 1, -- read FIFO data - 1 CE
3 => 2, -- write FIFO register - 2 CEs
4 => 1 -- write FIFO data - 1 CE
);
------------------------------------------
-- Constant: array of unique properties for each address range
------------------------------------------
constant USER_RDFIFO_DEPTH : integer := 512;
constant USER_RDFIFO_INCLUDE_PACKET_MODE : boolean := false;
constant USER_RDFIFO_INCLUDE_VACANCY : boolean := true;
constant USER_WRFIFO_DEPTH : integer := 512;
constant USER_WRFIFO_INCLUDE_PACKET_MODE : boolean := false;
constant USER_WRFIFO_INCLUDE_VACANCY : boolean := true;
constant ARD_DEPENDENT_PROPS_ARRAY : DEPENDENT_PROPS_ARRAY_TYPE :=
(
0 => (others => 0), -- user logic slave space dependent properties (none defined)
1 => (others => 0), -- IPIF read pfifo register dependent properties (none defined)
2 => ( -- IPIF read pfifo data dependent properties
FIFO_CAPACITY_BITS => USER_RDFIFO_DEPTH*USER_RDFIFO_DWIDTH,
WR_WIDTH_BITS => USER_RDFIFO_DWIDTH,
RD_WIDTH_BITS => USER_RDFIFO_DWIDTH,
EXCLUDE_PACKET_MODE => 1-boolean'pos(USER_RDFIFO_INCLUDE_PACKET_MODE),
EXCLUDE_VACANCY => 1-boolean'pos(USER_RDFIFO_INCLUDE_VACANCY),
others => 0),
3 => (others => 0), -- IPIF write pfifo register dependent properties (none defined)
4 => ( -- IPIF write pfifo data dependent properties
FIFO_CAPACITY_BITS => USER_WRFIFO_DEPTH*USER_WRFIFO_DWIDTH,
WR_WIDTH_BITS => USER_WRFIFO_DWIDTH,
RD_WIDTH_BITS => USER_WRFIFO_DWIDTH,
EXCLUDE_PACKET_MODE => 1-boolean'pos(USER_WRFIFO_INCLUDE_PACKET_MODE),
EXCLUDE_VACANCY => 1-boolean'pos(USER_WRFIFO_INCLUDE_VACANCY),
others => 0)
);
------------------------------------------
-- Constant: pipeline mode
-- 1 = include OPB-In pipeline registers
-- 2 = include IP pipeline registers
-- 3 = include OPB-In and IP pipeline registers
-- 4 = include OPB-Out pipeline registers
-- 5 = include OPB-In and OPB-Out pipeline registers
-- 6 = include IP and OPB-Out pipeline registers
-- 7 = include OPB-In, IP, and OPB-Out pipeline registers
-- Note:
-- only mode 4, 5, 7 are supported for this release
------------------------------------------
constant PIPELINE_MODEL : integer := 5;
------------------------------------------
-- Constant: user core ID code
------------------------------------------
constant DEV_BLK_ID : integer := 0;
------------------------------------------
-- Constant: enable MIR/Reset register
------------------------------------------
constant DEV_MIR_ENABLE : integer := 0;
------------------------------------------
-- Constant: array of IP interrupt mode
-- 1 = Active-high interrupt condition
-- 2 = Active-low interrupt condition
-- 3 = Active-high pulse interrupt event
-- 4 = Active-low pulse interrupt event
-- 5 = Positive-edge interrupt event
-- 6 = Negative-edge interrupt event
------------------------------------------
constant IP_INTR_MODE_ARRAY : INTEGER_ARRAY_TYPE :=
(
0 => 0 -- not used
);
------------------------------------------
-- Constant: enable device burst
------------------------------------------
constant DEV_BURST_ENABLE : integer := 0;
------------------------------------------
-- Constant: include address counter for burst transfers
------------------------------------------
constant INCLUDE_ADDR_CNTR : integer := 0;
------------------------------------------
-- Constant: include write buffer that decouples OPB and IPIC write transactions
------------------------------------------
constant INCLUDE_WR_BUF : integer := 0;
------------------------------------------
-- Constant: index for CS/CE
------------------------------------------
constant USER00_CS_INDEX : integer := get_id_index(ARD_ID_ARRAY, USER_00);
constant USER00_CE_INDEX : integer := calc_start_ce_index(ARD_NUM_CE_ARRAY, USER00_CS_INDEX);
------------------------------------------
-- IP Interconnect (IPIC) signal declarations -- do not delete
-- prefix 'i' stands for IPIF while prefix 'u' stands for user logic
-- typically user logic will be hooked up to IPIF directly via i<sig>
-- unless signal slicing and muxing are needed via u<sig>
------------------------------------------
signal iBus2IP_RdCE : std_logic_vector(0 to calc_num_ce(ARD_NUM_CE_ARRAY)-1);
signal iBus2IP_WrCE : std_logic_vector(0 to calc_num_ce(ARD_NUM_CE_ARRAY)-1);
signal iBus2IP_Data : std_logic_vector(0 to C_OPB_DWIDTH-1);
signal iBus2IP_BE : std_logic_vector(0 to C_OPB_DWIDTH/8-1);
signal iIP2Bus_Data : std_logic_vector(0 to C_OPB_DWIDTH-1) := (others => '0');
signal iIP2Bus_Ack : std_logic := '0';
signal iIP2Bus_Error : std_logic := '0';
signal iIP2Bus_Retry : std_logic := '0';
signal iIP2Bus_ToutSup : std_logic := '0';
signal ZERO_IP2Bus_PostedWrInh : std_logic_vector(0 to ARD_ID_ARRAY'length-1) := (others => '0'); -- work around for XST not taking (others => '0') in port mapping
signal iIP2RFIFO_Data : std_logic_vector(0 to ARD_DWIDTH_ARRAY(get_id_index_iboe(ARD_ID_ARRAY, IPIF_RDFIFO_DATA))-1) := (others => '0');
signal iIP2RFIFO_WrReq : std_logic := '0';
signal iRFIFO2IP_AlmostFull : std_logic;
signal iRFIFO2IP_Full : std_logic;
signal iRFIFO2IP_Vacancy : std_logic_vector(0 to bits_needed_for_vac(find_ard_id(ARD_ID_ARRAY, IPIF_RDFIFO_DATA), ARD_DEPENDENT_PROPS_ARRAY(get_id_index_iboe(ARD_ID_ARRAY, IPIF_RDFIFO_DATA)))-1);
signal iRFIFO2IP_WrAck : std_logic;
signal iIP2WFIFO_RdReq : std_logic := '0';
signal iWFIFO2IP_AlmostEmpty : std_logic;
signal iWFIFO2IP_Data : std_logic_vector(0 to ARD_DWIDTH_ARRAY(get_id_index_iboe(ARD_ID_ARRAY, IPIF_WRFIFO_DATA))-1) := (others => '0');
signal iWFIFO2IP_Empty : std_logic;
signal iWFIFO2IP_Occupancy : std_logic_vector(0 to bits_needed_for_vac(find_ard_id(ARD_ID_ARRAY, IPIF_WRFIFO_DATA), ARD_DEPENDENT_PROPS_ARRAY(get_id_index_iboe(ARD_ID_ARRAY, IPIF_WRFIFO_DATA)))-1);
signal iWFIFO2IP_RdAck : std_logic;
signal ZERO_IP2Bus_IntrEvent : std_logic_vector(0 to IP_INTR_MODE_ARRAY'length-1) := (others => '0'); -- work around for XST not taking (others => '0') in port mapping
signal iBus2IP_Clk : std_logic;
signal iBus2IP_Reset : std_logic;
signal uBus2IP_Data : std_logic_vector(0 to USER_DWIDTH-1);
signal uBus2IP_BE : std_logic_vector(0 to USER_DWIDTH/8-1);
signal uBus2IP_RdCE : std_logic_vector(0 to USER_NUM_CE-1);
signal uBus2IP_WrCE : std_logic_vector(0 to USER_NUM_CE-1);
signal uIP2Bus_Data : std_logic_vector(0 to USER_DWIDTH-1);
------------------------------------------
-- Component declaration for verilog user logic
------------------------------------------
component user_logic is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_DWIDTH : integer := 32;
C_NUM_CE : integer := 2;
C_RDFIFO_DWIDTH : integer := 32;
C_RDFIFO_DEPTH : integer := 512;
C_WRFIFO_DWIDTH : integer := 32;
C_WRFIFO_DEPTH : integer := 512
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
TOP_REF_CLK : in std_logic;
RXP : in std_logic;
RXN : in std_logic;
TXP : out std_logic;
TXN : out std_logic;
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Data : in std_logic_vector(0 to C_DWIDTH-1);
Bus2IP_BE : in std_logic_vector(0 to C_DWIDTH/8-1);
Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_CE-1);
Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_CE-1);
IP2Bus_Data : out std_logic_vector(0 to C_DWIDTH-1);
IP2Bus_Ack : out std_logic;
IP2Bus_Retry : out std_logic;
IP2Bus_Error : out std_logic;
IP2Bus_ToutSup : out std_logic;
IP2RFIFO_WrReq : out std_logic;
IP2RFIFO_Data : out std_logic_vector(0 to C_RDFIFO_DWIDTH-1);
RFIFO2IP_WrAck : in std_logic;
RFIFO2IP_AlmostFull : in std_logic;
RFIFO2IP_Full : in std_logic;
RFIFO2IP_Vacancy : in std_logic_vector(0 to log2(C_RDFIFO_DEPTH));
IP2WFIFO_RdReq : out std_logic;
WFIFO2IP_Data : in std_logic_vector(0 to C_WRFIFO_DWIDTH-1);
WFIFO2IP_RdAck : in std_logic;
WFIFO2IP_AlmostEmpty : in std_logic;
WFIFO2IP_Empty : in std_logic;
WFIFO2IP_Occupancy : in std_logic_vector(0 to log2(C_WRFIFO_DEPTH))
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
end component user_logic;
begin
------------------------------------------
-- instantiate the OPB IPIF
------------------------------------------
OPB_IPIF_I : entity opb_ipif_v3_01_a.opb_ipif
generic map
(
C_ARD_ID_ARRAY => ARD_ID_ARRAY,
C_ARD_ADDR_RANGE_ARRAY => ARD_ADDR_RANGE_ARRAY,
C_ARD_DWIDTH_ARRAY => ARD_DWIDTH_ARRAY,
C_ARD_NUM_CE_ARRAY => ARD_NUM_CE_ARRAY,
C_ARD_DEPENDENT_PROPS_ARRAY => ARD_DEPENDENT_PROPS_ARRAY,
C_PIPELINE_MODEL => PIPELINE_MODEL,
C_DEV_BLK_ID => DEV_BLK_ID,
C_DEV_MIR_ENABLE => DEV_MIR_ENABLE,
C_OPB_AWIDTH => C_OPB_AWIDTH,
C_OPB_DWIDTH => C_OPB_DWIDTH,
C_FAMILY => C_FAMILY,
C_IP_INTR_MODE_ARRAY => IP_INTR_MODE_ARRAY,
C_DEV_BURST_ENABLE => DEV_BURST_ENABLE,
C_INCLUDE_ADDR_CNTR => INCLUDE_ADDR_CNTR,
C_INCLUDE_WR_BUF => INCLUDE_WR_BUF
)
port map
(
OPB_select => OPB_select,
OPB_DBus => OPB_DBus,
OPB_ABus => OPB_ABus,
OPB_BE => OPB_BE,
OPB_RNW => OPB_RNW,
OPB_seqAddr => OPB_seqAddr,
Sln_DBus => Sl_DBus,
Sln_xferAck => Sl_xferAck,
Sln_errAck => Sl_errAck,
Sln_retry => Sl_retry,
Sln_toutSup => Sl_toutSup,
Bus2IP_CS => open,
Bus2IP_CE => open,
Bus2IP_RdCE => iBus2IP_RdCE,
Bus2IP_WrCE => iBus2IP_WrCE,
Bus2IP_Data => iBus2IP_Data,
Bus2IP_Addr => open,
Bus2IP_AddrValid => open,
Bus2IP_BE => iBus2IP_BE,
Bus2IP_RNW => open,
Bus2IP_Burst => open,
IP2Bus_Data => iIP2Bus_Data,
IP2Bus_Ack => iIP2Bus_Ack,
IP2Bus_AddrAck => '0',
IP2Bus_Error => iIP2Bus_Error,
IP2Bus_Retry => iIP2Bus_Retry,
IP2Bus_ToutSup => iIP2Bus_ToutSup,
IP2Bus_PostedWrInh => ZERO_IP2Bus_PostedWrInh,
IP2RFIFO_Data => iIP2RFIFO_Data,
IP2RFIFO_WrMark => '0',
IP2RFIFO_WrRelease => '0',
IP2RFIFO_WrReq => iIP2RFIFO_WrReq,
IP2RFIFO_WrRestore => '0',
RFIFO2IP_AlmostFull => iRFIFO2IP_AlmostFull,
RFIFO2IP_Full => iRFIFO2IP_Full,
RFIFO2IP_Vacancy => iRFIFO2IP_Vacancy,
RFIFO2IP_WrAck => iRFIFO2IP_WrAck,
IP2WFIFO_RdMark => '0',
IP2WFIFO_RdRelease => '0',
IP2WFIFO_RdReq => iIP2WFIFO_RdReq,
IP2WFIFO_RdRestore => '0',
WFIFO2IP_AlmostEmpty => iWFIFO2IP_AlmostEmpty,
WFIFO2IP_Data => iWFIFO2IP_Data,
WFIFO2IP_Empty => iWFIFO2IP_Empty,
WFIFO2IP_Occupancy => iWFIFO2IP_Occupancy,
WFIFO2IP_RdAck => iWFIFO2IP_RdAck,
IP2Bus_IntrEvent => ZERO_IP2Bus_IntrEvent,
IP2INTC_Irpt => open,
Freeze => '0',
Bus2IP_Freeze => open,
OPB_Clk => OPB_Clk,
Bus2IP_Clk => iBus2IP_Clk,
IP2Bus_Clk => '0',
Reset => OPB_Rst,
Bus2IP_Reset => iBus2IP_Reset
);
------------------------------------------
-- instantiate the User Logic
------------------------------------------
USER_LOGIC_I : component user_logic
generic map
(
-- MAP USER GENERICS BELOW THIS LINE ---------------
--USER generics mapped here
-- MAP USER GENERICS ABOVE THIS LINE ---------------
C_DWIDTH => USER_DWIDTH,
C_NUM_CE => USER_NUM_CE,
C_RDFIFO_DWIDTH => USER_RDFIFO_DWIDTH,
C_RDFIFO_DEPTH => USER_RDFIFO_DEPTH,
C_WRFIFO_DWIDTH => USER_WRFIFO_DWIDTH,
C_WRFIFO_DEPTH => USER_WRFIFO_DEPTH
)
port map
(
-- MAP USER PORTS BELOW THIS LINE ------------------
--USER ports mapped here
TOP_REF_CLK => iTOP_REF_CLK,
RXP => iRXP,
RXN => iRXN,
TXP => iTXP,
TXN => iTXN,
-- MAP USER PORTS ABOVE THIS LINE ------------------
Bus2IP_Clk => iBus2IP_Clk,
Bus2IP_Reset => iBus2IP_Reset,
Bus2IP_Data => uBus2IP_Data,
Bus2IP_BE => uBus2IP_BE,
Bus2IP_RdCE => uBus2IP_RdCE,
Bus2IP_WrCE => uBus2IP_WrCE,
IP2Bus_Data => uIP2Bus_Data,
IP2Bus_Ack => iIP2Bus_Ack,
IP2Bus_Retry => iIP2Bus_Retry,
IP2Bus_Error => iIP2Bus_Error,
IP2Bus_ToutSup => iIP2Bus_ToutSup,
IP2RFIFO_WrReq => iIP2RFIFO_WrReq,
IP2RFIFO_Data => iIP2RFIFO_Data,
RFIFO2IP_WrAck => iRFIFO2IP_WrAck,
RFIFO2IP_AlmostFull => iRFIFO2IP_AlmostFull,
RFIFO2IP_Full => iRFIFO2IP_Full,
RFIFO2IP_Vacancy => iRFIFO2IP_Vacancy,
IP2WFIFO_RdReq => iIP2WFIFO_RdReq,
WFIFO2IP_Data => iWFIFO2IP_Data,
WFIFO2IP_RdAck => iWFIFO2IP_RdAck,
WFIFO2IP_AlmostEmpty => iWFIFO2IP_AlmostEmpty,
WFIFO2IP_Empty => iWFIFO2IP_Empty,
WFIFO2IP_Occupancy => iWFIFO2IP_Occupancy
);
------------------------------------------
-- hooking up signal slicing
------------------------------------------
uBus2IP_BE <= iBus2IP_BE(0 to USER_DWIDTH/8-1);
uBus2IP_Data <= iBus2IP_Data(0 to USER_DWIDTH-1);
uBus2IP_RdCE <= iBus2IP_RdCE(USER00_CE_INDEX to USER00_CE_INDEX+USER_NUM_CE-1);
uBus2IP_WrCE <= iBus2IP_WrCE(USER00_CE_INDEX to USER00_CE_INDEX+USER_NUM_CE-1);
iIP2Bus_Data(0 to USER_DWIDTH-1) <= uIP2Bus_Data;
end IMP;
| bsd-2-clause | 12a91349785d9de6250fd107e511fa85 | 0.462185 | 4.443484 | false | false | false | false |
Given-Jiang/Gray_Processing | tb_Gray_Processing/hdl/alt_dspbuilder_BarrelShiftAltr.vhd | 8 | 7,592 | --------------------------------------------------------------------------------------------
-- DSP Builder (Version 9.1)
-- Quartus II development tool and MATLAB/Simulink Interface
--
-- Legal Notice: © 2001 Altera Corporation. All rights reserved. Your use of Altera
-- Corporation's design tools, logic functions and other software and tools, and its
-- AMPP partner logic functions, and any output files any of the foregoing
-- (including device programming or simulation files), and any associated
-- documentation or information are expressly subject to the terms and conditions
-- of the Altera Program License Subscription Agreement, Altera MegaCore Function
-- License Agreement, or other applicable license agreement, including, without
-- limitation, that your use is for the sole purpose of programming logic devices
-- manufactured by Altera and sold by Altera or its authorized distributors.
-- Please refer to the applicable agreement for further details.
--------------------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
library lpm;
use lpm.lpm_components.all;
library altera;
use altera.alt_dspbuilder_package.all;
entity alt_dspbuilder_BarrelShiftAltr is
generic (
widthin : natural :=32;
widthd : natural :=5;
pipeline : natural :=1;
ndirection : natural :=0;
use_dedicated_circuitry : natural :=0
);
port (
xin : in std_logic_vector(widthin-1 downto 0);
distance : in std_logic_vector(widthd-1 downto 0);
sclr : in std_logic;
ena : in std_logic;
clock : in std_logic;
aclr : in std_logic;
direction : in std_logic;
yout : out std_logic_vector(widthin-1 downto 0)
);
end alt_dspbuilder_BarrelShiftAltr;
architecture SYNTH of alt_dspbuilder_BarrelShiftAltr is
signal resdec : std_logic_vector(widthin-1 downto 0);
signal dxin : std_logic_vector(widthin-1 downto 0);
signal resmult : std_logic_vector(2*widthin downto 0);
signal sdirection : std_logic;
signal direction_dff : std_logic_vector(2 downto 0);
signal resdec_ext : std_logic_vector(widthin downto 0);
signal distance_out : std_logic_vector(widthd-1 downto 0);
signal dist_out_reg : std_logic_vector(widthd-1 downto 0);
signal max_distance : std_logic_vector(widthd-1 downto 0);
signal distance_sum : std_logic_vector(widthd-1 downto 0);
signal no_shift : std_logic;
constant distance_zero : std_logic_vector(widthd-1 downto 0):=(others=>'0');
begin
gsdir1:if ndirection=0 generate
sdirection <='0';
end generate gsdir1;
gsdir2:if ndirection=1 generate
sdirection <= '0' when distance=distance_zero else '1';
end generate gsdir2;
gsdir3:if ndirection=2 generate
sdirection <= '0' when distance=distance_zero else direction;
end generate gsdir3;
gnopipeline:if pipeline=0 generate
gc:if use_dedicated_circuitry>0 generate
U0 : lpm_decode GENERIC MAP (lpm_width => widthd,
lpm_decodes => widthin,
lpm_type => "LPM_DECODE")
PORT MAP (data => distance_out,
eq => resdec);
U1 : lpm_mult GENERIC MAP (lpm_widtha => widthin+1,
lpm_widthb => widthin,
lpm_widthp => 2*widthin+1,
lpm_widths => 1,
lpm_type => "LPM_MULT",
lpm_representation => "SIGNED",
lpm_hint => "DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=6")
PORT MAP (dataa => resdec_ext,
datab => xin,
result => resmult);
resdec_ext(widthin-1 downto 0) <= resdec(widthin-1 downto 0);
resdec_ext(widthin) <= '0';
gleft:if ndirection=0 generate
yout(widthin-1 downto 0) <= resmult(widthin-1 downto 0);
distance_out <= distance;
end generate gleft;
grightleft:if ndirection>0 generate
max_distance <= int2ustd(widthin, widthd);
UADD: lpm_add_sub generic map (
lpm_width => widthd,
lpm_direction => "SUB",
lpm_type => "LPM_ADD_SUB",
lpm_representation => "UNSIGNED",
lpm_pipeline => 0)
port map (
dataa => max_distance,
datab => distance,
result => distance_sum,
cin=>'1');
distance_out(widthd-1 downto 0) <= distance_sum when (sdirection='1') else distance;
yout(widthin-1 downto 0) <= resmult(2*widthin-1 downto widthin) when (sdirection='1') else resmult(widthin-1 downto 0);
end generate grightleft;
end generate gc;
gndc:if use_dedicated_circuitry=0 generate
U0 : lpm_clshift GENERIC MAP (lpm_type => "LPM_CLSHIFT",
lpm_shifttype => "ARITHMETIC",
lpm_width => widthin,
lpm_widthdist => widthd)
PORT MAP ( distance => distance,
direction => sdirection,
data => xin,
result => yout);
end generate gndc;
end generate gnopipeline;
gpipeline:if pipeline>0 generate
p:process(clock,aclr)
begin
if aclr='1' then
dxin <= (others=>'0');
direction_dff <= (others=>'0');
dist_out_reg <= (others=>'0');
elsif clock'event and clock='1' then
if sclr='1' then
dxin <= (others=>'0');
direction_dff <= (others=>'0');
dist_out_reg <= (others=>'0');
elsif ena='1' then
dxin <= xin ;
direction_dff(2)<= direction_dff(1);
direction_dff(1)<= direction_dff(0);
direction_dff(0)<= sdirection;
dist_out_reg <= distance_out;
end if;
end if;
end process p;
U0 : lpm_decode GENERIC MAP (lpm_width => widthd,
lpm_decodes => widthin,
lpm_type => "LPM_DECODE",
lpm_pipeline => 0)
PORT MAP ( data => dist_out_reg,
eq => resdec);
gndc:if use_dedicated_circuitry=0 generate
U1 : lpm_mult GENERIC MAP ( lpm_widtha => widthin+1,
lpm_widthb => widthin,
lpm_widthp => 2*widthin+1,
lpm_widths => 1,
lpm_pipeline => 2,
lpm_type => "LPM_MULT",
lpm_representation => "SIGNED",
lpm_hint => "DEDICATED_MULTIPLIER_CIRCUITRY=NO,MAXIMIZE_SPEED=6")
PORT MAP ( dataa => resdec_ext,
datab => dxin,
clock => clock,
clken => ena,
aclr => aclr,
result => resmult);
end generate gndc;
gdc:if use_dedicated_circuitry=1 generate
U1 : lpm_mult GENERIC MAP ( lpm_widtha => widthin+1,
lpm_widthb => widthin,
lpm_widthp => 2*widthin+1,
lpm_widths => 1,
lpm_pipeline => 2,
lpm_type => "LPM_MULT",
lpm_representation => "SIGNED",
lpm_hint => "DEDICATED_MULTIPLIER_CIRCUITRY=YES,MAXIMIZE_SPEED=6")
PORT MAP ( dataa => resdec_ext,
datab => dxin,
clock => clock,
clken => ena,
aclr => aclr,
result => resmult);
end generate gdc;
resdec_ext(widthin-1 downto 0) <= resdec(widthin-1 downto 0);
resdec_ext(widthin) <= '0';
gleft:if ndirection=0 generate
yout(widthin-1 downto 0) <= resmult(widthin-1 downto 0);
distance_out <= distance;
end generate gleft;
grightleft:if ndirection>0 generate
max_distance <= int2ustd(widthin, widthd);
UADD: lpm_add_sub generic map (lpm_width => widthd, lpm_direction => "SUB", lpm_type => "LPM_ADD_SUB", lpm_representation => "UNSIGNED", lpm_pipeline => 0)
port map ( dataa => max_distance, datab => distance, result => distance_sum, cin=>'1');
distance_out(widthd-1 downto 0) <= distance_sum when (sdirection='1') else distance;
yout(widthin-1 downto 0) <= resmult(2*widthin-1 downto widthin) when (direction_dff(2)='1') else resmult(widthin-1 downto 0);
end generate grightleft;
end generate gpipeline;
end SYNTH;
| mit | b3eb7dcff05c29cf39d48dc2c51d59d0 | 0.623946 | 3.334212 | false | false | false | false |
Andy46/OV7670-VHDL | OV7670/src/mod_VGA/Divisor4.vhd | 1 | 1,320 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 14:32:05 11/08/2013
-- Design Name:
-- Module Name: Divisor - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity Divisor4 is
port (clk_in, reset : in std_logic;
clk_out : out std_logic);
end Divisor4;
architecture Behavioral of Divisor4 is
signal clk_aux : std_logic;
signal count : std_logic;
begin
clk_out <= clk_aux;
process(clk_in, clk_aux, reset)
begin
if clk_in'event and clk_in = '1' then
if reset = '0' then
clk_aux <= '0';
count <= '0';
else
count <= not count;
if count = '1' then
clk_aux <= not clk_aux;
end if;
end if;
end if;
end process;
end Behavioral;
| mit | 69783c911ad4ad810a1013822afb63af | 0.578788 | 3.529412 | false | false | false | false |
inmcm/Simon_Speck_Ciphers | VHDL/Speck_Constants.vhd | 3 | 2,745 | -- Speck_Constants.vhd
-- Copyright 2016 Michael Calvin McCoy
-- [email protected]
-- see LICENSE.md
library IEEE;
use IEEE.STD_LOGIC_1164.all;
package SPECK_CONSTANTS is
function Round_Count_Lookup(key_size,block_size : integer range 0 to 256) return integer;
function Beta_Lookup(key_size,block_size : integer range 0 to 256) return integer;
function Alpha_Lookup(key_size,block_size : integer range 0 to 256) return integer;
end SPECK_CONSTANTS;
package body SPECK_CONSTANTS is
function Round_Count_Lookup(key_size,block_size : integer range 0 to 256) return integer is
variable round_count_tmp : integer range 0 to 63 := 0;
begin
-- Block Size 32 and Key Size 64 use 22 rounds
if (BLOCK_SIZE = 32 and KEY_SIZE = 64) then
round_count_tmp := 22;
-- Block Size 48 and Key Size 72 use 22 rounds
elsif (BLOCK_SIZE = 48 and KEY_SIZE = 72) then
round_count_tmp := 22;
-- Block Size 48 and Key Size 96 use 23 rounds
elsif (BLOCK_SIZE = 48 and KEY_SIZE = 96) then
round_count_tmp := 23;
-- Block Size 64 and Key Size 96 use 26 rounds
elsif (BLOCK_SIZE = 64 and KEY_SIZE = 96 ) then
round_count_tmp := 26;
-- Block Size 64 and Key Size 128 use 27 rounds
elsif (BLOCK_SIZE = 64 and KEY_SIZE = 128) then
round_count_tmp := 27;
-- Block Size 96 and Key Size 96 use 28 rounds
elsif (BLOCK_SIZE = 96 and KEY_SIZE = 96) then
round_count_tmp := 28;
-- Block Size 96 and Key Size 144 use 29 rounds
elsif (BLOCK_SIZE = 96 and KEY_SIZE = 144) then
round_count_tmp := 29;
-- Block Size 128 and Key Size 128 use 32 rounds
elsif (BLOCK_SIZE = 128 and KEY_SIZE = 128) then
round_count_tmp := 32;
-- Block Size 128 and Key Size 192 used 33 rounds
elsif (BLOCK_SIZE = 128 and KEY_SIZE = 192) then
round_count_tmp := 33;
-- Block Size 128 and Key Size 256 use 34 rounds
elsif (BLOCK_SIZE = 128 and KEY_SIZE = 256) then
round_count_tmp := 34;
end if;
return round_count_tmp;
end Round_Count_Lookup;
function Beta_Lookup(key_size,block_size : integer range 0 to 256) return integer is
variable b_tmp : integer range 0 to 3 := 0;
begin
-- Block Size 32 and Key Size 64 use beta rotate 2 bits
if (BLOCK_SIZE = 32 and KEY_SIZE = 64) then
b_tmp := 2;
-- All other key/block combinations use beta rotate 3 bits
else
b_tmp := 3;
end if;
return b_tmp;
end Beta_Lookup;
function Alpha_Lookup(key_size,block_size : integer range 0 to 256) return integer is
variable a_tmp : integer range 0 to 15 := 0;
begin
-- Block Size 32 and Key Size 64 use alpha rotate 7 bits
if (BLOCK_SIZE = 32 and KEY_SIZE = 64) then
a_tmp := 7;
-- All other key/block combinations use alpha rotate 8 bits
else
a_tmp := 8;
end if;
return a_tmp;
end Alpha_Lookup;
end SPECK_CONSTANTS; | mit | 4b884fdef95f6d94b4b3381b491a7969 | 0.693989 | 3.140732 | false | false | false | false |
estradjm/Class-Work | HDL/Traffic Intersection/ControlTraffic.vhdl | 1 | 6,454 | Library ieee;
Use ieee.std_logic_1164.all;
Use ieee.std_logic_unsigned.all;
Use ieee.std_logic_arith.all;
Entity TrafficControl is
PORT (EffClock: IN STD_LOGIC;
Clock : IN STD_LOGIC;
crosswalktraffic : IN STD_LOGIC;
lowpriortraffic : IN STD_LOGIC;
reset : IN STD_LOGIC;
HIP : OUT STD_LOGIC;
LOWP : OUT STD_LOGIC;
PED : OUT STD_LOGIC;
HiDone : OUT STD_LOGIC;
LowDone : OUT STD_LOGIC;
PedDone : OUT STD_LOGIC;
HiCount : OUT STD_LOGIC_VECTOR (3 downto 0);
LowCount : OUT STD_LOGIC_VECTOR (3 downto 0);
PedCount : OUT STD_LOGIC_VECTOR (3 downto 0));
end TrafficControl;
architecture Behavioral of TrafficControl is
type State is (HighPriority, LowPriority, Pedestrian, COUNTDOWN5Ped, COUNTDOWN5Low, L2Ped);
signal CurrentState , NextState : State;
signal countdown9 : std_logic_vector (3 downto 0);
signal countdown4 : std_logic_vector (3 downto 0);
signal countdown5 : std_logic_vector (3 downto 0);
signal resetsig : std_logic;
signal HiEnable : std_logic;
signal LowEnable : std_logic;
signal PedEnable : std_logic;
signal register5 : std_logic;
signal register9 : std_logic;
signal register4 : std_logic;
signal trip5 : std_logic;
signal trip9 : std_logic;
signal trip4 : std_logic;
signal Go2Ped : std_logic;
begin
HiCount <= countdown5;
LowCount <= countdown9;
PedCount <= countdown4;
resetsig <= reset;
Works : process (Go2Ped, reset, CurrentState, countdown4, countdown5, countdown9, NextState, crosswalktraffic, lowpriortraffic, Clock)
begin
case CurrentState is
when HighPriority =>
NextState <= CurrentState;
HIP <= '1';
LOWP <= '0';
PED <= '0';
HiDone <= '0';
LowDone <= '1';
PedDone <= '1';
HIenable <= '0';
LowEnable <= '0';
PedEnable <= '0';
trip5 <= '1';
trip4 <= '1';
trip9 <= '1';
Go2Ped <= '0';
if crosswalktraffic = '1' then
NextState <= COUNTDOWN5Ped;
elsif lowpriortraffic = '1' then
NextState <= COUNTDOWN5Low;
elsif reset = '1' then
NextState <= HighPriority;
trip4 <='1';
trip5 <='1';
trip9 <='1';
end if;
when Pedestrian =>
NextState <= CurrentState;
HIP <= '0';
LOWP <= '0';
PED <= '1';
HiDone <= '1';
LowDone <= '1';
PedDone <= '0';
HIenable <= '0';
LowEnable <= '0';
PedEnable <= '1';
trip4 <= '0';
Go2Ped <= '0';
if countdown4 = 0 and lowpriortraffic = '1' then
NextState <= LowPriority;
trip4 <= '1';
elsif countdown4 = 0 and lowpriortraffic = '0' then
NextState <= HighPriority;
trip4 <= '1';
elsif reset = '1' then
NextState <= HighPriority;
trip4 <='1';
trip5 <='1';
trip9 <='1';
end if;
when LowPriority =>
NextState <= CurrentState;
HIP <= '0';
LOWP <= '1';
PED <= '0';
HiDone <= '1';
LowDone <= '0';
PEDdone <= '1';
HiEnable <= '0';
LowEnable <= '1';
PedEnable <= '0';
trip9 <= '0';
if countdown9 = 0 and Go2Ped = '0' then
NextState <= HighPriority;
trip4 <='1';
trip5 <='1';
trip9 <='1';
elsif countdown9 = 0 and Go2Ped = '1' then
NextState <= L2Ped;
trip4 <='1';
trip5 <='1';
trip9 <='1';
elsif crosswalktraffic = '1' then
Go2Ped <= '1';
elsif reset = '1' then
NextState <= HighPriority;
trip4 <='1';
trip5 <='1';
trip9 <='1';
end if;
when COUNTDOWN5Ped =>
NextState <= CurrentState;
HIP <= '1';
LOWP <= '0';
PED <= '0';
HiDone <= '0';
LowDone <= '1';
PedDone <= '1';
HiEnable <= '1';
LowEnable <= '0';
PedEnable <= '0';
trip5 <= '0';
Go2Ped <= '0';
if countdown5 = 0 then
NextState <= pedestrian;
trip5 <= '1';
elsif reset = '1' then
NextState <= HighPriority;
trip4 <='1';
trip5 <='1';
trip9 <='1';
end if;
when COUNTDOWN5low =>
NextState <= CurrentState;
HIP <= '1';
LOWP <= '0';
PED <= '0';
HiDone <= '0';
LowDone <= '1';
PedDone <= '1';
HiEnable <= '1';
LowEnable <= '0';
PedEnable <= '0';
trip5 <= '0';
Go2Ped <= '0';
if countdown5 = 0 then
NextState <= LowPriority;
trip5 <='1';
elsif crosswalktraffic = '1' then
NextState <= COUNTDOWN5Ped;
elsif reset = '1' then
NextState <= HighPriority;
trip4 <='1';
trip5 <='1';
trip9 <='1';
end if;
when L2Ped =>
NextState <= CurrentState;
HIP <= '1';
LOWP <= '0';
PED <= '0';
HiDone <= '0';
LowDone <= '1';
PedDone <= '1';
HiEnable <= '1';
LowEnable <= '0';
PedEnable <= '0';
trip5<='0';
if countdown5 = 0 then
NextState <= Pedestrian;
trip5 <= '1';
elsif reset = '1' then
NextState <= HighPriority;
trip4 <='1';
trip5 <='1';
trip9 <='1';
end if;
end case;
end process;
CountDOWN5Down : process (EffClock, HiEnable, resetsig, countdown5, trip5)
begin
if register5 = '1' or resetsig = '1' then
countdown5 <= "0101";
elsif (RISING_EDGE (EffClock)) and HiEnable = '1' THEN
if countdown5 = 0 then
countdown5 <= "0101";
else
countdown5 <= countdown5 - 1;
end if;
end if;
end process;
count9Down : process (EffClock, LowEnable, resetsig, countdown9, trip9)
begin
if register9 = '1' or resetsig = '1' then
countdown9 <= "1001";
elsif (Rising_Edge(EffClock)) and LowEnable = '1' THEN
if countdown9 = 0 then
countdown9 <= "1001";
else
countdown9 <= countdown9 - 1;
end if;
end if;
end process;
count4Down : process (EffClock, PedEnable, resetsig, countdown4, trip4)
begin
if register4 = '1' or resetsig = '1' then
countdown4 <= "0100";
elsif (rising_edge (EffClock)) and PedEnable = '1' THEN
if countdown4 = 0 then
countdown4 <= "0100";
else
countdown4 <= countdown4 - 1;
end if;
end if;
end process;
PROCESS(Clock)
begin
IF Rising_Edge(Clock) THEN
CurrentState <= NextState;
register5 <= trip5;
register4 <= trip4;
register9 <= trip9;
END IF;
END PROCESS;
end Behavioral; | apache-2.0 | c724cc65d5436fcbf08d320ea404bd91 | 0.544469 | 3.088038 | false | false | false | false |
timvideos/HDMI2USB-jahanzeb-firmware | hdl/jpeg_encoder/design/JpegEnc.vhd | 3 | 19,969 | -------------------------------------------------------------------------------
-- File Name : JpegEnc.vhd
--
-- Project : JPEG_ENC
--
-- Module : JpegEnc
--
-- Content : JPEG Encoder Top Level
--
-- Description :
--
-- Spec. :
--
-- Author : Michal Krepa
--
-------------------------------------------------------------------------------
-- History :
-- 20090301: (MK): Initial Creation.
-------------------------------------------------------------------------------
-- //////////////////////////////////////////////////////////////////////////////
-- /// Copyright (c) 2013, Jahanzeb Ahmad
-- /// All rights reserved.
-- ///
-- /// Redistribution and use in source and binary forms, with or without modification,
-- /// are permitted provided that the following conditions are met:
-- ///
-- /// * Redistributions of source code must retain the above copyright notice,
-- /// this list of conditions and the following disclaimer.
-- /// * Redistributions in binary form must reproduce the above copyright notice,
-- /// this list of conditions and the following disclaimer in the documentation and/or
-- /// other materials provided with the distribution.
-- ///
-- /// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY
-- /// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES
-- /// OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT
-- /// SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- /// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT
-- /// LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR
-- /// PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
-- /// WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- /// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- /// POSSIBILITY OF SUCH DAMAGE.
-- ///
-- ///
-- /// * http://opensource.org/licenses/MIT
-- /// * http://copyfree.org/licenses/mit/license.txt
-- ///
-- //////////////////////////////////////////////////////////////////////////////
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
----------------------------------- LIBRARY/PACKAGE ---------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- generic packages/libraries:
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
-------------------------------------------------------------------------------
-- user packages/libraries:
-------------------------------------------------------------------------------
library work;
use work.JPEG_PKG.all;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
----------------------------------- ENTITY ------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
entity JpegEnc is
port
(
CLK : in std_logic;
RST : in std_logic;
-- OPB
OPB_ABus : in std_logic_vector(31 downto 0);
OPB_BE : in std_logic_vector(3 downto 0);
OPB_DBus_in : in std_logic_vector(31 downto 0);
OPB_RNW : in std_logic;
OPB_select : in std_logic;
OPB_DBus_out : out std_logic_vector(31 downto 0);
OPB_XferAck : out std_logic;
OPB_retry : out std_logic;
OPB_toutSup : out std_logic;
OPB_errAck : out std_logic;
-- IMAGE RAM
iram_wdata : in std_logic_vector(C_PIXEL_BITS-1 downto 0);
iram_wren : in std_logic;
iram_fifo_afull : out std_logic;
-- OUT RAM
ram_byte : out std_logic_vector(7 downto 0);
ram_wren : out std_logic;
ram_wraddr : out std_logic_vector(23 downto 0);
outif_almost_full : in std_logic;
--debug signal
frame_size : out std_logic_vector(23 downto 0)
);
end entity JpegEnc;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
----------------------------------- ARCHITECTURE ------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
architecture RTL of JpegEnc is
signal qdata : std_logic_vector(7 downto 0);
signal qaddr : std_logic_vector(6 downto 0);
signal qwren : std_logic;
signal jpeg_ready : std_logic;
signal jpeg_busy : std_logic;
signal outram_base_addr : std_logic_vector(9 downto 0);
signal num_enc_bytes : std_logic_vector(23 downto 0);
signal img_size_x : std_logic_vector(15 downto 0);
signal img_size_y : std_logic_vector(15 downto 0);
signal sof : std_logic;
signal jpg_iram_rden : std_logic;
signal jpg_iram_rdaddr : std_logic_vector(31 downto 0);
signal jpg_iram_rdata : std_logic_vector(23 downto 0);
signal fdct_start : std_logic;
signal fdct_ready : std_logic;
signal zig_start : std_logic;
signal zig_ready : std_logic;
signal qua_start : std_logic;
signal qua_ready : std_logic;
signal rle_start : std_logic;
signal rle_ready : std_logic;
signal huf_start : std_logic;
signal huf_ready : std_logic;
signal bs_start : std_logic;
signal bs_ready : std_logic;
signal zz_buf_sel : std_logic;
signal zz_rd_addr : std_logic_vector(5 downto 0);
signal zz_data : std_logic_vector(11 downto 0);
signal rle_buf_sel : std_logic;
signal rle_rdaddr : std_logic_vector(5 downto 0);
signal rle_data : std_logic_vector(11 downto 0);
signal qua_buf_sel : std_logic;
signal qua_rdaddr : std_logic_vector(5 downto 0);
signal qua_data : std_logic_vector(11 downto 0);
signal huf_buf_sel : std_logic;
signal huf_rdaddr : std_logic_vector(5 downto 0);
signal huf_rden : std_logic;
signal huf_runlength : std_logic_vector(3 downto 0);
signal huf_size : std_logic_vector(3 downto 0);
signal huf_amplitude : std_logic_vector(11 downto 0);
signal huf_dval : std_logic;
signal bs_buf_sel : std_logic;
signal bs_fifo_empty : std_logic;
signal bs_rd_req : std_logic;
signal bs_packed_byte : std_logic_vector(7 downto 0);
signal huf_fifo_empty : std_logic;
signal zz_rden : std_logic;
signal fdct_sm_settings : T_SM_SETTINGS;
signal zig_sm_settings : T_SM_SETTINGS;
signal qua_sm_settings : T_SM_SETTINGS;
signal rle_sm_settings : T_SM_SETTINGS;
signal huf_sm_settings : T_SM_SETTINGS;
signal bs_sm_settings : T_SM_SETTINGS;
signal image_size_reg : std_logic_vector(31 downto 0);
signal jfif_ram_byte : std_logic_vector(7 downto 0);
signal jfif_ram_wren : std_logic;
signal jfif_ram_wraddr : std_logic_vector(23 downto 0);
signal out_mux_ctrl : std_logic;
signal img_size_wr : std_logic;
signal jfif_start : std_logic;
signal jfif_ready : std_logic;
signal bs_ram_byte : std_logic_vector(7 downto 0);
signal bs_ram_wren : std_logic;
signal bs_ram_wraddr : std_logic_vector(23 downto 0);
signal jfif_eoi : std_logic;
signal fdct_fifo_rd : std_logic;
signal fdct_fifo_q : std_logic_vector(23 downto 0);
signal fdct_fifo_hf_full : std_logic;
-------------------------------------------------------------------------------
-- Architecture: begin
-------------------------------------------------------------------------------
begin
-------------------------------------------------------------------
-- Host Interface
-------------------------------------------------------------------
U_HostIF : entity work.HostIF
port map
(
CLK => CLK,
RST => RST,
-- OPB
OPB_ABus => OPB_ABus,
OPB_BE => OPB_BE,
OPB_DBus_in => OPB_DBus_in,
OPB_RNW => OPB_RNW,
OPB_select => OPB_select,
OPB_DBus_out => OPB_DBus_out,
OPB_XferAck => OPB_XferAck,
OPB_retry => OPB_retry,
OPB_toutSup => OPB_toutSup,
OPB_errAck => OPB_errAck,
-- Quantizer RAM
qdata => qdata,
qaddr => qaddr,
qwren => qwren,
-- CTRL
jpeg_ready => jpeg_ready,
jpeg_busy => jpeg_busy,
-- ByteStuffer
outram_base_addr => outram_base_addr,
num_enc_bytes => num_enc_bytes,
-- global
img_size_x => img_size_x,
img_size_y => img_size_y,
img_size_wr => img_size_wr,
sof => sof
);
-------------------------------------------------------------------
-- BUF_FIFO
-------------------------------------------------------------------
U_BUF_FIFO : entity work.BUF_FIFO
port map
(
CLK => CLK,
RST => RST,
-- HOST PROG
img_size_x => img_size_x,
img_size_y => img_size_y,
sof => sof,
-- HOST DATA
iram_wren => iram_wren,
iram_wdata => iram_wdata,
fifo_almost_full => iram_fifo_afull,
-- FDCT
fdct_fifo_rd => fdct_fifo_rd,
fdct_fifo_q => fdct_fifo_q,
fdct_fifo_hf_full => fdct_fifo_hf_full
);
-------------------------------------------------------------------
-- Controller
-------------------------------------------------------------------
U_CtrlSM : entity work.CtrlSM
port map
(
CLK => CLK,
RST => RST,
-- output IF
outif_almost_full => outif_almost_full,
-- HOST IF
sof => sof,
img_size_x => img_size_x,
img_size_y => img_size_y,
jpeg_ready => jpeg_ready,
jpeg_busy => jpeg_busy,
-- FDCT
fdct_start => fdct_start,
fdct_ready => fdct_ready,
fdct_sm_settings => fdct_sm_settings,
-- ZIGZAG
zig_start => zig_start,
zig_ready => zig_ready,
zig_sm_settings => zig_sm_settings,
-- Quantizer
qua_start => qua_start,
qua_ready => qua_ready,
qua_sm_settings => qua_sm_settings,
-- RLE
rle_start => rle_start,
rle_ready => rle_ready,
rle_sm_settings => rle_sm_settings,
-- Huffman
huf_start => huf_start,
huf_ready => huf_ready,
huf_sm_settings => huf_sm_settings,
-- ByteStuffdr
bs_start => bs_start,
bs_ready => bs_ready,
bs_sm_settings => bs_sm_settings,
-- JFIF GEN
jfif_start => jfif_start,
jfif_ready => jfif_ready,
jfif_eoi => jfif_eoi,
-- OUT MUX
out_mux_ctrl => out_mux_ctrl
);
-------------------------------------------------------------------
-- FDCT
-------------------------------------------------------------------
U_FDCT : entity work.FDCT
port map
(
CLK => CLK,
RST => RST,
-- CTRL
start_pb => fdct_start,
ready_pb => fdct_ready,
fdct_sm_settings => fdct_sm_settings,
-- BUF_FIFO
bf_fifo_rd => fdct_fifo_rd,
bf_fifo_q => fdct_fifo_q,
bf_fifo_hf_full => fdct_fifo_hf_full,
-- ZIG ZAG
zz_buf_sel => zz_buf_sel,
zz_rd_addr => zz_rd_addr,
zz_data => zz_data,
zz_rden => zz_rden,
-- HOST
img_size_x => img_size_x,
img_size_y => img_size_y,
sof => sof
);
-------------------------------------------------------------------
-- ZigZag top level
-------------------------------------------------------------------
U_ZZ_TOP : entity work.ZZ_TOP
port map
(
CLK => CLK,
RST => RST,
-- CTRL
start_pb => zig_start,
ready_pb => zig_ready,
zig_sm_settings => zig_sm_settings,
-- Quantizer
qua_buf_sel => qua_buf_sel,
qua_rdaddr => qua_rdaddr,
qua_data => qua_data,
-- FDCT
fdct_buf_sel => zz_buf_sel,
fdct_rd_addr => zz_rd_addr,
fdct_data => zz_data,
fdct_rden => zz_rden
);
-------------------------------------------------------------------
-- Quantizer top level
-------------------------------------------------------------------
U_QUANT_TOP : entity work.QUANT_TOP
port map
(
CLK => CLK,
RST => RST,
-- CTRL
start_pb => qua_start,
ready_pb => qua_ready,
qua_sm_settings => qua_sm_settings,
-- RLE
rle_buf_sel => rle_buf_sel,
rle_rdaddr => rle_rdaddr,
rle_data => rle_data,
-- ZIGZAG
zig_buf_sel => qua_buf_sel,
zig_rd_addr => qua_rdaddr,
zig_data => qua_data,
-- HOST
qdata => qdata,
qaddr => qaddr,
qwren => qwren
);
-------------------------------------------------------------------
-- RLE TOP
-------------------------------------------------------------------
U_RLE_TOP : entity work.RLE_TOP
port map
(
CLK => CLK,
RST => RST,
-- CTRL
start_pb => rle_start,
ready_pb => rle_ready,
rle_sm_settings => rle_sm_settings,
-- HUFFMAN
huf_buf_sel => huf_buf_sel,
huf_rden => huf_rden,
huf_runlength => huf_runlength,
huf_size => huf_size,
huf_amplitude => huf_amplitude,
huf_dval => huf_dval,
huf_fifo_empty => huf_fifo_empty,
-- Quantizer
qua_buf_sel => rle_buf_sel,
qua_rd_addr => rle_rdaddr,
qua_data => rle_data,
-- HostIF
sof => sof
);
-------------------------------------------------------------------
-- Huffman Encoder
-------------------------------------------------------------------
U_Huffman : entity work.Huffman
port map
(
CLK => CLK,
RST => RST,
-- CTRL
start_pb => huf_start,
ready_pb => huf_ready,
huf_sm_settings => huf_sm_settings,
-- HOST IF
sof => sof,
img_size_x => img_size_x,
img_size_y => img_size_y,
-- RLE
rle_buf_sel => huf_buf_sel,
rd_en => huf_rden,
runlength => huf_runlength,
VLI_size => huf_size,
VLI => huf_amplitude,
d_val => huf_dval,
rle_fifo_empty => huf_fifo_empty,
-- Byte Stuffer
bs_buf_sel => bs_buf_sel,
bs_fifo_empty => bs_fifo_empty,
bs_rd_req => bs_rd_req,
bs_packed_byte => bs_packed_byte
);
-------------------------------------------------------------------
-- Byte Stuffer
-------------------------------------------------------------------
U_ByteStuffer : entity work.ByteStuffer
port map
(
CLK => CLK,
RST => RST,
-- CTRL
start_pb => bs_start,
ready_pb => bs_ready,
-- HOST IF
sof => sof,
num_enc_bytes => num_enc_bytes,
outram_base_addr => outram_base_addr,
-- Huffman
huf_buf_sel => bs_buf_sel,
huf_fifo_empty => bs_fifo_empty,
huf_rd_req => bs_rd_req,
huf_packed_byte => bs_packed_byte,
-- OUT RAM
ram_byte => bs_ram_byte,
ram_wren => bs_ram_wren,
ram_wraddr => bs_ram_wraddr
);
--debug signal
frame_size <= num_enc_bytes;
-------------------------------------------------------------------
-- JFIF Generator
-------------------------------------------------------------------
U_JFIFGen : entity work.JFIFGen
port map
(
CLK => CLK,
RST => RST,
-- CTRL
start => jfif_start,
ready => jfif_ready,
eoi => jfif_eoi,
-- ByteStuffer
num_enc_bytes => num_enc_bytes,
-- HOST IF
qwren => qwren,
qwaddr => qaddr,
qwdata => qdata,
image_size_reg => image_size_reg,
image_size_reg_wr => img_size_wr,
-- OUT RAM
ram_byte => jfif_ram_byte,
ram_wren => jfif_ram_wren,
ram_wraddr => jfif_ram_wraddr
);
image_size_reg <= img_size_x & img_size_y;
-------------------------------------------------------------------
-- OutMux
-------------------------------------------------------------------
U_OutMux : entity work.OutMux
port map
(
CLK => CLK,
RST => RST,
-- CTRL
out_mux_ctrl => out_mux_ctrl,
-- ByteStuffer
bs_ram_byte => bs_ram_byte,
bs_ram_wren => bs_ram_wren,
bs_ram_wraddr => bs_ram_wraddr,
-- ByteStuffer
jfif_ram_byte => jfif_ram_byte,
jfif_ram_wren => jfif_ram_wren,
jfif_ram_wraddr => jfif_ram_wraddr,
-- OUT RAM
ram_byte => ram_byte,
ram_wren => ram_wren,
ram_wraddr => ram_wraddr
);
end architecture RTL;
-------------------------------------------------------------------------------
-- Architecture: end
-------------------------------------------------------------------------------
| bsd-2-clause | 0f06f716c3686366007c61982056ae05 | 0.385948 | 4.620315 | false | false | false | false |
inmcm/Simon_Speck_Ciphers | VHDL/Simon.vhd | 1 | 10,197 | -- Simon.vhd
-- Copyright 2016 Michael Calvin McCoy
-- [email protected]
-- see LICENSE.md
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.numeric_std.all;
use work.SIMON_CONSTANTS.all;
entity SIMON_CIPHER is
Generic(KEY_SIZE : integer range 0 to 256 := 256;
BLOCK_SIZE : integer range 0 to 128 := 128;
ROUND_LIMIT: integer range 0 to 72 := 72);
Port (SYS_CLK,RST : in std_logic;
BUSY : out std_logic;
CONTROL : in std_logic_vector(1 downto 0);
KEY : in std_logic_vector (KEY_SIZE - 1 downto 0);
BLOCK_INPUT : in std_logic_vector (BLOCK_SIZE - 1 downto 0);
BLOCK_OUTPUT : out std_logic_vector (BLOCK_SIZE - 1 downto 0));
end SIMON_CIPHER;
architecture Behavioral of SIMON_CIPHER is
-------------------------------------------------------------
-- Cipher Constants
constant WORD_SIZE : integer range 0 to 64 := BLOCK_SIZE / 2;
constant K_SEGMENTS : integer range 0 to 4 := KEY_SIZE / WORD_SIZE;
constant ROUND_CONSTANT_HI : std_logic_vector(WORD_SIZE - 5 downto 0) := (OTHERS => '1');
constant ROUND_CONSTANT_LO : std_logic_vector(3 downto 0) := X"C";
-------------------------------------------------------------
signal ZJ : std_logic_vector(61 downto 0);
signal z_shift : std_logic_vector(61 downto 0);
-- Key Schedule Storage Array
type ARRAY_ROUNDxWORDSIZE is array(0 to (ROUND_LIMIT - 1)) of std_logic_vector(WORD_SIZE - 1 downto 0);
signal key_schedule: ARRAY_ROUNDxWORDSIZE;
signal round_key : std_logic_vector(WORD_SIZE - 1 downto 0);
signal round_constant : std_logic_vector(WORD_SIZE - 1 downto 0);
type ARRAY_PARTKEYxWORD is array (0 to K_SEGMENTS-1) of std_logic_vector(WORD_SIZE - 1 downto 0);
signal key_gen : ARRAY_PARTKEYxWORD;
signal cipher_direction : std_logic;
------------------------------------------------------
-- Fiestel Structure Signals
signal b_buf : STD_LOGIC_VECTOR(WORD_SIZE - 1 downto 0);
signal a_buf : STD_LOGIC_VECTOR(WORD_SIZE - 1 downto 0);
signal b_lft1 : STD_LOGIC_VECTOR(WORD_SIZE - 1 downto 0);
signal b_lft8 : STD_LOGIC_VECTOR(WORD_SIZE - 1 downto 0);
signal b_lft2 : STD_LOGIC_VECTOR(WORD_SIZE - 1 downto 0);
signal b_and : STD_LOGIC_VECTOR(WORD_SIZE - 1 downto 0);
signal b_xor : STD_LOGIC_VECTOR(WORD_SIZE - 1 downto 0);
signal a_xor : STD_LOGIC_VECTOR(WORD_SIZE - 1 downto 0);
signal key_xor : STD_LOGIC_VECTOR(WORD_SIZE - 1 downto 0);
--------------------------------------------------------
--------------------------------------------------------
-- Key Generation Signals
signal key_temp_1 : std_logic_vector(WORD_SIZE -1 downto 0);
signal key_temp_2 : std_logic_vector(WORD_SIZE -1 downto 0);
signal rs3 : STD_LOGIC_VECTOR(WORD_SIZE - 1 downto 0);
signal rs1 : STD_LOGIC_VECTOR(WORD_SIZE - 1 downto 0);
signal zji : STD_LOGIC_VECTOR(WORD_SIZE - 1 downto 0);
type state is (Reset,Idle,Key_Schedule_Generation_Run,Key_Schedule_Generation_Finish,
Cipher_Start,Cipher_Run,Cipher_Finish_1,Cipher_Finish_2,Cipher_Latch);
signal pr_state,nx_state : state;
signal round_count : integer range 0 to (ROUND_LIMIT - 1);
signal inv_round_count : integer range 0 to (ROUND_LIMIT - 1);
signal round_count_mux : integer range 0 to (ROUND_LIMIT - 1);
signal key_feedback : std_logic_vector(WORD_SIZE - 1 downto 0);
begin
----------------------------------------------------------------------
-- State Machine Processes
----------------------------------------------------------------------
State_Machine_Head : process (SYS_CLK) ----State Machine Master Control
begin
if (SYS_CLK'event and SYS_CLK='1') then
if (RST = '1') then
pr_state <= RESET;
else
pr_state <= nx_state;
end if;
end if;
end process; -- State_Machine_Head
State_Machine_Body : process (CONTROL, round_count, pr_state) ---State Machine State Definitions
begin
case pr_state is
when Reset => --Master Reset State
nx_state <= Idle;
when Idle =>
if (CONTROL = "01") then
nx_state <= Key_Schedule_Generation_Run;
elsif (CONTROL = "11" or CONTROL = "10") then
nx_state <= Cipher_Start;
else
nx_state <= Idle;
end if;
when Key_Schedule_Generation_Run =>
if (round_count = ROUND_LIMIT - 2) then
nx_state <= Key_Schedule_Generation_Finish;
else
nx_state <= Key_Schedule_Generation_Run;
end if;
when Key_Schedule_Generation_Finish =>
nx_state <= Idle;
when Cipher_Start =>
nx_state <= Cipher_Run;
when Cipher_Run =>
if (round_count = ROUND_LIMIT - 2) then
nx_state <= Cipher_Finish_1;
else
nx_state <= Cipher_Run;
end if;
when Cipher_Finish_1 =>
nx_state <= Cipher_Finish_2;
when Cipher_Finish_2 =>
nx_state <= Cipher_Latch;
when Cipher_Latch =>
nx_state <= Idle;
end case;
end process;
----------------------------------------------------------------------
-- END State Machine Processes
----------------------------------------------------------------------
----------------------------------------------------------------------
-- Register Processes
----------------------------------------------------------------------
Cipher_Direction_Flag : process(SYS_CLK)
begin
if SYS_CLK'event and SYS_CLK = '1' then
if (pr_state = Reset) then
cipher_direction <= '0';
elsif (pr_state = Idle) then
cipher_direction <= CONTROL(0);
end if ;
end if;
end process;
Busy_Flag_Generator : process(SYS_CLK)
begin
if SYS_CLK'event and SYS_CLK = '1' then
if (pr_state = Reset or (pr_state = Idle and CONTROL /= "00")) then
BUSY <= '1';
elsif ((pr_state = Idle and CONTROL = "00") or pr_state = Cipher_Latch or pr_state = Key_Schedule_Generation_Finish) then
BUSY <= '0';
end if;
end if;
end process ; -- Busy_Flag_Generator
Key_Schedule_Generator : process(SYS_CLK)
begin
if SYS_CLK'event and SYS_CLK = '1' then
if (pr_state = Idle) then
Init_Gen_Regs : for i in 0 to (K_SEGMENTS-1) loop
key_gen(i) <= key(((i + 1) * WORD_SIZE) - 1 downto (i * WORD_SIZE));
end loop ; -- Update_Gen_Regs
z_shift <= ZJ;
elsif (pr_state = Key_Schedule_Generation_Run or pr_state = Key_Schedule_Generation_Finish) then
key_gen(K_SEGMENTS-1) <= key_feedback;
for i in 0 to (K_SEGMENTS-2) loop
key_gen(i) <= key_gen(i+1);
end loop ;
z_shift <= z_shift(0) & z_shift(61 downto 1);
end if;
end if;
end process ; -- Key_Schedule_Generator
Fiestel_Round : process(SYS_CLK)
begin
if SYS_CLK'event and SYS_CLK = '1' then
if (pr_state = Idle) then
-- Load for Encryption
if (CONTROL = "11") then
a_buf <= BLOCK_INPUT(WORD_SIZE - 1 downto 0);
b_buf <= BLOCK_INPUT(BLOCK_SIZE - 1 downto WORD_SIZE);
-- Load for Decryption
elsif (CONTROL = "10") then
a_buf <= BLOCK_INPUT(BLOCK_SIZE - 1 downto WORD_SIZE);
b_buf <= BLOCK_INPUT(WORD_SIZE - 1 downto 0);
end if;
-- Run Cipher Engine
elsif (pr_state = Cipher_Run or pr_state = Cipher_Finish_1 or pr_state = Cipher_Finish_2) then
a_buf <= b_buf;
b_buf <= key_xor;
end if;
end if;
end process ; -- Fiestel_Round
Output_Buffer : process(SYS_CLK)
begin
if SYS_CLK'event and SYS_CLK = '1' then
if (pr_state = Cipher_Latch) then
if (cipher_direction = '1') then
BLOCK_OUTPUT <= b_buf & a_buf;
else
BLOCK_OUTPUT <= a_buf & b_buf;
end if;
end if;
end if;
end process ; -- Output_Buffer
----------------------------------------------------------------------
-- END Register Processes
----------------------------------------------------------------------
----------------------------------------------------------------------
-- RAM Processes
----------------------------------------------------------------------
Key_Schedule_Array: process (SYS_CLK)
begin
if (SYS_CLK'event and SYS_CLK = '1') then
round_key <= key_schedule(round_count_mux);
if (pr_state = Key_Schedule_Generation_Run or pr_state = Key_Schedule_Generation_Finish) then
key_schedule(round_count) <= key_gen(0);
end if;
end if;
end process;
----------------------------------------------------------------------
-- End RAM Processes
----------------------------------------------------------------------
----------------------------------------------------------------------
-- Counter Processes
----------------------------------------------------------------------
Round_Counter : process(SYS_CLK)
begin
if (SYS_CLK'event and SYS_CLK = '1') then
if (pr_state = Reset) then
round_count <= 0;
inv_round_count <= 0;
elsif (pr_state = Idle) then
round_count <= 0;
inv_round_count <= ROUND_LIMIT - 1;
elsif (pr_state = Cipher_Start or pr_state = Cipher_Run or pr_state = Key_Schedule_Generation_Run) then
round_count <= round_count + 1;
inv_round_count <= inv_round_count - 1;
end if ;
end if ;
end process;
----------------------------------------------------------------------
-- END Counter Processes
----------------------------------------------------------------------
----------------------------------------------------------------------
-- Async Signals
----------------------------------------------------------------------
ZJ <= Z_Array_Lookup(KEY_SIZE,BLOCK_SIZE);
round_count_mux <= round_count when cipher_direction = '1' else inv_round_count;
-- Fiestel Round
b_lft1 <= b_buf((WORD_SIZE - 2) downto 0) & b_buf(WORD_SIZE - 1);
b_lft8 <= b_buf((WORD_SIZE - 9) downto 0) & b_buf(WORD_SIZE - 1 downto (WORD_SIZE- 8));
b_lft2 <= b_buf((WORD_SIZE - 3) downto 0) & b_buf(WORD_SIZE - 1 downto (WORD_SIZE- 2));
b_and <= b_lft1 and b_lft8;
b_xor <= b_and xor b_lft2;
a_xor <= a_buf xor b_xor;
key_xor <= round_key xor a_xor;
-- Key Schedule Generation Logic
rs3 <= key_gen(K_SEGMENTS - 1)(2 downto 0) & key_gen(K_SEGMENTS - 1)(WORD_SIZE - 1 downto 3);
Key_Feedback_1 : if (K_SEGMENTS /= 4) generate
begin
key_temp_1 <= rs3;
end generate;
Key_Feedback_2 : if (K_SEGMENTS = 4) generate
begin
key_temp_1 <= rs3 xor key_gen(1);
end generate;
rs1 <= key_temp_1(0) & key_temp_1(WORD_SIZE - 1 downto 1);
key_temp_2 <= (key_gen(0) xor key_temp_1) xor rs1;
round_constant <= ROUND_CONSTANT_HI & ROUND_CONSTANT_LO;
zji <= round_constant(WORD_SIZE - 1 downto 1) & z_shift(0);
key_feedback <= key_temp_2 xor zji;
end Behavioral;
| mit | 05a0d0d6923a074b0ba33b8b5969a61e | 0.557615 | 3.35759 | false | false | false | false |
ymei/TMSPlane | Firmware/src/gig_eth/KC705/gig_eth.vhd | 1 | 49,364 | --------------------------------------------------------------------------------
-- File : tri_mode_ethernet_mac_0_example_design.vhd
-- Author : Xilinx Inc.
-- -----------------------------------------------------------------------------
-- (c) Copyright 2004-2013 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
-- -----------------------------------------------------------------------------
-- Description: This is the VHDL example design for the Tri-Mode
-- Ethernet MAC core. It is intended that this example design
-- can be quickly adapted and downloaded onto an FPGA to provide
-- a real hardware test environment.
--
-- This level:
--
-- * Instantiates the FIFO Block wrapper, containing the
-- block level wrapper and an RX and TX FIFO with an
-- AXI-S interface;
--
-- * Instantiates a simple AXI-S example design,
-- providing an address swap and a simple
-- loopback function;
--
-- * Instantiates transmitter clocking circuitry
-- -the User side of the FIFOs are clocked at gtx_clk
-- at all times
--
-- * Instantiates a state machine which drives the AXI Lite
-- interface to bring the TEMAC up in the correct state
--
-- * Serializes the Statistics vectors to prevent logic being
-- optimized out
--
-- * Ties unused inputs off to reduce the number of IO
--
-- Please refer to the Datasheet, Getting Started Guide, and
-- the Tri-Mode Ethernet MAC User Gude for further information.
--
--
-- --------------------------------------------------
-- | EXAMPLE DESIGN WRAPPER |
-- | |
-- | |
-- | ------------------- ------------------- |
-- | | | | | |
-- | | Clocking | | Resets | |
-- | | | | | |
-- | ------------------- ------------------- |
-- | -------------------------------------|
-- | |FIFO BLOCK WRAPPER |
-- | | |
-- | | |
-- | | ----------------------|
-- | | | SUPPORT LEVEL |
-- | -------- | | |
-- | | | | | |
-- | | AXI |->|------------->| |
-- | | LITE | | | |
-- | | SM | | | |
-- | | |<-|<-------------| |
-- | | | | | |
-- | -------- | | |
-- | | | |
-- | -------- | ---------- | |
-- | | | | | | | |
-- | | |->|->| |->| |
-- | | PAT | | | | | |
-- | | GEN | | | | | |
-- | |(ADDR | | | AXI-S | | |
-- | | SWAP)| | | FIFO | | |
-- | | | | | | | |
-- | | | | | | | |
-- | | | | | | | |
-- | | |<-|<-| |<-| |
-- | | | | | | | |
-- | -------- | ---------- | |
-- | | | |
-- | | ----------------------|
-- | -------------------------------------|
-- --------------------------------------------------
--------------------------------------------------------
library unisim;
use unisim.vcomponents.all;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.com5402pkg.all;
--------------------------------------------------------------------------------
-- The entity declaration for the example_design level wrapper.
--------------------------------------------------------------------------------
entity gig_eth is
port (
-- asynchronous reset
glbl_rst : in std_logic;
-- clocks
gtx_clk : in std_logic; -- 125MHz
ref_clk : in std_logic; -- 200MHz
-- PHY interface
phy_resetn : out std_logic;
-- RGMII Interface
------------------
rgmii_txd : out std_logic_vector(3 downto 0);
rgmii_tx_ctl : out std_logic;
rgmii_txc : out std_logic;
rgmii_rxd : in std_logic_vector(3 downto 0);
rgmii_rx_ctl : in std_logic;
rgmii_rxc : in std_logic;
-- MDIO Interface
-----------------
mdio : inout std_logic;
mdc : out std_logic;
-- TCP
MAC_ADDR : IN std_logic_vector(47 DOWNTO 0);
IPv4_ADDR : IN std_logic_vector(31 DOWNTO 0);
IPv6_ADDR : IN std_logic_vector(127 DOWNTO 0);
SUBNET_MASK : IN std_logic_vector(31 DOWNTO 0);
GATEWAY_IP_ADDR : IN std_logic_vector(31 DOWNTO 0);
TCP_CONNECTION_RESET : IN std_logic;
TX_TDATA : IN std_logic_vector(7 downto 0);
TX_TVALID : IN std_logic;
TX_TREADY : OUT std_logic;
RX_TDATA : OUT std_logic_vector(7 downto 0);
RX_TVALID : OUT std_logic;
RX_TREADY : IN std_logic;
-- FIFO
TCP_USE_FIFO : IN std_logic;
TX_FIFO_WRCLK : IN std_logic;
TX_FIFO_Q : IN std_logic_vector(31 downto 0);
TX_FIFO_WREN : IN std_logic;
TX_FIFO_FULL : OUT std_logic;
RX_FIFO_RDCLK : IN std_logic;
RX_FIFO_Q : OUT std_logic_vector(31 downto 0);
RX_FIFO_RDEN : IN std_logic;
RX_FIFO_EMPTY : OUT std_logic;
--
TX_FIFO1_WRCLK : IN std_logic;
TX_FIFO1_Q : IN std_logic_vector(31 downto 0);
TX_FIFO1_WREN : IN std_logic;
TX_FIFO1_FULL : OUT std_logic;
RX_FIFO1_RDCLK : IN std_logic;
RX_FIFO1_Q : OUT std_logic_vector(31 downto 0);
RX_FIFO1_RDEN : IN std_logic;
RX_FIFO1_EMPTY : OUT std_logic
);
end gig_eth;
architecture wrapper of gig_eth is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of wrapper : architecture is "yes";
COMPONENT COM5402 IS
GENERIC (
CLK_FREQUENCY : integer := 125;
-- CLK frequency in MHz. Needed to compute actual delays.
TX_IDLE_TIMEOUT : integer RANGE 0 TO 50 := 50;
-- inactive input timeout, expressed in 4us units. -- 50*4us = 200us
-- Controls the transmit stream segmentation: data in the elastic buffer will be transmitted if
-- no input is received within TX_IDLE_TIMEOUT, without waiting for the transmit frame to be filled with MSS data bytes.
SIMULATION : std_logic := '0'
-- 1 during simulation with Wireshark .cap file, '0' otherwise
-- Wireshark many not be able to collect offloaded checksum computations.
-- when SIMULATION = '1': (a) IP header checksum is valid if 0000,
-- (b) TCP checksum computation is forced to a valid 00001 irrespective of the 16-bit checksum
-- captured by Wireshark.
);
PORT (
--//-- CLK, RESET
CLK : IN std_logic;
-- All signals are synchronous with CLK
-- CLK must be a global clock 125 MHz or faster to match the Gbps MAC speed.
ASYNC_RESET : IN std_logic; -- to be phased out. replace with SYNC_RESET
SYNC_RESET : IN std_logic;
--//-- CONFIGURATION
-- configuration signals are synchonous with CLK
-- Synchronous with CLK clock.
MAC_ADDR : IN std_logic_vector(47 DOWNTO 0);
IPv4_ADDR : IN std_logic_vector(31 DOWNTO 0);
IPv6_ADDR : IN std_logic_vector(127 DOWNTO 0);
SUBNET_MASK : IN std_logic_vector(31 DOWNTO 0);
GATEWAY_IP_ADDR : IN std_logic_vector(31 DOWNTO 0);
-- local IP address. 4 bytes for IPv4, 16 bytes for IPv6
-- Natural order (MSB) 172.16.1.128 (LSB) as transmitted in the IP frame.
--// User-initiated connection reset for stream I
CONNECTION_RESET : IN std_logic_vector((NTCPSTREAMS-1) DOWNTO 0);
--//-- Protocol -> Transmit MAC Interface
-- 32-bit CRC is automatically appended by the MAC layer. User should not supply it.
-- Synchonous with the user-side CLK
MAC_TX_DATA : OUT std_logic_vector(7 DOWNTO 0);
-- MAC reads the data at the rising edge of CLK when MAC_TX_DATA_VALID = '1'
MAC_TX_DATA_VALID : OUT std_logic;
-- data valid
MAC_TX_SOF : out std_logic;
-- start of frame: '1' when sending the first byte.
MAC_TX_EOF : OUT std_logic;
-- '1' when sending the last byte in a packet to be transmitted.
-- Aligned with MAC_TX_DATA_VALID
MAC_TX_CTS : IN std_logic;
-- MAC-generated Clear To Send flow control signal, indicating room in the
-- MAC tx elastic buffer for a complete maximum size frame 1518B.
-- The user should check that this signal is high before deciding to send
-- sending the next frame.
-- Note: MAC_TX_CTS may go low while the frame is transfered in. Ignore it as space is guaranteed
-- at the start of frame.
--//-- Receive MAC -> Protocol
-- Valid rx packets only: packets with bad CRC or invalid address are discarded.
-- The 32-bit CRC is always removed by the MAC layer.
-- Synchonous with the user-side CLK
MAC_RX_DATA : IN std_logic_vector(7 DOWNTO 0);
-- USER reads the data at the rising edge of CLK when MAC_RX_DATA_VALID = '1'
MAC_RX_DATA_VALID : IN std_logic;
-- data valid
MAC_RX_SOF : IN std_logic;
-- '1' when sending the first byte in a received packet.
-- Aligned with MAC_RX_DATA_VALID
MAC_RX_EOF : IN std_logic;
-- '1' when sending the last byte in a received packet.
-- Aligned with MAC_RX_DATA_VALID
--//-- Application <- UDP rx
UDP_RX_DATA : OUT std_logic_vector(7 DOWNTO 0);
UDP_RX_DATA_VALID : OUT std_logic;
UDP_RX_SOF : OUT std_logic;
UDP_RX_EOF : OUT std_logic;
-- 1 CLK pulse indicating that UDP_RX_DATA is the last byte in the UDP data field.
-- ALWAYS CHECK UDP_RX_DATA_VALID at the end of packet (UDP_RX_EOF = '1') to confirm
-- that the UDP packet is valid. External buffer may have to backtrack to the the last
-- valid pointer to discard an invalid UDP packet.
-- Reason: we only knows about bad UDP packets at the end.
UDP_RX_DEST_PORT_NO : IN std_logic_vector(15 DOWNTO 0);
--//-- Application -> UDP tx
UDP_TX_DATA : IN std_logic_vector(7 DOWNTO 0);
UDP_TX_DATA_VALID : IN std_logic;
UDP_TX_SOF : IN std_logic; -- 1 CLK-wide pulse to mark the first byte in the tx UDP frame
UDP_TX_EOF : IN std_logic; -- 1 CLK-wide pulse to mark the last byte in the tx UDP frame
UDP_TX_CTS : OUT std_logic;
UDP_TX_ACK : OUT std_logic; -- 1 CLK-wide pulse indicating that the previous UDP frame is being sent
UDP_TX_NAK : OUT std_logic; -- 1 CLK-wide pulse indicating that the previous UDP frame could not be sent
UDP_TX_DEST_IP_ADDR : IN std_logic_vector(127 DOWNTO 0);
UDP_TX_DEST_PORT_NO : IN std_logic_vector(15 DOWNTO 0);
UDP_TX_SOURCE_PORT_NO : IN std_logic_vector(15 DOWNTO 0);
--//-- Application <- TCP rx
-- NTCPSTREAMS can operate independently. Only one stream active at any given time.
-- Data is pushed out. Limited flow-control here. Receipient must be able to accept data
-- at any time (in other words, it is the receipient's responsibility to have elastic
-- buffer if needed).
TCP_RX_DATA : OUT SLV8xNTCPSTREAMStype;
TCP_RX_DATA_VALID : OUT std_logic_vector((NTCPSTREAMS-1) DOWNTO 0);
TCP_RX_RTS : OUT std_logic_vector((NTCPSTREAMS-1) DOWNTO 0);
TCP_RX_CTS : IN std_logic_vector((NTCPSTREAMS-1) DOWNTO 0);
-- Optional Clear-To-Send. pull to '1' when output flow control is unused.
-- WARNING: pulling CTS down will stop the flow for ALL streams.
--//-- Application -> TCP tx
-- NTCPSTREAMS can operate independently and concurrently. No scheduling arbitration needed here.
TCP_TX_DATA : IN SLV8xNTCPSTREAMStype;
TCP_TX_DATA_VALID : IN std_logic_vector((NTCPSTREAMS-1) DOWNTO 0);
TCP_TX_CTS : OUT std_logic_vector((NTCPSTREAMS-1) DOWNTO 0);
-- Clear To Send = transmit flow control.
-- App is responsible for checking the CTS signal before sending APP_DATA
--//-- TEST POINTS, COMSCOPE TRACES
CS1 : OUT std_logic_vector(7 DOWNTO 0);
CS1_CLK : OUT std_logic;
CS2 : OUT std_logic_vector(7 DOWNTO 0);
CS2_CLK : OUT std_logic;
TP : OUT std_logic_vector(10 DOWNTO 1)
);
END COMPONENT;
-- Must have programmable full with single-threshold of 61
-- out of total write-depth 64
COMPONENT fifo8to32
PORT (
rst : IN std_logic;
wr_clk : IN std_logic;
rd_clk : IN std_logic;
din : IN std_logic_vector(7 DOWNTO 0);
wr_en : IN std_logic;
rd_en : IN std_logic;
dout : OUT std_logic_vector(31 DOWNTO 0);
full : OUT std_logic;
prog_full : OUT std_logic;
empty : OUT std_logic
);
END COMPONENT;
COMPONENT fifo32to8
PORT (
rst : IN std_logic;
wr_clk : IN std_logic;
rd_clk : IN std_logic;
din : IN std_logic_vector(31 DOWNTO 0);
wr_en : IN std_logic;
rd_en : IN std_logic;
dout : OUT std_logic_vector(7 DOWNTO 0);
full : OUT std_logic;
empty : OUT std_logic
);
END COMPONENT;
------------------------------------------------------------------------------
-- Component Declaration for the Tri-Mode EMAC core FIFO Block wrapper
------------------------------------------------------------------------------
component tri_mode_ethernet_mac_0_fifo_block
port(
gtx_clk : in std_logic;
-- asynchronous reset
glbl_rstn : in std_logic;
rx_axi_rstn : in std_logic;
tx_axi_rstn : in std_logic;
-- Reference clock for IDELAYCTRL's
refclk : in std_logic;
-- Receiver Statistics Interface
-----------------------------------------
rx_mac_aclk : out std_logic;
rx_reset : out std_logic;
rx_statistics_vector : out std_logic_vector(27 downto 0);
rx_statistics_valid : out std_logic;
-- Receiver (AXI-S) Interface
------------------------------------------
rx_fifo_clock : in std_logic;
rx_fifo_resetn : in std_logic;
rx_axis_fifo_tdata : out std_logic_vector(7 downto 0);
rx_axis_fifo_tvalid : out std_logic;
rx_axis_fifo_tready : in std_logic;
rx_axis_fifo_tlast : out std_logic;
-- Transmitter Statistics Interface
--------------------------------------------
tx_mac_aclk : out std_logic;
tx_reset : out std_logic;
tx_ifg_delay : in std_logic_vector(7 downto 0);
tx_statistics_vector : out std_logic_vector(31 downto 0);
tx_statistics_valid : out std_logic;
-- Transmitter (AXI-S) Interface
---------------------------------------------
tx_fifo_clock : in std_logic;
tx_fifo_resetn : in std_logic;
tx_axis_fifo_tdata : in std_logic_vector(7 downto 0);
tx_axis_fifo_tvalid : in std_logic;
tx_axis_fifo_tready : out std_logic;
tx_axis_fifo_tlast : in std_logic;
-- MAC Control Interface
--------------------------
pause_req : in std_logic;
pause_val : in std_logic_vector(15 downto 0);
-- RGMII Interface
--------------------
rgmii_txd : out std_logic_vector(3 downto 0);
rgmii_tx_ctl : out std_logic;
rgmii_txc : out std_logic;
rgmii_rxd : in std_logic_vector(3 downto 0);
rgmii_rx_ctl : in std_logic;
rgmii_rxc : in std_logic;
-- RGMII Inband Status Registers
----------------------------------
inband_link_status : out std_logic;
inband_clock_speed : out std_logic_vector(1 downto 0);
inband_duplex_status : out std_logic;
-- MDIO Interface
-------------------
mdio : inout std_logic;
mdc : out std_logic;
-- AXI-Lite Interface
-----------------
s_axi_aclk : in std_logic;
s_axi_resetn : in std_logic;
s_axi_awaddr : in std_logic_vector(11 downto 0);
s_axi_awvalid : in std_logic;
s_axi_awready : out std_logic;
s_axi_wdata : in std_logic_vector(31 downto 0);
s_axi_wvalid : in std_logic;
s_axi_wready : out std_logic;
s_axi_bresp : out std_logic_vector(1 downto 0);
s_axi_bvalid : out std_logic;
s_axi_bready : in std_logic;
s_axi_araddr : in std_logic_vector(11 downto 0);
s_axi_arvalid : in std_logic;
s_axi_arready : out std_logic;
s_axi_rdata : out std_logic_vector(31 downto 0);
s_axi_rresp : out std_logic_vector(1 downto 0);
s_axi_rvalid : out std_logic;
s_axi_rready : in std_logic
);
end component;
------------------------------------------------------------------------------
-- Component Declaration for the AXI-Lite State machine
------------------------------------------------------------------------------
component tri_mode_ethernet_mac_0_axi_lite_sm
port (
s_axi_aclk : in std_logic;
s_axi_resetn : in std_logic;
mac_speed : in std_logic_vector(1 downto 0);
update_speed : in std_logic;
serial_command : in std_logic;
serial_response : out std_logic;
phy_loopback : in std_logic;
s_axi_awaddr : out std_logic_vector(11 downto 0);
s_axi_awvalid : out std_logic;
s_axi_awready : in std_logic;
s_axi_wdata : out std_logic_vector(31 downto 0);
s_axi_wvalid : out std_logic;
s_axi_wready : in std_logic;
s_axi_bresp : in std_logic_vector(1 downto 0);
s_axi_bvalid : in std_logic;
s_axi_bready : out std_logic;
s_axi_araddr : out std_logic_vector(11 downto 0);
s_axi_arvalid : out std_logic;
s_axi_arready : in std_logic;
s_axi_rdata : in std_logic_vector(31 downto 0);
s_axi_rresp : in std_logic_vector(1 downto 0);
s_axi_rvalid : in std_logic;
s_axi_rready : out std_logic
);
end component;
------------------------------------------------------------------------------
-- Component declaration for the synchroniser
------------------------------------------------------------------------------
component tri_mode_ethernet_mac_0_sync_block
port (
clk : in std_logic;
data_in : in std_logic;
data_out : out std_logic
);
end component;
------------------------------------------------------------------------------
-- Component declaration for the reset logic
------------------------------------------------------------------------------
component tri_mode_ethernet_mac_0_example_design_resets is
port (
-- clocks
s_axi_aclk : in std_logic;
gtx_clk : in std_logic;
-- asynchronous resets
glbl_rst : in std_logic;
reset_error : in std_logic;
rx_reset : in std_logic;
tx_reset : in std_logic;
dcm_locked : in std_logic;
-- synchronous reset outputs
glbl_rst_intn : out std_logic;
gtx_resetn : out std_logic := '0';
s_axi_resetn : out std_logic := '0';
phy_resetn : out std_logic;
chk_resetn : out std_logic := '0'
);
end component;
------------------------------------------------------------------------------
-- internal signals used in this top level wrapper.
------------------------------------------------------------------------------
-- example design clocks
signal gtx_clk_bufg : std_logic;
signal refclk_bufg : std_logic;
signal s_axi_aclk : std_logic;
signal rx_mac_aclk : std_logic;
signal tx_mac_aclk : std_logic;
signal phy_resetn_int : std_logic;
-- resets (and reset generation)
signal reset_error : std_logic;
signal s_axi_resetn : std_logic;
signal chk_resetn : std_logic;
signal gtx_resetn : std_logic;
signal rx_reset : std_logic;
signal tx_reset : std_logic;
signal dcm_locked : std_logic;
signal glbl_rst_int : std_logic;
signal phy_reset_count : unsigned(5 downto 0) := (others => '0');
signal glbl_rst_intn : std_logic;
signal mac_speed : std_logic_vector(1 downto 0);
signal serial_response : std_logic;
signal frame_error : std_logic;
signal frame_errorn : std_logic;
signal activity_flash : std_logic;
signal activity_flashn : std_logic;
signal update_speed : std_logic := '0';
signal config_board : std_logic := '0';
-- USER side RX AXI-S interface
signal rx_fifo_clock : std_logic;
signal rx_fifo_resetn : std_logic;
signal rx_axis_fifo_tdata : std_logic_vector(7 downto 0);
signal rx_axis_fifo_tvalid : std_logic;
signal rx_axis_fifo_tlast : std_logic;
signal rx_axis_fifo_tready : std_logic;
-- USER side TX AXI-S interface
signal tx_fifo_clock : std_logic;
signal tx_fifo_resetn : std_logic;
signal tx_axis_fifo_tdata : std_logic_vector(7 downto 0);
signal tx_axis_fifo_tvalid : std_logic;
signal tx_axis_fifo_tlast : std_logic;
signal tx_axis_fifo_tready : std_logic;
-- RX Statistics serialisation signals
signal rx_statistics_s : std_logic := '0';
signal rx_statistics_valid : std_logic;
signal rx_statistics_valid_reg : std_logic;
signal rx_statistics_vector : std_logic_vector(27 downto 0);
signal rx_stats : std_logic_vector(27 downto 0);
signal rx_stats_shift : std_logic_vector(29 downto 0);
signal rx_stats_toggle : std_logic := '0';
signal rx_stats_toggle_sync : std_logic;
signal rx_stats_toggle_sync_reg : std_logic := '0';
-- TX Statistics serialisation signals
signal tx_statistics_s : std_logic := '0';
signal tx_statistics_valid : std_logic;
signal tx_statistics_valid_reg : std_logic;
signal tx_statistics_vector : std_logic_vector(31 downto 0);
signal tx_stats : std_logic_vector(31 downto 0);
signal tx_stats_shift : std_logic_vector(33 downto 0);
signal tx_stats_toggle : std_logic := '0';
signal tx_stats_toggle_sync : std_logic;
signal tx_stats_toggle_sync_reg : std_logic := '0';
-- Pause interface DESerialisation
signal pause_req_s : std_logic := '0';
signal pause_shift : std_logic_vector(18 downto 0);
signal pause_req : std_logic;
signal pause_val : std_logic_vector(15 downto 0);
-- AXI-Lite interface
signal s_axi_awaddr : std_logic_vector(11 downto 0);
signal s_axi_awvalid : std_logic;
signal s_axi_awready : std_logic;
signal s_axi_wdata : std_logic_vector(31 downto 0);
signal s_axi_wvalid : std_logic;
signal s_axi_wready : std_logic;
signal s_axi_bresp : std_logic_vector(1 downto 0);
signal s_axi_bvalid : std_logic;
signal s_axi_bready : std_logic;
signal s_axi_araddr : std_logic_vector(11 downto 0);
signal s_axi_arvalid : std_logic;
signal s_axi_arready : std_logic;
signal s_axi_rdata : std_logic_vector(31 downto 0);
signal s_axi_rresp : std_logic_vector(1 downto 0);
signal s_axi_rvalid : std_logic;
signal s_axi_rready : std_logic;
-- signal tie offs
signal tx_ifg_delay : std_logic_vector(7 downto 0) := (others => '0'); -- not used in this example
signal inband_link_status : std_logic;
signal inband_clock_speed : std_logic_vector(1 downto 0);
signal inband_duplex_status : std_logic;
signal int_frame_error : std_logic;
signal int_activity_flash : std_logic;
-- set board defaults - only updated when reprogrammed
signal enable_phy_loopback : std_logic := '0';
-- tcp
SIGNAL tcp_mac_addr : std_logic_vector(47 DOWNTO 0);
SIGNAL tcp_ipv4_addr : std_logic_vector(31 DOWNTO 0);
SIGNAL tcp_ipv6_addr : std_logic_vector(127 DOWNTO 0);
SIGNAL tcp_subnet_mask : std_logic_vector(31 DOWNTO 0);
SIGNAL tcp_gateway_ip_addr : std_logic_vector(31 DOWNTO 0);
--
SIGNAL mac_rx_sof : std_logic;
--
SIGNAL tcp_rx_data_slv8x : SLV8xNTCPSTREAMStype;
SIGNAL tcp_tx_data_slv8x : SLV8xNTCPSTREAMStype;
SIGNAL tcp_rx_data_valid_v : std_logic_vector((NTCPSTREAMS-1) DOWNTO 0);
SIGNAL tcp_tx_data_valid_v : std_logic_vector((NTCPSTREAMS-1) DOWNTO 0);
SIGNAL tcp_rx_cts_v : std_logic_vector((NTCPSTREAMS-1) DOWNTO 0);
SIGNAL tcp_tx_cts_v : std_logic_vector((NTCPSTREAMS-1) DOWNTO 0);
SIGNAL tcp_rx_rts_v : std_logic_vector((NTCPSTREAMS-1) DOWNTO 0);
SIGNAL connection_reset_v : std_logic_vector((NTCPSTREAMS-1) DOWNTO 0);
--
SIGNAL rx_fifo_full : std_logic;
SIGNAL rx_fifo_fullm3 : std_logic;
SIGNAL tx_fifo_dout : std_logic_vector(7 DOWNTO 0);
SIGNAL tx_fifo_rden : std_logic;
SIGNAL tx_fifo_empty : std_logic;
SIGNAL rx_fifo1_full : std_logic;
SIGNAL rx_fifo1_fullm3 : std_logic;
SIGNAL tx_fifo1_dout : std_logic_vector(7 DOWNTO 0);
SIGNAL tx_fifo1_rden : std_logic;
SIGNAL tx_fifo1_empty : std_logic;
------------------------------------------------------------------------------
-- Begin architecture
------------------------------------------------------------------------------
begin
frame_error <= int_frame_error;
frame_errorn <= not int_frame_error;
activity_flash <= int_activity_flash;
activity_flashn <= not int_activity_flash;
mac_speed <= "11";
----------------------------------------------------------------------------
-- Clock logic to generate required clocks from the 200MHz on board
-- if 125MHz is available directly this can be removed
----------------------------------------------------------------------------
gtx_clk_bufg <= gtx_clk;
refclk_bufg <= ref_clk;
s_axi_aclk <= gtx_clk;
-- generate the user side clocks for the axi fifos
tx_fifo_clock <= gtx_clk_bufg;
rx_fifo_clock <= gtx_clk_bufg;
------------------------------------------------------------------------------
-- Generate resets required for the fifo side signals etc
------------------------------------------------------------------------------
example_resets : tri_mode_ethernet_mac_0_example_design_resets
port map (
-- clocks
s_axi_aclk => s_axi_aclk,
gtx_clk => gtx_clk_bufg,
-- asynchronous resets
glbl_rst => glbl_rst,
reset_error => reset_error,
rx_reset => rx_reset,
tx_reset => tx_reset,
dcm_locked => dcm_locked,
-- synchronous reset outputs
glbl_rst_intn => glbl_rst_intn,
gtx_resetn => gtx_resetn,
s_axi_resetn => s_axi_resetn,
phy_resetn => phy_resetn,
chk_resetn => chk_resetn
);
glbl_rst_int <= NOT glbl_rst_intn;
dcm_locked <= '1';
reset_error <= '0';
-- generate the user side resets for the axi fifos
tx_fifo_resetn <= gtx_resetn;
rx_fifo_resetn <= gtx_resetn;
----------------------------------------------------------------------------
-- Instantiate the AXI-LITE Controller
----------------------------------------------------------------------------
axi_lite_controller : tri_mode_ethernet_mac_0_axi_lite_sm
port map (
s_axi_aclk => s_axi_aclk,
s_axi_resetn => s_axi_resetn,
mac_speed => mac_speed,
update_speed => update_speed,
serial_command => pause_req_s,
serial_response => serial_response,
phy_loopback => enable_phy_loopback,
s_axi_awaddr => s_axi_awaddr,
s_axi_awvalid => s_axi_awvalid,
s_axi_awready => s_axi_awready,
s_axi_wdata => s_axi_wdata,
s_axi_wvalid => s_axi_wvalid,
s_axi_wready => s_axi_wready,
s_axi_bresp => s_axi_bresp,
s_axi_bvalid => s_axi_bvalid,
s_axi_bready => s_axi_bready,
s_axi_araddr => s_axi_araddr,
s_axi_arvalid => s_axi_arvalid,
s_axi_arready => s_axi_arready,
s_axi_rdata => s_axi_rdata,
s_axi_rresp => s_axi_rresp,
s_axi_rvalid => s_axi_rvalid,
s_axi_rready => s_axi_rready
);
------------------------------------------------------------------------------
-- Instantiate the TRIMAC core FIFO Block wrapper
------------------------------------------------------------------------------
trimac_fifo_block : tri_mode_ethernet_mac_0_fifo_block
port map (
gtx_clk => gtx_clk_bufg,
-- asynchronous reset
glbl_rstn => glbl_rst_intn,
rx_axi_rstn => '1',
tx_axi_rstn => '1',
-- Reference clock for IDELAYCTRL's
refclk => refclk_bufg,
-- Receiver Statistics Interface
-----------------------------------------
rx_mac_aclk => rx_mac_aclk,
rx_reset => rx_reset,
rx_statistics_vector => rx_statistics_vector,
rx_statistics_valid => rx_statistics_valid,
-- Receiver => AXI-S Interface
------------------------------------------
rx_fifo_clock => rx_fifo_clock,
rx_fifo_resetn => rx_fifo_resetn,
rx_axis_fifo_tdata => rx_axis_fifo_tdata,
rx_axis_fifo_tvalid => rx_axis_fifo_tvalid,
rx_axis_fifo_tready => rx_axis_fifo_tready,
rx_axis_fifo_tlast => rx_axis_fifo_tlast,
-- Transmitter Statistics Interface
--------------------------------------------
tx_mac_aclk => tx_mac_aclk,
tx_reset => tx_reset,
tx_ifg_delay => tx_ifg_delay,
tx_statistics_vector => tx_statistics_vector,
tx_statistics_valid => tx_statistics_valid,
-- Transmitter => AXI-S Interface
---------------------------------------------
tx_fifo_clock => tx_fifo_clock,
tx_fifo_resetn => tx_fifo_resetn,
tx_axis_fifo_tdata => tx_axis_fifo_tdata,
tx_axis_fifo_tvalid => tx_axis_fifo_tvalid,
tx_axis_fifo_tready => tx_axis_fifo_tready,
tx_axis_fifo_tlast => tx_axis_fifo_tlast,
-- MAC Control Interface
--------------------------
pause_req => pause_req,
pause_val => pause_val,
-- RGMII Interface
--------------------
rgmii_txd => rgmii_txd,
rgmii_tx_ctl => rgmii_tx_ctl,
rgmii_txc => rgmii_txc,
rgmii_rxd => rgmii_rxd,
rgmii_rx_ctl => rgmii_rx_ctl,
rgmii_rxc => rgmii_rxc,
-- RGMII Inband Status Registers
----------------------------------
inband_link_status => inband_link_status,
inband_clock_speed => inband_clock_speed,
inband_duplex_status => inband_duplex_status,
-- MDIO Interface
-------------------
mdio => mdio,
mdc => mdc,
-- AXI-Lite Interface
-----------------
s_axi_aclk => s_axi_aclk,
s_axi_resetn => s_axi_resetn,
s_axi_awaddr => s_axi_awaddr,
s_axi_awvalid => s_axi_awvalid,
s_axi_awready => s_axi_awready,
s_axi_wdata => s_axi_wdata,
s_axi_wvalid => s_axi_wvalid,
s_axi_wready => s_axi_wready,
s_axi_bresp => s_axi_bresp,
s_axi_bvalid => s_axi_bvalid,
s_axi_bready => s_axi_bready,
s_axi_araddr => s_axi_araddr,
s_axi_arvalid => s_axi_arvalid,
s_axi_arready => s_axi_arready,
s_axi_rdata => s_axi_rdata,
s_axi_rresp => s_axi_rresp,
s_axi_rvalid => s_axi_rvalid,
s_axi_rready => s_axi_rready
);
---------------------------------------------< tcp_server
PROCESS (gtx_clk_bufg) IS
BEGIN -- Make configurations synchronous to CLK125 of the TCP module
IF rising_edge(gtx_clk_bufg) THEN
tcp_mac_addr <= MAC_ADDR;
tcp_ipv4_addr <= IPv4_ADDR;
tcp_ipv6_addr <= IPv6_ADDR;
tcp_subnet_mask <= SUBNET_MASK;
tcp_gateway_ip_addr <= GATEWAY_IP_ADDR;
END IF;
END PROCESS;
-- generate a 1-clk wide pulse SOF (start of frame)
mac_rx_sof_gen : PROCESS (gtx_clk_bufg, glbl_rst_int) IS
VARIABLE state : std_logic;
VARIABLE tvalid_prev : std_logic;
BEGIN
IF glbl_rst_int = '1' THEN
state := '0';
tvalid_prev := '0';
mac_rx_sof <= '0';
ELSIF falling_edge(gtx_clk_bufg) THEN
mac_rx_sof <= '0';
IF state = '0' THEN
IF tvalid_prev = '0' AND rx_axis_fifo_tvalid = '1' THEN
mac_rx_sof <= '1';
state := '1';
END IF;
ELSE -- state = '1'
IF rx_axis_fifo_tlast = '1' THEN
state := '0';
END IF;
END IF;
tvalid_prev := rx_axis_fifo_tvalid;
END IF;
END PROCESS;
rx_axis_fifo_tready <= '1';
connection_reset_v <= (OTHERS => TCP_CONNECTION_RESET);
tcp_server_inst : COM5402
GENERIC MAP (
CLK_FREQUENCY => 125,
-- CLK frequency in MHz. Needed to compute actual delays.
TX_IDLE_TIMEOUT => 50,
-- inactive input timeout, expressed in 4us units. -- 50*4us = 200us
-- Controls the transmit stream segmentation: data in the elastic buffer will be transmitted if
-- no input is received within TX_IDLE_TIMEOUT, without waiting for the transmit frame to be filled with MSS data bytes.
SIMULATION => '0'
-- 1 during simulation with Wireshark .cap file, '0' otherwise
-- Wireshark many not be able to collect offloaded checksum computations.
-- when SIMULATION = '1': (a) IP header checksum is valid if 0000,
-- (b) TCP checksum computation is forced to a valid 00001 irrespective of the 16-bit checksum
-- captured by Wireshark.
)
PORT MAP (
--//-- CLK, RESET
CLK => gtx_clk_bufg,
-- All signals are synchronous with CLK
-- CLK must be a global clock 125 MHz or faster to match the Gbps MAC speed.
ASYNC_RESET => glbl_rst_int, -- to be phased out. replace with SYNC_RESET
SYNC_RESET => glbl_rst_int,
--//-- CONFIGURATION
-- configuration signals are synchonous with CLK
-- Synchronous with CLK clock.
MAC_ADDR => tcp_mac_addr,
IPv4_ADDR => tcp_ipv4_addr,
IPv6_ADDR => tcp_ipv6_addr,
SUBNET_MASK => tcp_subnet_mask,
GATEWAY_IP_ADDR => tcp_gateway_ip_addr,
-- local IP address. 4 bytes for IPv4, 16 bytes for IPv6
-- Natural order (MSB) 172.16.1.128 (LSB) as transmitted in the IP frame.
--// User-initiated connection reset for stream I
CONNECTION_RESET => connection_reset_v,
--//-- Protocol -> Transmit MAC Interface
-- 32-bit CRC is automatically appended by the MAC layer. User should not supply it.
-- Synchonous with the user-side CLK
MAC_TX_DATA => tx_axis_fifo_tdata,
-- MAC reads the data at the rising edge of CLK when MAC_TX_DATA_VALID = '1'
MAC_TX_DATA_VALID => tx_axis_fifo_tvalid,
-- data valid
MAC_TX_SOF => OPEN,
-- start of frame: '1' when sending the first byte.
MAC_TX_EOF => tx_axis_fifo_tlast,
-- '1' when sending the last byte in a packet to be transmitted.
-- Aligned with MAC_TX_DATA_VALID
MAC_TX_CTS => tx_axis_fifo_tready,
-- MAC-generated Clear To Send flow control signal, indicating room in the
-- MAC tx elastic buffer for a complete maximum size frame 1518B.
-- The user should check that this signal is high before deciding to send
-- sending the next frame.
-- Note: MAC_TX_CTS may go low while the frame is transfered in. Ignore it as space is guaranteed
-- at the start of frame.
--//-- Receive MAC -> Protocol
-- Valid rx packets only: packets with bad CRC or invalid address are discarded.
-- The 32-bit CRC is always removed by the MAC layer.
-- Synchonous with the user-side CLK
MAC_RX_DATA => rx_axis_fifo_tdata,
-- USER reads the data at the rising edge of CLK when MAC_RX_DATA_VALID = '1'
MAC_RX_DATA_VALID => rx_axis_fifo_tvalid,
-- data valid
MAC_RX_SOF => mac_rx_sof,
-- '1' when sending the first byte in a received packet.
-- Aligned with MAC_RX_DATA_VALID
MAC_RX_EOF => rx_axis_fifo_tlast,
-- '1' when sending the last byte in a received packet.
-- Aligned with MAC_RX_DATA_VALID
--//-- Application <- UDP rx
UDP_RX_DATA => OPEN,
UDP_RX_DATA_VALID => OPEN,
UDP_RX_SOF => OPEN,
UDP_RX_EOF => OPEN,
-- 1 CLK pulse indicating that UDP_RX_DATA is the last byte in the UDP data field.
-- ALWAYS CHECK UDP_RX_DATA_VALID at the end of packet (UDP_RX_EOF = '1') to confirm
-- that the UDP packet is valid. External buffer may have to backtrack to the the last
-- valid pointer to discard an invalid UDP packet.
-- Reason: we only knows about bad UDP packets at the end.
UDP_RX_DEST_PORT_NO => (OTHERS => '0'),
--//-- Application -> UDP tx
UDP_TX_DATA => (OTHERS => '0'),
UDP_TX_DATA_VALID => '0',
UDP_TX_SOF => '0', -- 1 CLK-wide pulse to mark the first byte in the tx UDP frame
UDP_TX_EOF => '0', -- 1 CLK-wide pulse to mark the last byte in the tx UDP frame
UDP_TX_CTS => OPEN,
UDP_TX_ACK => OPEN, -- 1 CLK-wide pulse indicating that the previous UDP frame is being sent
UDP_TX_NAK => OPEN, -- 1 CLK-wide pulse indicating that the previous UDP frame could not be sent
UDP_TX_DEST_IP_ADDR => (OTHERS => '0'),
UDP_TX_DEST_PORT_NO => (OTHERS => '0'),
UDP_TX_SOURCE_PORT_NO => (OTHERS => '0'),
--//-- Application <- TCP rx
-- NTCPSTREAMS can operate independently. Only one stream active at any given time.
-- Data is pushed out. Limited flow-control here. Receipient must be able to accept data
-- at any time (in other words, it is the receipient's responsibility to have elastic
-- buffer if needed).
TCP_RX_DATA => tcp_rx_data_slv8x,
TCP_RX_DATA_VALID => tcp_rx_data_valid_v,
TCP_RX_RTS => tcp_rx_rts_v,
TCP_RX_CTS => tcp_rx_cts_v,
-- Optional Clear-To-Send. pull to '1' when output flow control is unused.
-- WARNING: pulling CTS down will stop the flow for ALL streams.
--//-- Application -> TCP tx
-- NTCPSTREAMS can operate independently and concurrently. No scheduling arbitration needed here.
TCP_TX_DATA => tcp_tx_data_slv8x,
TCP_TX_DATA_VALID => tcp_tx_data_valid_v,
TCP_TX_CTS => tcp_tx_cts_v,
-- Clear To Send = transmit flow control.
-- App is responsible for checking the CTS signal before sending APP_DATA
--//-- TEST POINTS, COMSCOPE TRACES
CS1 => OPEN,
CS1_CLK => OPEN,
CS2 => OPEN,
CS2_CLK => OPEN,
TP => OPEN
);
-- Must have programmable full with single-threshold of 61
-- out of total write-depth 64.
-- When RX_CTS is low, the Server continues to drive out 3 more bytes of data
-- (observed with ILA). The fifo must be able to accept them, hence the use
-- of prog_full.
rx_fifo_inst : fifo8to32
PORT MAP (
rst => glbl_rst_int,
wr_clk => gtx_clk_bufg,
rd_clk => RX_FIFO_RDCLK,
din => tcp_rx_data_slv8x(0),
wr_en => tcp_rx_data_valid_v(0),
rd_en => RX_FIFO_RDEN,
dout => RX_FIFO_Q,
full => rx_fifo_full,
prog_full => rx_fifo_fullm3, -- asserted at (full-3) writes
empty => RX_FIFO_EMPTY
);
tcp_rx_cts_v(0) <= (NOT rx_fifo_fullm3) WHEN TCP_USE_FIFO = '1' ELSE
RX_TREADY;
RX_TDATA <= tcp_rx_data_slv8x(0);
RX_TVALID <= tcp_rx_data_valid_v(0);
tx_fifo_inst : fifo32to8
PORT MAP (
rst => glbl_rst_int,
wr_clk => TX_FIFO_WRCLK,
rd_clk => gtx_clk_bufg,
din => TX_FIFO_Q,
wr_en => TX_FIFO_WREN,
rd_en => tx_fifo_rden,
dout => tx_fifo_dout,
full => TX_FIFO_FULL,
empty => tx_fifo_empty
);
tcp_tx_data_valid_v(0) <= ((NOT tx_fifo_empty) AND tcp_tx_cts_v(0)) WHEN TCP_USE_FIFO = '1' ELSE
TX_TVALID;
tx_fifo_rden <= tcp_tx_data_valid_v(0);
tcp_tx_data_slv8x(0) <= tx_fifo_dout WHEN TCP_USE_FIFO = '1' ELSE
TX_TDATA;
TX_TREADY <= tcp_tx_cts_v(0);
-- Stream 1
rx_fifo1_inst : fifo8to32
PORT MAP (
rst => glbl_rst_int,
wr_clk => gtx_clk_bufg,
rd_clk => RX_FIFO1_RDCLK,
din => tcp_rx_data_slv8x(1),
wr_en => tcp_rx_data_valid_v(1),
rd_en => RX_FIFO1_RDEN,
dout => RX_FIFO1_Q,
full => rx_fifo1_full,
prog_full => rx_fifo1_fullm3, -- asserted at (full-3) writes
empty => RX_FIFO1_EMPTY
);
tcp_rx_cts_v(1) <= NOT rx_fifo1_fullm3;
tx_fifo1_inst : fifo32to8
PORT MAP (
rst => glbl_rst_int,
wr_clk => TX_FIFO1_WRCLK,
rd_clk => gtx_clk_bufg,
din => TX_FIFO1_Q,
wr_en => TX_FIFO1_WREN,
rd_en => tx_fifo1_rden,
dout => tx_fifo1_dout,
full => TX_FIFO1_FULL,
empty => tx_fifo1_empty
);
tcp_tx_data_valid_v(1) <= ((NOT tx_fifo1_empty) AND tcp_tx_cts_v(1));
tx_fifo1_rden <= tcp_tx_data_valid_v(1);
tcp_tx_data_slv8x(1) <= tx_fifo1_dout;
end wrapper;
| bsd-3-clause | 7365bb907ca4a8218d5b36f149eb7386 | 0.485637 | 4.148584 | false | false | false | false |
shailcoolboy/Warp-Trinity | edk_user_repository/WARP/pcores/linkport_v1_00_a/hdl/vhdl/chbond_count_dec.vhd | 4 | 2,930 | --
-- Project: Aurora Module Generator version 2.4
--
-- Date: $Date: 2005/11/07 21:30:51 $
-- Tag: $Name: i+IP+98818 $
-- File: $RCSfile: chbond_count_dec_vhd.ejava,v $
-- Rev: $Revision: 1.1.2.1 $
--
-- Company: Xilinx
-- Contributors: R. K. Awalt, B. L. Woodard, N. Gulstone
--
-- Disclaimer: XILINX IS PROVIDING THIS DESIGN, CODE, OR
-- INFORMATION "AS IS" SOLELY FOR USE IN DEVELOPING
-- PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS
-- ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE,
-- APPLICATION OR STANDARD, XILINX IS MAKING NO
-- REPRESENTATION THAT THIS IMPLEMENTATION IS FREE
-- FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE
-- RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY
-- REQUIRE FOR YOUR IMPLEMENTATION. XILINX
-- EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH
-- RESPECT TO THE ADEQUACY OF THE IMPLEMENTATION,
-- INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE
-- FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES
-- OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE.
--
-- (c) Copyright 2004 Xilinx, Inc.
-- All rights reserved.
--
--
-- CHBOND_COUNT_DEC
--
-- Author: Nigel Gulstone
-- Xilinx - Embedded Networking System Engineering Group
--
-- VHDL Translation: Brian Woodard
-- Xilinx - Garden Valley Design Team
--
-- Description: This module decodes the MGT's RXCLKCORCNT. Its
-- CHANNEL_BOND_LOAD output is active when RXCLKCORCNT
-- indicates the elastic buffer has executed channel
-- bonding for the current RXDATA.
--
-- * Supports Virtex 2 Pro
--
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use WORK.AURORA.all;
entity CHBOND_COUNT_DEC is
port (
RX_CLK_COR_CNT : in std_logic_vector(2 downto 0);
CHANNEL_BOND_LOAD : out std_logic;
USER_CLK : in std_logic
);
end CHBOND_COUNT_DEC;
architecture RTL of CHBOND_COUNT_DEC is
-- Parameter Declarations --
constant DLY : time := 1 ns;
constant CHANNEL_BOND_LOAD_CODE : std_logic_vector(2 downto 0) := "101"; -- Code indicating channel bond load complete
-- External Register Declarations --
signal CHANNEL_BOND_LOAD_Buffer : std_logic;
begin
CHANNEL_BOND_LOAD <= CHANNEL_BOND_LOAD_Buffer;
-- Main Body of Code --
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
CHANNEL_BOND_LOAD_Buffer <= std_bool(RX_CLK_COR_CNT = CHANNEL_BOND_LOAD_CODE);
end if;
end process;
end RTL;
| bsd-2-clause | 9a916b8e3aed248bd00567bb1ec2680f | 0.593515 | 4.041379 | false | false | false | false |
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/blk_mem_gen_v8_0/blk_mem_axi_write_wrapper.vhd | 9 | 65,399 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Ay7yUo344MX/HpMjaa/BfEnxojenX57nfZN9+kMuLNQKkNFQNMwwKXWYirwn8AeviwFh8Ye8bBwr
07jpMsc3Ww==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
GtKHFr1bTEO3BDZPZ8B11z5mN8Sou0TY2F19SmGWFzX1kEzsWibDjneI2l/UloaSNmCpkBvA5It+
3v5N0cX3jTFQb6WArjXcGBdkY+96yJsuL/xjolKd2zK29DYDPjWDcqAAYun1mT7vy+P/RlE+qcEV
8d59OQmsYNVgHec5WPI=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
3KXS5u45KJIMa66YIYeVfuRMOmEnu1ipcmgx1Z755bjVdOjXL/J5GnxHf9FSjfwcZQ8htELRvv4i
2XHv1QAByuLtgPnkwtEJ1PedU6B3uydeNLww/S9ljbGjgnRt9IpKaHZ6X/x2HUFuHvvzAV0WKfTE
/bOML7wW1O8EW/ICxRTG+v7va9fvsexIbsK29IVJwWcW3Z9uKFI4U3/iPvC8wnsWlv+4Rr4jVD/3
5od3ICU4bvjH25G8nNGN+6CxBaq0/XWwbCx4McPjB8+Tk/2qEEm7urZB8sst2s5S0+NWcQR00HzA
6YEjymP2+zHjksKYsqnC+xLkWfiq8/S0phBwDg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
31NUwWmlZa0ZUDlGNhcQQDtFVp/7D0tJwNtxBTm8d6OIPjei5esQH7RNkG2/ZUp+07b/M1qopigq
5fuECFdNDxtfr9SROvlmrAHaMLT/LQES9BTq3mXTP1t3E3J+afPstJR1uI6kmd2t0DiOUmH8M+TM
7ZYaGVKSD4/OtwvVBl4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
HMC1xZTYCW9uKI/iOVB+HbW1Fk8igaZ0GW4GX10ep8GryNiF3nTt2tXE6SAQBlcnwq8dGFEuZIEa
78S7xWlsTIkfalU5gV8iQUgF9QGTvFWvNcqZp4IFp1M92HcBKD6UyYOR4IE2Iet+H4n1TdbexufL
rd/lEOWR1yTOyh91DoLfuWiPbO2tz0PONLRCkt9aIqO2UrRCYB1XERLzis7XV8vWUUDMhnEBkOCn
8OZTCAyyhyiZ5qX3NuWAI2jizuNFR59tUtVbEvgPhtvazz+Yd8Dn3eTNVyTQLCl3UjkDec3TmPW6
8+jBfuHbkDVmjmD4n4EoAlCcxXCv1+w1Ny1A5Q==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 46672)
`protect data_block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==
`protect end_protected
| gpl-3.0 | 38286d0abdae86aa754acb96c9b8984e | 0.949953 | 1.815328 | false | false | false | false |
timvideos/HDMI2USB-jahanzeb-firmware | ipcore_dir/cdcfifo/simulation/cdcfifo_dgen.vhd | 3 | 4,521 | --------------------------------------------------------------------------------
--
-- FIFO Generator Core Demo Testbench
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: cdcfifo_dgen.vhd
--
-- Description:
-- Used for write interface stimulus generation
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.all;
USE IEEE.std_logic_arith.all;
USE IEEE.std_logic_misc.all;
LIBRARY work;
USE work.cdcfifo_pkg.ALL;
ENTITY cdcfifo_dgen IS
GENERIC (
C_DIN_WIDTH : INTEGER := 32;
C_DOUT_WIDTH : INTEGER := 32;
C_CH_TYPE : INTEGER := 0;
TB_SEED : INTEGER := 2
);
PORT (
RESET : IN STD_LOGIC;
WR_CLK : IN STD_LOGIC;
PRC_WR_EN : IN STD_LOGIC;
FULL : IN STD_LOGIC;
WR_EN : OUT STD_LOGIC;
WR_DATA : OUT STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0)
);
END ENTITY;
ARCHITECTURE fg_dg_arch OF cdcfifo_dgen IS
CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH);
CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH,8);
SIGNAL pr_w_en : STD_LOGIC := '0';
SIGNAL rand_num : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 DOWNTO 0);
SIGNAL wr_data_i : STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0);
BEGIN
WR_EN <= PRC_WR_EN ;
WR_DATA <= wr_data_i AFTER 100 ns;
----------------------------------------------
-- Generation of DATA
----------------------------------------------
gen_stim:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE
rd_gen_inst1:cdcfifo_rng
GENERIC MAP(
WIDTH => 8,
SEED => TB_SEED+N
)
PORT MAP(
CLK => WR_CLK,
RESET => RESET,
RANDOM_NUM => rand_num(8*(N+1)-1 downto 8*N),
ENABLE => pr_w_en
);
END GENERATE;
pr_w_en <= PRC_WR_EN AND NOT FULL;
wr_data_i <= rand_num(C_DIN_WIDTH-1 DOWNTO 0);
END ARCHITECTURE;
| bsd-2-clause | 4b36813956c2ea23d266fe6cfa891493 | 0.60031 | 4.253057 | false | false | false | false |
Given-Jiang/Gray_Processing | Gray_Processing_dspbuilder/hdl/Gray_Processing_GN_Gray_Processing_Gray_Processing_Module.vhd | 2 | 29,196 | -- Gray_Processing_GN_Gray_Processing_Gray_Processing_Module.vhd
-- Generated using ACDS version 13.1 162 at 2015.02.27.10:14:00
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity Gray_Processing_GN_Gray_Processing_Gray_Processing_Module is
port (
eop : in std_logic := '0'; -- eop.wire
Clock : in std_logic := '0'; -- Clock.clk
aclr : in std_logic := '0'; -- .reset
data_in : in std_logic_vector(23 downto 0) := (others => '0'); -- data_in.wire
data_out : out std_logic_vector(23 downto 0); -- data_out.wire
sop : in std_logic := '0' -- sop.wire
);
end entity Gray_Processing_GN_Gray_Processing_Gray_Processing_Module;
architecture rtl of Gray_Processing_GN_Gray_Processing_Gray_Processing_Module is
component alt_dspbuilder_clock_GNQFU4PUDH is
port (
aclr : in std_logic := 'X'; -- reset
aclr_n : in std_logic := 'X'; -- reset_n
aclr_out : out std_logic; -- reset
clock : in std_logic := 'X'; -- clk
clock_out : out std_logic -- clk
);
end component alt_dspbuilder_clock_GNQFU4PUDH;
component alt_dspbuilder_cast_GNKXX25S2S is
generic (
round : natural := 0;
saturate : natural := 0
);
port (
input : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(7 downto 0) -- wire
);
end component alt_dspbuilder_cast_GNKXX25S2S;
component alt_dspbuilder_cast_GN6OMCQQS7 is
generic (
round : natural := 0;
saturate : natural := 0
);
port (
input : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(7 downto 0) -- wire
);
end component alt_dspbuilder_cast_GN6OMCQQS7;
component alt_dspbuilder_cast_GN7IAAYCSZ is
generic (
round : natural := 0;
saturate : natural := 0
);
port (
input : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(7 downto 0) -- wire
);
end component alt_dspbuilder_cast_GN7IAAYCSZ;
component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V is
generic (
LogicalOp : string := "AltAND";
number_inputs : positive := 2
);
port (
result : out std_logic; -- wire
data0 : in std_logic := 'X'; -- wire
data1 : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V;
component alt_dspbuilder_barrelshifter_GNV5DVAGHT is
generic (
DISTANCE_WIDTH : natural := 3;
NDIRECTION : natural := 0;
SIGNED : integer := 1;
use_dedicated_circuitry : string := "false";
PIPELINE : natural := 0;
WIDTH : natural := 8
);
port (
a : in std_logic_vector(WIDTH-1 downto 0) := (others => 'X'); -- wire
aclr : in std_logic := 'X'; -- clk
clock : in std_logic := 'X'; -- clk
direction : in std_logic := 'X'; -- wire
distance : in std_logic_vector(DISTANCE_WIDTH-1 downto 0) := (others => 'X'); -- wire
ena : in std_logic := 'X'; -- wire
r : out std_logic_vector(WIDTH-1 downto 0); -- wire
user_aclr : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_barrelshifter_GNV5DVAGHT;
component alt_dspbuilder_gnd_GN is
port (
output : out std_logic -- wire
);
end component alt_dspbuilder_gnd_GN;
component alt_dspbuilder_vcc_GN is
port (
output : out std_logic -- wire
);
end component alt_dspbuilder_vcc_GN;
component alt_dspbuilder_multiply_add_GNKLXFKAO3 is
generic (
family : string := "Stratix";
direction : string := "AddAdd";
data3b_const : string := "00000000";
data2b_const : string := "00000000";
representation : string := "SIGNED";
dataWidth : integer := 8;
data4b_const : string := "00000000";
number_multipliers : integer := 2;
pipeline_register : string := "NoRegister";
use_dedicated_circuitry : integer := 0;
data1b_const : string := "00000000";
use_b_consts : natural := 0
);
port (
clock : in std_logic := 'X'; -- clk
aclr : in std_logic := 'X'; -- reset
data1a : in std_logic_vector(7 downto 0) := (others => 'X'); -- wire
data2a : in std_logic_vector(7 downto 0) := (others => 'X'); -- wire
data3a : in std_logic_vector(7 downto 0) := (others => 'X'); -- wire
result : out std_logic_vector(17 downto 0); -- wire
user_aclr : in std_logic := 'X'; -- wire
ena : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_multiply_add_GNKLXFKAO3;
component alt_dspbuilder_multiplexer_GNCALBUTDR is
generic (
HDLTYPE : string := "STD_LOGIC_VECTOR";
use_one_hot_select_bus : natural := 0;
width : positive := 8;
pipeline : natural := 0;
number_inputs : natural := 4
);
port (
clock : in std_logic := 'X'; -- clk
aclr : in std_logic := 'X'; -- reset
sel : in std_logic_vector(0 downto 0) := (others => 'X'); -- wire
result : out std_logic_vector(23 downto 0); -- wire
ena : in std_logic := 'X'; -- wire
user_aclr : in std_logic := 'X'; -- wire
in0 : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
in1 : in std_logic_vector(23 downto 0) := (others => 'X') -- wire
);
end component alt_dspbuilder_multiplexer_GNCALBUTDR;
component alt_dspbuilder_cast_GNJGR7GQ2L is
generic (
round : natural := 0;
saturate : natural := 0
);
port (
input : in std_logic_vector(17 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(7 downto 0) -- wire
);
end component alt_dspbuilder_cast_GNJGR7GQ2L;
component alt_dspbuilder_constant_GNZEH3JAKA is
generic (
HDLTYPE : string := "STD_LOGIC_VECTOR";
BitPattern : string := "0000";
width : natural := 4
);
port (
output : out std_logic_vector(23 downto 0) -- wire
);
end component alt_dspbuilder_constant_GNZEH3JAKA;
component alt_dspbuilder_if_statement_GN7VA7SRUP is
generic (
use_else_output : natural := 0;
bwr : natural := 0;
use_else_input : natural := 0;
signed : natural := 1;
HDLTYPE : string := "STD_LOGIC_VECTOR";
if_expression : string := "a";
number_inputs : integer := 1;
width : natural := 8
);
port (
true : out std_logic; -- wire
a : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
b : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
c : in std_logic_vector(23 downto 0) := (others => 'X') -- wire
);
end component alt_dspbuilder_if_statement_GN7VA7SRUP;
component alt_dspbuilder_port_GN37ALZBS4 is
port (
input : in std_logic := 'X'; -- wire
output : out std_logic -- wire
);
end component alt_dspbuilder_port_GN37ALZBS4;
component alt_dspbuilder_bus_concat_GN55ETJ4VI is
generic (
widthB : natural := 8;
widthA : natural := 8
);
port (
a : in std_logic_vector(widthA-1 downto 0) := (others => 'X'); -- wire
aclr : in std_logic := 'X'; -- clk
b : in std_logic_vector(widthB-1 downto 0) := (others => 'X'); -- wire
clock : in std_logic := 'X'; -- clk
output : out std_logic_vector(widthA+widthB-1 downto 0) -- wire
);
end component alt_dspbuilder_bus_concat_GN55ETJ4VI;
component alt_dspbuilder_delay_GNHYCSAEGT is
generic (
ClockPhase : string := "1";
delay : positive := 1;
use_init : natural := 0;
BitPattern : string := "00000001";
width : positive := 8
);
port (
aclr : in std_logic := 'X'; -- clk
clock : in std_logic := 'X'; -- clk
ena : in std_logic := 'X'; -- wire
input : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(width-1 downto 0); -- wire
sclr : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_delay_GNHYCSAEGT;
component alt_dspbuilder_bus_concat_GNIIOZRPJD is
generic (
widthB : natural := 8;
widthA : natural := 8
);
port (
a : in std_logic_vector(widthA-1 downto 0) := (others => 'X'); -- wire
aclr : in std_logic := 'X'; -- clk
b : in std_logic_vector(widthB-1 downto 0) := (others => 'X'); -- wire
clock : in std_logic := 'X'; -- clk
output : out std_logic_vector(widthA+widthB-1 downto 0) -- wire
);
end component alt_dspbuilder_bus_concat_GNIIOZRPJD;
component alt_dspbuilder_constant_GNNKZSYI73 is
generic (
HDLTYPE : string := "STD_LOGIC_VECTOR";
BitPattern : string := "0000";
width : natural := 4
);
port (
output : out std_logic_vector(23 downto 0) -- wire
);
end component alt_dspbuilder_constant_GNNKZSYI73;
component alt_dspbuilder_delay_GNUECIBFDH is
generic (
ClockPhase : string := "1";
delay : positive := 1;
use_init : natural := 0;
BitPattern : string := "00000001";
width : positive := 8
);
port (
aclr : in std_logic := 'X'; -- clk
clock : in std_logic := 'X'; -- clk
ena : in std_logic := 'X'; -- wire
input : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(width-1 downto 0); -- wire
sclr : in std_logic := 'X' -- wire
);
end component alt_dspbuilder_delay_GNUECIBFDH;
component alt_dspbuilder_constant_GNPXZ5JSVR is
generic (
HDLTYPE : string := "STD_LOGIC_VECTOR";
BitPattern : string := "0000";
width : natural := 4
);
port (
output : out std_logic_vector(3 downto 0) -- wire
);
end component alt_dspbuilder_constant_GNPXZ5JSVR;
component alt_dspbuilder_port_GNOC3SGKQJ is
port (
input : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire
output : out std_logic_vector(23 downto 0) -- wire
);
end component alt_dspbuilder_port_GNOC3SGKQJ;
component alt_dspbuilder_cast_GNSB3OXIQS is
generic (
round : natural := 0;
saturate : natural := 0
);
port (
input : in std_logic_vector(0 downto 0) := (others => 'X'); -- wire
output : out std_logic -- wire
);
end component alt_dspbuilder_cast_GNSB3OXIQS;
component alt_dspbuilder_cast_GN46N4UJ5S is
generic (
round : natural := 0;
saturate : natural := 0
);
port (
input : in std_logic := 'X'; -- wire
output : out std_logic_vector(0 downto 0) -- wire
);
end component alt_dspbuilder_cast_GN46N4UJ5S;
signal barrel_shifteruser_aclrgnd_output_wire : std_logic; -- Barrel_Shifteruser_aclrGND:output -> Barrel_Shifter:user_aclr
signal barrel_shifterenavcc_output_wire : std_logic; -- Barrel_ShifterenaVCC:output -> Barrel_Shifter:ena
signal multiply_adduser_aclrgnd_output_wire : std_logic; -- Multiply_Adduser_aclrGND:output -> Multiply_Add:user_aclr
signal multiply_addenavcc_output_wire : std_logic; -- Multiply_AddenaVCC:output -> Multiply_Add:ena
signal multiplexeruser_aclrgnd_output_wire : std_logic; -- Multiplexeruser_aclrGND:output -> Multiplexer:user_aclr
signal multiplexerenavcc_output_wire : std_logic; -- MultiplexerenaVCC:output -> Multiplexer:ena
signal delay1sclrgnd_output_wire : std_logic; -- Delay1sclrGND:output -> Delay1:sclr
signal delay1enavcc_output_wire : std_logic; -- Delay1enaVCC:output -> Delay1:ena
signal delay2sclrgnd_output_wire : std_logic; -- Delay2sclrGND:output -> Delay2:sclr
signal delay2enavcc_output_wire : std_logic; -- Delay2enaVCC:output -> Delay2:ena
signal bus_concatenation_output_wire : std_logic_vector(15 downto 0); -- Bus_Concatenation:output -> Bus_Concatenation1:b
signal barrel_shifter_r_wire : std_logic_vector(17 downto 0); -- Barrel_Shifter:r -> Bus_Conversion:input
signal bus_conversion_output_wire : std_logic_vector(7 downto 0); -- Bus_Conversion:output -> [Bus_Concatenation1:a, Bus_Concatenation:a, Bus_Concatenation:b]
signal data_in_0_output_wire : std_logic_vector(23 downto 0); -- data_in_0:output -> [Bus_Conversion1:input, Bus_Conversion2:input, Bus_Conversion3:input, If_Statement1:a, Multiplexer:in0]
signal constant1_output_wire : std_logic_vector(3 downto 0); -- Constant1:output -> Barrel_Shifter:distance
signal delay2_output_wire : std_logic_vector(0 downto 0); -- Delay2:output -> [Delay:input, cast1:input]
signal constant3_output_wire : std_logic_vector(23 downto 0); -- Constant3:output -> If_Statement1:b
signal constant4_output_wire : std_logic_vector(23 downto 0); -- Constant4:output -> If_Statement1:c
signal if_statement1_true_wire : std_logic; -- If_Statement1:true -> Logical_Bit_Operator:data0
signal sop_0_output_wire : std_logic; -- sop_0:output -> Logical_Bit_Operator:data1
signal eop_0_output_wire : std_logic; -- eop_0:output -> Logical_Bit_Operator1:data0
signal delay_output_wire : std_logic_vector(0 downto 0); -- Delay:output -> [Multiplexer:sel, cast3:input]
signal bus_concatenation1_output_wire : std_logic_vector(23 downto 0); -- Bus_Concatenation1:output -> Multiplexer:in1
signal bus_conversion3_output_wire : std_logic_vector(7 downto 0); -- Bus_Conversion3:output -> Multiply_Add:data1a
signal bus_conversion2_output_wire : std_logic_vector(7 downto 0); -- Bus_Conversion2:output -> Multiply_Add:data2a
signal bus_conversion1_output_wire : std_logic_vector(7 downto 0); -- Bus_Conversion1:output -> Multiply_Add:data3a
signal multiply_add_result_wire : std_logic_vector(17 downto 0); -- Multiply_Add:result -> Barrel_Shifter:a
signal multiplexer_result_wire : std_logic_vector(23 downto 0); -- Multiplexer:result -> data_out_0:input
signal delay1_output_wire : std_logic_vector(0 downto 0); -- Delay1:output -> cast0:input
signal cast0_output_wire : std_logic; -- cast0:output -> Delay:sclr
signal cast1_output_wire : std_logic; -- cast1:output -> Delay:ena
signal logical_bit_operator_result_wire : std_logic; -- Logical_Bit_Operator:result -> cast2:input
signal cast2_output_wire : std_logic_vector(0 downto 0); -- cast2:output -> Delay2:input
signal cast3_output_wire : std_logic; -- cast3:output -> Logical_Bit_Operator1:data1
signal logical_bit_operator1_result_wire : std_logic; -- Logical_Bit_Operator1:result -> cast4:input
signal cast4_output_wire : std_logic_vector(0 downto 0); -- cast4:output -> Delay1:input
signal clock_0_clock_output_reset : std_logic; -- Clock_0:aclr_out -> [Barrel_Shifter:aclr, Bus_Concatenation1:aclr, Bus_Concatenation:aclr, Delay1:aclr, Delay2:aclr, Delay:aclr, Multiplexer:aclr, Multiply_Add:aclr]
signal clock_0_clock_output_clk : std_logic; -- Clock_0:clock_out -> [Barrel_Shifter:clock, Bus_Concatenation1:clock, Bus_Concatenation:clock, Delay1:clock, Delay2:clock, Delay:clock, Multiplexer:clock, Multiply_Add:clock]
begin
clock_0 : component alt_dspbuilder_clock_GNQFU4PUDH
port map (
clock_out => clock_0_clock_output_clk, -- clock_output.clk
aclr_out => clock_0_clock_output_reset, -- .reset
clock => Clock, -- clock.clk
aclr => aclr -- .reset
);
bus_conversion1 : component alt_dspbuilder_cast_GNKXX25S2S
generic map (
round => 0,
saturate => 0
)
port map (
input => data_in_0_output_wire, -- input.wire
output => bus_conversion1_output_wire -- output.wire
);
bus_conversion2 : component alt_dspbuilder_cast_GN6OMCQQS7
generic map (
round => 0,
saturate => 0
)
port map (
input => data_in_0_output_wire, -- input.wire
output => bus_conversion2_output_wire -- output.wire
);
bus_conversion3 : component alt_dspbuilder_cast_GN7IAAYCSZ
generic map (
round => 0,
saturate => 0
)
port map (
input => data_in_0_output_wire, -- input.wire
output => bus_conversion3_output_wire -- output.wire
);
logical_bit_operator : component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V
generic map (
LogicalOp => "AltAND",
number_inputs => 2
)
port map (
result => logical_bit_operator_result_wire, -- result.wire
data0 => if_statement1_true_wire, -- data0.wire
data1 => sop_0_output_wire -- data1.wire
);
barrel_shifter : component alt_dspbuilder_barrelshifter_GNV5DVAGHT
generic map (
DISTANCE_WIDTH => 4,
NDIRECTION => 1,
SIGNED => 0,
use_dedicated_circuitry => "false",
PIPELINE => 0,
WIDTH => 18
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
a => multiply_add_result_wire, -- a.wire
r => barrel_shifter_r_wire, -- r.wire
distance => constant1_output_wire, -- distance.wire
ena => barrel_shifterenavcc_output_wire, -- ena.wire
user_aclr => barrel_shifteruser_aclrgnd_output_wire -- user_aclr.wire
);
barrel_shifteruser_aclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => barrel_shifteruser_aclrgnd_output_wire -- output.wire
);
barrel_shifterenavcc : component alt_dspbuilder_vcc_GN
port map (
output => barrel_shifterenavcc_output_wire -- output.wire
);
multiply_add : component alt_dspbuilder_multiply_add_GNKLXFKAO3
generic map (
family => "Cyclone V",
direction => "AddAdd",
data3b_const => "00011110",
data2b_const => "10010110",
representation => "UNSIGNED",
dataWidth => 8,
data4b_const => "01001100",
number_multipliers => 3,
pipeline_register => "NoRegister",
use_dedicated_circuitry => 1,
data1b_const => "01001100",
use_b_consts => 1
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
data1a => bus_conversion3_output_wire, -- data1a.wire
data2a => bus_conversion2_output_wire, -- data2a.wire
data3a => bus_conversion1_output_wire, -- data3a.wire
result => multiply_add_result_wire, -- result.wire
user_aclr => multiply_adduser_aclrgnd_output_wire, -- user_aclr.wire
ena => multiply_addenavcc_output_wire -- ena.wire
);
multiply_adduser_aclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => multiply_adduser_aclrgnd_output_wire -- output.wire
);
multiply_addenavcc : component alt_dspbuilder_vcc_GN
port map (
output => multiply_addenavcc_output_wire -- output.wire
);
multiplexer : component alt_dspbuilder_multiplexer_GNCALBUTDR
generic map (
HDLTYPE => "STD_LOGIC_VECTOR",
use_one_hot_select_bus => 0,
width => 24,
pipeline => 0,
number_inputs => 2
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
sel => delay_output_wire, -- sel.wire
result => multiplexer_result_wire, -- result.wire
ena => multiplexerenavcc_output_wire, -- ena.wire
user_aclr => multiplexeruser_aclrgnd_output_wire, -- user_aclr.wire
in0 => data_in_0_output_wire, -- in0.wire
in1 => bus_concatenation1_output_wire -- in1.wire
);
multiplexeruser_aclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => multiplexeruser_aclrgnd_output_wire -- output.wire
);
multiplexerenavcc : component alt_dspbuilder_vcc_GN
port map (
output => multiplexerenavcc_output_wire -- output.wire
);
bus_conversion : component alt_dspbuilder_cast_GNJGR7GQ2L
generic map (
round => 0,
saturate => 0
)
port map (
input => barrel_shifter_r_wire, -- input.wire
output => bus_conversion_output_wire -- output.wire
);
constant4 : component alt_dspbuilder_constant_GNZEH3JAKA
generic map (
HDLTYPE => "STD_LOGIC_VECTOR",
BitPattern => "000000000000000000001111",
width => 24
)
port map (
output => constant4_output_wire -- output.wire
);
if_statement1 : component alt_dspbuilder_if_statement_GN7VA7SRUP
generic map (
use_else_output => 0,
bwr => 0,
use_else_input => 0,
signed => 0,
HDLTYPE => "STD_LOGIC_VECTOR",
if_expression => "(a=b) and (a /= c)",
number_inputs => 3,
width => 24
)
port map (
true => if_statement1_true_wire, -- true.wire
a => data_in_0_output_wire, -- a.wire
b => constant3_output_wire, -- b.wire
c => constant4_output_wire -- c.wire
);
sop_0 : component alt_dspbuilder_port_GN37ALZBS4
port map (
input => sop, -- input.wire
output => sop_0_output_wire -- output.wire
);
bus_concatenation1 : component alt_dspbuilder_bus_concat_GN55ETJ4VI
generic map (
widthB => 16,
widthA => 8
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
a => bus_conversion_output_wire, -- a.wire
b => bus_concatenation_output_wire, -- b.wire
output => bus_concatenation1_output_wire -- output.wire
);
delay1 : component alt_dspbuilder_delay_GNHYCSAEGT
generic map (
ClockPhase => "1",
delay => 1,
use_init => 0,
BitPattern => "0",
width => 1
)
port map (
input => cast4_output_wire, -- input.wire
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
output => delay1_output_wire, -- output.wire
sclr => delay1sclrgnd_output_wire, -- sclr.wire
ena => delay1enavcc_output_wire -- ena.wire
);
delay1sclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => delay1sclrgnd_output_wire -- output.wire
);
delay1enavcc : component alt_dspbuilder_vcc_GN
port map (
output => delay1enavcc_output_wire -- output.wire
);
bus_concatenation : component alt_dspbuilder_bus_concat_GNIIOZRPJD
generic map (
widthB => 8,
widthA => 8
)
port map (
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
a => bus_conversion_output_wire, -- a.wire
b => bus_conversion_output_wire, -- b.wire
output => bus_concatenation_output_wire -- output.wire
);
constant3 : component alt_dspbuilder_constant_GNNKZSYI73
generic map (
HDLTYPE => "STD_LOGIC_VECTOR",
BitPattern => "000000000000000000000000",
width => 24
)
port map (
output => constant3_output_wire -- output.wire
);
delay2 : component alt_dspbuilder_delay_GNHYCSAEGT
generic map (
ClockPhase => "1",
delay => 1,
use_init => 0,
BitPattern => "0",
width => 1
)
port map (
input => cast2_output_wire, -- input.wire
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
output => delay2_output_wire, -- output.wire
sclr => delay2sclrgnd_output_wire, -- sclr.wire
ena => delay2enavcc_output_wire -- ena.wire
);
delay2sclrgnd : component alt_dspbuilder_gnd_GN
port map (
output => delay2sclrgnd_output_wire -- output.wire
);
delay2enavcc : component alt_dspbuilder_vcc_GN
port map (
output => delay2enavcc_output_wire -- output.wire
);
delay : component alt_dspbuilder_delay_GNUECIBFDH
generic map (
ClockPhase => "1",
delay => 1,
use_init => 1,
BitPattern => "0",
width => 1
)
port map (
input => delay2_output_wire, -- input.wire
clock => clock_0_clock_output_clk, -- clock_aclr.clk
aclr => clock_0_clock_output_reset, -- .reset
output => delay_output_wire, -- output.wire
sclr => cast0_output_wire, -- sclr.wire
ena => cast1_output_wire -- ena.wire
);
constant1 : component alt_dspbuilder_constant_GNPXZ5JSVR
generic map (
HDLTYPE => "STD_LOGIC_VECTOR",
BitPattern => "1000",
width => 4
)
port map (
output => constant1_output_wire -- output.wire
);
data_out_0 : component alt_dspbuilder_port_GNOC3SGKQJ
port map (
input => multiplexer_result_wire, -- input.wire
output => data_out -- output.wire
);
data_in_0 : component alt_dspbuilder_port_GNOC3SGKQJ
port map (
input => data_in, -- input.wire
output => data_in_0_output_wire -- output.wire
);
eop_0 : component alt_dspbuilder_port_GN37ALZBS4
port map (
input => eop, -- input.wire
output => eop_0_output_wire -- output.wire
);
logical_bit_operator1 : component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V
generic map (
LogicalOp => "AltAND",
number_inputs => 2
)
port map (
result => logical_bit_operator1_result_wire, -- result.wire
data0 => eop_0_output_wire, -- data0.wire
data1 => cast3_output_wire -- data1.wire
);
cast0 : component alt_dspbuilder_cast_GNSB3OXIQS
generic map (
round => 0,
saturate => 0
)
port map (
input => delay1_output_wire, -- input.wire
output => cast0_output_wire -- output.wire
);
cast1 : component alt_dspbuilder_cast_GNSB3OXIQS
generic map (
round => 0,
saturate => 0
)
port map (
input => delay2_output_wire, -- input.wire
output => cast1_output_wire -- output.wire
);
cast2 : component alt_dspbuilder_cast_GN46N4UJ5S
generic map (
round => 0,
saturate => 0
)
port map (
input => logical_bit_operator_result_wire, -- input.wire
output => cast2_output_wire -- output.wire
);
cast3 : component alt_dspbuilder_cast_GNSB3OXIQS
generic map (
round => 0,
saturate => 0
)
port map (
input => delay_output_wire, -- input.wire
output => cast3_output_wire -- output.wire
);
cast4 : component alt_dspbuilder_cast_GN46N4UJ5S
generic map (
round => 0,
saturate => 0
)
port map (
input => logical_bit_operator1_result_wire, -- input.wire
output => cast4_output_wire -- output.wire
);
end architecture rtl; -- of Gray_Processing_GN_Gray_Processing_Gray_Processing_Module
| mit | e009c617c2f56b4e909f7f9568d6cede | 0.556686 | 3.383866 | false | false | false | false |
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/ramfifo/rd_bin_cntr.vhd | 9 | 12,982 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
clhdE+Lgx2wtNOkoxguCJgtBb+Fb8iDVJ+a4WIWN+BI9D0JYOnzrKoOEVCh5NXuKpKusWF1qgj0c
B5a1MC3TlQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
mCMVPia96QW9IzMEbN6VLySxC5EXxulLxa0PTw6FlgZbmC3kUMi7Pds+sRmwhbU+dswMfLQby4HZ
wucJjqqj1dN7cvvXVGzeNnMtxjpnbSloNV8WL/J1x3Yj0d32zBNOoty/rDwEXpsBbfqeMfgYIh/G
O0+kX2xZnRJowLZ7bSg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Budv/lcVDJyXngJLVx+jryJOmxH4sUA/suNEzb+nChxCpWYBSeDj7O+LMDiDGWLN0YBqDRlTpKaX
pWZUMTsglW8c4w53F9Bzq5NIac/3Mf/DtY4CPmR49I0C5DvRRK/MqFQB0tbyG64FiiYQ+CtIovzX
+LmyIP+W4rxTbzI5Y/fWkn/kvVYZyctuVTc8/xPKuYS1fc7GZHh5TpYCIoYfthMKtW3hLTmJaW6b
sxjyB9fd8EljjOWVCAdEoGwOWlkd7tf6/tTQPHwfjLuVRpHiuszxg5J52rSN2q4qGNg4DkVPPsOr
hTv45u96GiLQHsqx5mQrLv4uzKucG12txOgnCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TtUx2HVLaPYsfsTBwpewD7qshSojeGaNOQ/2CWfpYUSfB39fwplWUR7yOWQ+u6OtH8nR5eTUAEBk
ZlvV6cOQmcI4zPrS0VM6N2ybxHYLYVWBSoMkO4od+Wo12nHGG0aQ7KJSJmpbWqiTM3AoFPpgKUpX
JYxvvGdoyfT/7fpGNCA=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
QSyNG4A0Xjs9t3bK8Y7QXHx1+UkHqY4yf46l36LRax9IcKzvQ7U5+YwlEbHL8+woCiG9n2TG5Az8
8QOg6eF8SS80kDDUf0srQtU8Ut58Phh6vgxxzJZEPIYWGytXvFOWadL3clOGqYtPWkrgkZfemYdm
sBu9IRbmI1CAudW0e9U+k0G90HIR4Ws0J1LJaroOmbcs83VSwuWJRjiv+QaOBRkYLqhts+mCBcSO
GgkhgjenSXYyFy7CtBcfXO1Q3Lp748zL7hQUmVxANw9suqyqZTcEWCOuzN+tLSW0iJBa8Ja1qmTe
Z6TsVnrURdSlVqWUAcABgXh0mSpimyBtsgEoJw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7872)
`protect data_block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`protect end_protected
| gpl-3.0 | 0be9654320f7747b8f4902b37145ad82 | 0.930057 | 1.863891 | false | false | false | false |
ymei/TMSPlane | Firmware/src/ten_gig_eth/TE07412C1/ten_gig_eth.vhd | 2 | 18,584 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 12/18/2013 11:21:31 PM
-- Design Name:
-- Module Name: ten_gig_eth - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
USE ieee.numeric_std.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
LIBRARY UNISIM;
USE UNISIM.VComponents.ALL;
ENTITY ten_gig_eth IS
PORT (
REFCLK_P : IN std_logic; -- 156.25MHz for transceiver
REFCLK_N : IN std_logic;
RESET : IN std_logic;
SFP_TX_P : OUT std_logic;
SFP_TX_N : OUT std_logic;
SFP_RX_P : IN std_logic;
SFP_RX_N : IN std_logic;
SFP_LOS : IN std_logic; -- loss of receiver signal
SFP_TX_DISABLE : OUT std_logic;
-- clk156.25 domain, clock generated by the core
CLK156p25 : OUT std_logic;
PCS_PMA_CORE_STATUS : OUT std_logic_vector(7 DOWNTO 0);
TX_STATISTICS_VECTOR : OUT std_logic_vector(25 DOWNTO 0);
TX_STATISTICS_VALID : OUT std_logic;
RX_STATISTICS_VECTOR : OUT std_logic_vector(29 DOWNTO 0);
RX_STATISTICS_VALID : OUT std_logic;
PAUSE_VAL : IN std_logic_vector(15 DOWNTO 0);
PAUSE_REQ : IN std_logic;
TX_IFG_DELAY : IN std_logic_vector(7 DOWNTO 0);
-- emac control interface
S_AXI_ACLK : IN std_logic;
S_AXI_ARESETN : IN std_logic;
S_AXI_AWADDR : IN std_logic_vector(10 DOWNTO 0);
S_AXI_AWVALID : IN std_logic;
S_AXI_AWREADY : OUT std_logic;
S_AXI_WDATA : IN std_logic_vector(31 DOWNTO 0);
S_AXI_WVALID : IN std_logic;
S_AXI_WREADY : OUT std_logic;
S_AXI_BRESP : OUT std_logic_vector(1 DOWNTO 0);
S_AXI_BVALID : OUT std_logic;
S_AXI_BREADY : IN std_logic;
S_AXI_ARADDR : IN std_logic_vector(10 DOWNTO 0);
S_AXI_ARVALID : IN std_logic;
S_AXI_ARREADY : OUT std_logic;
S_AXI_RDATA : OUT std_logic_vector(31 DOWNTO 0);
S_AXI_RRESP : OUT std_logic_vector(1 DOWNTO 0);
S_AXI_RVALID : OUT std_logic;
S_AXI_RREADY : IN std_logic;
-- tx_wr_clk domain
TX_AXIS_FIFO_ARESETN : IN std_logic;
TX_AXIS_FIFO_ACLK : IN std_logic;
TX_AXIS_FIFO_TDATA : IN std_logic_vector(63 DOWNTO 0);
TX_AXIS_FIFO_TKEEP : IN std_logic_vector(7 DOWNTO 0);
TX_AXIS_FIFO_TVALID : IN std_logic;
TX_AXIS_FIFO_TLAST : IN std_logic;
TX_AXIS_FIFO_TREADY : OUT std_logic;
-- rx_rd_clk domain
RX_AXIS_FIFO_ARESETN : IN std_logic;
RX_AXIS_FIFO_ACLK : IN std_logic;
RX_AXIS_FIFO_TDATA : OUT std_logic_vector(63 DOWNTO 0);
RX_AXIS_FIFO_TKEEP : OUT std_logic_vector(7 DOWNTO 0);
RX_AXIS_FIFO_TVALID : OUT std_logic;
RX_AXIS_FIFO_TLAST : OUT std_logic;
RX_AXIS_FIFO_TREADY : IN std_logic
);
END ten_gig_eth;
ARCHITECTURE Behavioral OF ten_gig_eth IS
-- PCS/PMA
COMPONENT ten_gig_eth_pcs_pma_wrapper
PORT (
refclk_p : IN std_logic;
refclk_n : IN std_logic;
coreclk_out : OUT std_logic;
reset : IN std_logic;
qpll_locked : OUT std_logic;
sim_speedup_control : IN std_logic := '0';
xgmii_txd : IN std_logic_vector(63 DOWNTO 0);
xgmii_txc : IN std_logic_vector(7 DOWNTO 0);
xgmii_rxd : OUT std_logic_vector(63 DOWNTO 0);
xgmii_rxc : OUT std_logic_vector(7 DOWNTO 0);
xgmii_rx_clk : out std_logic;
txp : OUT std_logic;
txn : OUT std_logic;
rxp : IN std_logic;
rxn : IN std_logic;
mdc : IN std_logic;
mdio_in : IN std_logic;
mdio_out : OUT std_logic;
mdio_tri : OUT std_logic;
prtad : IN std_logic_vector(4 DOWNTO 0);
core_status : OUT std_logic_vector(7 DOWNTO 0);
resetdone : OUT std_logic;
signal_detect : IN std_logic;
tx_fault : IN std_logic;
tx_disable : OUT std_logic
);
END COMPONENT;
-- EMAC
COMPONENT ten_gig_eth_mac_0
PORT (
tx_clk0 : IN std_logic;
reset : IN std_logic;
tx_axis_aresetn : IN std_logic;
tx_axis_tdata : IN std_logic_vector(63 DOWNTO 0);
tx_axis_tvalid : IN std_logic;
tx_axis_tlast : IN std_logic;
tx_axis_tuser : IN std_logic_vector(0 DOWNTO 0);
tx_ifg_delay : IN std_logic_vector(7 DOWNTO 0);
tx_axis_tkeep : IN std_logic_vector(7 DOWNTO 0);
tx_axis_tready : OUT std_logic;
tx_statistics_vector : OUT std_logic_vector(25 DOWNTO 0);
tx_statistics_valid : OUT std_logic;
rx_axis_aresetn : IN std_logic;
rx_axis_tdata : OUT std_logic_vector(63 DOWNTO 0);
rx_axis_tvalid : OUT std_logic;
rx_axis_tuser : OUT std_logic;
rx_axis_tlast : OUT std_logic;
rx_axis_tkeep : OUT std_logic_vector(7 DOWNTO 0);
rx_statistics_vector : OUT std_logic_vector(29 DOWNTO 0);
rx_statistics_valid : OUT std_logic;
pause_val : IN std_logic_vector(15 DOWNTO 0);
pause_req : IN std_logic;
s_axi_aclk : IN std_logic;
s_axi_aresetn : IN std_logic;
s_axi_awaddr : IN std_logic_vector(10 DOWNTO 0);
s_axi_awvalid : IN std_logic;
s_axi_awready : OUT std_logic;
s_axi_wdata : IN std_logic_vector(31 DOWNTO 0);
s_axi_wvalid : IN std_logic;
s_axi_wready : OUT std_logic;
s_axi_bresp : OUT std_logic_vector(1 DOWNTO 0);
s_axi_bvalid : OUT std_logic;
s_axi_bready : IN std_logic;
s_axi_araddr : IN std_logic_vector(10 DOWNTO 0);
s_axi_arvalid : IN std_logic;
s_axi_arready : OUT std_logic;
s_axi_rdata : OUT std_logic_vector(31 DOWNTO 0);
s_axi_rresp : OUT std_logic_vector(1 DOWNTO 0);
s_axi_rvalid : OUT std_logic;
s_axi_rready : IN std_logic;
xgmacint : OUT std_logic;
tx_dcm_locked : IN std_logic;
xgmii_txd : OUT std_logic_vector(63 DOWNTO 0);
xgmii_txc : OUT std_logic_vector(7 DOWNTO 0);
rx_clk0 : IN std_logic;
rx_dcm_locked : IN std_logic;
xgmii_rxd : IN std_logic_vector(63 DOWNTO 0);
xgmii_rxc : IN std_logic_vector(7 DOWNTO 0);
mdc : OUT std_logic;
mdio_in : IN std_logic;
mdio_out : OUT std_logic;
mdio_tri : OUT std_logic
);
END COMPONENT;
--ATTRIBUTE SYN_BLACK_BOX : boolean;
--ATTRIBUTE SYN_BLACK_BOX OF ten_gig_eth_mac_0 : COMPONENT IS true;
--ATTRIBUTE BLACK_BOX_PAD_PIN : string;
--ATTRIBUTE BLACK_BOX_PAD_PIN OF ten_gig_eth_mac_0 : COMPONENT IS "tx_clk0,reset,tx_axis_aresetn,tx_axis_tdata[63:0],tx_axis_tvalid,tx_axis_tlast,tx_axis_tuser[0:0],tx_ifg_delay[7:0],tx_axis_tkeep[7:0],tx_axis_tready,tx_statistics_vector[25:0],tx_statistics_valid,rx_axis_aresetn,rx_axis_tdata[63:0],rx_axis_tvalid,rx_axis_tuser,rx_axis_tlast,rx_axis_tkeep[7:0],rx_statistics_vector[29:0],rx_statistics_valid,pause_val[15:0],pause_req,s_axi_aclk,s_axi_aresetn,s_axi_awaddr[10:0],s_axi_awvalid,s_axi_awready,s_axi_wdata[31:0],s_axi_wvalid,s_axi_wready,s_axi_bresp[1:0],s_axi_bvalid,s_axi_bready,s_axi_araddr[10:0],s_axi_arvalid,s_axi_arready,s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rvalid,s_axi_rready,xgmacint,tx_dcm_locked,xgmii_txd[63:0],xgmii_txc[7:0],rx_clk0,rx_dcm_locked,xgmii_rxd[63:0],xgmii_rxc[7:0],mdc,mdio_in,mdio_out,mdio_tri";
-- FIFO
COMPONENT ten_gig_eth_mac_0_xgmac_fifo
GENERIC (
TX_FIFO_SIZE : integer := 512;
RX_FIFO_SIZE : integer := 512
);
PORT (
----------------------------------------------------------------
-- client interface --
----------------------------------------------------------------
-- tx_wr_clk domain
tx_axis_fifo_aresetn : IN std_logic;
tx_axis_fifo_aclk : IN std_logic;
tx_axis_fifo_tdata : IN std_logic_vector(63 DOWNTO 0);
tx_axis_fifo_tkeep : IN std_logic_vector(7 DOWNTO 0);
tx_axis_fifo_tvalid : IN std_logic;
tx_axis_fifo_tlast : IN std_logic;
tx_axis_fifo_tready : OUT std_logic;
tx_fifo_full : OUT std_logic;
tx_fifo_status : OUT std_logic_vector(3 DOWNTO 0);
--rx_rd_clk domain
rx_axis_fifo_aresetn : IN std_logic;
rx_axis_fifo_aclk : IN std_logic;
rx_axis_fifo_tdata : OUT std_logic_vector(63 DOWNTO 0);
rx_axis_fifo_tkeep : OUT std_logic_vector(7 DOWNTO 0);
rx_axis_fifo_tvalid : OUT std_logic;
rx_axis_fifo_tlast : OUT std_logic;
rx_axis_fifo_tready : IN std_logic;
rx_fifo_status : OUT std_logic_vector(3 DOWNTO 0);
---------------------------------------------------------------------------
-- mac transmitter interface --
---------------------------------------------------------------------------
tx_axis_mac_aresetn : IN std_logic;
tx_axis_mac_aclk : IN std_logic;
tx_axis_mac_tdata : OUT std_logic_vector(63 DOWNTO 0);
tx_axis_mac_tkeep : OUT std_logic_vector(7 DOWNTO 0);
tx_axis_mac_tvalid : OUT std_logic;
tx_axis_mac_tlast : OUT std_logic;
tx_axis_mac_tready : IN std_logic;
---------------------------------------------------------------------------
-- mac receiver interface --
---------------------------------------------------------------------------
rx_axis_mac_aresetn : IN std_logic;
rx_axis_mac_aclk : IN std_logic;
rx_axis_mac_tdata : IN std_logic_vector(63 DOWNTO 0);
rx_axis_mac_tkeep : IN std_logic_vector(7 DOWNTO 0);
rx_axis_mac_tvalid : IN std_logic;
rx_axis_mac_tlast : IN std_logic;
rx_axis_mac_tuser : IN std_logic;
rx_fifo_full : OUT std_logic
);
END COMPONENT;
SIGNAL clk156p25_i : std_logic;
SIGNAL qpll_locked : std_logic;
SIGNAL xgmii_txd : std_logic_vector(63 DOWNTO 0);
SIGNAL xgmii_txc : std_logic_vector(7 DOWNTO 0);
SIGNAL xgmii_rxd : std_logic_vector(63 DOWNTO 0);
SIGNAL xgmii_rxc : std_logic_vector(7 DOWNTO 0);
SIGNAL mdc : std_logic;
SIGNAL tgemac_mdio_out : std_logic;
SIGNAL pcspma_mdio_out : std_logic;
SIGNAL signal_detect : std_logic;
SIGNAL drp_req : std_logic;
SIGNAL drp_den_o : std_logic;
SIGNAL drp_dwe_o : std_logic;
SIGNAL drp_daddr_o : std_logic_vector(15 DOWNTO 0);
SIGNAL drp_di_o : std_logic_vector(15 DOWNTO 0);
SIGNAL drp_drdy_o : std_logic;
SIGNAL drp_drpdo_o : std_logic_vector(15 DOWNTO 0);
SIGNAL tx_axis_mac_tdata : std_logic_vector(63 DOWNTO 0);
SIGNAL tx_axis_mac_tkeep : std_logic_vector(7 DOWNTO 0);
SIGNAL tx_axis_mac_tvalid : std_logic;
SIGNAL tx_axis_mac_tlast : std_logic;
SIGNAL tx_axis_mac_tready : std_logic;
SIGNAL tx_axis_mac_aresetn_i : std_logic;
SIGNAL tx_axis_fifo_aresetn_i : std_logic;
SIGNAL rx_axis_mac_tdata : std_logic_vector(63 DOWNTO 0);
SIGNAL rx_axis_mac_tkeep : std_logic_vector(7 DOWNTO 0);
SIGNAL rx_axis_mac_tvalid : std_logic;
SIGNAL rx_axis_mac_tuser : std_logic;
SIGNAL rx_axis_mac_tlast : std_logic;
SIGNAL rx_axis_mac_aresetn_i : std_logic;
SIGNAL rx_axis_fifo_aresetn_i : std_logic;
ATTRIBUTE keep : string;
ATTRIBUTE keep OF tx_axis_mac_tdata : SIGNAL IS "true";
ATTRIBUTE keep OF tx_axis_mac_tkeep : SIGNAL IS "true";
ATTRIBUTE keep OF tx_axis_mac_tvalid : SIGNAL IS "true";
ATTRIBUTE keep OF tx_axis_mac_tlast : SIGNAL IS "true";
ATTRIBUTE keep OF tx_axis_mac_tready : SIGNAL IS "true";
ATTRIBUTE keep OF rx_axis_mac_tdata : SIGNAL IS "true";
ATTRIBUTE keep OF rx_axis_mac_tkeep : SIGNAL IS "true";
ATTRIBUTE keep OF rx_axis_mac_tvalid : SIGNAL IS "true";
ATTRIBUTE keep OF rx_axis_mac_tuser : SIGNAL IS "true";
ATTRIBUTE keep OF rx_axis_mac_tlast : SIGNAL IS "true";
BEGIN
-- PCS/PMA
ten_gig_eth_pcs_pma_inst : ten_gig_eth_pcs_pma_wrapper
PORT MAP (
refclk_p => REFCLK_P,
refclk_n => REFCLK_N,
coreclk_out => clk156p25_i,
reset => RESET,
qpll_locked => qpll_locked,
xgmii_txd => xgmii_txd,
xgmii_txc => xgmii_txc,
xgmii_rxd => xgmii_rxd,
xgmii_rxc => xgmii_rxc,
xgmii_rx_clk => OPEN,
txp => SFP_TX_P,
txn => SFP_TX_N,
rxp => SFP_RX_P,
rxn => SFP_RX_N,
mdc => mdc,
mdio_in => tgemac_mdio_out,
mdio_out => pcspma_mdio_out,
mdio_tri => OPEN,
prtad => (OTHERS => '0'),
core_status => PCS_PMA_CORE_STATUS,
resetdone => OPEN,
signal_detect => signal_detect,
tx_fault => '0',
tx_disable => SFP_TX_DISABLE
);
signal_detect <= NOT SFP_LOS;
CLK156p25 <= clk156p25_i;
-- EMAC
ten_gig_eth_mac_inst : ten_gig_eth_mac_0
PORT MAP (
tx_clk0 => clk156p25_i,
reset => RESET,
tx_axis_aresetn => tx_axis_mac_aresetn_i,
tx_axis_tdata => tx_axis_mac_tdata,
tx_axis_tvalid => tx_axis_mac_tvalid,
tx_axis_tlast => tx_axis_mac_tlast,
tx_axis_tuser => (OTHERS => '0'),
tx_ifg_delay => TX_IFG_DELAY,
tx_axis_tkeep => tx_axis_mac_tkeep,
tx_axis_tready => tx_axis_mac_tready,
tx_statistics_vector => TX_STATISTICS_VECTOR,
tx_statistics_valid => TX_STATISTICS_VALID,
rx_axis_aresetn => rx_axis_mac_aresetn_i,
rx_axis_tdata => rx_axis_mac_tdata,
rx_axis_tvalid => rx_axis_mac_tvalid,
rx_axis_tuser => rx_axis_mac_tuser,
rx_axis_tlast => rx_axis_mac_tlast,
rx_axis_tkeep => rx_axis_mac_tkeep,
rx_statistics_vector => RX_STATISTICS_VECTOR,
rx_statistics_valid => RX_STATISTICS_VALID,
pause_val => PAUSE_VAL,
pause_req => PAUSE_REQ,
s_axi_aclk => S_AXI_ACLK,
s_axi_aresetn => S_AXI_ARESETN,
s_axi_awaddr => S_AXI_AWADDR,
s_axi_awvalid => S_AXI_AWVALID,
s_axi_awready => S_AXI_AWREADY,
s_axi_wdata => S_AXI_WDATA,
s_axi_wvalid => S_AXI_WVALID,
s_axi_wready => S_AXI_WREADY,
s_axi_bresp => S_AXI_BRESP,
s_axi_bvalid => S_AXI_BVALID,
s_axi_bready => S_AXI_BREADY,
s_axi_araddr => S_AXI_ARADDR,
s_axi_arvalid => S_AXI_ARVALID,
s_axi_arready => S_AXI_ARREADY,
s_axi_rdata => S_AXI_RDATA,
s_axi_rresp => S_AXI_RRESP,
s_axi_rvalid => S_AXI_RVALID,
s_axi_rready => S_AXI_RREADY,
xgmacint => OPEN,
tx_dcm_locked => qpll_locked,
xgmii_txd => xgmii_txd,
xgmii_txc => xgmii_txc,
rx_clk0 => clk156p25_i,
rx_dcm_locked => qpll_locked,
xgmii_rxd => xgmii_rxd,
xgmii_rxc => xgmii_rxc,
mdc => mdc,
mdio_in => pcspma_mdio_out,
mdio_out => tgemac_mdio_out,
mdio_tri => OPEN
);
-- FIFO
rx_axis_mac_aresetn_i <= NOT RESET;
tx_axis_mac_aresetn_i <= NOT RESET;
rx_axis_fifo_aresetn_i <= (NOT RESET) AND RX_AXIS_FIFO_ARESETN;
tx_axis_fifo_aresetn_i <= (NOT RESET) AND TX_AXIS_FIFO_ARESETN;
ten_gig_eth_mac_fifo_inst : ten_gig_eth_mac_0_xgmac_fifo
GENERIC MAP (
TX_FIFO_SIZE => 512,
RX_FIFO_SIZE => 512
)
PORT MAP (
tx_axis_fifo_aresetn => tx_axis_fifo_aresetn_i,
tx_axis_fifo_aclk => TX_AXIS_FIFO_ACLK,
tx_axis_fifo_tdata => TX_AXIS_FIFO_TDATA,
tx_axis_fifo_tkeep => TX_AXIS_FIFO_TKEEP,
tx_axis_fifo_tvalid => TX_AXIS_FIFO_TVALID,
tx_axis_fifo_tlast => TX_AXIS_FIFO_TLAST,
tx_axis_fifo_tready => TX_AXIS_FIFO_TREADY,
tx_fifo_full => OPEN,
tx_fifo_status => OPEN,
rx_axis_fifo_aresetn => rx_axis_fifo_aresetn_i,
rx_axis_fifo_aclk => RX_AXIS_FIFO_ACLK,
rx_axis_fifo_tdata => RX_AXIS_FIFO_TDATA,
rx_axis_fifo_tkeep => RX_AXIS_FIFO_TKEEP,
rx_axis_fifo_tvalid => RX_AXIS_FIFO_TVALID,
rx_axis_fifo_tlast => RX_AXIS_FIFO_TLAST,
rx_axis_fifo_tready => RX_AXIS_FIFO_TREADY,
rx_fifo_status => OPEN,
--MAC Tx Client Interface
tx_axis_mac_aresetn => tx_axis_mac_aresetn_i,
tx_axis_mac_aclk => clk156p25_i,
tx_axis_mac_tdata => tx_axis_mac_tdata,
tx_axis_mac_tkeep => tx_axis_mac_tkeep,
tx_axis_mac_tvalid => tx_axis_mac_tvalid,
tx_axis_mac_tlast => tx_axis_mac_tlast,
tx_axis_mac_tready => tx_axis_mac_tready,
--MAC Rx Client Interface
rx_axis_mac_aresetn => rx_axis_mac_aresetn_i,
rx_axis_mac_aclk => clk156p25_i,
rx_axis_mac_tdata => rx_axis_mac_tdata,
rx_axis_mac_tkeep => rx_axis_mac_tkeep,
rx_axis_mac_tvalid => rx_axis_mac_tvalid,
rx_axis_mac_tlast => rx_axis_mac_tlast,
rx_axis_mac_tuser => rx_axis_mac_tuser,
rx_fifo_full => OPEN
);
END Behavioral;
| bsd-3-clause | d150f583bd6ca506ca8c9de4b8bde7e0 | 0.534869 | 3.290951 | false | false | false | false |
nxt4hll/roccc-2.0 | roccc-compiler/src/llvm-2.3/include/rocccLibrary/DoubleWordVoter.vhd | 1 | 1,664 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity DoubleWordVoter is
port(
clk : in STD_LOGIC;
rst : in STD_LOGIC;
inputReady : in STD_LOGIC;
outputReady : out STD_LOGIC;
done : out STD_LOGIC;
stall : in STD_LOGIC;
error : out STD_LOGIC;
val0_in : in STD_LOGIC_VECTOR(31 downto 0);
val1_in : in STD_LOGIC_VECTOR(31 downto 0);
val2_in : in STD_LOGIC_VECTOR(31 downto 0);
val0_out : out STD_LOGIC_VECTOR(31 downto 0);
val1_out : out STD_LOGIC_VECTOR(31 downto 0);
val2_out : out STD_LOGIC_VECTOR(31 downto 0)
);
end DoubleWordVoter;
architecture Behavioral of DoubleWordVoter is
begin
process(clk, rst)
begin
if( rst = '1' ) then
elsif( clk'event and clk = '1' ) then
val0_out <= (others=>'0');
error0 <= '1';
if( val0_in = val1_in ) then
val0_out <= val0_in;
error0 <= '0';
end if;
end if;
end process;
process(clk, rst)
begin
if( rst = '1' ) then
elsif( clk'event and clk = '1' ) then
val1_out <= (others=>'0');
error1 <= '1';
if( val0_in = val1_in ) then
val1_out <= val0_in;
error1 <= '0';
end if;
end if;
end process;
process(clk, rst)
begin
if( rst = '1' ) then
elsif( clk'event and clk = '1' ) then
val2_out <= (others=>'0');
error2 <= '1';
if( val0_in = val1_in ) then
val2_out <= val0_in;
error2 <= '0';
end if;
end if;
end process;
end Behavioral;
| epl-1.0 | cf4f739a70ff1b8189544182cabb7f65 | 0.603365 | 2.909091 | false | false | false | false |
shailcoolboy/Warp-Trinity | edk_user_repository/WARP/pcores/linkport_v1_00_a/hdl/vhdl/aurora_16b.vhd | 4 | 36,984 | --
-- Project: Aurora Module Generator version 2.4
--
-- Date: $Date: 2005/11/21 23:26:37 $
-- Tag: $Name: i+IP+98818 $
-- File: $RCSfile: aurora_vhd.ejava,v $
-- Rev: $Revision: 1.1.2.3 $
--
-- Company: Xilinx
-- Contributors: R. K. Awalt, B. L. Woodard, N. Gulstone
--
-- Disclaimer: XILINX IS PROVIDING THIS DESIGN, CODE, OR
-- INFORMATION "AS IS" SOLELY FOR USE IN DEVELOPING
-- PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS
-- ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE,
-- APPLICATION OR STANDARD, XILINX IS MAKING NO
-- REPRESENTATION THAT THIS IMPLEMENTATION IS FREE
-- FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE
-- RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY
-- REQUIRE FOR YOUR IMPLEMENTATION. XILINX
-- EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH
-- RESPECT TO THE ADEQUACY OF THE IMPLEMENTATION,
-- INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE
-- FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES
-- OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE.
--
-- (c) Copyright 2004 Xilinx, Inc.
-- All rights reserved.
--
--
-- aurora_16b
--
-- Author: Nigel Gulstone
-- Xilinx - Embedded Networking System Engineering Group
--
-- VHDL Translation: Brian Woodard
-- Xilinx - Garden Valley Design Team
--
-- Description: This is the top level module for a 1 2-byte lane Aurora
-- reference design module. This module supports the following features:
--
-- * Immediate Mode Native Flow Control
-- * Supports Virtex 2 Pro
--
library IEEE;
use IEEE.STD_LOGIC_1164.all;
-- synthesis translate_off
library UNISIM;
use UNISIM.all;
-- synthesis translate_on
entity aurora_16b is
generic (
EXTEND_WATCHDOGS : boolean := FALSE
);
port (
-- LocalLink TX Interface
TX_D : in std_logic_vector(0 to 15);
TX_REM : in std_logic;
TX_SRC_RDY_N : in std_logic;
TX_SOF_N : in std_logic;
TX_EOF_N : in std_logic;
TX_DST_RDY_N : out std_logic;
-- LocalLink RX Interface
RX_D : out std_logic_vector(0 to 15);
RX_REM : out std_logic;
RX_SRC_RDY_N : out std_logic;
RX_SOF_N : out std_logic;
RX_EOF_N : out std_logic;
-- Native Flow Control Interface
NFC_REQ_N : in std_logic;
NFC_NB : in std_logic_vector(0 to 3);
NFC_ACK_N : out std_logic;
-- MGT Serial I/O
RXP : in std_logic;
RXN : in std_logic;
TXP : out std_logic;
TXN : out std_logic;
-- MGT Reference Clock Interface
TOP_BREF_CLK : in std_logic;
-- Error Detection Interface
HARD_ERROR : out std_logic;
SOFT_ERROR : out std_logic;
FRAME_ERROR : out std_logic;
-- Status
CHANNEL_UP : out std_logic;
LANE_UP : out std_logic;
-- Clock Compensation Control Interface
WARN_CC : in std_logic;
DO_CC : in std_logic;
-- System Interface
DCM_NOT_LOCKED : in std_logic;
USER_CLK : in std_logic;
RESET : in std_logic;
POWER_DOWN : in std_logic;
LOOPBACK : in std_logic_vector(1 downto 0)
);
end aurora_16b;
architecture MAPPED of aurora_16b is
-- External Register Declarations --
signal TX_DST_RDY_N_Buffer : std_logic;
signal RX_D_Buffer : std_logic_vector(0 to 15);
signal RX_REM_Buffer : std_logic;
signal RX_SRC_RDY_N_Buffer : std_logic;
signal RX_SOF_N_Buffer : std_logic;
signal RX_EOF_N_Buffer : std_logic;
signal NFC_ACK_N_Buffer : std_logic;
signal TXP_Buffer : std_logic;
signal TXN_Buffer : std_logic;
signal HARD_ERROR_Buffer : std_logic;
signal SOFT_ERROR_Buffer : std_logic;
signal FRAME_ERROR_Buffer : std_logic;
signal CHANNEL_UP_Buffer : std_logic;
signal LANE_UP_Buffer : std_logic;
-- Wire Declarations --
signal rx_data_i : std_logic_vector(15 downto 0);
signal rx_not_in_table_i : std_logic_vector(1 downto 0);
signal rx_disp_err_i : std_logic_vector(1 downto 0);
signal rx_char_is_k_i : std_logic_vector(1 downto 0);
signal rx_char_is_comma_i : std_logic_vector(1 downto 0);
signal rx_buf_status_i : std_logic;
signal tx_buf_err_i : std_logic;
signal tx_k_err_i : std_logic_vector(1 downto 0);
signal rx_clk_cor_cnt_i : std_logic_vector(2 downto 0);
signal rx_realign_i : std_logic;
signal rx_polarity_i : std_logic;
signal rx_reset_i : std_logic;
signal tx_char_is_k_i : std_logic_vector(1 downto 0);
signal tx_data_i : std_logic_vector(15 downto 0);
signal tx_reset_i : std_logic;
signal ena_comma_align_i : std_logic;
signal gen_scp_i : std_logic;
signal gen_snf_i : std_logic;
signal fc_nb_i : std_logic_vector(0 to 3);
signal gen_ecp_i : std_logic;
signal gen_pad_i : std_logic;
signal tx_pe_data_i : std_logic_vector(0 to 15);
signal tx_pe_data_v_i : std_logic;
signal gen_cc_i : std_logic;
signal rx_pad_i : std_logic;
signal rx_pe_data_i : std_logic_vector(0 to 15);
signal rx_pe_data_v_i : std_logic;
signal rx_scp_i : std_logic;
signal rx_ecp_i : std_logic;
signal rx_snf_i : std_logic;
signal rx_fc_nb_i : std_logic_vector(0 to 3);
signal gen_a_i : std_logic;
signal gen_k_i : std_logic_vector(0 to 1);
signal gen_r_i : std_logic_vector(0 to 1);
signal gen_v_i : std_logic_vector(0 to 1);
signal lane_up_i : std_logic;
signal soft_error_i : std_logic;
signal hard_error_i : std_logic;
signal channel_bond_load_i : std_logic;
signal got_a_i : std_logic_vector(0 to 1);
signal got_v_i : std_logic;
signal reset_lanes_i : std_logic;
signal rx_rec_clk_i : std_logic;
signal ena_calign_rec_i : std_logic;
signal txcharisk_lane_0_i : std_logic_vector(3 downto 0);
signal txdata_lane_0_i : std_logic_vector(31 downto 0);
signal refclksel_lane_0_i : std_logic;
signal txbypass8b10b_lane_0_i : std_logic_vector(3 downto 0);
signal txchardispmode_lane_0_i : std_logic_vector(3 downto 0);
signal txchardispval_lane_0_i : std_logic_vector(3 downto 0);
signal configenable_lane_0_i : std_logic;
signal configin_lane_0_i : std_logic;
signal txforcecrcerr_lane_0_i : std_logic;
signal txinhibit_lane_0_i : std_logic;
signal txpolarity_lane_0_i : std_logic;
signal rxdata_lane_0_i : std_logic_vector(31 downto 0);
signal rxnotintable_lane_0_i : std_logic_vector(3 downto 0);
signal rxdisperr_lane_0_i : std_logic_vector(3 downto 0);
signal rxcharisk_lane_0_i : std_logic_vector(3 downto 0);
signal rxchariscomma_lane_0_i : std_logic_vector(3 downto 0);
signal rxbufstatus_lane_0_i : std_logic_vector(1 downto 0);
signal txkerr_lane_0_i : std_logic_vector(3 downto 0);
signal ch_bond_done_i : std_logic;
signal en_chan_sync_i : std_logic;
signal channel_up_i : std_logic;
signal start_rx_i : std_logic;
signal tx_wait_i : std_logic;
signal decrement_nfc_i : std_logic;
signal chbondi_not_used_i : std_logic_vector(3 downto 0);
signal chbondo_not_used_i : std_logic_vector(3 downto 0);
signal tied_to_ground_i : std_logic;
signal tied_to_vcc_i : std_logic;
signal system_reset_c : std_logic;
signal fc_nb_not_used_i : std_logic_vector(0 to 3);
-- Component Declarations --
component FD
generic (INIT : bit := '0');
port (
Q : out std_ulogic;
C : in std_ulogic;
D : in std_ulogic
);
end component;
component AURORA_LANE
generic (
EXTEND_WATCHDOGS : boolean := FALSE
);
port (
-- MGT Interface
RX_DATA : in std_logic_vector(15 downto 0); -- 2-byte data bus from the MGT.
RX_NOT_IN_TABLE : in std_logic_vector(1 downto 0); -- Invalid 10-bit code was recieved.
RX_DISP_ERR : in std_logic_vector(1 downto 0); -- Disparity error detected on RX interface.
RX_CHAR_IS_K : in std_logic_vector(1 downto 0); -- Indicates which bytes of RX_DATA are control.
RX_CHAR_IS_COMMA : in std_logic_vector(1 downto 0); -- Comma received on given byte.
RX_BUF_STATUS : in std_logic; -- Overflow/Underflow of RX buffer detected.
TX_BUF_ERR : in std_logic; -- Overflow/Underflow of TX buffer detected.
TX_K_ERR : in std_logic_vector(1 downto 0); -- Attempt to send bad control byte detected.
RX_CLK_COR_CNT : in std_logic_vector(2 downto 0); -- Value used to determine channel bonding status.
RX_REALIGN : in std_logic; -- SERDES was realigned because of a new comma.
RX_POLARITY : out std_logic; -- Controls interpreted polarity of serial data inputs.
RX_RESET : out std_logic; -- Reset RX side of MGT logic.
TX_CHAR_IS_K : out std_logic_vector(1 downto 0); -- TX_DATA byte is a control character.
TX_DATA : out std_logic_vector(15 downto 0); -- 2-byte data bus to the MGT.
TX_RESET : out std_logic; -- Reset TX side of MGT logic.
-- Comma Detect Phase Align Interface
ENA_COMMA_ALIGN : out std_logic; -- Request comma alignment.
-- TX_LL Interface
GEN_SCP : in std_logic; -- SCP generation request from TX_LL.
GEN_ECP : in std_logic; -- ECP generation request from TX_LL.
GEN_SNF : in std_logic; -- SNF generation request from TX_LL.
GEN_PAD : in std_logic; -- PAD generation request from TX_LL.
FC_NB : in std_logic_vector(0 to 3); -- Size code for SUF and SNF messages.
TX_PE_DATA : in std_logic_vector(0 to 15); -- Data from TX_LL to send over lane.
TX_PE_DATA_V : in std_logic; -- Indicates TX_PE_DATA is Valid.
GEN_CC : in std_logic; -- CC generation request from TX_LL.
-- RX_LL Interface
RX_PAD : out std_logic; -- Indicates lane received PAD.
RX_PE_DATA : out std_logic_vector(0 to 15); -- RX data from lane to RX_LL.
RX_PE_DATA_V : out std_logic; -- RX_PE_DATA is data, not control symbol.
RX_SCP : out std_logic; -- Indicates lane received SCP.
RX_ECP : out std_logic; -- Indicates lane received ECP.
RX_SNF : out std_logic; -- Indicates lane received SNF.
RX_FC_NB : out std_logic_vector(0 to 3); -- Size code for SNF or SUF.
-- Global Logic Interface
GEN_A : in std_logic; -- 'A character' generation request from Global Logic.
GEN_K : in std_logic_vector(0 to 1); -- 'K character' generation request from Global Logic.
GEN_R : in std_logic_vector(0 to 1); -- 'R character' generation request from Global Logic.
GEN_V : in std_logic_vector(0 to 1); -- Verification data generation request.
LANE_UP : out std_logic; -- Lane is ready for bonding and verification.
SOFT_ERROR : out std_logic; -- Soft error detected.
HARD_ERROR : out std_logic; -- Hard error detected.
CHANNEL_BOND_LOAD : out std_logic; -- Channel Bonding done code received.
GOT_A : out std_logic_vector(0 to 1); -- Indicates lane recieved 'A character' bytes.
GOT_V : out std_logic; -- Verification symbols received.
-- System Interface
USER_CLK : in std_logic; -- System clock for all non-MGT Aurora Logic.
RESET : in std_logic -- Reset the lane.
);
end component;
component PHASE_ALIGN
port (
-- Aurora Lane Interface
ENA_COMMA_ALIGN : in std_logic;
-- MGT Interface
RX_REC_CLK : in std_logic;
ENA_CALIGN_REC : out std_logic
);
end component;
component GT_CUSTOM
generic (ALIGN_COMMA_MSB : boolean;
CHAN_BOND_MODE : string;
CHAN_BOND_ONE_SHOT : boolean;
CHAN_BOND_SEQ_1_1 : bit_vector;
REF_CLK_V_SEL : integer;
CLK_COR_INSERT_IDLE_FLAG : boolean;
CLK_COR_KEEP_IDLE : boolean;
CLK_COR_REPEAT_WAIT : integer;
CLK_COR_SEQ_1_1 : bit_vector;
CLK_COR_SEQ_1_2 : bit_vector;
CLK_COR_SEQ_2_USE : boolean;
CLK_COR_SEQ_LEN : integer;
CLK_CORRECT_USE : boolean;
COMMA_10B_MASK : bit_vector;
MCOMMA_10B_VALUE : bit_vector;
PCOMMA_10B_VALUE : bit_vector;
RX_CRC_USE : boolean;
RX_DATA_WIDTH : integer;
RX_LOSS_OF_SYNC_FSM : boolean;
RX_LOS_INVALID_INCR : integer;
RX_LOS_THRESHOLD : integer;
SERDES_10B : boolean;
TERMINATION_IMP : integer;
TX_CRC_USE : boolean;
TX_DATA_WIDTH : integer;
TX_DIFF_CTRL : integer;
TX_PREEMPHASIS : integer);
port (
CHBONDDONE : out std_logic;
CHBONDO : out std_logic_vector(3 downto 0);
CONFIGOUT : out std_logic;
RXBUFSTATUS : out std_logic_vector(1 downto 0);
RXCHARISCOMMA : out std_logic_vector(3 downto 0);
RXCHARISK : out std_logic_vector(3 downto 0);
RXCHECKINGCRC : out std_logic;
RXCLKCORCNT : out std_logic_vector(2 downto 0);
RXCOMMADET : out std_logic;
RXCRCERR : out std_logic;
RXDATA : out std_logic_vector(31 downto 0);
RXDISPERR : out std_logic_vector(3 downto 0);
RXLOSSOFSYNC : out std_logic_vector(1 downto 0);
RXNOTINTABLE : out std_logic_vector(3 downto 0);
RXREALIGN : out std_logic;
RXRECCLK : out std_logic;
RXRUNDISP : out std_logic_vector(3 downto 0);
TXBUFERR : out std_logic;
TXKERR : out std_logic_vector(3 downto 0);
TXN : out std_logic;
TXP : out std_logic;
TXRUNDISP : out std_logic_vector(3 downto 0);
BREFCLK : in std_logic;
BREFCLK2 : in std_logic;
CHBONDI : in std_logic_vector(3 downto 0);
CONFIGENABLE : in std_logic;
CONFIGIN : in std_logic;
ENCHANSYNC : in std_logic;
ENMCOMMAALIGN : in std_logic;
ENPCOMMAALIGN : in std_logic;
LOOPBACK : in std_logic_vector(1 downto 0);
POWERDOWN : in std_logic;
REFCLK : in std_logic;
REFCLK2 : in std_logic;
REFCLKSEL : in std_logic;
RXN : in std_logic;
RXP : in std_logic;
RXPOLARITY : in std_logic;
RXRESET : in std_logic;
RXUSRCLK : in std_logic;
RXUSRCLK2 : in std_logic;
TXBYPASS8B10B : in std_logic_vector(3 downto 0);
TXCHARDISPMODE : in std_logic_vector(3 downto 0);
TXCHARDISPVAL : in std_logic_vector(3 downto 0);
TXCHARISK : in std_logic_vector(3 downto 0);
TXDATA : in std_logic_vector(31 downto 0);
TXFORCECRCERR : in std_logic;
TXINHIBIT : in std_logic;
TXPOLARITY : in std_logic;
TXRESET : in std_logic;
TXUSRCLK : in std_logic;
TXUSRCLK2 : in std_logic
);
end component;
-- attribute syn_black_box of GT_CUSTOM : component is true;
component GLOBAL_LOGIC
generic (
EXTEND_WATCHDOGS : boolean := FALSE
);
port (
-- MGT Interface
CH_BOND_DONE : in std_logic;
EN_CHAN_SYNC : out std_logic;
-- Aurora Lane Interface
LANE_UP : in std_logic;
SOFT_ERROR : in std_logic;
HARD_ERROR : in std_logic;
CHANNEL_BOND_LOAD : in std_logic;
GOT_A : in std_logic_vector(0 to 1);
GOT_V : in std_logic;
GEN_A : out std_logic;
GEN_K : out std_logic_vector(0 to 1);
GEN_R : out std_logic_vector(0 to 1);
GEN_V : out std_logic_vector(0 to 1);
RESET_LANES : out std_logic;
-- System Interface
USER_CLK : in std_logic;
RESET : in std_logic;
POWER_DOWN : in std_logic;
CHANNEL_UP : out std_logic;
START_RX : out std_logic;
CHANNEL_SOFT_ERROR : out std_logic;
CHANNEL_HARD_ERROR : out std_logic
);
end component;
component TX_LL
port (
-- LocalLink PDU Interface
TX_D : in std_logic_vector(0 to 15);
TX_REM : in std_logic;
TX_SRC_RDY_N : in std_logic;
TX_SOF_N : in std_logic;
TX_EOF_N : in std_logic;
TX_DST_RDY_N : out std_logic;
-- NFC Interface
NFC_REQ_N : in std_logic;
NFC_NB : in std_logic_vector(0 to 3);
NFC_ACK_N : out std_logic;
-- Clock Compensation Interface
WARN_CC : in std_logic;
DO_CC : in std_logic;
-- Global Logic Interface
CHANNEL_UP : in std_logic;
-- Aurora Lane Interface
GEN_SCP : out std_logic;
GEN_ECP : out std_logic;
GEN_SNF : out std_logic;
FC_NB : out std_logic_vector(0 to 3);
TX_PE_DATA_V : out std_logic;
GEN_PAD : out std_logic;
TX_PE_DATA : out std_logic_vector(0 to 15);
GEN_CC : out std_logic;
-- RX_LL Interface
TX_WAIT : in std_logic;
DECREMENT_NFC : out std_logic;
-- System Interface
USER_CLK : in std_logic
);
end component;
component RX_LL
port (
-- LocalLink PDU Interface
RX_D : out std_logic_vector(0 to 15);
RX_REM : out std_logic;
RX_SRC_RDY_N : out std_logic;
RX_SOF_N : out std_logic;
RX_EOF_N : out std_logic;
-- Global Logic Interface
START_RX : in std_logic;
-- Aurora Lane Interface
RX_PAD : in std_logic;
RX_PE_DATA : in std_logic_vector(0 to 15);
RX_PE_DATA_V : in std_logic;
RX_SCP : in std_logic;
RX_ECP : in std_logic;
RX_SNF : in std_logic;
RX_FC_NB : in std_logic_vector(0 to 3);
-- TX_LL Interface
DECREMENT_NFC : in std_logic;
TX_WAIT : out std_logic;
-- Error Interface
FRAME_ERROR : out std_logic;
-- System Interface
USER_CLK : in std_logic
);
end component;
begin
TX_DST_RDY_N <= TX_DST_RDY_N_Buffer;
RX_D <= RX_D_Buffer;
RX_REM <= RX_REM_Buffer;
RX_SRC_RDY_N <= RX_SRC_RDY_N_Buffer;
RX_SOF_N <= RX_SOF_N_Buffer;
RX_EOF_N <= RX_EOF_N_Buffer;
NFC_ACK_N <= NFC_ACK_N_Buffer;
TXP <= TXP_Buffer;
TXN <= TXN_Buffer;
HARD_ERROR <= HARD_ERROR_Buffer;
SOFT_ERROR <= SOFT_ERROR_Buffer;
FRAME_ERROR <= FRAME_ERROR_Buffer;
CHANNEL_UP <= CHANNEL_UP_Buffer;
LANE_UP <= LANE_UP_Buffer;
-- Main Body of Code --
tied_to_ground_i <= '0';
tied_to_vcc_i <= '1';
chbondi_not_used_i <= "0000";
fc_nb_not_used_i <= "0000";
CHANNEL_UP_Buffer <= channel_up_i;
system_reset_c <= RESET or DCM_NOT_LOCKED;
-- Instantiate Lane 0 --
LANE_UP_Buffer <= lane_up_i;
aurora_lane_0_i : AURORA_LANE
generic map (
EXTEND_WATCHDOGS => EXTEND_WATCHDOGS
)
port map (
-- MGT Interface
RX_DATA => rx_data_i(15 downto 0),
RX_NOT_IN_TABLE => rx_not_in_table_i(1 downto 0),
RX_DISP_ERR => rx_disp_err_i(1 downto 0),
RX_CHAR_IS_K => rx_char_is_k_i(1 downto 0),
RX_CHAR_IS_COMMA => rx_char_is_comma_i(1 downto 0),
RX_BUF_STATUS => rx_buf_status_i,
TX_BUF_ERR => tx_buf_err_i,
TX_K_ERR => tx_k_err_i(1 downto 0),
RX_CLK_COR_CNT => rx_clk_cor_cnt_i(2 downto 0),
RX_REALIGN => rx_realign_i,
RX_POLARITY => rx_polarity_i,
RX_RESET => rx_reset_i,
TX_CHAR_IS_K => tx_char_is_k_i(1 downto 0),
TX_DATA => tx_data_i(15 downto 0),
TX_RESET => tx_reset_i,
-- Comma Detect Phase Align Interface
ENA_COMMA_ALIGN => ena_comma_align_i,
-- TX_LL Interface
GEN_SCP => gen_scp_i,
GEN_SNF => gen_snf_i,
FC_NB => fc_nb_i,
GEN_ECP => gen_ecp_i,
GEN_PAD => gen_pad_i,
TX_PE_DATA => tx_pe_data_i(0 to 15),
TX_PE_DATA_V => tx_pe_data_v_i,
GEN_CC => gen_cc_i,
-- RX_LL Interface
RX_PAD => rx_pad_i,
RX_PE_DATA => rx_pe_data_i(0 to 15),
RX_PE_DATA_V => rx_pe_data_v_i,
RX_SCP => rx_scp_i,
RX_ECP => rx_ecp_i,
RX_SNF => rx_snf_i,
RX_FC_NB => rx_fc_nb_i(0 to 3),
-- Global Logic Interface
GEN_A => gen_a_i,
GEN_K => gen_k_i(0 to 1),
GEN_R => gen_r_i(0 to 1),
GEN_V => gen_v_i(0 to 1),
LANE_UP => lane_up_i,
SOFT_ERROR => soft_error_i,
HARD_ERROR => hard_error_i,
CHANNEL_BOND_LOAD => channel_bond_load_i,
GOT_A => got_a_i(0 to 1),
GOT_V => got_v_i,
-- System Interface
USER_CLK => USER_CLK,
RESET => reset_lanes_i
);
lane_0_phase_align_i : PHASE_ALIGN
port map (
-- Aurora Lane Interface
ENA_COMMA_ALIGN => ena_comma_align_i,
-- MGT Interface
RX_REC_CLK => rx_rec_clk_i,
ENA_CALIGN_REC => ena_calign_rec_i
);
txcharisk_lane_0_i <= "00" & tx_char_is_k_i(1 downto 0);
txdata_lane_0_i <= "0000000000000000" & tx_data_i(15 downto 0);
refclksel_lane_0_i <= '0';
txbypass8b10b_lane_0_i <= "0000";
txchardispmode_lane_0_i <= "0000";
txchardispval_lane_0_i <= "0000";
configenable_lane_0_i <= '0';
configin_lane_0_i <= '0';
txforcecrcerr_lane_0_i <= '0';
txinhibit_lane_0_i <= '0';
txpolarity_lane_0_i <= '0';
rx_data_i(15 downto 0) <= rxdata_lane_0_i(15 downto 0);
rx_not_in_table_i(1 downto 0) <= rxnotintable_lane_0_i(1 downto 0);
rx_disp_err_i(1 downto 0) <= rxdisperr_lane_0_i(1 downto 0);
rx_char_is_k_i(1 downto 0) <= rxcharisk_lane_0_i(1 downto 0);
rx_char_is_comma_i(1 downto 0) <= rxchariscomma_lane_0_i(1 downto 0);
rx_buf_status_i <= rxbufstatus_lane_0_i(1);
tx_k_err_i(1 downto 0) <= txkerr_lane_0_i(1 downto 0);
lane_0_mgt_i : GT_CUSTOM
-- Lane 0 MGT attributes
generic map (
ALIGN_COMMA_MSB => TRUE,
CHAN_BOND_MODE => "OFF",
CHAN_BOND_ONE_SHOT => FALSE,
CHAN_BOND_SEQ_1_1 => "00101111100",
REF_CLK_V_SEL => 1,
CLK_COR_INSERT_IDLE_FLAG => FALSE,
CLK_COR_KEEP_IDLE => FALSE,
CLK_COR_REPEAT_WAIT => 8,
CLK_COR_SEQ_1_1 => "00111110111",
CLK_COR_SEQ_1_2 => "00111110111",
CLK_COR_SEQ_2_USE => FALSE,
CLK_COR_SEQ_LEN => 2,
CLK_CORRECT_USE => TRUE,
COMMA_10B_MASK => "1111111111",
MCOMMA_10B_VALUE => "1100000101",
PCOMMA_10B_VALUE => "0011111010",
RX_CRC_USE => FALSE,
RX_DATA_WIDTH => 2,
RX_LOSS_OF_SYNC_FSM => FALSE,
RX_LOS_INVALID_INCR => 1,
RX_LOS_THRESHOLD => 4,
SERDES_10B => FALSE,
TERMINATION_IMP => 50,
TX_CRC_USE => FALSE,
TX_DATA_WIDTH => 2,
TX_DIFF_CTRL => 600,
TX_PREEMPHASIS => 1
)
port map (
-- Aurora Lane Interface
RXPOLARITY => rx_polarity_i,
RXRESET => rx_reset_i,
TXCHARISK => txcharisk_lane_0_i,
TXDATA => txdata_lane_0_i,
TXRESET => tx_reset_i,
RXDATA => rxdata_lane_0_i,
RXNOTINTABLE => rxnotintable_lane_0_i,
RXDISPERR => rxdisperr_lane_0_i,
RXCHARISK => rxcharisk_lane_0_i,
RXCHARISCOMMA => rxchariscomma_lane_0_i,
RXBUFSTATUS => rxbufstatus_lane_0_i,
TXBUFERR => tx_buf_err_i,
TXKERR => txkerr_lane_0_i,
RXCLKCORCNT => rx_clk_cor_cnt_i(2 downto 0),
RXREALIGN => rx_realign_i,
-- Phase Align Interface
ENMCOMMAALIGN => ena_calign_rec_i,
ENPCOMMAALIGN => ena_calign_rec_i,
RXRECCLK => rx_rec_clk_i,
-- Global Logic Interface
ENCHANSYNC => tied_to_ground_i,
CHBONDDONE => ch_bond_done_i,
-- Peer Channel Bonding Interface
CHBONDI => chbondi_not_used_i,
CHBONDO => chbondo_not_used_i(3 downto 0),
-- Unused MGT Ports
CONFIGOUT => open,
RXCHECKINGCRC => open,
RXCOMMADET => open,
RXCRCERR => open,
RXLOSSOFSYNC => open,
RXRUNDISP => open,
TXRUNDISP => open,
-- Fixed MGT settings for Aurora
TXBYPASS8B10B => txbypass8b10b_lane_0_i,
TXCHARDISPMODE => txchardispmode_lane_0_i,
TXCHARDISPVAL => txchardispval_lane_0_i,
CONFIGENABLE => configenable_lane_0_i,
CONFIGIN => configin_lane_0_i,
TXFORCECRCERR => txforcecrcerr_lane_0_i,
TXINHIBIT => txinhibit_lane_0_i,
TXPOLARITY => txpolarity_lane_0_i,
-- Serial IO
RXN => RXN,
RXP => RXP,
TXN => TXN_Buffer,
TXP => TXP_Buffer,
-- Reference Clocks and User Clock
RXUSRCLK => USER_CLK,
RXUSRCLK2 => USER_CLK,
TXUSRCLK => USER_CLK,
TXUSRCLK2 => USER_CLK,
BREFCLK => TOP_BREF_CLK,
BREFCLK2 => tied_to_ground_i,
REFCLK => tied_to_ground_i,
REFCLK2 => tied_to_ground_i,
REFCLKSEL => refclksel_lane_0_i,
-- System Interface
LOOPBACK => LOOPBACK,
POWERDOWN => POWER_DOWN
);
-- Instantiate Global Logic to combine Lanes into a Channel --
global_logic_i : GLOBAL_LOGIC
generic map (
EXTEND_WATCHDOGS => EXTEND_WATCHDOGS
)
port map (
-- MGT Interface
CH_BOND_DONE => ch_bond_done_i,
EN_CHAN_SYNC => en_chan_sync_i,
-- Aurora Lane Interface
LANE_UP => lane_up_i,
SOFT_ERROR => soft_error_i,
HARD_ERROR => hard_error_i,
CHANNEL_BOND_LOAD => channel_bond_load_i,
GOT_A => got_a_i,
GOT_V => got_v_i,
GEN_A => gen_a_i,
GEN_K => gen_k_i,
GEN_R => gen_r_i,
GEN_V => gen_v_i,
RESET_LANES => reset_lanes_i,
-- System Interface
USER_CLK => USER_CLK,
RESET => system_reset_c,
POWER_DOWN => POWER_DOWN,
CHANNEL_UP => channel_up_i,
START_RX => start_rx_i,
CHANNEL_SOFT_ERROR => SOFT_ERROR_Buffer,
CHANNEL_HARD_ERROR => HARD_ERROR_Buffer
);
-- Instantiate TX_LL --
tx_ll_i : TX_LL
port map (
-- LocalLink PDU Interface
TX_D => TX_D,
TX_REM => TX_REM,
TX_SRC_RDY_N => TX_SRC_RDY_N,
TX_SOF_N => TX_SOF_N,
TX_EOF_N => TX_EOF_N,
TX_DST_RDY_N => TX_DST_RDY_N_Buffer,
-- NFC Interface
NFC_REQ_N => NFC_REQ_N,
NFC_NB => NFC_NB,
NFC_ACK_N => NFC_ACK_N_Buffer,
-- Clock Compenstaion Interface
WARN_CC => WARN_CC,
DO_CC => DO_CC,
-- Global Logic Interface
CHANNEL_UP => channel_up_i,
-- Aurora Lane Interface
GEN_SCP => gen_scp_i,
GEN_ECP => gen_ecp_i,
GEN_SNF => gen_snf_i,
FC_NB => fc_nb_i,
TX_PE_DATA_V => tx_pe_data_v_i,
GEN_PAD => gen_pad_i,
TX_PE_DATA => tx_pe_data_i,
GEN_CC => gen_cc_i,
-- RX_LL Interface
TX_WAIT => tx_wait_i,
DECREMENT_NFC => decrement_nfc_i,
-- System Interface
USER_CLK => USER_CLK
);
-- Instantiate RX_LL --
rx_ll_i : RX_LL
port map (
-- LocalLink PDU Interface
RX_D => RX_D_Buffer,
RX_REM => RX_REM_Buffer,
RX_SRC_RDY_N => RX_SRC_RDY_N_Buffer,
RX_SOF_N => RX_SOF_N_Buffer,
RX_EOF_N => RX_EOF_N_Buffer,
-- Global Logic Interface
START_RX => start_rx_i,
-- Aurora Lane Interface
RX_PAD => rx_pad_i,
RX_PE_DATA => rx_pe_data_i,
RX_PE_DATA_V => rx_pe_data_v_i,
RX_SCP => rx_scp_i,
RX_ECP => rx_ecp_i,
RX_SNF => rx_snf_i,
RX_FC_NB => rx_fc_nb_i,
-- TX_LL Interface
DECREMENT_NFC => decrement_nfc_i,
TX_WAIT => tx_wait_i,
-- Error Interface
FRAME_ERROR => FRAME_ERROR_Buffer,
-- System Interface
USER_CLK => USER_CLK
);
end MAPPED;
| bsd-2-clause | a5632aef0dc3b2e8b07bc21cbcc55d20 | 0.434404 | 4.119862 | false | false | false | false |
ymei/TMSPlane | Firmware/test_bench/shiftreg_drive_tb.vhd | 2 | 6,005 | --------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 14:39:52 01/07/2015
-- Design Name: shiftreg_drive_tb
-- Module Name:
-- Project Name:
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: shiftreg_drive
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
USE IEEE.NUMERIC_STD.ALL;
ENTITY shiftreg_drive_tb IS
END shiftreg_drive_tb;
ARCHITECTURE behavior OF shiftreg_drive_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT shiftreg_drive
GENERIC (
DATA_WIDTH : positive := 32; -- parallel data width
CLK_DIV_WIDTH : positive := 16;
DELAY_AFTER_SYNCn : natural := 0; -- number of SCLK cycles' wait after falling edge OF SYNCn
SCLK_IDLE_LEVEL : std_logic := '0'; -- High or Low for SCLK when not switching
DOUT_DRIVE_EDGE : std_logic := '1'; -- 1/0 rising/falling edge of SCLK drives new DOUT bit
DIN_CAPTURE_EDGE : std_logic := '0' -- 1/0 rising/falling edge of SCLK captures new DIN bit
);
PORT (
CLK : IN std_logic;
RESET : IN std_logic;
CLK_DIV : IN std_logic_vector(CLK_DIV_WIDTH-1 DOWNTO 0); -- SCLK freq is CLK / 2**(CLK_DIV)
DATAIN : IN std_logic_vector(DATA_WIDTH-1 DOWNTO 0);
START : IN std_logic;
BUSY : OUT std_logic;
DATAOUT : OUT std_logic_vector(DATA_WIDTH-1 DOWNTO 0);
SCLK : OUT std_logic;
DOUT : OUT std_logic;
SYNCn : OUT std_logic;
DIN : IN std_logic
);
END COMPONENT;
COMPONENT edge_sync
GENERIC (
EDGE : std_logic := '1' -- '1' : rising edge, '0' falling edge
);
PORT (
RESET : IN std_logic;
CLK : IN std_logic;
EI : IN std_logic;
SO : OUT std_logic
);
END COMPONENT;
COMPONENT fifo16to32
PORT (
RST : IN std_logic;
WR_CLK : IN std_logic;
RD_CLK : IN std_logic;
DIN : IN std_logic_vector(15 DOWNTO 0);
WR_EN : IN std_logic;
RD_EN : IN std_logic;
DOUT : OUT std_logic_vector(31 DOWNTO 0);
FULL : OUT std_logic;
EMPTY : OUT std_logic
);
END COMPONENT;
--Inputs
SIGNAL CLK : std_logic := '0';
SIGNAL CLK_DIV : std_logic_vector(15 DOWNTO 0) := x"0003";
SIGNAL RESET : std_logic := '0';
SIGNAL DATAIN : std_logic_vector(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL START : std_logic := '0';
SIGNAL DIN : std_logic;
--Outputs
SIGNAL DATAOUT : std_logic_vector(31 DOWNTO 0);
SIGNAL BUSY : std_logic;
SIGNAL SCLK : std_logic;
SIGNAL DOUT : std_logic;
SIGNAL SYNCn : std_logic;
-- internals
SIGNAL wr_start : std_logic := '0';
SIGNAL fifo_din : std_logic_vector(15 DOWNTO 0) := (OTHERS => '0');
SIGNAL fifo_wr_en : std_logic;
SIGNAL fifo_rd_en : std_logic;
SIGNAL fifo_full : std_logic;
SIGNAL fifo_empty : std_logic;
-- Clock period definitions
CONSTANT CLK_period : time := 10 ns;
CONSTANT SCLK_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut : shiftreg_drive PORT MAP (
CLK => CLK,
CLK_DIV => CLK_DIV,
RESET => RESET,
DATAIN => DATAIN,
START => START,
BUSY => BUSY,
DATAOUT => DATAOUT,
SCLK => SCLK,
DOUT => DOUT,
SYNCn => SYNCn,
DIN => DIN
);
DIN <= DOUT;
fifo : fifo16to32
PORT MAP (
RST => RESET,
WR_CLK => CLK,
RD_CLK => CLK,
DIN => fifo_din,
WR_EN => fifo_wr_en,
RD_EN => fifo_rd_en,
DOUT => DATAIN,
FULL => fifo_full,
EMPTY => fifo_empty
);
START <= NOT fifo_empty;
-- rising edge of busy
rd_es : edge_sync
GENERIC MAP (
EDGE => '1' -- '1' : rising edge, '0' falling edge
)
PORT MAP (
RESET => RESET,
CLK => CLK,
EI => BUSY,
SO => fifo_rd_en
);
wr_es : edge_sync
GENERIC MAP (
EDGE => '1' -- '1' : rising edge, '0' falling edge
)
PORT MAP (
RESET => RESET,
CLK => CLK,
EI => wr_start,
SO => fifo_wr_en
);
-- Clock process definitions
CLK_process : PROCESS
BEGIN
CLK <= '0';
WAIT FOR CLK_period/2;
CLK <= '1';
WAIT FOR CLK_period/2;
END PROCESS;
-- Stimulus process
stim_proc : PROCESS
BEGIN
WAIT FOR 25ns;
-- hold reset state for 80 ns.
RESET <= '1';
WAIT FOR 80 ns;
RESET <= '0';
WAIT FOR CLK_period*12;
-- insert stimulus here
WAIT FOR 10ps;
fifo_din <= x"505a";
wr_start <= '1';
WAIT FOR CLK_period*3;
wr_start <= '0';
fifo_din <= x"a505";
WAIT FOR CLK_period;
wr_start <= '1';
WAIT FOR CLK_period*3;
wr_start <= '0';
WAIT FOR 20ns;
fifo_din <= x"abcd";
wr_start <= '1';
WAIT FOR CLK_period*3;
wr_start <= '0';
fifo_din <= x"1234";
WAIT FOR CLK_period;
wr_start <= '1';
WAIT FOR CLK_period*3;
wr_start <= '0';
WAIT FOR 1100ns;
fifo_din <= x"4321";
wr_start <= '1';
WAIT FOR CLK_period*3;
wr_start <= '0';
fifo_din <= x"dcba";
WAIT FOR CLK_period;
wr_start <= '1';
WAIT FOR CLK_period*3;
wr_start <= '0';
WAIT;
END PROCESS;
END;
| bsd-3-clause | 1d84a3545737bcc96729f055cbc85c83 | 0.549542 | 3.445209 | false | false | false | false |
apoloval/avionica | vhdl/ic74595_tb.vhdl | 1 | 1,894 | library ieee;
use ieee.std_logic_1164.all;
entity ic74595_tb is
end ic74595_tb;
architecture behavior of ic74595_tb is
component ic74595
port (ds : in std_logic;
shcp : in std_logic;
mr : in std_logic;
stcp : in std_logic;
oe : in std_logic;
q : out std_logic_vector(7 downto 0);
q7s : out std_logic);
end component;
signal clock: std_logic := '0';
signal serial: std_logic := '0';
signal clear: std_logic := '1';
signal load: std_logic := '0';
signal oe: std_logic := '0';
signal q: std_logic_vector(0 to 7) := "00000000";
signal sout: std_logic;
for ic: ic74595 use entity work.ic74595;
begin
ic: ic74595 port map (ds => serial,
shcp => clock,
mr => clear,
stcp => load,
oe => oe,
q => q,
q7s => sout);
process
constant byte: std_logic_vector(7 downto 0) := "01001101";
begin
report "should output Z when not OE";
oe <= '1';
wait for 10 ns;
assert q = "ZZZZZZZZ" report "Q is not Z";
oe <= '0';
wait for 10 ns;
assert q /= "ZZZZZZZZ" report "Q is Z";
report "should shift some data in";
for i in byte'range loop
serial <= byte(i);
clock <= '1'; wait for 4 ns; clock <= '0'; wait for 4 ns;
end loop;
load <= '1'; wait for 4 ns; load <= '0'; wait for 4 ns;
assert q = "01001101";
report "should write rightmost bit in serial out";
assert sout = '0';
clock <= '1'; wait for 4 ns; clock <= '0'; wait for 4 ns;
assert sout = '1';
report "should clear on signal";
clear <= '0';
wait for 10 ns;
load <= '1'; wait for 4 ns; load <= '0'; wait for 4 ns;
assert q = "00000000";
report "end of test";
wait;
end process;
end behavior;
| mpl-2.0 | bc6e33695be223bb20c72dc9044a17df | 0.529039 | 3.475229 | false | false | false | false |
biximilien/ArithmeticLogicUnit | adder_n.vhd | 1 | 2,158 | -------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Cadre : GEN1333 - Conception des circuits integrés --
-- : Projet de conception individuel 1 --
-- Par : Maxime Gauthier --
-- Date : 03 / 21 / 2015 --
-- Fichier : adder_n.vhd --
-- Description : VHDL pour une unité arithmétique logique générique (n bits) --
-- : basé sur du matériel de cours fourni par Ahmed Lakhsassi --
-- : et du code originellement écrit par Antoine Shaneen --
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- librairie a inclure
library ieee;
use ieee.std_logic_1164.all;
-- déclaration de l'entité de l'additionneur générique (n bits) paramétrable
entity adder_n is
generic ( N : integer := 8);
port (
augend, addend : in std_logic_vector ( N downto 1 );
sum : out std_logic_vector ( N downto 1 );
carry_in : in std_logic;
carry_out : out std_logic
);
end adder_n;
-- architecture structurelle de l'additionneur générique (n bits).
architecture adder_n_impl of adder_n is
-- declare components
component adder
port(
augend, addend, carry_in : in std_logic;
sum, carry_out : out std_logic
);
end component;
-- declare signal
signal cs : std_logic_vector ( N downto 0 ); -- pour garder le carry
begin
cs(0) <= carry_in;
carry_out <= cs(N);
--instantiation de l'additionneur de 1 bit n fois
summator : for i in 1 to N generate
sum_n : adder
port map (
augend => augend(i),
addend => addend(i),
carry_in => cs(i-1),
sum => sum(i),
carry_out => cs(i)
);
end generate summator;
end adder_n_impl;
| mit | 59250232356445c8f2a07b82883c848d | 0.44657 | 4.294589 | false | false | false | false |
inmcm/Simon_Speck_Ciphers | VHDL/AXI_IP/Speck_Block_Cipher_Multirate_1.0/src/mux_synchronizer.vhd | 1 | 1,429 | -- Mux_Synchronizer.vhd
-- Copyright 2016 Michael Calvin McCoy
-- [email protected]
-- see LICENSE.md
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.numeric_std.all;
entity MUX_SYNCHRONIZER is
Generic(BUS_SIZE : integer range 0 to 256 := 128);
Port ( CLK_A, CLK_B, RST : in std_logic;
DATA_BUS_A_IN : in std_logic_vector (BUS_SIZE - 1 downto 0);
DATA_BUS_B_OUT : out std_logic_vector (BUS_SIZE - 1 downto 0));
end MUX_SYNCHRONIZER;
architecture Behavioral of MUX_SYNCHRONIZER is
signal begin_sync : std_logic_vector(5 downto 0);
signal data_input_buffer : std_logic_vector(BUS_SIZE - 1 downto 0);
signal sync_chain : std_logic_vector(1 downto 0);
begin
CLK_A_PROCESS : process(CLK_A)
begin
if(CLK_A'event and CLK_A = '1') then
data_input_buffer <= DATA_BUS_A_IN;
if (RST = '1' or data_input_buffer /= DATA_BUS_A_IN) then
begin_sync <= (OTHERS => '1');
else
begin_sync <= begin_sync(4 downto 0) & '0';
end if;
end if;
end process;
CLK_B_PROCESS : process(CLK_B)
begin
if(CLK_B'event and CLK_B = '1') then
sync_chain <= sync_chain(0) & begin_sync(5);
if (sync_chain(1) = '1') then
DATA_BUS_B_OUT <= data_input_buffer;
end if;
end if;
end process;
end Behavioral; | mit | c5c7808796b6e6f0f6dbcee960730219 | 0.575227 | 3.270023 | false | false | false | false |
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/fifo_generator_v10_0.vhd | 9 | 87,162 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
C0H/Wt6UcuOcyUdWf1PHk87U0oX+JfDy4dt+N2vyjtFv6mE/7hucdDm1JfLBscfDjFJ5N2wyQHzI
zE6/QIhPVg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
a89P8hrIz30ql2e3vdsS8PV7INCE78B6rP0AGH9Nuieef1gQ9oGcpNAwkTufArHFBzPi/ckP7fLo
Tu+7zz3IjhdzfFqTtD1EdrsKooYN1cUhWu1fbUQkSyK6u4v3bezErbD6oq+rOT3d9+6xdKGjgm8k
c/w5xI+NcZ0TLzm5HMs=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
eyG1YQigVa+QslMMq6BezdkEpPCAt8gUJ5Hpk2QF0cQgm+sKtMnt62/ZthT0amzySLASNU0BS8bt
cj+kcif/c442zfMoUokdBEg8fRxGf3BzYxUeWTo2t4Zlri+hiUJsXSe0IrysQMiNcWS11qLxHWhx
H3skUWPxN29LB/F9RmzCBiJJ6ztXlA50oDk+v4aj8SEcNyDqinILRC7HsDUnQvYJ/Ohe3ISnFFs4
Wp5wC2lkn9ol8fX9ldV1fOyiiSuXKHFTsodfOVcSmptAFQBPCID+HM+Zw+0Idmd1m8mWzhb8ncR+
tLlGJukJOqm7NFzvwf6dfBLKt4yKLaI0qOcqdg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
h5qDOaGPzMwjQ/jEnOPLfDLotb8XLMZEpnLXANNXnYB6LsWd4WrmqXFpzXt4PtrW+i5gXOgFmz4S
pI0LBgcA+1L7oAdxFCyYmS9S56qk33kyyQlmBDDinhf8Ns6380F7JuRYBxlr6MJYMoGfG2GmqgmP
wbxU5K1S/JwhgwaZqFg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ILpVH+ZpWEINYhtuR/3zcr4egyILhcaeKl3qBaEmWGOB9Ge64yY5FhIuAgfBLPPmAvLVVTu3gwVf
BXlwr6xQcJIpHtzSdKm4+DuuUx621sdsnxcr//XCyWmzexm2q0DDe5FBl3TLF9+Z7USB8SryxKno
6RBWnCeOafEMqT3FIgI6mgk450icHxyEC532Kr7EFbDPxPgurB6kN9SwxM4qvKe/0AJAd8zZKlLI
LFt2c4yeKAAXynrVVXNojmawI+c9dDj3KiB1dQPaaQeHDZ2yc2cfjby8TqO21CxqCcsdaUjo6KBU
QFVHgJIoVGkIiAHa7FoFhy9sJLcHR8GTmZ/bhA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 62784)
`protect data_block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`protect end_protected
| gpl-3.0 | c9dd13da7eb72011b805e17fde137f98 | 0.952456 | 1.817731 | false | false | false | false |
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/ramfifo/rd_dc_as.vhd | 9 | 10,607 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
QevYE9o0V1wNTbvLvwp8XvdgcuQ9sMwCGgExpHnsJR8nYg3a/LHoEl/WAmrfa1sLsgk04ByRfaMg
lNJpVEcnnA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
G8bwItMwykG2jyh6awoQV8GHxawdU7s+WcHafS6Gx4VuapsysIVr207oogzTDLE3VK2ij3crdBJe
HVv3uyAmQFfeafYmLxJ8q1une1Viw0YWsHLs4DncCtlmwsVGcAe+urG0njtIWV8WRIX2sm0MZoJ8
pjHq3A9onTqZfEL1BY8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
sfb3McF8DUnqriTHxYjqX8igMlvTsR+qqqMxr4mhyHUyJltacwsUBck+qbLDS5NbA23BFa10h/Re
nOtPkBH3X6Ped1NDWNEdACi+tTVTAoJwFEjvooWGyNaGpGHExVUdDcTDe5RGhSBqFheEZiQ8r6Sm
Pb9oMyrkEXxlOtew/Lrlv6KRanDCRC2f0LRki6uuoqbNCintKN1FKQ1X/24Q08OuNVgGVEFVPDz7
oAnsGeqmuIAw1lcSDGuhQy/6Bz4n0s6eeqyw71u2rlFXyFy62vnyN7Q+k6onaLDBJOCYZaDgaUAK
XJxBb56M+E2VvMYepJw5hHNSDNjeyW76xAZvEg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
CBHNaFCugk9TNHqOkR++GuQOFX36Ph2RZKpMgD1V3imKKmqtGjyIzRQ0X2ad3/U0IMlIS9+ChiGf
FYb/ocPn0je1Atc4+XBqQSdQM0TTtCF5j0P1gSKV/DvtvDMNMVvyJH/7NnIDk9sOYBt2SkwsC4G5
wuuO529qOSPoiQFBB9s=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ZY9epsm90UgNJHQKLnOaekd5DKAM+lzQenPrf2ypdlYB1E/TPafaih6MpY9l1+wHqrkqEhgbF6fz
gXapKx1Bd3sADgdRxM3ZCg7GrwpAr5B3r8+r6x36TOWUdJzr3cjVkY9Rlg5MoPO22huendbm/q13
E77JEQs3xUYCyzhsbwWAkjgPqXQXSsro6olfrU23Xp9et6Uj2lJ28QmUMfAHOiXsuKftY/ebvwOi
M/OcK5CyRuuEKryNlAmjOOtcc3TG9lGWRPeKtKVPr5PMVK6OuMH0M0q/aAwDwVMa0DdhuKtJ7gIP
VCFktLFp1iy5WQzkWWIeGqDMa1zsb3xk9IIaVQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6112)
`protect data_block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`protect end_protected
| gpl-3.0 | 51c988247fa47c18d678b365a134accf | 0.926464 | 1.91186 | false | false | false | false |
timvideos/HDMI2USB-jahanzeb-firmware | ipcore_dir/edidram/example_design/edidram_prod.vhd | 3 | 10,241 |
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v7.1 Core - Top-level wrapper
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--------------------------------------------------------------------------------
--
-- Filename: edidram_prod.vhd
--
-- Description:
-- This is the top-level BMG wrapper (over BMG core).
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: August 31, 2005 - First Release
--------------------------------------------------------------------------------
--
-- Configured Core Parameter Values:
-- (Refer to the SIM Parameters table in the datasheet for more information on
-- the these parameters.)
-- C_FAMILY : spartan6
-- C_XDEVICEFAMILY : spartan6
-- C_INTERFACE_TYPE : 0
-- C_ENABLE_32BIT_ADDRESS : 0
-- C_AXI_TYPE : 1
-- C_AXI_SLAVE_TYPE : 0
-- C_AXI_ID_WIDTH : 4
-- C_MEM_TYPE : 1
-- C_BYTE_SIZE : 9
-- C_ALGORITHM : 1
-- C_PRIM_TYPE : 1
-- C_LOAD_INIT_FILE : 0
-- C_INIT_FILE_NAME : no_coe_file_loaded
-- C_USE_DEFAULT_DATA : 0
-- C_DEFAULT_DATA : 0
-- C_RST_TYPE : SYNC
-- C_HAS_RSTA : 0
-- C_RST_PRIORITY_A : CE
-- C_RSTRAM_A : 0
-- C_INITA_VAL : 0
-- C_HAS_ENA : 0
-- C_HAS_REGCEA : 0
-- C_USE_BYTE_WEA : 0
-- C_WEA_WIDTH : 1
-- C_WRITE_MODE_A : WRITE_FIRST
-- C_WRITE_WIDTH_A : 8
-- C_READ_WIDTH_A : 8
-- C_WRITE_DEPTH_A : 256
-- C_READ_DEPTH_A : 256
-- C_ADDRA_WIDTH : 8
-- C_HAS_RSTB : 0
-- C_RST_PRIORITY_B : CE
-- C_RSTRAM_B : 0
-- C_INITB_VAL : 0
-- C_HAS_ENB : 0
-- C_HAS_REGCEB : 0
-- C_USE_BYTE_WEB : 0
-- C_WEB_WIDTH : 1
-- C_WRITE_MODE_B : WRITE_FIRST
-- C_WRITE_WIDTH_B : 8
-- C_READ_WIDTH_B : 8
-- C_WRITE_DEPTH_B : 256
-- C_READ_DEPTH_B : 256
-- C_ADDRB_WIDTH : 8
-- C_HAS_MEM_OUTPUT_REGS_A : 0
-- C_HAS_MEM_OUTPUT_REGS_B : 0
-- C_HAS_MUX_OUTPUT_REGS_A : 0
-- C_HAS_MUX_OUTPUT_REGS_B : 0
-- C_HAS_SOFTECC_INPUT_REGS_A : 0
-- C_HAS_SOFTECC_OUTPUT_REGS_B : 0
-- C_MUX_PIPELINE_STAGES : 0
-- C_USE_ECC : 0
-- C_USE_SOFTECC : 0
-- C_HAS_INJECTERR : 0
-- C_SIM_COLLISION_CHECK : ALL
-- C_COMMON_CLK : 0
-- C_DISABLE_WARN_BHV_COLL : 0
-- C_DISABLE_WARN_BHV_RANGE : 0
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY UNISIM;
USE UNISIM.VCOMPONENTS.ALL;
--------------------------------------------------------------------------------
-- Entity Declaration
--------------------------------------------------------------------------------
ENTITY edidram_prod IS
PORT (
--Port A
CLKA : IN STD_LOGIC;
RSTA : IN STD_LOGIC; --opt port
ENA : IN STD_LOGIC; --optional port
REGCEA : IN STD_LOGIC; --optional port
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
--Port B
CLKB : IN STD_LOGIC;
RSTB : IN STD_LOGIC; --opt port
ENB : IN STD_LOGIC; --optional port
REGCEB : IN STD_LOGIC; --optional port
WEB : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRB : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
DINB : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
--ECC
INJECTSBITERR : IN STD_LOGIC; --optional port
INJECTDBITERR : IN STD_LOGIC; --optional port
SBITERR : OUT STD_LOGIC; --optional port
DBITERR : OUT STD_LOGIC; --optional port
RDADDRECC : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); --optional port
-- AXI BMG Input and Output Port Declarations
-- AXI Global Signals
S_ACLK : IN STD_LOGIC;
S_AXI_AWID : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_AWLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_AWVALID : IN STD_LOGIC;
S_AXI_AWREADY : OUT STD_LOGIC;
S_AXI_WDATA : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_WSTRB : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
S_AXI_WLAST : IN STD_LOGIC;
S_AXI_WVALID : IN STD_LOGIC;
S_AXI_WREADY : OUT STD_LOGIC;
S_AXI_BID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0');
S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_BVALID : OUT STD_LOGIC;
S_AXI_BREADY : IN STD_LOGIC;
-- AXI Full/Lite Slave Read (Write side)
S_AXI_ARID : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_ARLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_ARVALID : IN STD_LOGIC;
S_AXI_ARREADY : OUT STD_LOGIC;
S_AXI_RID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0');
S_AXI_RDATA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_RLAST : OUT STD_LOGIC;
S_AXI_RVALID : OUT STD_LOGIC;
S_AXI_RREADY : IN STD_LOGIC;
-- AXI Full/Lite Sideband Signals
S_AXI_INJECTSBITERR : IN STD_LOGIC;
S_AXI_INJECTDBITERR : IN STD_LOGIC;
S_AXI_SBITERR : OUT STD_LOGIC;
S_AXI_DBITERR : OUT STD_LOGIC;
S_AXI_RDADDRECC : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
S_ARESETN : IN STD_LOGIC
);
END edidram_prod;
ARCHITECTURE xilinx OF edidram_prod IS
COMPONENT edidram_exdes IS
PORT (
--Port A
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
CLKA : IN STD_LOGIC;
--Port B
ADDRB : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
CLKB : IN STD_LOGIC
);
END COMPONENT;
BEGIN
bmg0 : edidram_exdes
PORT MAP (
--Port A
WEA => WEA,
ADDRA => ADDRA,
DINA => DINA,
CLKA => CLKA,
--Port B
ADDRB => ADDRB,
DOUTB => DOUTB,
CLKB => CLKB
);
END xilinx;
| bsd-2-clause | 202f2a9baf0dc962040c6941eb93daf6 | 0.491163 | 3.839895 | false | false | false | false |
timvideos/HDMI2USB-jahanzeb-firmware | ipcore_dir/clkGen/simulation/clkGen_tb.vhd | 3 | 6,159 | -- file: clkGen_tb.vhd
--
-- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
------------------------------------------------------------------------------
-- Clocking wizard demonstration testbench
------------------------------------------------------------------------------
-- This demonstration testbench instantiates the example design for the
-- clocking wizard. Input clocks are toggled, which cause the clocking
-- network to lock and the counters to increment.
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
use ieee.std_logic_textio.all;
library std;
use std.textio.all;
library work;
use work.all;
entity clkGen_tb is
end clkGen_tb;
architecture test of clkGen_tb is
-- Clock to Q delay of 100 ps
constant TCQ : time := 100 ps;
-- timescale is 1ps
constant ONE_NS : time := 1 ns;
-- how many cycles to run
constant COUNT_PHASE : integer := 1024 + 1;
-- we'll be using the period in many locations
constant PER1 : time := 10.000 ns;
-- Declare the input clock signals
signal CLK_IN1 : std_logic := '1';
-- The high bits of the sampling counters
signal COUNT : std_logic_vector(3 downto 1);
signal COUNTER_RESET : std_logic := '0';
-- signal defined to stop mti simulation without severity failure in the report
signal end_of_sim : std_logic := '0';
signal CLK_OUT : std_logic_vector(3 downto 1);
--Freq Check using the M & D values setting and actual Frequency generated
component clkGen_exdes
generic (
TCQ : in time := 100 ps);
port
(-- Clock in ports
CLK_IN1 : in std_logic;
-- Reset that only drives logic in example design
COUNTER_RESET : in std_logic;
CLK_OUT : out std_logic_vector(3 downto 1) ;
-- High bits of counters driven by clocks
COUNT : out std_logic_vector(3 downto 1)
);
end component;
begin
-- Input clock generation
--------------------------------------
process begin
CLK_IN1 <= not CLK_IN1; wait for (PER1/2);
end process;
-- Test sequence
process
procedure simtimeprint is
variable outline : line;
begin
write(outline, string'("## SYSTEM_CYCLE_COUNTER "));
write(outline, NOW/PER1);
write(outline, string'(" ns"));
writeline(output,outline);
end simtimeprint;
procedure simfreqprint (period : time; clk_num : integer) is
variable outputline : LINE;
variable str1 : string(1 to 16);
variable str2 : integer;
variable str3 : string(1 to 2);
variable str4 : integer;
variable str5 : string(1 to 4);
begin
str1 := "Freq of CLK_OUT(";
str2 := clk_num;
str3 := ") ";
str4 := 1000000 ps/period ;
str5 := " MHz" ;
write(outputline, str1 );
write(outputline, str2);
write(outputline, str3);
write(outputline, str4);
write(outputline, str5);
writeline(output, outputline);
end simfreqprint;
begin
-- can't probe into hierarchy, wait "some time" for lock
wait for (PER1*2500);
COUNTER_RESET <= '1';
wait for (PER1*20);
COUNTER_RESET <= '0';
wait for (PER1*COUNT_PHASE);
simtimeprint;
end_of_sim <= '1';
wait for 1 ps;
report "Simulation Stopped." severity failure;
wait;
end process;
-- Instantiation of the example design containing the clock
-- network and sampling counters
-----------------------------------------------------------
dut : clkGen_exdes
generic map (
TCQ => TCQ)
port map
(-- Clock in ports
CLK_IN1 => CLK_IN1,
-- Reset for logic in example design
COUNTER_RESET => COUNTER_RESET,
CLK_OUT => CLK_OUT,
-- High bits of the counters
COUNT => COUNT);
-- Freq Check
end test;
| bsd-2-clause | f6ea681b4fe598240cf3e4cda43efed1 | 0.639065 | 4.280056 | false | false | false | false |
shailcoolboy/Warp-Trinity | edk_user_repository/WARP/pcores/linkport_v1_00_a/hdl/vhdl/rx_ll.vhd | 4 | 6,784 | --
-- Project: Aurora Module Generator version 2.4
--
-- Date: $Date: 2005/12/15 01:59:13 $
-- Tag: $Name: i+IP+98818 $
-- File: $RCSfile: rx_ll_vhd.ejava,v $
-- Rev: $Revision: 1.1.2.5 $
--
-- Company: Xilinx
-- Contributors: R. K. Awalt, B. L. Woodard, N. Gulstone
--
-- Disclaimer: XILINX IS PROVIDING THIS DESIGN, CODE, OR
-- INFORMATION "AS IS" SOLELY FOR USE IN DEVELOPING
-- PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS
-- ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE,
-- APPLICATION OR STANDARD, XILINX IS MAKING NO
-- REPRESENTATION THAT THIS IMPLEMENTATION IS FREE
-- FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE
-- RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY
-- REQUIRE FOR YOUR IMPLEMENTATION. XILINX
-- EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH
-- RESPECT TO THE ADEQUACY OF THE IMPLEMENTATION,
-- INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE
-- FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES
-- OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE.
--
-- (c) Copyright 2004 Xilinx, Inc.
-- All rights reserved.
--
--
-- RX_LL
--
-- Author: Nigel Gulstone
-- Xilinx - Embedded Networking System Engineering Group
--
-- VHDL Translation: Brian Woodard
-- Xilinx - Garden Valley Design Team
--
-- Description: The RX_LL module receives data from the Aurora Channel,
-- converts it to LocalLink and sends it to the user interface.
-- It also handles NFC and UFC messages.
--
-- This module supports 1 2-byte lane designs.
--
-- This module supports Immediate Mode Native Flow Control.
--
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity RX_LL is
port (
-- LocalLink PDU Interface
RX_D : out std_logic_vector(0 to 15);
RX_REM : out std_logic;
RX_SRC_RDY_N : out std_logic;
RX_SOF_N : out std_logic;
RX_EOF_N : out std_logic;
-- Global Logic Interface
START_RX : in std_logic;
-- Aurora Lane Interface
RX_PAD : in std_logic;
RX_PE_DATA : in std_logic_vector(0 to 15);
RX_PE_DATA_V : in std_logic;
RX_SCP : in std_logic;
RX_ECP : in std_logic;
RX_SNF : in std_logic;
RX_FC_NB : in std_logic_vector(0 to 3);
-- TX_LL Interface
DECREMENT_NFC : in std_logic;
TX_WAIT : out std_logic;
-- Error Interface
FRAME_ERROR : out std_logic;
-- System Interface
USER_CLK : in std_logic
);
end RX_LL;
architecture MAPPED of RX_LL is
-- External Register Declarations --
signal RX_D_Buffer : std_logic_vector(0 to 15);
signal RX_REM_Buffer : std_logic;
signal RX_SRC_RDY_N_Buffer : std_logic;
signal RX_SOF_N_Buffer : std_logic;
signal RX_EOF_N_Buffer : std_logic;
signal TX_WAIT_Buffer : std_logic;
signal FRAME_ERROR_Buffer : std_logic;
-- Wire Declarations --
signal start_rx_i : std_logic;
-- Component Declarations --
component RX_LL_NFC
port (
-- Aurora Lane Interface
RX_SNF : in std_logic;
RX_FC_NB : in std_logic_vector(0 to 3);
-- TX_LL Interface
DECREMENT_NFC : in std_logic;
TX_WAIT : out std_logic;
-- Global Logic Interface
CHANNEL_UP : in std_logic;
-- USER Interface
USER_CLK : in std_logic
);
end component;
component RX_LL_PDU_DATAPATH
port (
-- Traffic Separator Interface
PDU_DATA : in std_logic_vector(0 to 15);
PDU_DATA_V : in std_logic;
PDU_PAD : in std_logic;
PDU_SCP : in std_logic;
PDU_ECP : in std_logic;
-- LocalLink PDU Interface
RX_D : out std_logic_vector(0 to 15);
RX_REM : out std_logic;
RX_SRC_RDY_N : out std_logic;
RX_SOF_N : out std_logic;
RX_EOF_N : out std_logic;
-- Error Interface
FRAME_ERROR : out std_logic;
-- System Interface
USER_CLK : in std_logic;
RESET : in std_logic
);
end component;
begin
RX_D <= RX_D_Buffer;
RX_REM <= RX_REM_Buffer;
RX_SRC_RDY_N <= RX_SRC_RDY_N_Buffer;
RX_SOF_N <= RX_SOF_N_Buffer;
RX_EOF_N <= RX_EOF_N_Buffer;
TX_WAIT <= TX_WAIT_Buffer;
FRAME_ERROR <= FRAME_ERROR_Buffer;
start_rx_i <= not START_RX;
-- Main Body of Code --
-- NFC processing --
nfc_module_i : RX_LL_NFC
port map (
-- Aurora Lane Interface
RX_SNF => RX_SNF,
RX_FC_NB => RX_FC_NB,
-- TX_LL Interface
DECREMENT_NFC => DECREMENT_NFC,
TX_WAIT => TX_WAIT_Buffer,
-- Global Logic Interface
CHANNEL_UP => START_RX,
-- USER Interface
USER_CLK => USER_CLK
);
-- Datapath for user PDUs --
rx_ll_pdu_datapath_i : RX_LL_PDU_DATAPATH
port map (
-- Traffic Separator Interface
PDU_DATA => RX_PE_DATA,
PDU_DATA_V => RX_PE_DATA_V,
PDU_PAD => RX_PAD,
PDU_SCP => RX_SCP,
PDU_ECP => RX_ECP,
-- LocalLink PDU Interface
RX_D => RX_D_Buffer,
RX_REM => RX_REM_Buffer,
RX_SRC_RDY_N => RX_SRC_RDY_N_Buffer,
RX_SOF_N => RX_SOF_N_Buffer,
RX_EOF_N => RX_EOF_N_Buffer,
-- Error Interface
FRAME_ERROR => FRAME_ERROR_Buffer,
-- System Interface
USER_CLK => USER_CLK,
RESET => start_rx_i
);
end MAPPED;
| bsd-2-clause | 49450590ed474e61bdbd4e93e8d636a1 | 0.479511 | 4.062275 | false | false | false | false |
shailcoolboy/Warp-Trinity | edk_user_repository/WARP/pcores/linkport_v1_00_a/hdl/vhdl/sym_dec.vhd | 4 | 27,303 | --
-- Project: Aurora Module Generator version 2.4
--
-- Date: $Date: 2005/11/07 21:30:55 $
-- Tag: $Name: i+IP+98818 $
-- File: $RCSfile: sym_dec_vhd.ejava,v $
-- Rev: $Revision: 1.1.2.4 $
--
-- Company: Xilinx
-- Contributors: R. K. Awalt, B. L. Woodard, N. Gulstone
--
-- Disclaimer: XILINX IS PROVIDING THIS DESIGN, CODE, OR
-- INFORMATION "AS IS" SOLELY FOR USE IN DEVELOPING
-- PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS
-- ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE,
-- APPLICATION OR STANDARD, XILINX IS MAKING NO
-- REPRESENTATION THAT THIS IMPLEMENTATION IS FREE
-- FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE
-- RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY
-- REQUIRE FOR YOUR IMPLEMENTATION. XILINX
-- EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH
-- RESPECT TO THE ADEQUACY OF THE IMPLEMENTATION,
-- INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE
-- FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES
-- OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE.
--
-- (c) Copyright 2004 Xilinx, Inc.
-- All rights reserved.
--
--
-- SYM_DEC
--
-- Author: Nigel Gulstone
-- Xilinx - Embedded Networking System Engineering Group
--
-- VHDL Translation: Brian Woodard
-- Xilinx - Garden Valley Design Team
--
-- Description: The SYM_DEC module is a symbol decoder for the 2-byte
-- Aurora Lane. Its inputs are the raw data from the MGT.
-- It word-aligns the regular data and decodes all of the
-- Aurora control symbols. Its outputs are the word-aligned
-- data and signals indicating the arrival of specific
-- control characters.
--
-- This module supports Immediate Mode Native Flow Control.
--
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use WORK.AURORA.all;
entity SYM_DEC is
port (
-- RX_LL Interface
RX_PAD : out std_logic; -- LSByte is PAD.
RX_PE_DATA : out std_logic_vector(0 to 15); -- Word aligned data from channel partner.
RX_PE_DATA_V : out std_logic; -- Data is valid data and not a control character.
RX_SCP : out std_logic; -- SCP symbol received.
RX_ECP : out std_logic; -- ECP symbol received.
RX_SNF : out std_logic; -- SNF symbol received.
RX_FC_NB : out std_logic_vector(0 to 3); -- Flow Control size code. Valid with RX_SNF or RX_SUF.
-- Lane Init SM Interface
DO_WORD_ALIGN : in std_logic; -- Word alignment is allowed.
RX_SP : out std_logic; -- SP sequence received with positive or negative data.
RX_SPA : out std_logic; -- SPA sequence received.
RX_NEG : out std_logic; -- Intverted data for SP or SPA received.
-- Global Logic Interface
GOT_A : out std_logic_vector(0 to 1); -- A character received on indicated byte(s).
GOT_V : out std_logic; -- V sequence received.
-- MGT Interface
RX_DATA : in std_logic_vector(15 downto 0); -- Raw RX data from MGT.
RX_CHAR_IS_K : in std_logic_vector(1 downto 0); -- Bits indicating which bytes are control characters.
RX_CHAR_IS_COMMA : in std_logic_vector(1 downto 0); -- Rx'ed a comma.
-- System Interface
USER_CLK : in std_logic; -- System clock for all non-MGT Aurora Logic.
RESET : in std_logic
);
end SYM_DEC;
architecture RTL of SYM_DEC is
-- Parameter Declarations --
constant DLY : time := 1 ns;
constant K_CHAR_0 : std_logic_vector(0 to 3) := X"B";
constant K_CHAR_1 : std_logic_vector(0 to 3) := X"C";
constant SP_DATA_0 : std_logic_vector(0 to 3) := X"4";
constant SP_DATA_1 : std_logic_vector(0 to 3) := X"A";
constant SPA_DATA_0 : std_logic_vector(0 to 3) := X"2";
constant SPA_DATA_1 : std_logic_vector(0 to 3) := X"C";
constant SP_NEG_DATA_0 : std_logic_vector(0 to 3) := X"B";
constant SP_NEG_DATA_1 : std_logic_vector(0 to 3) := X"5";
constant SPA_NEG_DATA_0 : std_logic_vector(0 to 3) := X"D";
constant SPA_NEG_DATA_1 : std_logic_vector(0 to 3) := X"3";
constant PAD_0 : std_logic_vector(0 to 3) := X"9";
constant PAD_1 : std_logic_vector(0 to 3) := X"C";
constant SCP_0 : std_logic_vector(0 to 3) := X"5";
constant SCP_1 : std_logic_vector(0 to 3) := X"C";
constant SCP_2 : std_logic_vector(0 to 3) := X"F";
constant SCP_3 : std_logic_vector(0 to 3) := X"B";
constant ECP_0 : std_logic_vector(0 to 3) := X"F";
constant ECP_1 : std_logic_vector(0 to 3) := X"D";
constant ECP_2 : std_logic_vector(0 to 3) := X"F";
constant ECP_3 : std_logic_vector(0 to 3) := X"E";
constant SNF_0 : std_logic_vector(0 to 3) := X"D";
constant SNF_1 : std_logic_vector(0 to 3) := X"C";
constant A_CHAR_0 : std_logic_vector(0 to 3) := X"7";
constant A_CHAR_1 : std_logic_vector(0 to 3) := X"C";
constant VER_DATA_0 : std_logic_vector(0 to 3) := X"E";
constant VER_DATA_1 : std_logic_vector(0 to 3) := X"8";
-- External Register Declarations --
signal RX_PAD_Buffer : std_logic;
signal RX_PE_DATA_Buffer : std_logic_vector(0 to 15);
signal RX_PE_DATA_V_Buffer : std_logic;
signal RX_SCP_Buffer : std_logic;
signal RX_ECP_Buffer : std_logic;
signal RX_SNF_Buffer : std_logic;
signal RX_FC_NB_Buffer : std_logic_vector(0 to 3);
signal RX_SP_Buffer : std_logic;
signal RX_SPA_Buffer : std_logic;
signal RX_NEG_Buffer : std_logic;
signal GOT_A_Buffer : std_logic_vector(0 to 1);
signal GOT_V_Buffer : std_logic;
-- Internal Register Declarations --
signal left_aligned_r : std_logic;
signal previous_cycle_data_r : std_logic_vector(0 to 7);
signal previous_cycle_control_r : std_logic;
signal prev_beat_sp_r : std_logic;
signal prev_beat_spa_r : std_logic;
signal word_aligned_data_r : std_logic_vector(0 to 15);
signal word_aligned_control_bits_r : std_logic_vector(0 to 1);
signal rx_pe_data_r : std_logic_vector(0 to 15);
signal rx_pe_control_r : std_logic_vector(0 to 1);
signal rx_pad_d_r : std_logic_vector(0 to 1);
signal rx_scp_d_r : std_logic_vector(0 to 3);
signal rx_ecp_d_r : std_logic_vector(0 to 3);
signal rx_snf_d_r : std_logic_vector(0 to 1);
signal prev_beat_sp_d_r : std_logic_vector(0 to 3);
signal prev_beat_spa_d_r : std_logic_vector(0 to 3);
signal rx_sp_d_r : std_logic_vector(0 to 3);
signal rx_spa_d_r : std_logic_vector(0 to 3);
signal rx_sp_neg_d_r : std_logic_vector(0 to 1);
signal rx_spa_neg_d_r : std_logic_vector(0 to 1);
signal prev_beat_v_d_r : std_logic_vector(0 to 3);
signal prev_beat_v_r : std_logic;
signal rx_v_d_r : std_logic_vector(0 to 3);
signal got_a_d_r : std_logic_vector(0 to 3);
signal first_v_received_r : std_logic := '0';
-- Wire Declarations --
signal got_v_c : std_logic;
begin
RX_PAD <= RX_PAD_Buffer;
RX_PE_DATA <= RX_PE_DATA_Buffer;
RX_PE_DATA_V <= RX_PE_DATA_V_Buffer;
RX_SCP <= RX_SCP_Buffer;
RX_ECP <= RX_ECP_Buffer;
RX_SNF <= RX_SNF_Buffer;
RX_FC_NB <= RX_FC_NB_Buffer;
RX_SP <= RX_SP_Buffer;
RX_SPA <= RX_SPA_Buffer;
RX_NEG <= RX_NEG_Buffer;
GOT_A <= GOT_A_Buffer;
GOT_V <= GOT_V_Buffer;
-- Main Body of Code --
-- Word Alignment --
-- Determine whether the lane is aligned to the left byte (MS byte) or the
-- right byte (LS byte). This information is used for word alignment. To
-- prevent the word align from changing during normal operation, we do word
-- alignment only when it is allowed by the lane_init_sm.
process (USER_CLK)
variable vec : std_logic_vector(0 to 3);
begin
if (USER_CLK 'event and USER_CLK = '1') then
if ((DO_WORD_ALIGN and not first_v_received_r) = '1') then
vec := RX_CHAR_IS_COMMA & RX_CHAR_IS_K;
case vec is
when "1010" => left_aligned_r <= '1' after DLY;
when "0101" => left_aligned_r <= '0' after DLY;
when others => left_aligned_r <= left_aligned_r after DLY;
end case;
end if;
end if;
end process;
-- Store the LS byte from the previous cycle. If the lane is aligned on
-- the LS byte, we use it as the MS byte on the current cycle.
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
previous_cycle_data_r(0) <= RX_DATA(7) after DLY;
previous_cycle_data_r(1) <= RX_DATA(6) after DLY;
previous_cycle_data_r(2) <= RX_DATA(5) after DLY;
previous_cycle_data_r(3) <= RX_DATA(4) after DLY;
previous_cycle_data_r(4) <= RX_DATA(3) after DLY;
previous_cycle_data_r(5) <= RX_DATA(2) after DLY;
previous_cycle_data_r(6) <= RX_DATA(1) after DLY;
previous_cycle_data_r(7) <= RX_DATA(0) after DLY;
end if;
end process;
-- Store the control bit from the previous cycle LS byte. It becomes the
-- control bit for the MS byte on this cycle if the lane is aligned to the
-- LS byte.
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
previous_cycle_control_r <= RX_CHAR_IS_K(0) after DLY;
end if;
end process;
-- Select the word-aligned MS byte. Use the current MS byte if the data is
-- left-aligned, otherwise use the LS byte from the previous cycle.
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
if (left_aligned_r = '1') then
word_aligned_data_r(0) <= RX_DATA(15) after DLY;
word_aligned_data_r(1) <= RX_DATA(14) after DLY;
word_aligned_data_r(2) <= RX_DATA(13) after DLY;
word_aligned_data_r(3) <= RX_DATA(12) after DLY;
word_aligned_data_r(4) <= RX_DATA(11) after DLY;
word_aligned_data_r(5) <= RX_DATA(10) after DLY;
word_aligned_data_r(6) <= RX_DATA(9) after DLY;
word_aligned_data_r(7) <= RX_DATA(8) after DLY;
else
word_aligned_data_r(0 to 7) <= previous_cycle_data_r after DLY;
end if;
end if;
end process;
-- Select the word-aligned LS byte. Use the current LSByte if the data is
-- right-aligned, otherwise use the current MS byte.
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
if (left_aligned_r = '1') then
word_aligned_data_r(8) <= RX_DATA(7) after DLY;
word_aligned_data_r(9) <= RX_DATA(6) after DLY;
word_aligned_data_r(10) <= RX_DATA(5) after DLY;
word_aligned_data_r(11) <= RX_DATA(4) after DLY;
word_aligned_data_r(12) <= RX_DATA(3) after DLY;
word_aligned_data_r(13) <= RX_DATA(2) after DLY;
word_aligned_data_r(14) <= RX_DATA(1) after DLY;
word_aligned_data_r(15) <= RX_DATA(0) after DLY;
else
word_aligned_data_r(8) <= RX_DATA(15) after DLY;
word_aligned_data_r(9) <= RX_DATA(14) after DLY;
word_aligned_data_r(10) <= RX_DATA(13) after DLY;
word_aligned_data_r(11) <= RX_DATA(12) after DLY;
word_aligned_data_r(12) <= RX_DATA(11) after DLY;
word_aligned_data_r(13) <= RX_DATA(10) after DLY;
word_aligned_data_r(14) <= RX_DATA(9) after DLY;
word_aligned_data_r(15) <= RX_DATA(8) after DLY;
end if;
end if;
end process;
-- Select the word-aligned MS byte control bit. Use the current MSByte's
-- control bit if the data is left-aligned, otherwise use the LS byte's
-- control bit from the previous cycle.
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
if (left_aligned_r = '1') then
word_aligned_control_bits_r(0) <= RX_CHAR_IS_K(1) after DLY;
else
word_aligned_control_bits_r(0) <= previous_cycle_control_r after DLY;
end if;
end if;
end process;
-- Select the word-aligned LS byte control bit. Use the current LSByte's control
-- bit if the data is left-aligned, otherwise use the current MS byte's control bit.
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
if (left_aligned_r = '1') then
word_aligned_control_bits_r(1) <= RX_CHAR_IS_K(0) after DLY;
else
word_aligned_control_bits_r(1) <= RX_CHAR_IS_K(1) after DLY;
end if;
end if;
end process;
-- Pipeline the word-aligned data for 1 cycle to match the Decodes.
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
rx_pe_data_r <= word_aligned_data_r after DLY;
end if;
end process;
-- Register the pipelined word-aligned data for the RX_LL interface.
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
RX_PE_DATA_Buffer <= rx_pe_data_r after DLY;
end if;
end process;
-- Decode Control Symbols --
-- All decodes are pipelined to keep the number of logic levels to a minimum.
-- Delay the control bits: they are most often used in the second stage of
-- the decoding process.
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
rx_pe_control_r <= word_aligned_control_bits_r after DLY;
end if;
end process;
-- Decode PAD
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
rx_pad_d_r(0) <= std_bool(word_aligned_data_r(8 to 11) = PAD_0) after DLY;
rx_pad_d_r(1) <= std_bool(word_aligned_data_r(12 to 15) = PAD_1) after DLY;
end if;
end process;
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
RX_PAD_Buffer <= std_bool((rx_pad_d_r = "11") and (rx_pe_control_r = "01")) after DLY;
end if;
end process;
-- Decode RX_PE_DATA_V
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
RX_PE_DATA_V_Buffer <= not rx_pe_control_r(0) after DLY;
end if;
end process;
-- Decode RX_SCP
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
rx_scp_d_r(0) <= std_bool(word_aligned_data_r(0 to 3) = SCP_0) after DLY;
rx_scp_d_r(1) <= std_bool(word_aligned_data_r(4 to 7) = SCP_1) after DLY;
rx_scp_d_r(2) <= std_bool(word_aligned_data_r(8 to 11) = SCP_2) after DLY;
rx_scp_d_r(3) <= std_bool(word_aligned_data_r(12 to 15) = SCP_3) after DLY;
end if;
end process;
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
RX_SCP_Buffer <= rx_pe_control_r(0) and
rx_pe_control_r(1) and
rx_scp_d_r(0) and
rx_scp_d_r(1) and
rx_scp_d_r(2) and
rx_scp_d_r(3) after DLY;
end if;
end process;
-- Decode RX_ECP
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
rx_ecp_d_r(0) <= std_bool(word_aligned_data_r(0 to 3) = ECP_0) after DLY;
rx_ecp_d_r(1) <= std_bool(word_aligned_data_r(4 to 7) = ECP_1) after DLY;
rx_ecp_d_r(2) <= std_bool(word_aligned_data_r(8 to 11) = ECP_2) after DLY;
rx_ecp_d_r(3) <= std_bool(word_aligned_data_r(12 to 15) = ECP_3) after DLY;
end if;
end process;
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
RX_ECP_Buffer <= rx_pe_control_r(0) and
rx_pe_control_r(1) and
rx_ecp_d_r(0) and
rx_ecp_d_r(1) and
rx_ecp_d_r(2) and
rx_ecp_d_r(3) after DLY;
end if;
end process;
-- Decode RX_SNF
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
rx_snf_d_r(0) <= std_bool(word_aligned_data_r(0 to 3) = SNF_0) after DLY;
rx_snf_d_r(1) <= std_bool(word_aligned_data_r(4 to 7) = SNF_1) after DLY;
end if;
end process;
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
RX_SNF_Buffer <= rx_pe_control_r(0) and
rx_snf_d_r(0) and
rx_snf_d_r(1) after DLY;
end if;
end process;
-- Extract the Flow Control Size code and register it for the RX_LL interface.
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
RX_FC_NB_Buffer <= rx_pe_data_r(8 to 11) after DLY;
end if;
end process;
-- For an SP sequence to be valid, there must be 2 bytes of SP Data preceded
-- by a Comma and an SP Data byte in the MS byte and LS byte positions
-- respectively. This flop stores the decode of the Comma and SP Data byte
-- combination from the previous cycle. Data can be positive or negative.
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
prev_beat_sp_d_r(0) <= std_bool(word_aligned_data_r(0 to 3) = K_CHAR_0) after DLY;
prev_beat_sp_d_r(1) <= std_bool(word_aligned_data_r(4 to 7) = K_CHAR_1) after DLY;
prev_beat_sp_d_r(2) <= std_bool((word_aligned_data_r(8 to 11) = SP_DATA_0) or
(word_aligned_data_r(8 to 11) = SP_NEG_DATA_0)) after DLY;
prev_beat_sp_d_r(3) <= std_bool((word_aligned_data_r(12 to 15) = SP_DATA_1) or
(word_aligned_data_r(12 to 15) = SP_NEG_DATA_1)) after DLY;
end if;
end process;
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
prev_beat_sp_r <= std_bool((rx_pe_control_r = "10") and
(prev_beat_sp_d_r = "1111")) after DLY;
end if;
end process;
-- This flow stores the decode of a Comma and SPA Data byte combination from the
-- previous cycle. It is used along with decodes for SPA data in the current
-- cycle to determine whether an SPA sequence was received.
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
prev_beat_spa_d_r(0) <= std_bool(word_aligned_data_r(0 to 3) = K_CHAR_0) after DLY;
prev_beat_spa_d_r(1) <= std_bool(word_aligned_data_r(4 to 7) = K_CHAR_1) after DLY;
prev_beat_spa_d_r(2) <= std_bool(word_aligned_data_r(8 to 11) = SPA_DATA_0) after DLY;
prev_beat_spa_d_r(3) <= std_bool(word_aligned_data_r(12 to 15) = SPA_DATA_1) after DLY;
end if;
end process;
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
prev_beat_spa_r <= std_bool((rx_pe_control_r = "10") and
(prev_beat_spa_d_r = "1111")) after DLY;
end if;
end process;
-- Indicate the SP sequence was received.
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
rx_sp_d_r(0) <= std_bool((word_aligned_data_r(0 to 3) = SP_DATA_0) or
(word_aligned_data_r(0 to 3) = SP_NEG_DATA_0)) after DLY;
rx_sp_d_r(1) <= std_bool((word_aligned_data_r(4 to 7) = SP_DATA_1) or
(word_aligned_data_r(4 to 7) = SP_NEG_DATA_1)) after DLY;
rx_sp_d_r(2) <= std_bool((word_aligned_data_r(8 to 11) = SP_DATA_0) or
(word_aligned_data_r(8 to 11) = SP_NEG_DATA_0)) after DLY;
rx_sp_d_r(3) <= std_bool((word_aligned_data_r(12 to 15) = SP_DATA_1) or
(word_aligned_data_r(12 to 15) = SP_NEG_DATA_1)) after DLY;
end if;
end process;
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
RX_SP_Buffer <= prev_beat_sp_r and
std_bool((rx_pe_control_r = "00") and
(rx_sp_d_r = "1111")) after DLY;
end if;
end process;
-- Indicate the SPA sequence was received.
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
rx_spa_d_r(0) <= std_bool(word_aligned_data_r(0 to 3) = SPA_DATA_0) after DLY;
rx_spa_d_r(1) <= std_bool(word_aligned_data_r(4 to 7) = SPA_DATA_1) after DLY;
rx_spa_d_r(2) <= std_bool(word_aligned_data_r(8 to 11) = SPA_DATA_0) after DLY;
rx_spa_d_r(3) <= std_bool(word_aligned_data_r(12 to 15) = SPA_DATA_1) after DLY;
end if;
end process;
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
RX_SPA_Buffer <= prev_beat_spa_r and
std_bool((rx_pe_control_r = "00") and
(rx_spa_d_r = "1111")) after DLY;
end if;
end process;
-- Indicate reversed data received. We look only at the word-aligned LS byte
-- which, during an /SP/ or /SPA/ sequence, will always contain a data byte.
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
rx_sp_neg_d_r(0) <= std_bool(word_aligned_data_r(8 to 11) = SP_NEG_DATA_0) after DLY;
rx_sp_neg_d_r(1) <= std_bool(word_aligned_data_r(12 to 15) = SP_NEG_DATA_1) after DLY;
rx_spa_neg_d_r(0) <= std_bool(word_aligned_data_r(8 to 11) = SPA_NEG_DATA_0) after DLY;
rx_spa_neg_d_r(1) <= std_bool(word_aligned_data_r(12 to 15) = SPA_NEG_DATA_1) after DLY;
end if;
end process;
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
RX_NEG_Buffer <= not rx_pe_control_r(1) and
std_bool((rx_sp_neg_d_r = "11") or
(rx_spa_neg_d_r = "11")) after DLY;
end if;
end process;
-- GOT_A is decoded from the non_word-aligned input.
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
got_a_d_r(0) <= std_bool(word_aligned_data_r(0 to 3) = A_CHAR_0) after DLY;
got_a_d_r(1) <= std_bool(word_aligned_data_r(4 to 7) = A_CHAR_1) after DLY;
got_a_d_r(2) <= std_bool(word_aligned_data_r(8 to 11) = A_CHAR_0) after DLY;
got_a_d_r(3) <= std_bool(word_aligned_data_r(12 to 15) = A_CHAR_1) after DLY;
end if;
end process;
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
GOT_A_Buffer(0) <= rx_pe_control_r(0) and std_bool(got_a_d_r(0 to 1) = "11") after DLY;
GOT_A_Buffer(1) <= rx_pe_control_r(1) and std_bool(got_a_d_r(2 to 3) = "11") after DLY;
end if;
end process;
-- Verification symbol decode --
-- This flow stores the decode of a Comma and SPA Data byte combination from the
-- previous cycle. It is used along with decodes for SPA data in the current
-- cycle to determine whether an SPA sequence was received.
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
prev_beat_v_d_r(0) <= std_bool(word_aligned_data_r(0 to 3) = K_CHAR_0) after DLY;
prev_beat_v_d_r(1) <= std_bool(word_aligned_data_r(4 to 7) = K_CHAR_1) after DLY;
prev_beat_v_d_r(2) <= std_bool(word_aligned_data_r(8 to 11) = VER_DATA_0) after DLY;
prev_beat_v_d_r(3) <= std_bool(word_aligned_data_r(12 to 15) = VER_DATA_1) after DLY;
end if;
end process;
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
prev_beat_v_r <= std_bool((rx_pe_control_r = "10") and
(prev_beat_v_d_r = "1111")) after DLY;
end if;
end process;
-- Indicate the SP sequence was received.
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
rx_v_d_r(0) <= std_bool(word_aligned_data_r(0 to 3) = VER_DATA_0) after DLY;
rx_v_d_r(1) <= std_bool(word_aligned_data_r(4 to 7) = VER_DATA_1) after DLY;
rx_v_d_r(2) <= std_bool(word_aligned_data_r(8 to 11) = VER_DATA_0) after DLY;
rx_v_d_r(3) <= std_bool(word_aligned_data_r(12 to 15) = VER_DATA_1) after DLY;
end if;
end process;
got_v_c <= prev_beat_v_r and
std_bool((rx_pe_control_r = "00") and
(rx_v_d_r = "1111"));
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
GOT_V_Buffer <= got_v_c after DLY;
end if;
end process;
-- Remember that the first V sequence has been detected.
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
if (RESET = '1') then
first_v_received_r <= '0' after DLY;
else
if (got_v_c = '1') then
first_v_received_r <= '1' after DLY;
end if;
end if;
end if;
end process;
end RTL;
| bsd-2-clause | 180cdba28ab3829e9ec572ed70f9a90e | 0.526682 | 3.326389 | false | false | false | false |
Given-Jiang/Gray_Processing | tb_Gray_Processing/hdl/alt_dspbuilder_if_statement_GNYT6HZJI5.vhd | 9 | 1,396 |
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_if_statement_GNYT6HZJI5 is
generic ( use_else_output : natural := 0;
bwr : natural := 0;
use_else_input : natural := 0;
signed : natural := 0;
HDLTYPE : string := "STD_LOGIC_VECTOR";
if_expression : string := "a>b";
number_inputs : integer := 2;
width : natural := 8);
port(
true : out std_logic;
a : in std_logic_vector(7 downto 0);
b : in std_logic_vector(7 downto 0));
end entity;
architecture rtl of alt_dspbuilder_if_statement_GNYT6HZJI5 is
signal result : std_logic;
constant zero : STD_LOGIC_VECTOR(7 DOWNTO 0) := (others=>'0');
constant one : STD_LOGIC_VECTOR(7 DOWNTO 0) := (0 => '1', others => '0');
function myFunc ( Value: boolean )
return std_logic is
variable func_result : std_logic;
begin
if (Value) then
func_result := '1';
else
func_result := '0';
end if;
return func_result;
end;
function myFunc ( Value: std_logic )
return std_logic is
begin
return Value;
end;
Begin
-- DSP Builder Block - Simulink Block "IfStatement"
result <= myFunc(a>b) ;
true <= result;
end architecture;
| mit | 6b57bb8384da833160f4fc731777ca6e | 0.62106 | 3.231481 | false | false | false | false |
shailcoolboy/Warp-Trinity | PlatformSupport/Deprecated/pcores/radio_controller_v1_05_a/hdl/vhdl/radio_controller.vhd | 2 | 38,342 | -- Copyright (c) 2006 Rice University
-- All Rights Reserved
-- This code is covered by the Rice-WARP license
-- See http://warp.rice.edu/license/ for details
------------------------------------------------------------------------------
-- radio_controller.vhd - entity/architecture pair
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v2_00_a;
use proc_common_v2_00_a.proc_common_pkg.all;
use proc_common_v2_00_a.ipif_pkg.all;
library opb_ipif_v3_01_c;
use opb_ipif_v3_01_c.all;
library radio_controller_v1_05_a;
use radio_controller_v1_05_a.all;
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_BASEADDR -- User logic base address
-- C_HIGHADDR -- User logic high address
-- C_OPB_AWIDTH -- OPB address bus width
-- C_OPB_DWIDTH -- OPB data bus width
-- C_FAMILY -- Target FPGA architecture
--
-- Definition of Ports:
-- OPB_Clk -- OPB Clock
-- OPB_Rst -- OPB Reset
-- Sl_DBus -- Slave data bus
-- Sl_errAck -- Slave error acknowledge
-- Sl_retry -- Slave retry
-- Sl_toutSup -- Slave timeout suppress
-- Sl_xferAck -- Slave transfer acknowledge
-- OPB_ABus -- OPB address bus
-- OPB_BE -- OPB byte enable
-- OPB_DBus -- OPB data bus
-- OPB_RNW -- OPB read/not write
-- OPB_select -- OPB select
-- OPB_seqAddr -- OPB sequential address
------------------------------------------------------------------------------
entity radio_controller is
generic
(
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_BASEADDR : std_logic_vector := X"00000000";
C_HIGHADDR : std_logic_vector := X"0000FFFF";
C_OPB_AWIDTH : integer := 32;
C_OPB_DWIDTH : integer := 32;
C_FAMILY : string := "virtex2p"
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
spi_clk : out std_logic;
data_out : out std_logic;
radio1_cs : out std_logic;
radio2_cs : out std_logic;
radio3_cs : out std_logic;
radio4_cs : out std_logic;
dac1_cs : out std_logic;
dac2_cs : out std_logic;
dac3_cs : out std_logic;
dac4_cs : out std_logic;
radio1_SHDN : out std_logic;
radio1_TxEn : out std_logic;
radio1_RxEn : out std_logic;
radio1_RxHP : out std_logic;
radio1_LD : in std_logic;
radio1_24PA : out std_logic;
radio1_5PA : out std_logic;
radio1_ANTSW : out std_logic_vector(0 to 1);
radio1_LED : out std_logic_vector(0 to 2);
radio1_ADC_RX_DCS : out std_logic;
radio1_ADC_RX_DFS : out std_logic;
radio1_ADC_RX_OTRA : in std_logic;
radio1_ADC_RX_OTRB : in std_logic;
radio1_ADC_RX_PWDNA : out std_logic;
radio1_ADC_RX_PWDNB : out std_logic;
radio1_DIPSW : in std_logic_vector(0 to 3);
radio1_RSSI_ADC_CLAMP : out std_logic;
radio1_RSSI_ADC_HIZ : out std_logic;
radio1_RSSI_ADC_OTR : in std_logic;
radio1_RSSI_ADC_SLEEP : out std_logic;
radio1_RSSI_ADC_D : in std_logic_vector(0 to 9);
radio1_TX_DAC_PLL_LOCK : in std_logic;
radio1_TX_DAC_RESET : out std_logic;
radio1_RxHP_external : in std_logic;
radio1_TxGain : out std_logic_vector(0 to 5);
radio1_TxStart : out std_logic;
radio2_SHDN : out std_logic;
radio2_TxEn : out std_logic;
radio2_RxEn : out std_logic;
radio2_RxHP : out std_logic;
radio2_LD : in std_logic;
radio2_24PA : out std_logic;
radio2_5PA : out std_logic;
radio2_ANTSW : out std_logic_vector(0 to 1);
radio2_LED : out std_logic_vector(0 to 2);
radio2_ADC_RX_DCS : out std_logic;
radio2_ADC_RX_DFS : out std_logic;
radio2_ADC_RX_OTRA : in std_logic;
radio2_ADC_RX_OTRB : in std_logic;
radio2_ADC_RX_PWDNA : out std_logic;
radio2_ADC_RX_PWDNB : out std_logic;
radio2_DIPSW : in std_logic_vector(0 to 3);
radio2_RSSI_ADC_CLAMP : out std_logic;
radio2_RSSI_ADC_HIZ : out std_logic;
radio2_RSSI_ADC_OTR : in std_logic;
radio2_RSSI_ADC_SLEEP : out std_logic;
radio2_RSSI_ADC_D : in std_logic_vector(0 to 9);
radio2_TX_DAC_PLL_LOCK : in std_logic;
radio2_TX_DAC_RESET : out std_logic;
radio2_RxHP_external : in std_logic;
radio2_TxGain : out std_logic_vector(0 to 5);
radio2_TxStart : out std_logic;
radio3_SHDN : out std_logic;
radio3_TxEn : out std_logic;
radio3_RxEn : out std_logic;
radio3_RxHP : out std_logic;
radio3_LD : in std_logic;
radio3_24PA : out std_logic;
radio3_5PA : out std_logic;
radio3_ANTSW : out std_logic_vector(0 to 1);
radio3_LED : out std_logic_vector(0 to 2);
radio3_ADC_RX_DCS : out std_logic;
radio3_ADC_RX_DFS : out std_logic;
radio3_ADC_RX_OTRA : in std_logic;
radio3_ADC_RX_OTRB : in std_logic;
radio3_ADC_RX_PWDNA : out std_logic;
radio3_ADC_RX_PWDNB : out std_logic;
radio3_DIPSW : in std_logic_vector(0 to 3);
radio3_RSSI_ADC_CLAMP : out std_logic;
radio3_RSSI_ADC_HIZ : out std_logic;
radio3_RSSI_ADC_OTR : in std_logic;
radio3_RSSI_ADC_SLEEP : out std_logic;
radio3_RSSI_ADC_D : in std_logic_vector(0 to 9);
radio3_TX_DAC_PLL_LOCK : in std_logic;
radio3_TX_DAC_RESET : out std_logic;
radio3_RxHP_external : in std_logic;
radio3_TxGain : out std_logic_vector(0 to 5);
radio3_TxStart : out std_logic;
radio4_SHDN : out std_logic;
radio4_TxEn : out std_logic;
radio4_RxEn : out std_logic;
radio4_RxHP : out std_logic;
radio4_LD : in std_logic;
radio4_24PA : out std_logic;
radio4_5PA : out std_logic;
radio4_ANTSW : out std_logic_vector(0 to 1);
radio4_LED : out std_logic_vector(0 to 2);
radio4_ADC_RX_DCS : out std_logic;
radio4_ADC_RX_DFS : out std_logic;
radio4_ADC_RX_OTRA : in std_logic;
radio4_ADC_RX_OTRB : in std_logic;
radio4_ADC_RX_PWDNA : out std_logic;
radio4_ADC_RX_PWDNB : out std_logic;
radio4_DIPSW : in std_logic_vector(0 to 3);
radio4_RSSI_ADC_CLAMP : out std_logic;
radio4_RSSI_ADC_HIZ : out std_logic;
radio4_RSSI_ADC_OTR : in std_logic;
radio4_RSSI_ADC_SLEEP : out std_logic;
radio4_RSSI_ADC_D : in std_logic_vector(0 to 9);
radio4_TX_DAC_PLL_LOCK : in std_logic;
radio4_TX_DAC_RESET : out std_logic;
radio4_RxHP_external : in std_logic;
radio4_TxGain : out std_logic_vector(0 to 5);
radio4_TxStart : out std_logic;
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
OPB_Clk : in std_logic;
OPB_Rst : in std_logic;
Sl_DBus : out std_logic_vector(0 to C_OPB_DWIDTH-1);
Sl_errAck : out std_logic;
Sl_retry : out std_logic;
Sl_toutSup : out std_logic;
Sl_xferAck : out std_logic;
OPB_ABus : in std_logic_vector(0 to C_OPB_AWIDTH-1);
OPB_BE : in std_logic_vector(0 to C_OPB_DWIDTH/8-1);
OPB_DBus : in std_logic_vector(0 to C_OPB_DWIDTH-1);
OPB_RNW : in std_logic;
OPB_select : in std_logic;
OPB_seqAddr : in std_logic
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
attribute SIGIS : string;
attribute SIGIS of OPB_Clk : signal is "Clk";
attribute SIGIS of OPB_Rst : signal is "Rst";
end entity radio_controller;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of radio_controller is
------------------------------------------
-- Constant: array of address range identifiers
------------------------------------------
constant ARD_ID_ARRAY : INTEGER_ARRAY_TYPE :=
(
0 => USER_00 -- user logic S/W register address space
);
------------------------------------------
-- Constant: array of address pairs for each address range
------------------------------------------
constant ZERO_ADDR_PAD : std_logic_vector(0 to 64-C_OPB_AWIDTH-1) := (others => '0');
constant USER_BASEADDR : std_logic_vector := C_BASEADDR;
constant USER_HIGHADDR : std_logic_vector := C_HIGHADDR;
constant ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE :=
(
ZERO_ADDR_PAD & USER_BASEADDR, -- user logic base address
ZERO_ADDR_PAD & USER_HIGHADDR -- user logic high address
);
------------------------------------------
-- Constant: array of data widths for each target address range
------------------------------------------
constant USER_DWIDTH : integer := 32;
constant ARD_DWIDTH_ARRAY : INTEGER_ARRAY_TYPE :=
(
0 => USER_DWIDTH -- user logic data width
);
------------------------------------------
-- Constant: array of desired number of chip enables for each address range
------------------------------------------
-- constant USER_NUM_CE : integer := 8;
constant USER_NUM_CE : integer := 16;
constant ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE :=
(
0 => pad_power2(USER_NUM_CE) -- user logic number of CEs
);
------------------------------------------
-- Constant: array of unique properties for each address range
------------------------------------------
constant ARD_DEPENDENT_PROPS_ARRAY : DEPENDENT_PROPS_ARRAY_TYPE :=
(
0 => (others => 0) -- user logic slave space dependent properties (none defined)
);
------------------------------------------
-- Constant: pipeline mode
-- 1 = include OPB-In pipeline registers
-- 2 = include IP pipeline registers
-- 3 = include OPB-In and IP pipeline registers
-- 4 = include OPB-Out pipeline registers
-- 5 = include OPB-In and OPB-Out pipeline registers
-- 6 = include IP and OPB-Out pipeline registers
-- 7 = include OPB-In, IP, and OPB-Out pipeline registers
-- Note:
-- only mode 4, 5, 7 are supported for this release
------------------------------------------
constant PIPELINE_MODEL : integer := 5;
------------------------------------------
-- Constant: user core ID code
------------------------------------------
constant DEV_BLK_ID : integer := 0;
------------------------------------------
-- Constant: enable MIR/Reset register
------------------------------------------
constant DEV_MIR_ENABLE : integer := 0;
------------------------------------------
-- Constant: array of IP interrupt mode
-- 1 = Active-high interrupt condition
-- 2 = Active-low interrupt condition
-- 3 = Active-high pulse interrupt event
-- 4 = Active-low pulse interrupt event
-- 5 = Positive-edge interrupt event
-- 6 = Negative-edge interrupt event
------------------------------------------
constant IP_INTR_MODE_ARRAY : INTEGER_ARRAY_TYPE :=
(
0 => 0 -- not used
);
------------------------------------------
-- Constant: enable device burst
------------------------------------------
constant DEV_BURST_ENABLE : integer := 0;
------------------------------------------
-- Constant: include address counter for burst transfers
------------------------------------------
constant INCLUDE_ADDR_CNTR : integer := 0;
------------------------------------------
-- Constant: include write buffer that decouples OPB and IPIC write transactions
------------------------------------------
constant INCLUDE_WR_BUF : integer := 0;
------------------------------------------
-- Constant: index for CS/CE
------------------------------------------
constant USER00_CS_INDEX : integer := get_id_index(ARD_ID_ARRAY, USER_00);
constant USER00_CE_INDEX : integer := calc_start_ce_index(ARD_NUM_CE_ARRAY, USER00_CS_INDEX);
------------------------------------------
-- IP Interconnect (IPIC) signal declarations -- do not delete
-- prefix 'i' stands for IPIF while prefix 'u' stands for user logic
-- typically user logic will be hooked up to IPIF directly via i<sig>
-- unless signal slicing and muxing are needed via u<sig>
------------------------------------------
signal iBus2IP_RdCE : std_logic_vector(0 to calc_num_ce(ARD_NUM_CE_ARRAY)-1);
signal iBus2IP_WrCE : std_logic_vector(0 to calc_num_ce(ARD_NUM_CE_ARRAY)-1);
signal iBus2IP_Data : std_logic_vector(0 to C_OPB_DWIDTH-1);
signal iBus2IP_BE : std_logic_vector(0 to C_OPB_DWIDTH/8-1);
signal iIP2Bus_Data : std_logic_vector(0 to C_OPB_DWIDTH-1) := (others => '0');
signal iIP2Bus_Ack : std_logic := '0';
signal iIP2Bus_Error : std_logic := '0';
signal iIP2Bus_Retry : std_logic := '0';
signal iIP2Bus_ToutSup : std_logic := '0';
signal ZERO_IP2Bus_PostedWrInh : std_logic_vector(0 to ARD_ID_ARRAY'length-1) := (others => '0'); -- work around for XST not taking (others => '0') in port mapping
signal ZERO_IP2RFIFO_Data : std_logic_vector(0 to ARD_DWIDTH_ARRAY(get_id_index_iboe(ARD_ID_ARRAY, IPIF_RDFIFO_DATA))-1) := (others => '0'); -- work around for XST not taking (others => '0') in port mapping
signal ZERO_WFIFO2IP_Data : std_logic_vector(0 to ARD_DWIDTH_ARRAY(get_id_index_iboe(ARD_ID_ARRAY, IPIF_WRFIFO_DATA))-1) := (others => '0'); -- work around for XST not taking (others => '0') in port mapping
signal ZERO_IP2Bus_IntrEvent : std_logic_vector(0 to IP_INTR_MODE_ARRAY'length-1) := (others => '0'); -- work around for XST not taking (others => '0') in port mapping
signal iBus2IP_Clk : std_logic;
signal iBus2IP_Reset : std_logic;
signal uBus2IP_Data : std_logic_vector(0 to USER_DWIDTH-1);
signal uBus2IP_BE : std_logic_vector(0 to USER_DWIDTH/8-1);
signal uBus2IP_RdCE : std_logic_vector(0 to USER_NUM_CE-1);
signal uBus2IP_WrCE : std_logic_vector(0 to USER_NUM_CE-1);
signal uIP2Bus_Data : std_logic_vector(0 to USER_DWIDTH-1);
------------------------------------------
-- Component declaration for verilog user logic
------------------------------------------
component user_logic is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_DWIDTH : integer := 32;
C_NUM_CE : integer := 16
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
spi_clk : out std_logic;
data_out : out std_logic;
Radio1_cs : out std_logic;
Radio2_cs : out std_logic;
Radio3_cs : out std_logic;
Radio4_cs : out std_logic;
Dac1_cs : out std_logic;
Dac2_cs : out std_logic;
Dac3_cs : out std_logic;
Dac4_cs : out std_logic;
Radio1_SHDN : out std_logic;
Radio1_TxEn : out std_logic;
Radio1_RxEn : out std_logic;
Radio1_RxHP : out std_logic;
Radio1_LD : in std_logic;
Radio1_24PA : out std_logic;
Radio1_5PA : out std_logic;
Radio1_ANTSW : out std_logic_vector(0 to 1);
Radio1_LED : out std_logic_vector(0 to 2);
Radio1_ADC_RX_DCS : out std_logic;
Radio1_ADC_RX_DFS : out std_logic;
Radio1_ADC_RX_OTRA : in std_logic;
Radio1_ADC_RX_OTRB : in std_logic;
Radio1_ADC_RX_PWDNA : out std_logic;
Radio1_ADC_RX_PWDNB : out std_logic;
Radio1_DIPSW : in std_logic_vector(0 to 3);
Radio1_RSSI_ADC_CLAMP : out std_logic;
Radio1_RSSI_ADC_HIZ : out std_logic;
Radio1_RSSI_ADC_OTR : in std_logic;
Radio1_RSSI_ADC_SLEEP : out std_logic;
Radio1_RSSI_ADC_D : in std_logic_vector(0 to 9);
Radio1_TX_DAC_PLL_LOCK : in std_logic;
Radio1_TX_DAC_RESET : out std_logic;
Radio1_RxHP_external : in std_logic;
Radio1_TxGain : out std_logic_vector(0 to 5);
Radio1_TxStart : out std_logic;
Radio2_SHDN : out std_logic;
Radio2_TxEn : out std_logic;
Radio2_RxEn : out std_logic;
Radio2_RxHP : out std_logic;
Radio2_LD : in std_logic;
Radio2_24PA : out std_logic;
Radio2_5PA : out std_logic;
Radio2_ANTSW : out std_logic_vector(0 to 1);
Radio2_LED : out std_logic_vector(0 to 2);
Radio2_ADC_RX_DCS : out std_logic;
Radio2_ADC_RX_DFS : out std_logic;
Radio2_ADC_RX_OTRA : in std_logic;
Radio2_ADC_RX_OTRB : in std_logic;
Radio2_ADC_RX_PWDNA : out std_logic;
Radio2_ADC_RX_PWDNB : out std_logic;
Radio2_DIPSW : in std_logic_vector(0 to 3);
Radio2_RSSI_ADC_CLAMP : out std_logic;
Radio2_RSSI_ADC_HIZ : out std_logic;
Radio2_RSSI_ADC_OTR : in std_logic;
Radio2_RSSI_ADC_SLEEP : out std_logic;
Radio2_RSSI_ADC_D : in std_logic_vector(0 to 9);
Radio2_TX_DAC_PLL_LOCK : in std_logic;
Radio2_TX_DAC_RESET : out std_logic;
Radio2_RxHP_external : in std_logic;
Radio2_TxGain : out std_logic_vector(0 to 5);
Radio2_TxStart : out std_logic;
Radio3_SHDN : out std_logic;
Radio3_TxEn : out std_logic;
Radio3_RxEn : out std_logic;
Radio3_RxHP : out std_logic;
Radio3_LD : in std_logic;
Radio3_24PA : out std_logic;
Radio3_5PA : out std_logic;
Radio3_ANTSW : out std_logic_vector(0 to 1);
Radio3_LED : out std_logic_vector(0 to 2);
Radio3_ADC_RX_DCS : out std_logic;
Radio3_ADC_RX_DFS : out std_logic;
Radio3_ADC_RX_OTRA : in std_logic;
Radio3_ADC_RX_OTRB : in std_logic;
Radio3_ADC_RX_PWDNA : out std_logic;
Radio3_ADC_RX_PWDNB : out std_logic;
Radio3_DIPSW : in std_logic_vector(0 to 3);
Radio3_RSSI_ADC_CLAMP : out std_logic;
Radio3_RSSI_ADC_HIZ : out std_logic;
Radio3_RSSI_ADC_OTR : in std_logic;
Radio3_RSSI_ADC_SLEEP : out std_logic;
Radio3_RSSI_ADC_D : in std_logic_vector(0 to 9);
Radio3_TX_DAC_PLL_LOCK : in std_logic;
Radio3_TX_DAC_RESET : out std_logic;
Radio3_RxHP_external : in std_logic;
Radio3_TxGain : out std_logic_vector(0 to 5);
Radio3_TxStart : out std_logic;
Radio4_SHDN : out std_logic;
Radio4_TxEn : out std_logic;
Radio4_RxEn : out std_logic;
Radio4_RxHP : out std_logic;
Radio4_LD : in std_logic;
Radio4_24PA : out std_logic;
Radio4_5PA : out std_logic;
Radio4_ANTSW : out std_logic_vector(0 to 1);
Radio4_LED : out std_logic_vector(0 to 2);
Radio4_ADC_RX_DCS : out std_logic;
Radio4_ADC_RX_DFS : out std_logic;
Radio4_ADC_RX_OTRA : in std_logic;
Radio4_ADC_RX_OTRB : in std_logic;
Radio4_ADC_RX_PWDNA : out std_logic;
Radio4_ADC_RX_PWDNB : out std_logic;
Radio4_DIPSW : in std_logic_vector(0 to 3);
Radio4_RSSI_ADC_CLAMP : out std_logic;
Radio4_RSSI_ADC_HIZ : out std_logic;
Radio4_RSSI_ADC_OTR : in std_logic;
Radio4_RSSI_ADC_SLEEP : out std_logic;
Radio4_RSSI_ADC_D : in std_logic_vector(0 to 9);
Radio4_TX_DAC_PLL_LOCK : in std_logic;
Radio4_TX_DAC_RESET : out std_logic;
Radio4_RxHP_external : in std_logic;
Radio4_TxGain : out std_logic_vector(0 to 5);
Radio4_TxStart : out std_logic;
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Data : in std_logic_vector(0 to C_DWIDTH-1);
Bus2IP_BE : in std_logic_vector(0 to C_DWIDTH/8-1);
Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_CE-1);
Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_CE-1);
IP2Bus_Data : out std_logic_vector(0 to C_DWIDTH-1);
IP2Bus_Ack : out std_logic;
IP2Bus_Retry : out std_logic;
IP2Bus_Error : out std_logic;
IP2Bus_ToutSup : out std_logic
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
end component user_logic;
begin
------------------------------------------
-- instantiate the OPB IPIF
------------------------------------------
OPB_IPIF_I : entity opb_ipif_v3_01_c.opb_ipif
generic map
(
C_ARD_ID_ARRAY => ARD_ID_ARRAY,
C_ARD_ADDR_RANGE_ARRAY => ARD_ADDR_RANGE_ARRAY,
C_ARD_DWIDTH_ARRAY => ARD_DWIDTH_ARRAY,
C_ARD_NUM_CE_ARRAY => ARD_NUM_CE_ARRAY,
C_ARD_DEPENDENT_PROPS_ARRAY => ARD_DEPENDENT_PROPS_ARRAY,
C_PIPELINE_MODEL => PIPELINE_MODEL,
C_DEV_BLK_ID => DEV_BLK_ID,
C_DEV_MIR_ENABLE => DEV_MIR_ENABLE,
C_OPB_AWIDTH => C_OPB_AWIDTH,
C_OPB_DWIDTH => C_OPB_DWIDTH,
C_FAMILY => C_FAMILY,
C_IP_INTR_MODE_ARRAY => IP_INTR_MODE_ARRAY,
C_DEV_BURST_ENABLE => DEV_BURST_ENABLE,
C_INCLUDE_ADDR_CNTR => INCLUDE_ADDR_CNTR,
C_INCLUDE_WR_BUF => INCLUDE_WR_BUF
)
port map
(
OPB_select => OPB_select,
OPB_DBus => OPB_DBus,
OPB_ABus => OPB_ABus,
OPB_BE => OPB_BE,
OPB_RNW => OPB_RNW,
OPB_seqAddr => OPB_seqAddr,
Sln_DBus => Sl_DBus,
Sln_xferAck => Sl_xferAck,
Sln_errAck => Sl_errAck,
Sln_retry => Sl_retry,
Sln_toutSup => Sl_toutSup,
Bus2IP_CS => open,
Bus2IP_CE => open,
Bus2IP_RdCE => iBus2IP_RdCE,
Bus2IP_WrCE => iBus2IP_WrCE,
Bus2IP_Data => iBus2IP_Data,
Bus2IP_Addr => open,
Bus2IP_AddrValid => open,
Bus2IP_BE => iBus2IP_BE,
Bus2IP_RNW => open,
Bus2IP_Burst => open,
IP2Bus_Data => iIP2Bus_Data,
IP2Bus_Ack => iIP2Bus_Ack,
IP2Bus_AddrAck => '0',
IP2Bus_Error => iIP2Bus_Error,
IP2Bus_Retry => iIP2Bus_Retry,
IP2Bus_ToutSup => iIP2Bus_ToutSup,
IP2Bus_PostedWrInh => ZERO_IP2Bus_PostedWrInh,
IP2RFIFO_Data => ZERO_IP2RFIFO_Data,
IP2RFIFO_WrMark => '0',
IP2RFIFO_WrRelease => '0',
IP2RFIFO_WrReq => '0',
IP2RFIFO_WrRestore => '0',
RFIFO2IP_AlmostFull => open,
RFIFO2IP_Full => open,
RFIFO2IP_Vacancy => open,
RFIFO2IP_WrAck => open,
IP2WFIFO_RdMark => '0',
IP2WFIFO_RdRelease => '0',
IP2WFIFO_RdReq => '0',
IP2WFIFO_RdRestore => '0',
WFIFO2IP_AlmostEmpty => open,
WFIFO2IP_Data => ZERO_WFIFO2IP_Data,
WFIFO2IP_Empty => open,
WFIFO2IP_Occupancy => open,
WFIFO2IP_RdAck => open,
IP2Bus_IntrEvent => ZERO_IP2Bus_IntrEvent,
IP2INTC_Irpt => open,
Freeze => '0',
Bus2IP_Freeze => open,
OPB_Clk => OPB_Clk,
Bus2IP_Clk => iBus2IP_Clk,
IP2Bus_Clk => '0',
Reset => OPB_Rst,
Bus2IP_Reset => iBus2IP_Reset
);
------------------------------------------
-- instantiate the User Logic
------------------------------------------
USER_LOGIC_I : component user_logic
generic map
(
-- MAP USER GENERICS BELOW THIS LINE ---------------
--USER generics mapped here
-- MAP USER GENERICS ABOVE THIS LINE ---------------
C_DWIDTH => USER_DWIDTH,
C_NUM_CE => USER_NUM_CE
)
port map
(
-- MAP USER PORTS BELOW THIS LINE ------------------
--USER ports mapped here
spi_clk => spi_clk,
data_out => data_out,
Radio1_cs => radio1_cs,
Radio2_cs => radio2_cs,
Radio3_cs => radio3_cs,
Radio4_cs => radio4_cs,
Dac1_cs => dac1_cs,
Dac2_cs => dac2_cs,
Dac3_cs => dac3_cs,
Dac4_cs => dac4_cs,
Radio1_SHDN => radio1_SHDN,
Radio1_TxEn => radio1_TxEn,
Radio1_RxEn => radio1_RxEn,
Radio1_RxHP => radio1_RxHP,
Radio1_LD => radio1_LD,
Radio1_24PA => radio1_24PA,
Radio1_5PA => radio1_5PA,
Radio1_ANTSW => radio1_ANTSW,
Radio1_LED => radio1_LED,
Radio1_ADC_RX_DCS => radio1_ADC_RX_DCS,
Radio1_ADC_RX_DFS => radio1_ADC_RX_DFS,
Radio1_ADC_RX_OTRA => radio1_ADC_RX_OTRA,
Radio1_ADC_RX_OTRB => radio1_ADC_RX_OTRB,
Radio1_ADC_RX_PWDNA => radio1_ADC_RX_PWDNA,
Radio1_ADC_RX_PWDNB => radio1_ADC_RX_PWDNB,
Radio1_DIPSW => radio1_DIPSW,
Radio1_RSSI_ADC_CLAMP => radio1_RSSI_ADC_CLAMP,
Radio1_RSSI_ADC_HIZ => radio1_RSSI_ADC_HIZ,
Radio1_RSSI_ADC_OTR => radio1_RSSI_ADC_OTR,
Radio1_RSSI_ADC_SLEEP => radio1_RSSI_ADC_SLEEP,
Radio1_RSSI_ADC_D => radio1_RSSI_ADC_D,
Radio1_TX_DAC_PLL_LOCK => radio1_TX_DAC_PLL_LOCK,
Radio1_TX_DAC_RESET => radio1_TX_DAC_RESET,
Radio1_RxHP_external => radio1_RxHP_external,
Radio1_TxGain => radio1_TxGain,
Radio1_TxStart => radio1_TxStart,
Radio2_SHDN => radio2_SHDN,
Radio2_TxEn => radio2_TxEn,
Radio2_RxEn => radio2_RxEn,
Radio2_RxHP => radio2_RxHP,
Radio2_LD => radio2_LD,
Radio2_24PA => radio2_24PA,
Radio2_5PA => radio2_5PA,
Radio2_ANTSW => radio2_ANTSW,
Radio2_LED => radio2_LED,
Radio2_ADC_RX_DCS => radio2_ADC_RX_DCS,
Radio2_ADC_RX_DFS => radio2_ADC_RX_DFS,
Radio2_ADC_RX_OTRA => radio2_ADC_RX_OTRA,
Radio2_ADC_RX_OTRB => radio2_ADC_RX_OTRB,
Radio2_ADC_RX_PWDNA => radio2_ADC_RX_PWDNA,
Radio2_ADC_RX_PWDNB => radio2_ADC_RX_PWDNB,
Radio2_DIPSW => radio2_DIPSW,
Radio2_RSSI_ADC_CLAMP => radio2_RSSI_ADC_CLAMP,
Radio2_RSSI_ADC_HIZ => radio2_RSSI_ADC_HIZ,
Radio2_RSSI_ADC_OTR => radio2_RSSI_ADC_OTR,
Radio2_RSSI_ADC_SLEEP => radio2_RSSI_ADC_SLEEP,
Radio2_RSSI_ADC_D => radio2_RSSI_ADC_D,
Radio2_TX_DAC_PLL_LOCK => radio2_TX_DAC_PLL_LOCK,
Radio2_TX_DAC_RESET => radio2_TX_DAC_RESET,
Radio2_RxHP_external => radio2_RxHP_external,
Radio2_TxGain => radio2_TxGain,
Radio2_TxStart => radio2_TxStart,
Radio3_SHDN => radio3_SHDN,
Radio3_TxEn => radio3_TxEn,
Radio3_RxEn => radio3_RxEn,
Radio3_RxHP => radio3_RxHP,
Radio3_LD => radio3_LD,
Radio3_24PA => radio3_24PA,
Radio3_5PA => radio3_5PA,
Radio3_ANTSW => radio3_ANTSW,
Radio3_LED => radio3_LED,
Radio3_ADC_RX_DCS => radio3_ADC_RX_DCS,
Radio3_ADC_RX_DFS => radio3_ADC_RX_DFS,
Radio3_ADC_RX_OTRA => radio3_ADC_RX_OTRA,
Radio3_ADC_RX_OTRB => radio3_ADC_RX_OTRB,
Radio3_ADC_RX_PWDNA => radio3_ADC_RX_PWDNA,
Radio3_ADC_RX_PWDNB => radio3_ADC_RX_PWDNB,
Radio3_DIPSW => radio3_DIPSW,
Radio3_RSSI_ADC_CLAMP => radio3_RSSI_ADC_CLAMP,
Radio3_RSSI_ADC_HIZ => radio3_RSSI_ADC_HIZ,
Radio3_RSSI_ADC_OTR => radio3_RSSI_ADC_OTR,
Radio3_RSSI_ADC_SLEEP => radio3_RSSI_ADC_SLEEP,
Radio3_RSSI_ADC_D => radio3_RSSI_ADC_D,
Radio3_TX_DAC_PLL_LOCK => radio3_TX_DAC_PLL_LOCK,
Radio3_TX_DAC_RESET => radio3_TX_DAC_RESET,
Radio3_RxHP_external => radio3_RxHP_external,
Radio3_TxGain => radio3_TxGain,
Radio3_TxStart => radio3_TxStart,
Radio4_SHDN => radio4_SHDN,
Radio4_TxEn => radio4_TxEn,
Radio4_RxEn => radio4_RxEn,
Radio4_RxHP => radio4_RxHP,
Radio4_LD => radio4_LD,
Radio4_24PA => radio4_24PA,
Radio4_5PA => radio4_5PA,
Radio4_ANTSW => radio4_ANTSW,
Radio4_LED => radio4_LED,
Radio4_ADC_RX_DCS => radio4_ADC_RX_DCS,
Radio4_ADC_RX_DFS => radio4_ADC_RX_DFS,
Radio4_ADC_RX_OTRA => radio4_ADC_RX_OTRA,
Radio4_ADC_RX_OTRB => radio4_ADC_RX_OTRB,
Radio4_ADC_RX_PWDNA => radio4_ADC_RX_PWDNA,
Radio4_ADC_RX_PWDNB => radio4_ADC_RX_PWDNB,
Radio4_DIPSW => radio4_DIPSW,
Radio4_RSSI_ADC_CLAMP => radio4_RSSI_ADC_CLAMP,
Radio4_RSSI_ADC_HIZ => radio4_RSSI_ADC_HIZ,
Radio4_RSSI_ADC_OTR => radio4_RSSI_ADC_OTR,
Radio4_RSSI_ADC_SLEEP => radio4_RSSI_ADC_SLEEP,
Radio4_RSSI_ADC_D => radio4_RSSI_ADC_D,
Radio4_TX_DAC_PLL_LOCK => radio4_TX_DAC_PLL_LOCK,
Radio4_TX_DAC_RESET => radio4_TX_DAC_RESET,
Radio4_RxHP_external => radio4_RxHP_external,
Radio4_TxGain => radio4_TxGain,
Radio4_TxStart => radio4_TxStart,
-- MAP USER PORTS ABOVE THIS LINE ------------------
Bus2IP_Clk => iBus2IP_Clk,
Bus2IP_Reset => iBus2IP_Reset,
Bus2IP_Data => uBus2IP_Data,
Bus2IP_BE => uBus2IP_BE,
Bus2IP_RdCE => uBus2IP_RdCE,
Bus2IP_WrCE => uBus2IP_WrCE,
IP2Bus_Data => uIP2Bus_Data,
IP2Bus_Ack => iIP2Bus_Ack,
IP2Bus_Retry => iIP2Bus_Retry,
IP2Bus_Error => iIP2Bus_Error,
IP2Bus_ToutSup => iIP2Bus_ToutSup
);
------------------------------------------
-- hooking up signal slicing
------------------------------------------
uBus2IP_BE <= iBus2IP_BE(0 to USER_DWIDTH/8-1);
uBus2IP_Data <= iBus2IP_Data(0 to USER_DWIDTH-1);
uBus2IP_RdCE <= iBus2IP_RdCE(USER00_CE_INDEX to USER00_CE_INDEX+USER_NUM_CE-1);
uBus2IP_WrCE <= iBus2IP_WrCE(USER00_CE_INDEX to USER00_CE_INDEX+USER_NUM_CE-1);
iIP2Bus_Data(0 to USER_DWIDTH-1) <= uIP2Bus_Data;
end IMP;
| bsd-2-clause | 8b922aacf05c24986ed21edfcca6b142 | 0.433285 | 3.945868 | false | false | false | false |
shailcoolboy/Warp-Trinity | edk_user_repository/WARP/pcores/linkport_v1_00_a/hdl/vhdl/idle_and_ver_gen.vhd | 4 | 14,455 | --
-- Project: Aurora Module Generator version 2.4
--
-- Date: $Date: 2005/11/21 23:26:37 $
-- Tag: $Name: i+IP+98818 $
-- File: $RCSfile: idle_and_ver_gen_vhd.ejava,v $
-- Rev: $Revision: 1.1.2.5 $
--
-- Company: Xilinx
-- Contributors: R. K. Awalt, B. L. Woodard, N. Gulstone
--
-- Disclaimer: XILINX IS PROVIDING THIS DESIGN, CODE, OR
-- INFORMATION "AS IS" SOLELY FOR USE IN DEVELOPING
-- PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS
-- ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE,
-- APPLICATION OR STANDARD, XILINX IS MAKING NO
-- REPRESENTATION THAT THIS IMPLEMENTATION IS FREE
-- FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE
-- RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY
-- REQUIRE FOR YOUR IMPLEMENTATION. XILINX
-- EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH
-- RESPECT TO THE ADEQUACY OF THE IMPLEMENTATION,
-- INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE
-- FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES
-- OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE.
--
-- (c) Copyright 2004 Xilinx, Inc.
-- All rights reserved.
--
--
-- IDLE_AND_VER_GEN
--
-- Author: N. Gulstone, B.Woodard
-- Xilinx - Embedded Networking System Engineering Group
--
-- Description: the IDLE_AND_VER_GEN module generates idle sequences and
-- verification sequences for the Aurora channel. The idle sequences
-- are constantly generated by a pseudorandom generator and a counter
-- to make the sequence Aurora compliant. If the gen_ver signal is high,
-- verification symbols are added to the mix at appropriate intervals
--
-- This module supports 1 2-byte lane designs
--
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_ARITH.all;
use IEEE.STD_LOGIC_UNSIGNED.all;
use WORK.AURORA.all;
-- synthesis translate_off
library UNISIM;
use UNISIM.all;
-- synthesis translate_on
entity IDLE_AND_VER_GEN is
port (
-- Channel Init SM Interface
GEN_VER : in std_logic;
DID_VER : out std_logic;
-- Aurora Lane Interface
GEN_A : out std_logic;
GEN_K : out std_logic_vector(0 to 1);
GEN_R : out std_logic_vector(0 to 1);
GEN_V : out std_logic_vector(0 to 1);
-- System Interface
RESET : in std_logic;
USER_CLK : in std_logic
);
end IDLE_AND_VER_GEN;
architecture RTL of IDLE_AND_VER_GEN is
-- Parameter Declarations --
constant DLY : time := 1 ns;
-- External Register Declarations --
signal DID_VER_Buffer : std_logic;
signal GEN_A_Buffer : std_logic;
signal GEN_K_Buffer : std_logic_vector(0 to 1);
signal GEN_R_Buffer : std_logic_vector(0 to 1);
signal GEN_V_Buffer : std_logic_vector(0 to 1);
-- Internal Register Declarations --
signal lfsr_shift_register_r : std_logic_vector(0 to 3) := "0000";
signal downcounter_r : std_logic_vector(0 to 3) := "0001";
signal gen_ver_word_2_r : std_logic;
signal prev_cycle_gen_ver_r : std_logic;
-- Wire Declarations --
signal lfsr_last_flop_r : std_logic;
signal lfsr_taps_c : std_logic;
signal lfsr_taps_r : std_logic;
signal lfsr_r : std_logic_vector(0 to 2);
signal gen_k_r : std_logic_vector(0 to 1);
signal gen_r_r : std_logic_vector(0 to 1);
signal ver_counter_r : std_logic_vector(0 to 1);
signal gen_ver_word_1_r : std_logic;
signal gen_k_flop_c : std_logic_vector(0 to 1);
signal gen_r_flop_c : std_logic_vector(0 to 1);
signal gen_v_flop_c : std_logic_vector(0 to 1);
signal gen_a_flop_c : std_logic;
signal downcounter_done_c : std_logic;
signal gen_ver_edge_c : std_logic;
signal recycle_gen_ver_c : std_logic;
signal insert_ver_c : std_logic;
signal tied_to_gnd : std_logic;
signal tied_to_vcc : std_logic;
-- Component Declaration --
component FD
generic (INIT : bit := '0');
port (
Q : out std_ulogic;
C : in std_ulogic;
D : in std_ulogic
);
end component;
component FDR
generic (INIT : bit := '0');
port (
Q : out std_ulogic;
C : in std_ulogic;
D : in std_ulogic;
R : in std_ulogic
);
end component;
component SRL16
generic (INIT : bit_vector := X"0000");
port (
Q : out std_ulogic;
A0 : in std_ulogic;
A1 : in std_ulogic;
A2 : in std_ulogic;
A3 : in std_ulogic;
CLK : in std_ulogic;
D : in std_ulogic
);
end component;
begin
DID_VER <= DID_VER_Buffer;
GEN_A <= GEN_A_Buffer;
GEN_K <= GEN_K_Buffer;
GEN_R <= GEN_R_Buffer;
GEN_V <= GEN_V_Buffer;
tied_to_gnd <= '0';
tied_to_vcc <= '1';
-- Main Body of Code --
-- Random Pattern Generation --
-- Use an LFSR to create pseudorandom patterns. This is a 6 bit LFSR based
-- on XAPP210. Taps on bits 5 and 6 are XNORed to make the input of the
-- register. The lfsr must never be initialized to 1. The entire structure
-- should cost a maximum of 2 LUTS and 2 Flops. The output of the input
-- register and each of the tap registers is passed to the rest of the logic
-- as the LFSR output.
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
lfsr_shift_register_r <= lfsr_taps_r & lfsr_shift_register_r(0 to 2) after DLY;
end if;
end process;
lfsr_last_flop_i : FDR
generic map (INIT => '0')
port map (
Q => lfsr_last_flop_r,
C => USER_CLK,
D => lfsr_shift_register_r(3),
R => RESET
);
lfsr_taps_c <= not (lfsr_shift_register_r(3) xor lfsr_last_flop_r);
lfsr_taps_i : FDR
generic map (INIT => '0')
port map (
Q => lfsr_taps_r,
C => USER_CLK,
D => lfsr_taps_c,
R => RESET
);
lfsr_r <= lfsr_taps_r & lfsr_shift_register_r(3) & lfsr_last_flop_r;
-- Use a downcounter to determine when A's should be added to the idle pattern.
-- Load the 3 least significant bits with the output of the lfsr whenever the
-- counter reaches 0.
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
if (RESET = '1') then
downcounter_r <= "0000" after DLY;
else
if (downcounter_done_c = '1') then
downcounter_r <= "1" & lfsr_r after DLY;
else
downcounter_r <= downcounter_r - "0001" after DLY;
end if;
end if;
end if;
end process;
downcounter_done_c <= std_bool(downcounter_r = "0000");
-- The LFSR's pseudoRandom patterns are also used to generate the sequence of
-- K and R characters that make up the rest of the idle sequence. Note that
-- R characters are used whenever a K character is not used.
gen_k_r <= lfsr_r(0 to 1);
gen_r_r <= not lfsr_r(0 to 1);
-- Verification Sequence Generation --
-- Use a counter to generate the verification sequence every 64 bytes
-- (32 clocks), starting from when verification is enabled.
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
prev_cycle_gen_ver_r <= GEN_VER after DLY;
end if;
end process;
-- Detect the positive edge of the GEN_VER signal.
gen_ver_edge_c <= GEN_VER and not prev_cycle_gen_ver_r;
-- If GEN_VER is still high after generating a verification sequence,
-- indicate that the gen_ver signal can be generated again.
recycle_gen_ver_c <= gen_ver_word_2_r and GEN_VER;
-- Prime the verification counter SRL16 with a 1. When this 1 reaches the end
-- of the register, it will become the gen_ver_word signal. Prime the counter
-- only if there was a positive edge on GEN_VER to start the sequence, or if
-- the sequence has just ended and another must be generated.
insert_ver_c <= gen_ver_edge_c or recycle_gen_ver_c;
-- Main Body of the verification counter. It is implemented as a shift register
-- made from 2 SRL16s. The register is 31 cycles long, and operates by
-- taking the 1 from the insert ver signal and passing it though its stages.
ver_counter_0_i : SRL16
generic map (INIT => X"0000")
port map (
Q => ver_counter_r(0),
A0 => tied_to_vcc,
A1 => tied_to_vcc,
A2 => tied_to_vcc,
A3 => tied_to_vcc,
CLK => USER_CLK,
D => insert_ver_c
);
ver_counter_1_i : SRL16
generic map (INIT => X"0000")
port map (
Q => ver_counter_r(1),
A0 => tied_to_gnd,
A1 => tied_to_vcc,
A2 => tied_to_vcc,
A3 => tied_to_vcc,
CLK => USER_CLK,
D => ver_counter_r(0)
);
-- Generate the first 2 bytes of the verification sequence when the verification
-- counter reaches '31'.
gen_ver_word_1_r <= ver_counter_r(1);
-- Generate the second 2 bytes of the verification sequence on the cycle after
-- the first verification sequence.
process (USER_CLK)
begin
if (USER_CLK 'event and USER_CLK = '1') then
gen_ver_word_2_r <= gen_ver_word_1_r after DLY;
end if;
end process;
-- Output Signals --
-- Signal that the verification sequence has been generated. Signaling off of
-- the second byte allows the counter to be primed for one count too many, but
-- is neccessary to allow GEN_V to be used as a qualifier for the output. The
-- extra gen_ver_word_1_r and gen_ver_word_2_r assertion is ok, because GEN_VER
-- will be low when they are asserted.
DID_VER_Buffer <= gen_ver_word_2_r;
-- Assert GEN_V in the MSByte of each lane when gen_ver_word_2_r is asserted.
-- Assert GEN_V in the LSByte of each lane if either gen_ver_word signal is
-- asserted. We use a seperate register for each output to provide enough slack
-- to allow the Global logic to communicate with all lanes without causing
-- timing problems.
gen_v_flop_c(0) <= GEN_VER and gen_ver_word_2_r;
gen_v_flop_0_i : FD
generic map (INIT => '0')
port map (
D => gen_v_flop_c(0),
C => USER_CLK,
Q => GEN_V_Buffer(0)
);
gen_v_flop_c(1) <= GEN_VER and (gen_ver_word_1_r or gen_ver_word_2_r);
gen_v_flop_1_i : FD
generic map (INIT => '0')
port map (
D => gen_v_flop_c(1),
C => USER_CLK,
Q => GEN_V_Buffer(1)
);
-- Assert GEN_A in the MSByte of each lane when the GEN_A downcounter reaches 0.
-- Note that the signal has a register for each lane for the same reason as the
-- GEN_V signal. GEN_A is ignored when it collides with other non-idle
-- generation requests at the Aurora Lane, but we qualify the signal with
-- the gen_ver_word_1_r signal so it does not overwrite the K used in the
-- MSByte of the first word of the Verification sequence.
gen_a_flop_c <= downcounter_done_c and not gen_ver_word_1_r;
gen_a_flop_0_i : FD
generic map (INIT => '0')
port map (
D => gen_a_flop_c,
C => USER_CLK,
Q => GEN_A_Buffer
);
-- Assert GEN_K in the MSByte when the lfsr dictates. Turn off the assertion if an
-- A symbol is being generated on the byte. Assert the signal without qualifications
-- if gen_ver_word_1_r is asserted. Assert GEN_K in the LSByte when the lfsr dictates.
-- There are no qualifications because only the GEN_R signal can collide with it, and
-- this is prevented by the way the gen_k_r signal is generated. All other GEN signals
-- will override this signal at the AURORA_LANE.
gen_k_flop_c(0) <= (gen_k_r(0) and not downcounter_done_c) or gen_ver_word_1_r;
gen_k_flop_0_i : FD
generic map (INIT => '0')
port map (
D => gen_k_flop_c(0),
C => USER_CLK,
Q => GEN_K_Buffer(0)
);
gen_k_flop_c(1) <= gen_k_r(1);
gen_k_flop_1_i : FD
generic map (INIT => '0')
port map (
D => gen_k_flop_c(1),
C => USER_CLK,
Q => GEN_K_Buffer(1)
);
-- Assert GEN_R in the MSByte when the lfsr dictates. Turn off the assertion if an
-- A symbol, or the first verification word is being generated. Assert GEN_R in the
-- LSByte when the lfsr dictates, with no qualifications (same reason as the GEN_K LSByte).
gen_r_flop_c(0) <= gen_r_r(0) and not downcounter_done_c and not gen_ver_word_1_r;
gen_r_flop_0_i : FD
generic map (INIT => '0')
port map (
D => gen_r_flop_c(0),
C => USER_CLK,
Q => GEN_R_Buffer(0)
);
gen_r_flop_c(1) <= gen_r_r(1);
gen_r_flop_1_i : FD
generic map (INIT => '0')
port map (
D => gen_r_flop_c(1),
C => USER_CLK,
Q => GEN_R_Buffer(1)
);
end RTL;
| bsd-2-clause | 547407e3f0bad53ba2d9d668b61aab67 | 0.545209 | 3.75845 | false | false | false | false |
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/blk_mem_gen_v8_0/blk_mem_gen_v8_0_synth_comp.vhd | 9 | 18,409 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Hrnq8dCJaKDcbWju4fCWpvTyG/jpOPI76yiyms4zR5rhP18uroxd2pidKHfd49ncBUe0MqqZynp4
90W9Rrrc/A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
C+vg4HAYcic4aGtZRxGMdzYD0fogeP7Z6MVwbh/q+v5PQNn91tf0Fe2jPpVduDUHCEn+fROm/5qv
HY5HNo7MmV7DyzFb0MzI1uxRMJ3VYZnG5tTtwEcxTvoEV5vP9EL19RhtKRBGVo4ZgVV5gGk0JKOF
+TqBUjFvIiA4vwpbMWk=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
JzgROPSPVeAOVRLayuXSpdp1weNvhVEo91AUmW62iTMGytjydIGArwh/IlChZF3aEHMk+8YMqUam
Xk+SFFfqw+3hOxLduRdCs/0PE71UaAFke9g8pRLsAWRGhTcL3HjGGAoMD5XfprmZ7n0LPuo5e/Xr
FS6ENXD34CULnNTIC53qRXsWJ/P5hMwdUcUMedqpcjgwszObQs66Wr4Zln10aNzbmR4mFKXMYQWm
QbiZDff6DJw7m7dAy2eZGY3pNETlIASxB9c7Q6eX8oYoOBNO5HuBW4SBFoU7CpBabxn2JM71BtGs
YJW6BCHHtuXJ1WlJWrsxqTZRpoxSW2TNHd4p1Q==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
wUrFF/b01kfuHOSDIcBE3mA1IqhbqTBO8gfarlSE1mp/QLV+nqvxWRSJHOa0L8fx3h0xd3EXyWLV
otTsqh6P0/0U5990yziMzvmsCY5YjI94HV4U6pZPE06SgvnvmSDk8WtUXhCBMCitKLgwVUnzv7nL
yq7NeaZmrMyWKwH9bn8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
soDkukFMAh2Srgb+iZhmw1cTZfk1UzET6uR72TaeHvTRbZwA4tb9QP8RcQatKIw6L7eScVTDrS9G
TeYNjpJL/DzJm8yGgHbE++9FhpXm7gMc5SjxCchkAJI78G5j8MxjO4yKOkT9Bzi2dhU4TBKCmyb2
DA0vHWKmcuGWazGM/VMrGBaBtjHrjTZvrM/qUPOGDzpNncoJFtsoheP0gn/NR3/1da01ChgudW8l
neP9MXpNmmJfth4TBgYp4pnag8gMizcERWu16CHypd0TJmJDK+l1GAOeuTZsj4r4b1OpdnI+34sU
xpU9H+30x6Xg1yDD9xAPdrsS2lPiLKJt7ry9ww==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11888)
`protect data_block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`protect end_protected
| gpl-3.0 | 7a7d8b74038a61631f3aa052834a03a2 | 0.940898 | 1.871404 | false | false | false | false |
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/ramfifo/rd_status_flags_ss.vhd | 9 | 17,955 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
f2+u8rrKz+aRj2l97hS/lgQYsNHnPNXGUhkhHOtp35Q1MZZbGQ/InkVJ9iM99NSrspoaaHjQ8YbX
RBsHYdSqRg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
RHoEjL1eMTFznVV16U9m20PUhZfShaTI/uXl3wndj38r/6kf4mlAyxDMEl3a69bnOJrNsrUlhJOP
7T961sKqAatosnanTctgWqebY0w/xnFcoHWaY+FkgOil7Jf5fsi2RdBRqiwB1mga+89dNGjNvsr1
MFLz6HnG5RFmCwPK5VI=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
IvIAiqJ9vqoTsn+b8o5LAzP+7/YlesJxxrJkFHckI3zj38rsBtZ44o574tFhmNEipNlSvYoUAzdR
yYcYkQRU0sg5BKgDcqJF7g7cIk9XWVUJxsXmWdjWFndlgoGdPmBJuQ7tT8+ZpnzQrEIpZsdRIJxT
7jf2QnT7mlskURjOFRq4di8G3NxXmVd6A5xDxcLONKno9PrxKVxafOf9zwbpYa4pV/C2w6cYhb//
ME4sgq3GI/KN5fAkkemoGpYBQlh1dStq0M1DzrYNoml+FtGwViZMePmOte7RtwxwUlnf8yCbdM1B
Nb+abaTawUW98/RoLEIztUJD9xd/jWhgHW3MxQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
fyYO86Tzw/quZ4ar8LXSBSVrPSyKwH+hJuSFhYQbacrYEk2hEnV10SGXpWfRh0flPn3JTXAltLQO
Ndt+XhT3xCoZTmWbSwYdJPNaYrDeCXG28zl6Ue7bKu7XytaJuBPCdHFqITYyoiedxSyGxLWOno4U
328r5Pbuxwy4+nBqxQw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
KSbKYK1S/TunYdw+Z+kzk7bOuAFWgrxZ3D4/AwHbTt7U+4nRhRnL+GS+YxFGzIrGqIgBchZA5rDf
3yivmzsJJgD+M7mbH64DEaTqM9l7Ya7wyEZ7e0O975v9baKCG2kg1duJDwbh6h3AjL7mWT8+UUM2
p/zO/nhfjf7vRI1dNJEMEolnvUpT3dyt3SaVa5Lzs8qSx3oopl14iLO/v06IlQY1wNZvSJGFzjCI
xz4asUemg1SX1/UHrl3lDYzXKWFV2OCfhDXz9VGxYV/wO9b6JnRzOndZroKfg1oehFUmN7zteu9L
sZRN51atDolmOLf3hKO51qva8oK6MmvfDkSIJQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11552)
`protect data_block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`protect end_protected
| gpl-3.0 | 164e275a36c7a25c7a503e552f4e0807 | 0.938067 | 1.84324 | false | false | false | false |
JoseHawk/Voltmeter | Voltímetro_02 (Considerando señales por separado)/Voltimetro.vhd | 1 | 4,670 | -- VOLTIMETRO
-- Librerias necesarias
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_arith.ALL;
USE ieee.std_logic_unsigned.ALL;
-- Definimos la entidad
ENTITY Voltimetro IS
PORT(
-- FPGA
reloj : IN STD_LOGIC; -- Reloj interno de la placa
unidadesDisplay : OUT STD_LOGIC_VECTOR (6 DOWNTO 0); -- Valor de las unidades a mostrar en el display
decimalesDisplay : OUT STD_LOGIC_VECTOR (6 DOWNTO 0); -- Valor de los decimales a mostrar en el display
puntoDisplay : OUT STD_LOGIC; -- Punto que separa la parte entera de la decimal
segundoPunto : OUT STD_LOGIC; -- Lo consideramos para apagarlo
-- Conversor A/D : Los puertos de entrada del conversor son en realidad salidas de la FPGA
-- Las salidas del conversor son entradas a la FPGA
-- FISICO IN_AD : OUT STD_LOGIC_VECTOR; -- Entradas analogicas
A : OUT STD_LOGIC_VECTOR (2 DOWNTO 0); -- Seleccion del canal analogico a convertir
D : IN STD_LOGIC_VECTOR (7 DOWNTO 0); -- Salida digital de la senial analogica seleccionada
-- REFPOS : OUT STD_LOGIC_VECTOR (15 DOWNTO 0); -- Entrada de la tension de referencia positiva
-- REFNEG : OUT STD_LOGIC_VECTOR (15 DOWNTO 0); -- Entrada de la tension de referencia negativa
-- PWRDN : OUT STD_LOGIC; -- Apaga el convertidor para minimizar el consumo del sistema
MODE : OUT STD_LOGIC; -- Selecciona el MODE_0 o MODE_1
RD : OUT STD_LOGIC; -- Marca el inicio de la conversion
-- WR_RDY : OUT STD_LOGIC; -- Marca la escritura del dato o bien que la conversion ha finalizado
CS : OUT STD_LOGIC; -- Marca el inicio de la conversion
INT : IN STD_LOGIC;
INT_OUT : OUT STD_LOGIC
);
END Voltimetro;
-- Definimos la arquitectura
ARCHITECTURE arquitecturaVoltimetro OF Voltimetro IS
TYPE estado IS (estado1, estado2, estado3); -- Estados posibles
SIGNAL senialMuestreo : estado:= estado1; -- Marca las subidas y bajadas de la senial de muestreo a frecuencia 10^6
SIGNAL voltaje : STD_LOGIC_VECTOR (7 DOWNTO 0); -- Valor del voltaje digitalizado a 8 bits
SIGNAL unidades : INTEGER RANGE 0 TO 9; -- Valor de las unidades obtenido a partir del voltaje
SIGNAL decimales : INTEGER RANGE 0 TO 9; -- Valor de los decimales obtenido a partir del voltaje
-- Instanciamos el codificador de 7 segmentos para la representacion mediante display
COMPONENT codificador7Segmentos
PORT(
entrada : IN INTEGER RANGE 0 TO 9;
salida : OUT STD_LOGIC_VECTOR (6 DOWNTO 0)
);
END COMPONENT;
BEGIN
-- Vamos a considerar la primera entrada, por tanto, seleccionamos el primer canal
A <= "000";
-- Seleccionamos MODO 0
MODE <= '0';
puntoDisplay <= '0'; -- Lo mantenemos siempre encendido
segundoPunto <= '1'; -- Apagamos el segundo punto del display
-- Obtenemos la frecuencia de muestreo mediante maquina de estados
obtencionFrecuenciaMuestreo : PROCESS (reloj)
VARIABLE pulsos : INTEGER RANGE 0 TO 50 := 0;
BEGIN
IF reloj'EVENT AND reloj = '1' THEN
CASE senialMuestreo IS
WHEN estado1 =>
RD <= '0';
CS <= '0';
IF INT = '0' THEN
senialMuestreo <= estado2;
ELSE
senialMuestreo <= estado1;
END IF;
WHEN estado2 =>
voltaje <= D;
senialMuestreo <= estado3;
WHEN estado3 =>
RD <= '1';
CS <= '1';
IF pulsos < 7 THEN
pulsos := pulsos + 1;
senialMuestreo <= estado3;
ELSE
pulsos := 0;
senialMuestreo <= estado1;
END IF;
END CASE;
END IF;
END PROCESS obtencionFrecuenciaMuestreo;
-- Con este proceso lo que haremos es obtener las unidades y la parte decimal del voltaje
obtencionValoresDisplay : PROCESS (voltaje)
VARIABLE voltajeEntero : INTEGER RANGE 0 TO 300;
BEGIN
voltajeEntero := conv_integer(voltaje); -- Pasamos el voltaje a entero
voltajeEntero := 50*(voltajeEntero)/255;
unidades <= voltajeEntero / 10; -- Obtenemos el valor de las unidades
decimales <= voltajeEntero REM 10; -- Obtenemos el valor de los decimales
END PROCESS obtencionValoresDisplay;
-- Codificamos para mostrar por el display de 7 segmentos las unidades
mostrarUnidadesDisplay : codificador7Segmentos PORT MAP(
entrada => unidades,
salida => unidadesDisplay
);
-- Codificamos para mostrar por el display de 7 segmentos los decimales
mostrarDecimalesDisplay : codificador7Segmentos PORT MAP(
entrada => decimales,
salida => decimalesDisplay
);
INT_OUT <= INT;
END arquitecturaVoltimetro; | gpl-2.0 | ba6247ac034a4519baad1a353db7c594 | 0.666167 | 3.603395 | false | false | false | false |
ymei/TMSPlane | Firmware/src/ten_gig_eth/TE07412C1/pcs_pma/ten_gig_eth_pcs_pma_0_ff_synchronizer_rst2.vhd | 3 | 4,290 | -----------------------------------------------------------------------------
-- Title : FF Synchronizer with Reset
-- Project : 10 Gigabit Ethernet PCS/PMA Core
-- File : ten_gig_eth_pcs_pma_0_ff_synchronizer_rst2.vhd
-- Author : Xilinx Inc.
-- Description: This module provides a parameterizable multi stage
-- FF Synchronizer with appropriate synth attributes
-- to mark ASYNC_REG and prevent SRL inference
-- An active reset is included with a paramterized
-- reset value
-------------------------------------------------------------------------------
-- (c) Copyright 2009 - 2014 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
entity ten_gig_eth_pcs_pma_0_ff_synchronizer_rst2 is
generic
(
C_NUM_SYNC_REGS : integer := 3;
C_RVAL : std_logic := '0'
);
port
(
clk : in std_logic;
rst : in std_logic;
data_in : in std_logic;
data_out : out std_logic := '0'
);
end ten_gig_eth_pcs_pma_0_ff_synchronizer_rst2;
architecture rtl of ten_gig_eth_pcs_pma_0_ff_synchronizer_rst2 is
signal sync1_r : std_logic_vector(C_NUM_SYNC_REGS-1 downto 0) := (others => C_RVAL);
attribute SHREG_EXTRACT : string;
attribute SHREG_EXTRACT of sync1_r : signal is "no";
attribute ASYNC_REG : string;
attribute ASYNC_REG of sync1_r : signal is "true";
begin
-----------------------------------------------------------------------------
-- Synchronizer
-----------------------------------------------------------------------------
syncrst_proc : process(clk, rst)
begin
if(rst = '1') then
sync1_r <= (others => C_RVAL);
elsif(clk'event and clk = '1') then
sync1_r <= sync1_r(C_NUM_SYNC_REGS-2 downto 0) & data_in;
end if;
end process syncrst_proc;
outreg_proc : process(clk)
begin
if(clk'event and clk = '1') then
data_out <= sync1_r(C_NUM_SYNC_REGS-1);
end if;
end process outreg_proc;
end rtl;
| bsd-3-clause | c2c50133f47c6d74f7dae9cdc6533f09 | 0.643124 | 4.23913 | false | false | false | false |
timvideos/HDMI2USB-jahanzeb-firmware | ipcore_dir/patternClk.vhd | 3 | 5,794 | -- file: patternClk.vhd
--
-- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
------------------------------------------------------------------------------
-- User entered comments
------------------------------------------------------------------------------
-- None
--
------------------------------------------------------------------------------
-- "Output Output Phase Duty Pk-to-Pk Phase"
-- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)"
------------------------------------------------------------------------------
-- CLK_OUT1____65.000______0.000_______N/A______261.538________N/A
--
------------------------------------------------------------------------------
-- "Input Clock Freq (MHz) Input Jitter (UI)"
------------------------------------------------------------------------------
-- __primary_________100.000____________0.010
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
entity patternClk is
port
(-- Clock in ports
CLK_IN1 : in std_logic;
-- Clock out ports
CLK_OUT1 : out std_logic
);
end patternClk;
architecture xilinx of patternClk is
attribute CORE_GENERATION_INFO : string;
attribute CORE_GENERATION_INFO of xilinx : architecture is "patternClk,clk_wiz_v3_6,{component_name=patternClk,use_phase_alignment=false,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_ONCHIP,primtype_sel=DCM_CLKGEN,num_out_clk=1,clkin1_period=10.0,clkin2_period=10.0,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=MANUAL,manual_override=false}";
-- Input clock buffering / unused connectors
signal clkin1 : std_logic;
-- Output clock buffering / unused connectors
signal clkfx : std_logic;
signal clkfx180_unused : std_logic;
signal clkfxdv_unused : std_logic;
signal clkfbout : std_logic;
-- Dynamic programming unused signals
signal progdone_unused : std_logic;
signal locked_internal : std_logic;
signal status_internal : std_logic_vector(2 downto 1);
begin
-- Input buffering
--------------------------------------
clkin1 <= CLK_IN1;
-- Clocking primitive
--------------------------------------
-- Instantiation of the DCM primitive
-- * Unused inputs are tied off
-- * Unused outputs are labeled unused
dcm_clkgen_inst: DCM_CLKGEN
generic map
(CLKFXDV_DIVIDE => 2,
CLKFX_DIVIDE => 20,
CLKFX_MULTIPLY => 13,
SPREAD_SPECTRUM => "NONE",
STARTUP_WAIT => FALSE,
CLKIN_PERIOD => 10.0,
CLKFX_MD_MAX => 0.000)
port map
-- Input clock
(CLKIN => clkin1,
-- Output clocks
CLKFX => clkfx,
CLKFX180 => clkfx180_unused,
CLKFXDV => clkfxdv_unused,
-- Ports for dynamic phase shift
PROGCLK => '0',
PROGEN => '0',
PROGDATA => '0',
PROGDONE => progdone_unused,
-- Other control and status signals
FREEZEDCM => '0',
LOCKED => locked_internal,
STATUS => status_internal,
RST => '0');
-- Output buffering
-------------------------------------
CLK_OUT1 <= clkfx;
end xilinx;
| bsd-2-clause | b6ccc633439a2ffe26b3f6d862009902 | 0.603038 | 4.359669 | false | false | false | false |
ymei/TMSPlane | Firmware/src/top_TMS1mmX19_KC705.vhd | 1 | 67,792 | --------------------------------------------------------------------------------
--! @file top.vhd
--! @brief Toplevel module for KC705 eval board.
--! @author Yuan Mei
--!
--! Target Devices: Kintex-7 XC7K325T-FFG900-2
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
USE ieee.numeric_std.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
LIBRARY UNISIM;
USE UNISIM.VComponents.ALL;
LIBRARY work;
USE work.utility.ALL;
ENTITY top IS
GENERIC (
ENABLE_DEBUG : boolean := false;
ENABLE_GIG_ETH : boolean := true;
ENABLE_TEN_GIG_ETH : boolean := false
);
PORT (
SYS_RST : IN std_logic;
SYS_CLK_P : IN std_logic;
SYS_CLK_N : IN std_logic;
USER_CLK_P : IN std_logic; --! 156.250 MHz
USER_CLK_N : IN std_logic;
SGMIICLK_Q0_P : IN std_logic; --! 125 MHz, for GTP/GTH/GTX
SGMIICLK_Q0_N : IN std_logic;
SI5324CLK_P : IN std_logic; --! programmable, for GTX ref
SI5324CLK_N : IN std_logic;
--
LED8Bit : OUT std_logic_vector(7 DOWNTO 0);
DIPSw4Bit : IN std_logic_vector(3 DOWNTO 0);
BTN5Bit : IN std_logic_vector(4 DOWNTO 0);
USER_SMA_CLOCK_P : OUT std_logic;
USER_SMA_CLOCK_N : OUT std_logic;
USER_SMA_GPIO_P : IN std_logic;
USER_SMA_GPIO_N : OUT std_logic;
SI5324_RSTn : OUT std_logic;
-- UART via usb
USB_RX : OUT std_logic;
USB_TX : IN std_logic;
-- SFP
SFP_TX_P : OUT std_logic;
SFP_TX_N : OUT std_logic;
SFP_RX_P : IN std_logic;
SFP_RX_N : IN std_logic;
SFP_LOS_LS : IN std_logic;
SFP_TX_DISABLE_N : OUT std_logic;
-- SMA MGT
SMA_MGT_TX_P : OUT std_logic;
SMA_MGT_TX_N : OUT std_logic;
SMA_MGT_RX_P : IN std_logic;
SMA_MGT_RX_N : IN std_logic;
-- Gigbit eth interface (RGMII)
PHY_RESET_N : OUT std_logic;
RGMII_TXD : OUT std_logic_vector(3 DOWNTO 0);
RGMII_TX_CTL : OUT std_logic;
RGMII_TXC : OUT std_logic;
RGMII_RXD : IN std_logic_vector(3 DOWNTO 0);
RGMII_RX_CTL : IN std_logic;
RGMII_RXC : IN std_logic;
MDIO : INOUT std_logic;
MDC : OUT std_logic;
-- SDRAM
DDR3_DQ : INOUT std_logic_vector(63 DOWNTO 0);
DDR3_DQS_P : INOUT std_logic_vector(7 DOWNTO 0);
DDR3_DQS_N : INOUT std_logic_vector(7 DOWNTO 0);
-- Outputs
DDR3_ADDR : OUT std_logic_vector(13 DOWNTO 0);
DDR3_BA : OUT std_logic_vector(2 DOWNTO 0);
DDR3_RAS_N : OUT std_logic;
DDR3_CAS_N : OUT std_logic;
DDR3_WE_N : OUT std_logic;
DDR3_RESET_N : OUT std_logic;
DDR3_CK_P : OUT std_logic_vector(0 DOWNTO 0);
DDR3_CK_N : OUT std_logic_vector(0 DOWNTO 0);
DDR3_CKE : OUT std_logic_vector(0 DOWNTO 0);
DDR3_CS_N : OUT std_logic_vector(0 DOWNTO 0);
DDR3_DM : OUT std_logic_vector(7 DOWNTO 0);
DDR3_ODT : OUT std_logic_vector(0 DOWNTO 0);
--
I2C_SCL : INOUT std_logic;
I2C_SDA : INOUT std_logic;
-- FMC HPC
FMC_HPC_HA_P :INOUT std_logic_vector(23 DOWNTO 0);
FMC_HPC_HA_N :INOUT std_logic_vector(23 DOWNTO 0);
FMC_HPC_LA_P :INOUT std_logic_vector(33 DOWNTO 0);
FMC_HPC_LA_N :INOUT std_logic_vector(33 DOWNTO 0);
-- FMC LPC
FMC_LPC_LA_P :INOUT std_logic_vector(33 DOWNTO 0);
FMC_LPC_LA_N :INOUT std_logic_vector(33 DOWNTO 0)
);
END top;
ARCHITECTURE Behavioral OF top IS
-- Components
COMPONENT global_clock_reset
PORT (
SYS_CLK_P : IN std_logic;
SYS_CLK_N : IN std_logic;
FORCE_RST : IN std_logic;
-- output
GLOBAL_RST : OUT std_logic;
SYS_CLK : OUT std_logic;
LOCKED : OUT std_logic;
CLK_OUT1 : OUT std_logic;
CLK_OUT2 : OUT std_logic;
CLK_OUT3 : OUT std_logic;
CLK_OUT4 : OUT std_logic
);
END COMPONENT;
---------------------------------------------< ten_gig_eth
COMPONENT ten_gig_eth
PORT (
REFCLK_P : IN std_logic; -- 156.25MHz for transceiver
REFCLK_N : IN std_logic;
RESET : IN std_logic;
SFP_TX_P : OUT std_logic;
SFP_TX_N : OUT std_logic;
SFP_RX_P : IN std_logic;
SFP_RX_N : IN std_logic;
SFP_LOS : IN std_logic; -- loss of receiver signal
SFP_TX_DISABLE : OUT std_logic;
-- clk156.25 domain, clock generated by the core
CLK156p25 : OUT std_logic;
PCS_PMA_CORE_STATUS : OUT std_logic_vector(7 DOWNTO 0);
TX_STATISTICS_VECTOR : OUT std_logic_vector(25 DOWNTO 0);
TX_STATISTICS_VALID : OUT std_logic;
RX_STATISTICS_VECTOR : OUT std_logic_vector(29 DOWNTO 0);
RX_STATISTICS_VALID : OUT std_logic;
PAUSE_VAL : IN std_logic_vector(15 DOWNTO 0);
PAUSE_REQ : IN std_logic;
TX_IFG_DELAY : IN std_logic_vector(7 DOWNTO 0);
-- emac control interface
S_AXI_ACLK : IN std_logic;
S_AXI_ARESETN : IN std_logic;
S_AXI_AWADDR : IN std_logic_vector(10 DOWNTO 0);
S_AXI_AWVALID : IN std_logic;
S_AXI_AWREADY : OUT std_logic;
S_AXI_WDATA : IN std_logic_vector(31 DOWNTO 0);
S_AXI_WVALID : IN std_logic;
S_AXI_WREADY : OUT std_logic;
S_AXI_BRESP : OUT std_logic_vector(1 DOWNTO 0);
S_AXI_BVALID : OUT std_logic;
S_AXI_BREADY : IN std_logic;
S_AXI_ARADDR : IN std_logic_vector(10 DOWNTO 0);
S_AXI_ARVALID : IN std_logic;
S_AXI_ARREADY : OUT std_logic;
S_AXI_RDATA : OUT std_logic_vector(31 DOWNTO 0);
S_AXI_RRESP : OUT std_logic_vector(1 DOWNTO 0);
S_AXI_RVALID : OUT std_logic;
S_AXI_RREADY : IN std_logic;
-- tx_wr_clk domain
TX_AXIS_FIFO_ARESETN : IN std_logic;
TX_AXIS_FIFO_ACLK : IN std_logic;
TX_AXIS_FIFO_TDATA : IN std_logic_vector(63 DOWNTO 0);
TX_AXIS_FIFO_TKEEP : IN std_logic_vector(7 DOWNTO 0);
TX_AXIS_FIFO_TVALID : IN std_logic;
TX_AXIS_FIFO_TLAST : IN std_logic;
TX_AXIS_FIFO_TREADY : OUT std_logic;
-- rx_rd_clk domain
RX_AXIS_FIFO_ARESETN : IN std_logic;
RX_AXIS_FIFO_ACLK : IN std_logic;
RX_AXIS_FIFO_TDATA : OUT std_logic_vector(63 DOWNTO 0);
RX_AXIS_FIFO_TKEEP : OUT std_logic_vector(7 DOWNTO 0);
RX_AXIS_FIFO_TVALID : OUT std_logic;
RX_AXIS_FIFO_TLAST : OUT std_logic;
RX_AXIS_FIFO_TREADY : IN std_logic
);
END COMPONENT;
COMPONENT ten_gig_eth_packet_gen
PORT (
RESET : IN std_logic;
MEM_CLK : IN std_logic;
MEM_WE : IN std_logic; -- memory write enable
MEM_ADDR : IN std_logic_vector(31 DOWNTO 0);
MEM_D : IN std_logic_vector(31 DOWNTO 0); -- memory data
--
TX_AXIS_ACLK : IN std_logic;
TX_START : IN std_logic;
TX_BYTES : IN std_logic_vector(15 DOWNTO 0); -- number of bytes to send
TX_AXIS_TDATA : OUT std_logic_vector(63 DOWNTO 0);
TX_AXIS_TKEEP : OUT std_logic_vector(7 DOWNTO 0);
TX_AXIS_TVALID : OUT std_logic;
TX_AXIS_TLAST : OUT std_logic;
TX_AXIS_TREADY : IN std_logic
);
END COMPONENT;
COMPONENT ten_gig_eth_rx_parser
PORT (
RESET : IN std_logic;
RX_AXIS_FIFO_ARESETN : OUT std_logic;
-- Everything internal to this module is synchronous to this clock `ACLK'
RX_AXIS_FIFO_ACLK : IN std_logic;
RX_AXIS_FIFO_TDATA : IN std_logic_vector(63 DOWNTO 0);
RX_AXIS_FIFO_TKEEP : IN std_logic_vector(7 DOWNTO 0);
RX_AXIS_FIFO_TVALID : IN std_logic;
RX_AXIS_FIFO_TLAST : IN std_logic;
RX_AXIS_FIFO_TREADY : OUT std_logic;
-- Constants
SRC_MAC : IN std_logic_vector(47 DOWNTO 0);
SRC_IP : IN std_logic_vector(31 DOWNTO 0);
SRC_PORT : IN std_logic_vector(15 DOWNTO 0);
-- Command output fifo interface AFTER parsing the packet
-- dstMAC(48) dstIP(32) dstPort(16) opcode(32)
CMD_FIFO_Q : OUT std_logic_vector(127 DOWNTO 0);
CMD_FIFO_EMPTY : OUT std_logic;
CMD_FIFO_RDREQ : IN std_logic;
CMD_FIFO_RDCLK : IN std_logic
);
END COMPONENT;
---------------------------------------------> ten_gig_eth
---------------------------------------------< gtx / aurora
COMPONENT aurora_64b66b
PORT (
RESET : IN std_logic;
SYS_CLK : IN std_logic;
MGT_REFCLK_P : IN std_logic;
MGT_REFCLK_N : IN std_logic;
-- Data interfaces are synchronous to USER_CLK
USER_CLK : OUT std_logic;
MGT_REFCLK_BUFG_OUT : OUT std_logic;
-- TX AXI4 interface
S_AXI_TX_TDATA : IN std_logic_vector(0 TO 63);
S_AXI_TX_TVALID : IN std_logic;
S_AXI_TX_TREADY : OUT std_logic;
-- RX AXI4 interface
M_AXI_RX_TDATA : OUT std_logic_vector(0 TO 63);
M_AXI_RX_TVALID : OUT std_logic;
-- User flow control (UFC) TX
UFC_TX_REQ : IN std_logic;
S_AXI_UFC_TX_TDATA : IN std_logic_vector(0 TO 63);
UFC_TX_MS : IN std_logic_vector(0 TO 7);
S_AXI_UFC_TX_TVALID : IN std_logic;
S_AXI_UFC_TX_TREADY : OUT std_logic;
-- UFC RX
M_AXI_UFC_RX_TDATA : OUT std_logic_vector(0 TO 63);
M_AXI_UFC_RX_TKEEP : OUT std_logic_vector(0 TO 7);
M_AXI_UFC_RX_TLAST : OUT std_logic;
M_AXI_UFC_RX_TVALID : OUT std_logic;
UFC_IN_PROGRESSn : OUT std_logic;
-- GTX pins
RXP : IN std_logic;
RXN : IN std_logic;
TXP : OUT std_logic;
TXN : OUT std_logic;
-- Status
STATUS : OUT std_logic_vector(15 DOWNTO 0)
);
END COMPONENT;
COMPONENT fifo_over_ufc
GENERIC (
FIFO_DATA_WIDTH : positive := 32;
AURORA_DATA_WIDTH : positive := 64
);
PORT (
RESET : IN std_logic;
AURORA_USER_CLK : IN std_logic;
AURORA_TX_REQ : OUT std_logic;
AURORA_TX_MS : OUT std_logic_vector(7 DOWNTO 0);
AURORA_TX_TREADY : IN std_logic;
AURORA_TX_TDATA : OUT std_logic_vector(AURORA_DATA_WIDTH-1 DOWNTO 0);
AURORA_TX_TVALID : OUT std_logic;
AURORA_RX_TDATA : IN std_logic_vector(AURORA_DATA_WIDTH-1 DOWNTO 0);
AURORA_RX_TVALID : IN std_logic;
FIFO_CLK : OUT std_logic;
TX_FIFO_Q : OUT std_logic_vector(FIFO_DATA_WIDTH-1 DOWNTO 0);
TX_FIFO_WREN : OUT std_logic;
TX_FIFO_FULL : IN std_logic;
RX_FIFO_Q : IN std_logic_vector(FIFO_DATA_WIDTH-1 DOWNTO 0);
RX_FIFO_RDEN : OUT std_logic;
RX_FIFO_EMPTY : IN std_logic;
ERR : OUT std_logic
);
END COMPONENT;
---------------------------------------------> gtx / aurora
---------------------------------------------< gig_eth
COMPONENT gig_eth
PORT (
-- asynchronous reset
GLBL_RST : IN std_logic;
-- clocks
GTX_CLK : IN std_logic; -- 125MHz
REF_CLK : IN std_logic; -- 200MHz for IODELAY
-- PHY interface
PHY_RESETN : OUT std_logic;
-- RGMII Interface
RGMII_TXD : OUT std_logic_vector(3 DOWNTO 0);
RGMII_TX_CTL : OUT std_logic;
RGMII_TXC : OUT std_logic;
RGMII_RXD : IN std_logic_vector(3 DOWNTO 0);
RGMII_RX_CTL : IN std_logic;
RGMII_RXC : IN std_logic;
-- MDIO Interface
MDIO : INOUT std_logic;
MDC : OUT std_logic;
-- TCP
MAC_ADDR : IN std_logic_vector(47 DOWNTO 0);
IPv4_ADDR : IN std_logic_vector(31 DOWNTO 0);
IPv6_ADDR : IN std_logic_vector(127 DOWNTO 0);
SUBNET_MASK : IN std_logic_vector(31 DOWNTO 0);
GATEWAY_IP_ADDR : IN std_logic_vector(31 DOWNTO 0);
TCP_CONNECTION_RESET : IN std_logic;
TX_TDATA : IN std_logic_vector(7 DOWNTO 0);
TX_TVALID : IN std_logic;
TX_TREADY : OUT std_logic;
RX_TDATA : OUT std_logic_vector(7 DOWNTO 0);
RX_TVALID : OUT std_logic;
RX_TREADY : IN std_logic;
-- FIFO
TCP_USE_FIFO : IN std_logic;
TX_FIFO_WRCLK : IN std_logic;
TX_FIFO_Q : IN std_logic_vector(31 DOWNTO 0);
TX_FIFO_WREN : IN std_logic;
TX_FIFO_FULL : OUT std_logic;
RX_FIFO_RDCLK : IN std_logic;
RX_FIFO_Q : OUT std_logic_vector(31 DOWNTO 0);
RX_FIFO_RDEN : IN std_logic;
RX_FIFO_EMPTY : OUT std_logic;
--
TX_FIFO1_WRCLK : IN std_logic;
TX_FIFO1_Q : IN std_logic_vector(31 downto 0);
TX_FIFO1_WREN : IN std_logic;
TX_FIFO1_FULL : OUT std_logic;
RX_FIFO1_RDCLK : IN std_logic;
RX_FIFO1_Q : OUT std_logic_vector(31 downto 0);
RX_FIFO1_RDEN : IN std_logic;
RX_FIFO1_EMPTY : OUT std_logic
);
END COMPONENT;
---------------------------------------------> gig_eth
---------------------------------------------< UART/RS232
COMPONENT control_interface
PORT (
RESET : IN std_logic;
CLK : IN std_logic; -- system clock
-- From FPGA to PC
FIFO_Q : OUT std_logic_vector(35 DOWNTO 0); -- interface fifo data output port
FIFO_EMPTY : OUT std_logic; -- interface fifo "emtpy" signal
FIFO_RDREQ : IN std_logic; -- interface fifo read request
FIFO_RDCLK : IN std_logic; -- interface fifo read clock
-- From PC to FPGA, FWFT
CMD_FIFO_Q : IN std_logic_vector(35 DOWNTO 0); -- interface command fifo data out port
CMD_FIFO_EMPTY : IN std_logic; -- interface command fifo "emtpy" signal
CMD_FIFO_RDREQ : OUT std_logic; -- interface command fifo read request
-- Digital I/O
CONFIG_REG : OUT std_logic_vector(511 DOWNTO 0); -- thirtytwo 16bit registers
PULSE_REG : OUT std_logic_vector(15 DOWNTO 0); -- 16bit pulse register
STATUS_REG : IN std_logic_vector(175 DOWNTO 0); -- eleven 16bit registers
-- Memory interface
MEM_WE : OUT std_logic; -- memory write enable
MEM_ADDR : OUT std_logic_vector(31 DOWNTO 0);
MEM_DIN : OUT std_logic_vector(31 DOWNTO 0); -- memory data input
MEM_DOUT : IN std_logic_vector(31 DOWNTO 0); -- memory data output
-- Data FIFO interface, FWFT
DATA_FIFO_Q : IN std_logic_vector(31 DOWNTO 0);
DATA_FIFO_EMPTY : IN std_logic;
DATA_FIFO_RDREQ : OUT std_logic;
DATA_FIFO_RDCLK : OUT std_logic
);
END COMPONENT;
COMPONENT data_sampler_fifo
GENERIC (
DIN_WIDTH : positive := 512;
DOUT_WIDTH : positive := 32
);
PORT (
RESET : IN std_logic;
CLK : IN std_logic;
TRIG : IN std_logic;
DIN : IN std_logic_vector(DIN_WIDTH-1 DOWNTO 0);
DIN_VALID : IN std_logic;
DIN_CLK : IN std_logic;
DOUT : OUT std_logic_vector(DOUT_WIDTH-1 DOWNTO 0);
DOUT_EMPTY : OUT std_logic;
DOUT_RDEN : IN std_logic
);
END COMPONENT;
---------------------------------------------> UART/RS232
---------------------------------------------< SDRAM
COMPONENT sdram_ddr3
GENERIC (
INDATA_WIDTH : positive := 256;
OUTDATA_WIDTH : positive := 32;
APP_ADDR_WIDTH : positive := 28;
APP_DATA_WIDTH : positive := 512;
APP_MASK_WIDTH : positive := 64;
APP_ADDR_BURST : positive := 8
);
PORT (
CLK : IN std_logic; -- system clock, must be the same as intended in MIG
REFCLK : IN std_logic; -- 200MHz for iodelay
RESET : IN std_logic;
-- SDRAM_DDR3
-- Inouts
DDR3_DQ : INOUT std_logic_vector(63 DOWNTO 0);
DDR3_DQS_P : INOUT std_logic_vector(7 DOWNTO 0);
DDR3_DQS_N : INOUT std_logic_vector(7 DOWNTO 0);
-- Outputs
DDR3_ADDR : OUT std_logic_vector(13 DOWNTO 0);
DDR3_BA : OUT std_logic_vector(2 DOWNTO 0);
DDR3_RAS_N : OUT std_logic;
DDR3_CAS_N : OUT std_logic;
DDR3_WE_N : OUT std_logic;
DDR3_RESET_N : OUT std_logic;
DDR3_CK_P : OUT std_logic_vector(0 DOWNTO 0);
DDR3_CK_N : OUT std_logic_vector(0 DOWNTO 0);
DDR3_CKE : OUT std_logic_vector(0 DOWNTO 0);
DDR3_CS_N : OUT std_logic_vector(0 DOWNTO 0);
DDR3_DM : OUT std_logic_vector(7 DOWNTO 0);
DDR3_ODT : OUT std_logic_vector(0 DOWNTO 0);
-- Status Outputs
INIT_CALIB_COMPLETE : OUT std_logic;
-- Internal data r/w interface
UI_CLK : OUT std_logic;
--
CTRL_RESET : IN std_logic;
WR_START : IN std_logic;
WR_ADDR_BEGIN : IN std_logic_vector(APP_ADDR_WIDTH-1 DOWNTO 0);
WR_STOP : IN std_logic;
WR_WRAP_AROUND : IN std_logic;
POST_TRIGGER : IN std_logic_vector(APP_ADDR_WIDTH-1 DOWNTO 0);
WR_BUSY : OUT std_logic;
WR_POINTER : OUT std_logic_vector(APP_ADDR_WIDTH-1 DOWNTO 0);
TRIGGER_POINTER : OUT std_logic_vector(APP_ADDR_WIDTH-1 DOWNTO 0);
WR_WRAPPED : OUT std_logic;
RD_START : IN std_logic;
RD_ADDR_BEGIN : IN std_logic_vector(APP_ADDR_WIDTH-1 DOWNTO 0);
RD_ADDR_END : IN std_logic_vector(APP_ADDR_WIDTH-1 DOWNTO 0);
RD_BUSY : OUT std_logic;
--
DATA_FIFO_RESET : IN std_logic;
INDATA_FIFO_WRCLK : IN std_logic;
INDATA_FIFO_Q : IN std_logic_vector(INDATA_WIDTH-1 DOWNTO 0);
INDATA_FIFO_FULL : OUT std_logic;
INDATA_FIFO_WREN : IN std_logic;
--
OUTDATA_FIFO_RDCLK : IN std_logic;
OUTDATA_FIFO_Q : OUT std_logic_vector(OUTDATA_WIDTH-1 DOWNTO 0);
OUTDATA_FIFO_EMPTY : OUT std_logic;
OUTDATA_FIFO_RDEN : IN std_logic;
--
DBG_APP_ADDR : OUT std_logic_vector(APP_ADDR_WIDTH-1 DOWNTO 0);
DBG_APP_EN : OUT std_logic;
DBG_APP_RDY : OUT std_logic;
DBG_APP_WDF_DATA : OUT std_logic_vector(APP_DATA_WIDTH-1 DOWNTO 0);
DBG_APP_WDF_END : OUT std_logic;
DBG_APP_WDF_WREN : OUT std_logic;
DBG_APP_WDF_RDY : OUT std_logic;
DBG_APP_RD_DATA : OUT std_logic_vector(APP_DATA_WIDTH-1 DOWNTO 0);
DBG_APP_RD_DATA_VALID : OUT std_logic
);
END COMPONENT;
---------------------------------------------> SDRAM
---------------------------------------------< I2C
COMPONENT i2c_write_regmap
GENERIC (
REGMAP_FNAME : string;
INPUT_CLK_FREQENCY : integer := 100_000_000;
-- BUS CLK freqency should be divided by multiples of 4 from input frequency
BUS_CLK_FREQUENCY : integer := 100_000;
START_DELAY_CYCLE : integer := 100_000_000; -- ext_rst to happen # of clk cycles after START
EXT_RST_WIDTH_CYCLE : integer := 1000; -- pulse width of ext_rst in clk cycles
EXT_RST_DELAY_CYCLE : integer := 100_000 -- 1st reg write to happen clk cycles after ext_rst
);
PORT (
CLK : IN std_logic; -- system clock 50Mhz
RESET : IN std_logic; -- active high reset
START : IN std_logic; -- rising edge triggers r/w; synchronous to CLK
EXT_RSTn : OUT std_logic; -- active low for resetting the slave
BUSY : OUT std_logic; -- indicates transaction in progress
ACK_ERROR : OUT std_logic; -- i2c has unexpected ack
SDA_in : IN std_logic; -- serial data input from i2c bus
SDA_out : OUT std_logic; -- serial data output to i2c bus
SDA_t : OUT std_logic; -- serial data direction to/from i2c bus, '1' is read-in
SCL : OUT std_logic -- serial clock output to i2c bus
);
END COMPONENT;
---------------------------------------------> I2C
---------------------------------------------< TMS
COMPONENT sdm_adc_data_aurora_recv
GENERIC (
NCH_ADC : positive := 20;
ADC_CYC : positive := 20;
NCH_SDM : positive := 19;
SDM_CYC : positive := 4
);
PORT (
RESET : IN std_logic;
CLK : IN std_logic;
USER_CLK : IN std_logic;
M_AXI_RX_TDATA : IN std_logic_vector(63 DOWNTO 0);
M_AXI_RX_TVALID : IN std_logic;
DOUT : OUT std_logic_vector(511 DOWNTO 0);
DOUT_VALID : OUT std_logic;
FIFO_FULL : OUT std_logic
);
END COMPONENT;
---------------------------------------------> TMS
---------------------------------------------< debug : ILA and VIO (`Chipscope')
COMPONENT dbg_ila
PORT (
CLK : IN std_logic;
PROBE0 : IN std_logic_vector(63 DOWNTO 0);
PROBE1 : IN std_logic_vector(79 DOWNTO 0);
PROBE2 : IN std_logic_vector(79 DOWNTO 0);
PROBE3 : IN std_logic_vector(2047 DOWNTO 0)
);
END COMPONENT;
COMPONENT dbg_ila1
PORT (
CLK : IN std_logic;
PROBE0 : IN std_logic_vector(15 DOWNTO 0);
PROBE1 : IN std_logic_vector(15 DOWNTO 0)
);
END COMPONENT;
COMPONENT dbg_vio
PORT (
CLK : IN std_logic;
PROBE_IN0 : IN std_logic_vector(63 DOWNTO 0);
PROBE_IN1 : IN std_logic_vector(63 DOWNTO 0);
PROBE_IN2 : IN std_logic_vector(63 DOWNTO 0);
PROBE_IN3 : IN std_logic_vector(63 DOWNTO 0);
PROBE_IN4 : IN std_logic_vector(63 DOWNTO 0);
PROBE_IN5 : IN std_logic_vector(63 DOWNTO 0);
PROBE_IN6 : IN std_logic_vector(63 DOWNTO 0);
PROBE_IN7 : IN std_logic_vector(63 DOWNTO 0);
PROBE_IN8 : IN std_logic_vector(35 DOWNTO 0);
PROBE_OUT0 : OUT std_logic_vector(63 DOWNTO 0)
);
END COMPONENT;
---------------------------------------------> debug : ILA and VIO (`Chipscope')
-- Signals
SIGNAL reset : std_logic;
SIGNAL sys_clk : std_logic;
SIGNAL global_clk_locked : std_logic;
SIGNAL clk_50MHz : std_logic;
SIGNAL clk_100MHz : std_logic;
SIGNAL clk_125MHz : std_logic;
SIGNAL clk_200MHz : std_logic;
SIGNAL clk_250MHz : std_logic;
SIGNAL clk_sgmii_i : std_logic;
SIGNAL clk_sgmii : std_logic;
SIGNAL clk156p25 : std_logic;
SIGNAL clk_user : std_logic;
---------------------------------------------< UART/RS232
SIGNAL uart_rx_data : std_logic_vector(7 DOWNTO 0);
SIGNAL uart_rx_rdy : std_logic;
SIGNAL control_clk : std_logic;
SIGNAL control_fifo_q : std_logic_vector(35 DOWNTO 0);
SIGNAL control_fifo_rdreq : std_logic;
SIGNAL control_fifo_empty : std_logic;
SIGNAL control_fifo_rdclk : std_logic;
SIGNAL cmd_fifo_q : std_logic_vector(35 DOWNTO 0);
SIGNAL cmd_fifo_empty : std_logic;
SIGNAL cmd_fifo_rdreq : std_logic;
-- thirtytwo 16bit registers
SIGNAL config_reg : std_logic_vector(511 DOWNTO 0);
-- 16bit pulse register
SIGNAL pulse_reg : std_logic_vector(15 DOWNTO 0);
-- eleven 16bit registers
SIGNAL status_reg : std_logic_vector(175 DOWNTO 0) := (OTHERS => '0');
SIGNAL control_mem_we : std_logic;
SIGNAL control_mem_addr : std_logic_vector(31 DOWNTO 0);
SIGNAL control_mem_din : std_logic_vector(31 DOWNTO 0);
SIGNAL control_data_fifo_q : std_logic_vector(31 DOWNTO 0);
SIGNAL control_data_fifo_empty : std_logic;
SIGNAL control_data_fifo_rdreq : std_logic;
SIGNAL control_data_fifo_rdclk : std_logic;
SIGNAL control_data_fifo_reset : std_logic;
---------------------------------------------> UART/RS232
---------------------------------------------< gtx / aurora
SIGNAL aurora_reset : std_logic;
SIGNAL aurora_status : std_logic_vector(15 DOWNTO 0);
SIGNAL aurora_user_clk : std_logic;
SIGNAL aurora_ufc_tx_req : std_logic;
SIGNAL aurora_ufc_tx_tdata : std_logic_vector(63 DOWNTO 0);
SIGNAL aurora_ufc_tx_ms : std_logic_vector(7 DOWNTO 0);
SIGNAL aurora_ufc_tx_tvalid : std_logic;
SIGNAL aurora_ufc_tx_tready : std_logic;
SIGNAL aurora_ufc_rx_tdata : std_logic_vector(63 DOWNTO 0);
SIGNAL aurora_ufc_rx_tkeep : std_logic_vector(7 DOWNTO 0);
SIGNAL aurora_ufc_rx_tlast : std_logic;
SIGNAL aurora_ufc_rx_tvalid : std_logic;
SIGNAL aurora_ufc_in_progress_n : std_logic;
SIGNAL aurora_tx_tdata : std_logic_vector(63 DOWNTO 0);
SIGNAL aurora_tx_tvalid : std_logic;
SIGNAL aurora_tx_tready : std_logic;
SIGNAL aurora_rx_tdata : std_logic_vector(63 DOWNTO 0);
SIGNAL aurora_rx_tvalid : std_logic;
---------------------------------------------> gtx / aurora
---------------------------------------------< ten_gig_eth
SIGNAL sfp_tx_disable_i : std_logic;
SIGNAL sPcs_pma_core_status : std_logic_vector(7 DOWNTO 0);
SIGNAL sEmac_status_vector : std_logic_vector(1 DOWNTO 0);
SIGNAL sTx_axis_fifo_aresetn : std_logic;
SIGNAL sTx_axis_fifo_aclk : std_logic;
SIGNAL sTx_axis_fifo_tdata : std_logic_vector(63 DOWNTO 0);
SIGNAL sTx_axis_fifo_tkeep : std_logic_vector(7 DOWNTO 0);
SIGNAL sTx_axis_fifo_tvalid : std_logic;
SIGNAL sTx_axis_fifo_tlast : std_logic;
SIGNAL sTx_axis_fifo_tready : std_logic;
SIGNAL sRx_axis_fifo_aresetn : std_logic;
SIGNAL sRx_axis_fifo_aclk : std_logic;
SIGNAL sRx_axis_fifo_tdata : std_logic_vector(63 DOWNTO 0);
SIGNAL sRx_axis_fifo_tkeep : std_logic_vector(7 DOWNTO 0);
SIGNAL sRx_axis_fifo_tvalid : std_logic;
SIGNAL sRx_axis_fifo_tlast : std_logic;
SIGNAL sRx_axis_fifo_tready : std_logic;
-- control interface
SIGNAL s_axi_aclk : std_logic;
SIGNAL s_axi_aresetn : std_logic;
SIGNAL s_axi_awaddr : std_logic_vector(10 DOWNTO 0);
SIGNAL s_axi_awvalid : std_logic;
SIGNAL s_axi_awready : std_logic;
SIGNAL s_axi_wdata : std_logic_vector(31 DOWNTO 0);
SIGNAL s_axi_wvalid : std_logic;
SIGNAL s_axi_wready : std_logic;
SIGNAL s_axi_bresp : std_logic_vector(1 DOWNTO 0);
SIGNAL s_axi_bvalid : std_logic;
SIGNAL s_axi_bready : std_logic;
SIGNAL s_axi_araddr : std_logic_vector(10 DOWNTO 0);
SIGNAL s_axi_arvalid : std_logic;
SIGNAL s_axi_arready : std_logic;
SIGNAL s_axi_rdata : std_logic_vector(31 DOWNTO 0);
SIGNAL s_axi_rresp : std_logic_vector(1 DOWNTO 0);
SIGNAL s_axi_rvalid : std_logic;
SIGNAL s_axi_rready : std_logic;
-- packets
SIGNAL ten_gig_eth_tx_start : std_logic;
SIGNAL tge_cmd_fifo_q : std_logic_vector(127 DOWNTO 0);
SIGNAL tge_cmd_fifo_empty : std_logic;
SIGNAL tge_cmd_fifo_rdreq : std_logic;
---------------------------------------------> ten_gig_eth
SIGNAL usr_data_output : std_logic_vector (7 DOWNTO 0);
---------------------------------------------< gig_eth
SIGNAL gig_eth_mac_addr : std_logic_vector(47 DOWNTO 0);
SIGNAL gig_eth_ipv4_addr : std_logic_vector(31 DOWNTO 0);
SIGNAL gig_eth_subnet_mask : std_logic_vector(31 DOWNTO 0);
SIGNAL gig_eth_gateway_ip_addr : std_logic_vector(31 DOWNTO 0);
SIGNAL gig_eth_tx_tdata : std_logic_vector(7 DOWNTO 0);
SIGNAL gig_eth_tx_tvalid : std_logic;
SIGNAL gig_eth_tx_tready : std_logic;
SIGNAL gig_eth_rx_tdata : std_logic_vector(7 DOWNTO 0);
SIGNAL gig_eth_rx_tvalid : std_logic;
SIGNAL gig_eth_rx_tready : std_logic;
SIGNAL gig_eth_tcp_use_fifo : std_logic;
SIGNAL gig_eth_tx_fifo_wrclk : std_logic;
SIGNAL gig_eth_tx_fifo_q : std_logic_vector(31 DOWNTO 0);
SIGNAL gig_eth_tx_fifo_wren : std_logic;
SIGNAL gig_eth_tx_fifo_full : std_logic;
SIGNAL gig_eth_rx_fifo_rdclk : std_logic;
SIGNAL gig_eth_rx_fifo_q : std_logic_vector(31 DOWNTO 0);
SIGNAL gig_eth_rx_fifo_rden : std_logic;
SIGNAL gig_eth_rx_fifo_empty : std_logic;
SIGNAL gig_eth_tx_fifo1_wrclk : std_logic;
SIGNAL gig_eth_tx_fifo1_q : std_logic_vector(31 DOWNTO 0);
SIGNAL gig_eth_tx_fifo1_wren : std_logic;
SIGNAL gig_eth_tx_fifo1_full : std_logic;
SIGNAL gig_eth_rx_fifo1_rdclk : std_logic;
SIGNAL gig_eth_rx_fifo1_q : std_logic_vector(31 DOWNTO 0);
SIGNAL gig_eth_rx_fifo1_rden : std_logic;
SIGNAL gig_eth_rx_fifo1_empty : std_logic;
---------------------------------------------> gig_eth
---------------------------------------------< SDRAM
SIGNAL sdram_app_addr : std_logic_vector(28-1 DOWNTO 0);
SIGNAL sdram_app_en : std_logic;
SIGNAL sdram_app_rdy : std_logic;
SIGNAL sdram_app_wdf_data : std_logic_vector(512-1 DOWNTO 0);
SIGNAL sdram_app_wdf_end : std_logic;
SIGNAL sdram_app_wdf_wren : std_logic;
SIGNAL sdram_app_wdf_rdy : std_logic;
SIGNAL sdram_app_rd_data : std_logic_vector(512-1 DOWNTO 0);
SIGNAL sdram_app_rd_data_valid : std_logic;
---------------------------------------------> SDRAM
---------------------------------------------< IDATA
SIGNAL TRIG_OUT_0 : std_logic;
SIGNAL idata_cmd_out : std_logic_vector(63 DOWNTO 0);
SIGNAL idata_cmd_out_val : std_logic;
SIGNAL idata_cmd_in : std_logic_vector(63 DOWNTO 0);
SIGNAL idata_cmd_in_val : std_logic;
SIGNAL idata_adc_data_clk : std_logic;
SIGNAL idata_adc_refout_clkdiv : std_logic;
SIGNAL idata_adc_data0 : std_logic_vector(15 DOWNTO 0);
SIGNAL idata_adc_data1 : std_logic_vector(15 DOWNTO 0);
SIGNAL idata_adc_data2 : std_logic_vector(15 DOWNTO 0);
SIGNAL idata_adc_data3 : std_logic_vector(15 DOWNTO 0);
SIGNAL idata_adc_data4 : std_logic_vector(15 DOWNTO 0);
SIGNAL idata_adc_data5 : std_logic_vector(15 DOWNTO 0);
SIGNAL idata_adc_data6 : std_logic_vector(15 DOWNTO 0);
SIGNAL idata_adc_data7 : std_logic_vector(15 DOWNTO 0);
SIGNAL idata_adc_data8 : std_logic_vector(15 DOWNTO 0);
SIGNAL idata_adc_data9 : std_logic_vector(15 DOWNTO 0);
SIGNAL idata_adc_data10 : std_logic_vector(15 DOWNTO 0);
SIGNAL idata_adc_data11 : std_logic_vector(15 DOWNTO 0);
SIGNAL idata_data_fifo_reset : std_logic;
SIGNAL idata_data_fifo_rdclk : std_logic;
SIGNAL idata_data_fifo_din : std_logic_vector(255 DOWNTO 0);
SIGNAL idata_channel_avg_outdata_q : std_logic_vector(255 DOWNTO 0);
SIGNAL idata_channel_avg_outvalid : std_logic;
SIGNAL idata_data_fifo_wren : std_logic;
SIGNAL idata_data_fifo_rden : std_logic;
SIGNAL idata_data_fifo_dout : std_logic_vector(31 DOWNTO 0);
SIGNAL idata_data_fifo_full : std_logic;
SIGNAL idata_data_fifo_empty : std_logic;
SIGNAL idata_idata_fifo_q : std_logic_vector(255 DOWNTO 0);
SIGNAL idata_idata_fifo_wren : std_logic;
SIGNAL idata_idata_fifo_rden : std_logic;
SIGNAL idata_idata_fifo_full : std_logic;
SIGNAL idata_idata_fifo_empty : std_logic;
SIGNAL idata_trig_allow : std_logic;
SIGNAL idata_trig_in : std_logic;
SIGNAL idata_trig_synced : std_logic;
SIGNAL idata_data_wr_start : std_logic;
SIGNAL idata_data_wr_busy : std_logic;
SIGNAL idata_data_wr_wrapped : std_logic;
---------------------------------------------> IDATA
---------------------------------------------< I2C
SIGNAL i2c_sda_out : std_logic;
SIGNAL i2c_sda_in : std_logic;
SIGNAL i2c_sda_t : std_logic;
SIGNAL i2c_scl_out : std_logic;
---------------------------------------------> I2C
---------------------------------------------< TMS
SIGNAL tms_sdm_adc_dout : std_logic_vector(511 DOWNTO 0);
SIGNAL tms_sdm_adc_dout_valid : std_logic;
---------------------------------------------< TMS
---------------------------------------------< debug
SIGNAL dbg_ila_probe0 : std_logic_vector (63 DOWNTO 0);
SIGNAL dbg_ila_probe1 : std_logic_vector (79 DOWNTO 0);
SIGNAL dbg_ila_probe2 : std_logic_vector (79 DOWNTO 0);
SIGNAL dbg_ila_probe3 : std_logic_vector (2047 DOWNTO 0);
SIGNAL dbg_vio_probe_out0 : std_logic_vector (63 DOWNTO 0);
SIGNAL dbg_ila1_probe0 : std_logic_vector (15 DOWNTO 0);
SIGNAL dbg_ila1_probe1 : std_logic_vector (15 DOWNTO 0);
ATTRIBUTE mark_debug : string;
ATTRIBUTE keep : string;
ATTRIBUTE mark_debug OF USB_TX : SIGNAL IS "true";
ATTRIBUTE mark_debug OF uart_rx_data : SIGNAL IS "true";
ATTRIBUTE mark_debug OF uart_rx_rdy : SIGNAL IS "true";
ATTRIBUTE mark_debug OF cmd_fifo_q : SIGNAL IS "true";
ATTRIBUTE mark_debug OF cmd_fifo_empty : SIGNAL IS "true";
ATTRIBUTE mark_debug OF cmd_fifo_rdreq : SIGNAL IS "true";
ATTRIBUTE mark_debug OF config_reg : SIGNAL IS "true";
--ATTRIBUTE mark_debug OF status_reg : SIGNAL IS "true";
--ATTRIBUTE mark_debug OF pulse_reg : SIGNAL IS "true";
ATTRIBUTE mark_debug OF control_mem_we : SIGNAL IS "true";
ATTRIBUTE mark_debug OF control_mem_addr : SIGNAL IS "true";
ATTRIBUTE mark_debug OF control_mem_din : SIGNAL IS "true";
--
ATTRIBUTE mark_debug OF sPcs_pma_core_status : SIGNAL IS "true";
ATTRIBUTE mark_debug OF sEmac_status_vector : SIGNAL IS "true";
ATTRIBUTE mark_debug OF sTx_axis_fifo_aresetn : SIGNAL IS "true";
ATTRIBUTE mark_debug OF sTx_axis_fifo_aclk : SIGNAL IS "true";
ATTRIBUTE mark_debug OF sTx_axis_fifo_tdata : SIGNAL IS "true";
ATTRIBUTE mark_debug OF sTx_axis_fifo_tkeep : SIGNAL IS "true";
ATTRIBUTE mark_debug OF sTx_axis_fifo_tvalid : SIGNAL IS "true";
ATTRIBUTE mark_debug OF sTx_axis_fifo_tlast : SIGNAL IS "true";
ATTRIBUTE mark_debug OF sTx_axis_fifo_tready : SIGNAL IS "true";
ATTRIBUTE mark_debug OF sRx_axis_fifo_aresetn : SIGNAL IS "true";
ATTRIBUTE mark_debug OF sRx_axis_fifo_aclk : SIGNAL IS "true";
ATTRIBUTE mark_debug OF sRx_axis_fifo_tdata : SIGNAL IS "true";
ATTRIBUTE mark_debug OF sRx_axis_fifo_tkeep : SIGNAL IS "true";
ATTRIBUTE mark_debug OF sRx_axis_fifo_tvalid : SIGNAL IS "true";
ATTRIBUTE mark_debug OF sRx_axis_fifo_tlast : SIGNAL IS "true";
ATTRIBUTE mark_debug OF sRx_axis_fifo_tready : SIGNAL IS "true";
--ATTRIBUTE mark_debug OF ten_gig_eth_tx_start : SIGNAL IS "true";
ATTRIBUTE mark_debug OF tge_cmd_fifo_q : SIGNAL IS "true";
ATTRIBUTE mark_debug OF tge_cmd_fifo_empty : SIGNAL IS "true";
ATTRIBUTE mark_debug OF tge_cmd_fifo_rdreq : SIGNAL IS "true";
--
ATTRIBUTE mark_debug OF gig_eth_tx_tdata : SIGNAL IS "true";
ATTRIBUTE mark_debug OF gig_eth_tx_tvalid : SIGNAL IS "true";
ATTRIBUTE mark_debug OF gig_eth_tx_tready : SIGNAL IS "true";
ATTRIBUTE mark_debug OF gig_eth_rx_tdata : SIGNAL IS "true";
ATTRIBUTE mark_debug OF gig_eth_rx_tvalid : SIGNAL IS "true";
ATTRIBUTE mark_debug OF gig_eth_rx_tready : SIGNAL IS "true";
ATTRIBUTE mark_debug OF gig_eth_tx_fifo_q : SIGNAL IS "true";
ATTRIBUTE mark_debug OF gig_eth_rx_fifo_q : SIGNAL IS "true";
--
ATTRIBUTE mark_debug OF sdram_app_addr : SIGNAL IS "true";
ATTRIBUTE mark_debug OF sdram_app_en : SIGNAL IS "true";
ATTRIBUTE mark_debug OF sdram_app_rdy : SIGNAL IS "true";
ATTRIBUTE mark_debug OF sdram_app_wdf_data : SIGNAL IS "true";
ATTRIBUTE mark_debug OF sdram_app_wdf_end : SIGNAL IS "true";
ATTRIBUTE mark_debug OF sdram_app_wdf_wren : SIGNAL IS "true";
ATTRIBUTE mark_debug OF sdram_app_wdf_rdy : SIGNAL IS "true";
ATTRIBUTE mark_debug OF sdram_app_rd_data : SIGNAL IS "true";
ATTRIBUTE mark_debug OF sdram_app_rd_data_valid : SIGNAL IS "true";
---------------------------------------------> debug
BEGIN
---------------------------------------------< Clock
global_clock_reset_inst : global_clock_reset
PORT MAP (
SYS_CLK_P => SYS_CLK_P,
SYS_CLK_N => SYS_CLK_N,
FORCE_RST => SYS_RST,
-- output
GLOBAL_RST => reset,
SYS_CLK => sys_clk,
LOCKED => global_clk_locked,
CLK_OUT1 => clk_50MHz,
CLK_OUT2 => clk_100MHz,
CLK_OUT3 => OPEN,
CLK_OUT4 => clk_250MHz
);
user_clk_ibufds_inst : IBUFDS
GENERIC MAP (
DIFF_TERM => true, -- Differential Termination
IBUF_LOW_PWR => false, -- Low power (TRUE) vs. performance (FALSE) setting for referenced I/O standards
IOSTANDARD => "LVDS"
)
PORT MAP (
O => clk_user, -- Buffer output
I => USER_CLK_P, -- Diff_p buffer input (connect directly to top-level port)
IB => USER_CLK_N -- Diff_n buffer input (connect directly to top-level port)
);
-- gtx/gth reference clock can be used as general purpose clock this way
-- sgmiiclk_ibufds_inst : IBUFDS_GTE2
-- PORT MAP (
-- O => clk_sgmii_i,
-- ODIV2 => OPEN,
-- CEB => '0',
-- I => SGMIICLK_Q0_P,
-- IB => SGMIICLK_Q0_N
-- );
-- sgmiiclk_bufg_inst : BUFG
-- PORT MAP (
-- I => clk_sgmii_i,
-- O => clk_sgmii
-- );
clk_125MHz <= clk_sgmii;
---------------------------------------------> Clock
---------------------------------------------< debug : ILA and VIO (`Chipscope')
dbg_cores : IF ENABLE_DEBUG GENERATE
dbg_ila_inst : dbg_ila
PORT MAP (
CLK => sys_clk,
PROBE0 => dbg_ila_probe0,
PROBE1 => dbg_ila_probe1,
PROBE2 => dbg_ila_probe2,
PROBE3 => dbg_ila_probe3
);
dbg_vio_inst : dbg_vio
PORT MAP (
CLK => sys_clk,
PROBE_IN0 => config_reg(64*1-1 DOWNTO 64*0),
PROBE_IN1 => config_reg(64*2-1 DOWNTO 64*1),
PROBE_IN2 => config_reg(64*3-1 DOWNTO 64*2),
PROBE_IN3 => config_reg(64*4-1 DOWNTO 64*3),
PROBE_IN4 => config_reg(64*5-1 DOWNTO 64*4),
PROBE_IN5 => config_reg(64*6-1 DOWNTO 64*5),
PROBE_IN6 => config_reg(64*7-1 DOWNTO 64*6),
PROBE_IN7 => x"00000000000000" & sPcs_pma_core_status, -- config_reg(64*8-1 DOWNTO 64*7),
PROBE_IN8 => cmd_fifo_q,
PROBE_OUT0 => dbg_vio_probe_out0
);
--dbg_ila1_inst : dbg_ila1
-- PORT MAP (
-- CLK => sys_clk,
-- PROBE0 => dbg_ila1_probe0,
-- PROBE1 => dbg_ila1_probe1
-- );
END GENERATE dbg_cores;
---------------------------------------------> debug : ILA and VIO (`Chipscope')
---------------------------------------------< UART/RS232
uart_cores : IF false GENERATE
uartio_inst : uartio
GENERIC MAP (
-- tick repetition frequency is (input freq) / (2**COUNTER_WIDTH / DIVISOR)
COUNTER_WIDTH => 16,
DIVISOR => 1208*2
)
PORT MAP (
CLK => clk_50MHz,
RESET => reset,
RX_DATA => uart_rx_data,
RX_RDY => uart_rx_rdy,
TX_DATA => "0000" & DIPSw4Bit,
TX_EN => '1',
TX_RDY => dbg_ila_probe0(2),
-- serial lines
RX_PIN => USB_TX, -- notice the pin swap
TX_PIN => USB_RX
);
--dbg_ila1_probe0(7 DOWNTO 0) <= uart_rx_data;
--dbg_ila1_probe0(8) <= uart_rx_rdy;
--dbg_ila1_probe0(9) <= USB_TX;
-- dbg_ila_probe0(63 DOWNTO 32) <= cmd_fifo_q(31 DOWNTO 0);
dbg_ila_probe0(31) <= cmd_fifo_empty;
dbg_ila_probe0(30) <= cmd_fifo_rdreq;
byte2cmd_inst : byte2cmd
PORT MAP (
CLK => clk_50MHz,
RESET => reset,
-- byte in
RX_DATA => uart_rx_data,
RX_RDY => uart_rx_rdy,
-- cmd out
CMD_FIFO_Q => OPEN,-- cmd_fifo_q,
CMD_FIFO_EMPTY => OPEN,-- cmd_fifo_empty,
CMD_FIFO_RDCLK => control_clk,
CMD_FIFO_RDREQ => '0' -- cmd_fifo_rdreq
);
END GENERATE uart_cores;
control_clk <= clk_100MHz;
control_interface_inst : control_interface
PORT MAP (
RESET => reset,
CLK => control_clk,
-- From FPGA to PC
FIFO_Q => control_fifo_q,
FIFO_EMPTY => control_fifo_empty,
FIFO_RDREQ => control_fifo_rdreq,
FIFO_RDCLK => control_fifo_rdclk,
-- From PC to FPGA, FWFT
CMD_FIFO_Q => cmd_fifo_q,
CMD_FIFO_EMPTY => cmd_fifo_empty,
CMD_FIFO_RDREQ => cmd_fifo_rdreq,
-- Digital I/O
CONFIG_REG => config_reg,
PULSE_REG => pulse_reg,
STATUS_REG => status_reg,
-- Memory interface
MEM_WE => control_mem_we,
MEM_ADDR => control_mem_addr,
MEM_DIN => control_mem_din,
MEM_DOUT => (OTHERS => '0'),
-- Data FIFO interface, FWFT
DATA_FIFO_Q => control_data_fifo_q,
DATA_FIFO_EMPTY => control_data_fifo_empty,
DATA_FIFO_RDREQ => control_data_fifo_rdreq,
DATA_FIFO_RDCLK => control_data_fifo_rdclk
);
dbg_ila_probe0(18 DOWNTO 3) <= pulse_reg;
---------------------------------------------> UART/RS232
---------------------------------------------< ten_gig_eth
ten_gig_eth_cores : IF ENABLE_TEN_GIG_ETH GENERATE
ten_gig_eth_inst : ten_gig_eth
PORT MAP (
REFCLK_P => SI5324CLK_P, -- 156.25MHz for transceiver
REFCLK_N => SI5324CLK_N,
RESET => reset,
SFP_TX_P => SFP_TX_P,
SFP_TX_N => SFP_TX_N,
SFP_RX_P => SFP_RX_P,
SFP_RX_N => SFP_RX_N,
SFP_LOS => SFP_LOS_LS, -- loss of receiver signal
SFP_TX_DISABLE => sfp_tx_disable_i,
-- clk156.25 domain, clock generated by the core
CLK156p25 => clk156p25,
PCS_PMA_CORE_STATUS => sPcs_pma_core_status,
TX_STATISTICS_VECTOR => OPEN,
TX_STATISTICS_VALID => OPEN,
RX_STATISTICS_VECTOR => OPEN,
RX_STATISTICS_VALID => OPEN,
PAUSE_VAL => (OTHERS => '0'),
PAUSE_REQ => '0',
TX_IFG_DELAY => x"ff",
-- emac control interface
S_AXI_ACLK => s_axi_aclk,
S_AXI_ARESETN => s_axi_aresetn,
S_AXI_AWADDR => s_axi_awaddr,
S_AXI_AWVALID => s_axi_awvalid,
S_AXI_AWREADY => s_axi_awready,
S_AXI_WDATA => s_axi_wdata,
S_AXI_WVALID => s_axi_wvalid,
S_AXI_WREADY => s_axi_wready,
S_AXI_BRESP => s_axi_bresp,
S_AXI_BVALID => s_axi_bvalid,
S_AXI_BREADY => s_axi_bready,
S_AXI_ARADDR => s_axi_araddr,
S_AXI_ARVALID => s_axi_arvalid,
S_AXI_ARREADY => s_axi_arready,
S_AXI_RDATA => s_axi_rdata,
S_AXI_RRESP => s_axi_rresp,
S_AXI_RVALID => s_axi_rvalid,
S_AXI_RREADY => s_axi_rready,
-- tx_wr_clk domain
TX_AXIS_FIFO_ARESETN => sTx_axis_fifo_aresetn,
Tx_AXIS_FIFO_ACLK => sTx_axis_fifo_aclk,
TX_AXIS_FIFO_TDATA => sTx_axis_fifo_tdata,
TX_AXIS_FIFO_TKEEP => sTx_axis_fifo_tkeep,
TX_AXIS_FIFO_TVALID => sTx_axis_fifo_tvalid,
TX_AXIS_FIFO_TLAST => sTx_axis_fifo_tlast,
TX_AXIS_FIFO_TREADY => sTx_axis_fifo_tready,
-- rx_rd_clk domain
RX_AXIS_FIFO_ARESETN => sRx_axis_fifo_aresetn,
RX_AXIS_FIFO_ACLK => sRx_axis_fifo_aclk,
RX_AXIS_FIFO_TDATA => sRx_axis_fifo_tdata,
RX_AXIS_FIFO_TKEEP => sRx_axis_fifo_tkeep,
RX_AXIS_FIFO_TVALID => sRx_axis_fifo_tvalid,
RX_AXIS_FIFO_TLAST => sRx_axis_fifo_tlast,
RX_AXIS_FIFO_TREADY => sRx_axis_fifo_tready
);
SFP_TX_DISABLE_N <= NOT sfp_tx_disable_i;
LED8Bit(7) <= sPcs_pma_core_status(0);
LED8Bit(6) <= NOT sfp_tx_disable_i;
LED8Bit(5) <= NOT SFP_LOS_LS;
s_axi_aclk <= clk_50MHz;
sTx_axis_fifo_aclk <= clk_200MHz;
sRx_axis_fifo_aclk <= sTx_axis_fifo_aclk;
s_axi_aresetn <= '1';
sTx_axis_fifo_aresetn <= '1';
-- sRx_axis_fifo_aresetn <= '1';
ten_gig_eth_packet_gen_inst : ten_gig_eth_packet_gen
PORT MAP (
RESET => reset,
MEM_CLK => control_clk,
MEM_WE => control_mem_we,
MEM_ADDR => control_mem_addr,
MEM_D => control_mem_din,
--
TX_AXIS_ACLK => sTx_axis_fifo_aclk,
TX_START => ten_gig_eth_tx_start,
TX_BYTES => config_reg(15 DOWNTO 0),
TX_AXIS_TDATA => OPEN, -- sTx_axis_fifo_tdata,
TX_AXIS_TKEEP => sTx_axis_fifo_tkeep,
TX_AXIS_TVALID => sTx_axis_fifo_tvalid,
TX_AXIS_TLAST => sTx_axis_fifo_tlast,
TX_AXIS_TREADY => sTx_axis_fifo_tready
);
ten_gig_eth_rx_parser_inst : ten_gig_eth_rx_parser
PORT MAP (
RESET => reset,
RX_AXIS_FIFO_ARESETN => sRx_axis_fifo_aresetn,
-- Everything internal to this module is synchronous to this clock `ACLK'
RX_AXIS_FIFO_ACLK => sRx_axis_fifo_aclk,
RX_AXIS_FIFO_TDATA => sRx_axis_fifo_tdata,
RX_AXIS_FIFO_TKEEP => sRx_axis_fifo_tkeep,
RX_AXIS_FIFO_TVALID => sRx_axis_fifo_tvalid,
RX_AXIS_FIFO_TLAST => sRx_axis_fifo_tlast,
RX_AXIS_FIFO_TREADY => sRx_axis_fifo_tready,
-- Constants
SRC_MAC => x"000a3502a759",
SRC_IP => x"c0a80302",
SRC_PORT => x"ea62",
-- Command output fifo interface AFTER parsing the packet
-- dstMAC(48) dstIP(32) dstPort(16) opcode(32)
CMD_FIFO_Q => tge_cmd_fifo_q,
CMD_FIFO_EMPTY => tge_cmd_fifo_empty,
CMD_FIFO_RDREQ => '1',
CMD_FIFO_RDCLK => clk_200MHz
);
ten_gig_eth_tx_start <= pulse_reg(0);
dbg_ila_probe0(0) <= clk156p25;
dbg_ila_probe0(1) <= ten_gig_eth_tx_start;
dbg_ila_probe1(79 DOWNTO 16) <= sTx_axis_fifo_tdata;
dbg_ila_probe1(15 DOWNTO 8) <= sTx_axis_fifo_tkeep;
dbg_ila_probe1(7) <= sTx_axis_fifo_tvalid;
dbg_ila_probe1(6) <= sTx_axis_fifo_tlast;
dbg_ila_probe1(5) <= sTx_axis_fifo_tready;
--dbg_ila_probe2(79 DOWNTO 16) <= sRx_axis_fifo_tdata;
--dbg_ila_probe2(79 DOWNTO 48) <= control_mem_addr;
--dbg_ila_probe2(47 DOWNTO 16) <= control_mem_din;
--dbg_ila_probe2(15 DOWNTO 8) <= sRx_axis_fifo_tkeep;
dbg_ila_probe2(7) <= sRx_axis_fifo_tvalid;
dbg_ila_probe2(6) <= sRx_axis_fifo_tlast;
dbg_ila_probe2(5) <= sRx_axis_fifo_tready;
dbg_ila_probe2(4) <= control_mem_we;
--
--dbg_ila_probe3(127 DOWNTO 0) <= tge_cmd_fifo_q;
--dbg_ila_probe3(128) <= tge_cmd_fifo_empty;
END GENERATE ten_gig_eth_cores;
---------------------------------------------> ten_gig_eth
---------------------------------------------< gtx / aurora
SFP_TX_DISABLE_N <= '1';
LED8Bit(0) <= NOT SFP_LOS_LS; -- SFP is plugged in.
LED8Bit(1) <= aurora_status(0); -- link up.
aurora_64b66b_inst : aurora_64b66b
PORT MAP (
RESET => aurora_reset,
SYS_CLK => clk_100MHz,
MGT_REFCLK_P => SGMIICLK_Q0_P,
MGT_REFCLK_N => SGMIICLK_Q0_N,
-- Data interfaces are synchronous to USER_CLK
USER_CLK => aurora_user_clk,
MGT_REFCLK_BUFG_OUT => clk_sgmii,
-- TX AXI4 interface
S_AXI_TX_TDATA => aurora_tx_tdata,
S_AXI_TX_TVALID => aurora_tx_tvalid,
S_AXI_TX_TREADY => aurora_tx_tready,
-- RX AXI4 interface
M_AXI_RX_TDATA => aurora_rx_tdata,
M_AXI_RX_TVALID => aurora_rx_tvalid,
-- User flow control (UFC) TX
UFC_TX_REQ => aurora_ufc_tx_req,
S_AXI_UFC_TX_TDATA => aurora_ufc_tx_tdata,
UFC_TX_MS => aurora_ufc_tx_ms,
S_AXI_UFC_TX_TVALID => aurora_ufc_tx_tvalid,
S_AXI_UFC_TX_TREADY => aurora_ufc_tx_tready,
-- UFC RX
M_AXI_UFC_RX_TDATA => aurora_ufc_rx_tdata,
M_AXI_UFC_RX_TKEEP => aurora_ufc_rx_tkeep,
M_AXI_UFC_RX_TLAST => aurora_ufc_rx_tlast,
M_AXI_UFC_RX_TVALID => aurora_ufc_rx_tvalid,
UFC_IN_PROGRESSn => aurora_ufc_in_progress_n,
-- GTX pins
RXP => SMA_MGT_RX_P,
RXN => SMA_MGT_RX_N,
TXP => SMA_MGT_TX_P,
TXN => SMA_MGT_TX_N,
-- Status
STATUS => aurora_status
);
aurora_reset <= reset OR pulse_reg(1);
fifo_over_ufc_inst : fifo_over_ufc
PORT MAP (
RESET => reset,
AURORA_USER_CLK => aurora_user_clk,
AURORA_TX_REQ => aurora_ufc_tx_req,
AURORA_TX_MS => aurora_ufc_tx_ms,
AURORA_TX_TREADY => aurora_ufc_tx_tready,
AURORA_TX_TDATA => aurora_ufc_tx_tdata,
AURORA_TX_TVALID => aurora_ufc_tx_tvalid,
AURORA_RX_TDATA => aurora_ufc_rx_tdata,
AURORA_RX_TVALID => aurora_ufc_rx_tvalid,
FIFO_CLK => gig_eth_tx_fifo1_wrclk,
TX_FIFO_Q => gig_eth_tx_fifo1_q,
TX_FIFO_WREN => gig_eth_tx_fifo1_wren,
TX_FIFO_FULL => gig_eth_tx_fifo1_full,
RX_FIFO_Q => gig_eth_rx_fifo1_q,
RX_FIFO_RDEN => gig_eth_rx_fifo1_rden,
RX_FIFO_EMPTY => gig_eth_rx_fifo1_empty,
ERR => LED8Bit(2)
);
gig_eth_rx_fifo1_rdclk <= gig_eth_tx_fifo1_wrclk;
sdm_adc_data_aurora_recv_inst : sdm_adc_data_aurora_recv
GENERIC MAP (
NCH_ADC => 20,
ADC_CYC => 20,
NCH_SDM => 19,
SDM_CYC => 4
)
PORT MAP (
RESET => reset,
CLK => control_clk,
USER_CLK => aurora_user_clk,
M_AXI_RX_TDATA => aurora_rx_tdata,
M_AXI_RX_TVALID => aurora_rx_tvalid,
DOUT => tms_sdm_adc_dout,
DOUT_VALID => tms_sdm_adc_dout_valid,
FIFO_FULL => OPEN
);
data_sampler_fifo_inst : data_sampler_fifo
GENERIC MAP (
DIN_WIDTH => 512,
DOUT_WIDTH => 32
)
PORT MAP (
RESET => control_data_fifo_reset,
CLK => control_clk,
TRIG => idata_trig_in,
DIN => tms_sdm_adc_dout,
DIN_VALID => tms_sdm_adc_dout_valid,
DIN_CLK => aurora_user_clk,
DOUT => control_data_fifo_q,
DOUT_EMPTY => control_data_fifo_empty,
DOUT_RDEN => control_data_fifo_rdreq
);
control_data_fifo_reset <= reset OR idata_data_fifo_reset;
-- -- debug
-- aurora_ufc_tx_req <= pulse_reg(8);
-- ufc_tx_tvalid_edge_sync_inst : edge_sync
-- GENERIC MAP (
-- EDGE => '0'
-- )
-- PORT MAP (
-- RESET => reset,
-- CLK => aurora_user_clk,
-- EI => aurora_ufc_tx_req,
-- SO => aurora_ufc_tx_tvalid
-- );
-- aurora_ufc_tx_tdata <= x"0000_0000_0000" & config_reg(30*16+15 DOWNTO 30*16);
-- aurora_ufc_tx_ms <= config_reg(29*16+7 DOWNTO 29*16); -- don't reverse bit-order here
--
dbg_ila1_inst : dbg_ila1
PORT MAP (
CLK => aurora_user_clk,
PROBE0 => dbg_ila1_probe0,
PROBE1 => dbg_ila1_probe1
);
-- dbg_ila1_probe0 <=
-- "0000" & gig_eth_rx_fifo_empty & aurora_status(2) & aurora_status(1) & aurora_status(0)
-- & aurora_reset & aurora_ufc_in_progress_n & aurora_ufc_rx_tlast & aurora_ufc_rx_tvalid
-- & aurora_ufc_tx_req & aurora_ufc_tx_tready & aurora_ufc_tx_tvalid & aurora_tx_tready;
-- dbg_ila1_probe1 <= aurora_ufc_rx_tdata(7 DOWNTO 0) & aurora_ufc_tx_tdata(7 DOWNTO 0);
PROCESS (aurora_user_clk, reset) IS
BEGIN -- PROCESS
IF reset = '1' THEN
dbg_ila1_probe0 <= (OTHERS => '0');
ELSIF rising_edge(aurora_user_clk) THEN -- rising clock edge
IF tms_sdm_adc_dout_valid = '1' THEN
dbg_ila1_probe0 <= tms_sdm_adc_dout(16*19+15 DOWNTO 16*19);
END IF;
END IF;
END PROCESS;
dbg_ila1_probe1 <= aurora_rx_tdata(63 DOWNTO 63-13) & aurora_rx_tvalid & control_data_fifo_reset;
---------------------------------------------> gtx / aurora
---------------------------------------------< gig_eth
gig_eth_cores : IF ENABLE_GIG_ETH GENERATE
gig_eth_mac_addr(gig_eth_mac_addr'length-1 DOWNTO 4) <= x"000a3502a75";
gig_eth_mac_addr(3 DOWNTO 0) <= DIPSw4Bit;
gig_eth_ipv4_addr(gig_eth_ipv4_addr'length-1 DOWNTO 4) <= x"c0a8020";
gig_eth_ipv4_addr(3 DOWNTO 0) <= DIPSw4Bit;
gig_eth_subnet_mask <= x"ffffff00";
gig_eth_gateway_ip_addr <= x"c0a80201";
gig_eth_inst : gig_eth
PORT MAP (
-- asynchronous reset
GLBL_RST => reset,
-- clocks
GTX_CLK => clk_125MHz,
REF_CLK => sys_clk, -- 200MHz for IODELAY
-- PHY interface
PHY_RESETN => PHY_RESET_N,
-- RGMII Interface
RGMII_TXD => RGMII_TXD,
RGMII_TX_CTL => RGMII_TX_CTL,
RGMII_TXC => RGMII_TXC,
RGMII_RXD => RGMII_RXD,
RGMII_RX_CTL => RGMII_RX_CTL,
RGMII_RXC => RGMII_RXC,
-- MDIO Interface
MDIO => MDIO,
MDC => MDC,
-- TCP
MAC_ADDR => gig_eth_mac_addr,
IPv4_ADDR => gig_eth_ipv4_addr,
IPv6_ADDR => (OTHERS => '0'),
SUBNET_MASK => gig_eth_subnet_mask,
GATEWAY_IP_ADDR => gig_eth_gateway_ip_addr,
TCP_CONNECTION_RESET => '0',
TX_TDATA => gig_eth_tx_tdata,
TX_TVALID => gig_eth_tx_tvalid,
TX_TREADY => gig_eth_tx_tready,
RX_TDATA => gig_eth_rx_tdata,
RX_TVALID => gig_eth_rx_tvalid,
RX_TREADY => gig_eth_rx_tready,
-- FIFO
TCP_USE_FIFO => gig_eth_tcp_use_fifo,
TX_FIFO_WRCLK => gig_eth_tx_fifo_wrclk,
TX_FIFO_Q => gig_eth_tx_fifo_q,
TX_FIFO_WREN => gig_eth_tx_fifo_wren,
TX_FIFO_FULL => gig_eth_tx_fifo_full,
RX_FIFO_RDCLK => gig_eth_rx_fifo_rdclk,
RX_FIFO_Q => gig_eth_rx_fifo_q,
RX_FIFO_RDEN => gig_eth_rx_fifo_rden,
RX_FIFO_EMPTY => gig_eth_rx_fifo_empty,
--
TX_FIFO1_WRCLK => gig_eth_tx_fifo1_wrclk,
TX_FIFO1_Q => gig_eth_tx_fifo1_q,
TX_FIFO1_WREN => gig_eth_tx_fifo1_wren,
TX_FIFO1_FULL => gig_eth_tx_fifo1_full,
RX_FIFO1_RDCLK => gig_eth_rx_fifo1_rdclk,
RX_FIFO1_Q => gig_eth_rx_fifo1_q,
RX_FIFO1_RDEN => gig_eth_rx_fifo1_rden,
RX_FIFO1_EMPTY => gig_eth_rx_fifo1_empty
);
dbg_ila_probe0(26 DOWNTO 19) <= gig_eth_rx_tdata;
dbg_ila_probe0(27) <= gig_eth_rx_tvalid;
dbg_ila_probe0(28) <= gig_eth_rx_tready;
-- loopback
--gig_eth_tx_tdata <= gig_eth_rx_tdata;
--gig_eth_tx_tvalid <= gig_eth_rx_tvalid;
--gig_eth_rx_tready <= gig_eth_tx_tready;
-- receive to cmd_fifo
gig_eth_tcp_use_fifo <= '1';
gig_eth_rx_fifo_rdclk <= control_clk;
cmd_fifo_q(31 DOWNTO 0) <= gig_eth_rx_fifo_q;
dbg_ila_probe0(63 DOWNTO 32) <= gig_eth_rx_fifo_q;
cmd_fifo_empty <= gig_eth_rx_fifo_empty;
gig_eth_rx_fifo_rden <= cmd_fifo_rdreq;
-- send control_fifo data through gig_eth_tx_fifo
gig_eth_tx_fifo_wrclk <= clk_125MHz;
-- connect FWFT fifo interface
control_fifo_rdclk <= gig_eth_tx_fifo_wrclk;
gig_eth_tx_fifo_q <= control_fifo_q(31 DOWNTO 0);
gig_eth_tx_fifo_wren <= NOT control_fifo_empty;
control_fifo_rdreq <= NOT gig_eth_tx_fifo_full;
END GENERATE gig_eth_cores;
---------------------------------------------> gig_eth
---------------------------------------------< SDRAM
sdram_ddr3_inst : sdram_ddr3
PORT MAP (
CLK => sys_clk, -- system clock, must be the same as intended in MIG
REFCLK => sys_clk, -- 200MHz for iodelay
RESET => reset,
-- SDRAM_DDR3
-- Inouts
DDR3_DQ => DDR3_DQ,
DDR3_DQS_P => DDR3_DQS_P,
DDR3_DQS_N => DDR3_DQS_N,
-- Outputs
DDR3_ADDR => DDR3_ADDR,
DDR3_BA => DDR3_BA,
DDR3_RAS_N => DDR3_RAS_N,
DDR3_CAS_N => DDR3_CAS_N,
DDR3_WE_N => DDR3_WE_N,
DDR3_RESET_N => DDR3_RESET_N,
DDR3_CK_P => DDR3_CK_P,
DDR3_CK_N => DDR3_CK_N,
DDR3_CKE => DDR3_CKE,
DDR3_CS_N => DDR3_CS_N,
DDR3_DM => DDR3_DM,
DDR3_ODT => DDR3_ODT,
-- Status Outputs
INIT_CALIB_COMPLETE => LED8Bit(4),
-- Internal data r/w interface
UI_CLK => clk_200MHz,
--
CTRL_RESET => pulse_reg(6),
WR_START => idata_data_wr_start,
WR_ADDR_BEGIN => config_reg(32*4+27 DOWNTO 32*4),
WR_STOP => pulse_reg(4),
WR_WRAP_AROUND => config_reg(32*4+28),
POST_TRIGGER => config_reg(32*5+27 DOWNTO 32*5),
WR_BUSY => idata_data_wr_busy,
WR_POINTER => OPEN,
TRIGGER_POINTER => status_reg(64*2+27 DOWNTO 64*2),
WR_WRAPPED => idata_data_wr_wrapped,
RD_START => pulse_reg(5),
RD_ADDR_BEGIN => (OTHERS => '0'),
RD_ADDR_END => config_reg(32*6+27 DOWNTO 32*6),
RD_BUSY => status_reg(64*2+30),
--
DATA_FIFO_RESET => idata_data_fifo_reset,
INDATA_FIFO_WRCLK => idata_adc_data_clk,
INDATA_FIFO_Q => idata_idata_fifo_q,
INDATA_FIFO_FULL => idata_idata_fifo_full,
INDATA_FIFO_WREN => idata_idata_fifo_wren,
--
OUTDATA_FIFO_RDCLK => idata_data_fifo_rdclk,
OUTDATA_FIFO_Q => idata_data_fifo_dout,
OUTDATA_FIFO_EMPTY => idata_data_fifo_empty,
OUTDATA_FIFO_RDEN => idata_data_fifo_rden,
--
DBG_APP_ADDR => sdram_app_addr,
DBG_APP_EN => sdram_app_en,
DBG_APP_RDY => sdram_app_rdy,
DBG_APP_WDF_DATA => sdram_app_wdf_data,
DBG_APP_WDF_END => sdram_app_wdf_end,
DBG_APP_WDF_WREN => sdram_app_wdf_wren,
DBG_APP_WDF_RDY => sdram_app_wdf_rdy,
DBG_APP_RD_DATA => sdram_app_rd_data,
DBG_APP_RD_DATA_VALID => sdram_app_rd_data_valid
);
idata_adc_data_clk <= clk_125MHz;
idata_data_fifo_reset <= pulse_reg(2);
status_reg(64*2+28) <= idata_data_wr_busy;
status_reg(64*2+29) <= idata_data_wr_wrapped;
--
channel_sel_inst : channel_sel
PORT MAP (
CLK => idata_adc_data_clk, -- fifo wrclk
RESET => reset,
SEL => config_reg(32*7+7 DOWNTO 32*7),
--
DATA_FIFO_RESET => idata_data_fifo_reset,
--
INDATA_Q => idata_channel_avg_outdata_q,
DATA_FIFO_WREN => idata_data_fifo_wren,
DATA_FIFO_FULL => idata_data_fifo_full,
--
OUTDATA_FIFO_Q => idata_idata_fifo_q,
DATA_FIFO_RDEN => idata_idata_fifo_rden,
DATA_FIFO_EMPTY => idata_idata_fifo_empty
);
idata_idata_fifo_rden <= NOT idata_idata_fifo_full;
idata_idata_fifo_wren <= NOT idata_idata_fifo_empty;
idata_data_fifo_wren <= config_reg(32*6+31) AND idata_channel_avg_outvalid;
--
channel_avg_inst : channel_avg
PORT MAP (
RESET => reset,
CLK => idata_adc_data_clk,
-- high 4-bit is offset, 2**(low 4-bit) is number of points to average
CONFIG => config_reg(32*7+15 DOWNTO 32*7+8),
TRIG => idata_data_wr_start,
INDATA_Q => idata_data_fifo_din,
OUTVALID => idata_channel_avg_outvalid,
OUTDATA_Q => idata_channel_avg_outdata_q
);
--
dbg_ila_probe3(27 DOWNTO 0) <= sdram_app_addr;
dbg_ila_probe3(28) <= sdram_app_en;
dbg_ila_probe3(29) <= sdram_app_rdy;
dbg_ila_probe3(30) <= sdram_app_wdf_wren;
dbg_ila_probe3(31) <= sdram_app_wdf_rdy;
dbg_ila_probe3(32) <= sdram_app_wdf_end;
dbg_ila_probe3(1023 DOWNTO 512) <= sdram_app_wdf_data;
dbg_ila_probe3(1024+1023 DOWNTO 1024+512) <= sdram_app_rd_data;
dbg_ila_probe3(33) <= sdram_app_rd_data_valid;
dbg_ila_probe3(511 DOWNTO 336) <= status_reg;
---------------------------------------------> SDRAM
---------------------------------------------< I2C
i2c_write_regmap_inst : i2c_write_regmap
GENERIC MAP (
-- file not used, see actual code.
REGMAP_FNAME => "../../../config/Si5324_156.25MHz_regmap.txt",
INPUT_CLK_FREQENCY => 100_000_000,
BUS_CLK_FREQUENCY => 100_000
)
PORT MAP (
CLK => control_clk,
RESET => reset,
START => pulse_reg(15),
EXT_RSTn => SI5324_RSTn,
BUSY => status_reg(16*10+7),
ACK_ERROR => status_reg(16*10+6),
SDA_in => i2c_sda_in,
SDA_out => i2c_sda_out,
SDA_t => i2c_sda_t,
SCL => i2c_scl_out
);
i2c_sda_iobuf_inst : IOBUF
GENERIC MAP(
DRIVE => 12,
SLEW => "SLOW"
)
PORT MAP(
O => i2c_sda_in,
IO => I2C_SDA,
I => i2c_sda_out,
T => i2c_sda_t
);
i2c_scl_iobuf_inst : IOBUF
GENERIC MAP(
DRIVE => 12,
SLEW => "SLOW"
)
PORT MAP(
O => OPEN,
IO => I2C_SCL,
I => i2c_scl_out,
T => '0'
);
-- External clock IC
si5324_clk_div_inst : clk_div
GENERIC MAP (
WIDTH => 32,
PBITS => 8
)
PORT MAP (
RESET => reset,
CLK => clk156p25,
DIV => x"1b",
CLK_DIV => LED8Bit(3)
);
---------------------------------------------> I2C
-- clock output
refout_clk_div_inst : clk_div
PORT MAP (
RESET => reset,
CLK => idata_adc_data_clk,
DIV => config_reg(16*15+3 DOWNTO 16*15),
CLK_DIV => idata_adc_refout_clkdiv
);
clk_fwd_inst : clk_fwd -- idata_adc_refout_clkdiv
PORT MAP (R => reset, I => clk156p25, O => USER_SMA_CLOCK_P);
clk_fwd_inst1 : clk_fwd GENERIC MAP (INV => true)
PORT MAP (R => reset, I => clk156p25, O => USER_SMA_CLOCK_N);
clk_fwd_inst2 : clk_fwd GENERIC MAP (INV => true)
PORT MAP (R => reset, I => idata_adc_data_clk, O => USER_SMA_GPIO_N);
-- capture the rising edge of trigger
trig_edge_sync_inst : edge_sync
PORT MAP (
RESET => reset,
CLK => control_clk,
EI => idata_trig_in,
SO => idata_trig_synced
);
idata_trig_in <= USER_SMA_GPIO_P;
idata_trig_allow <= config_reg(32*6+30);
idata_data_wr_start <= pulse_reg(3) OR (idata_trig_synced AND idata_trig_allow
AND (NOT idata_data_wr_busy)
AND (NOT idata_data_wr_wrapped));
--led_obufs : FOR i IN 0 TO 7 GENERATE
-- led_obuf : OBUF
-- PORT MAP (
-- I => usr_data_output(i),
-- O => LED8Bit(i)
-- );
--END GENERATE led_obufs;
--LED8Bit(5 DOWNTO 1) <= (OTHERS => '0');
END Behavioral;
| bsd-3-clause | 86d1bea022f230e7aa3a4c9b478a0879 | 0.510621 | 3.46514 | false | false | false | false |
shailcoolboy/Warp-Trinity | PlatformSupport/Deprecated/pcores/radio_controller_v1_06_a/hdl/vhdl/radio_controller.vhd | 2 | 38,342 | -- Copyright (c) 2006 Rice University
-- All Rights Reserved
-- This code is covered by the Rice-WARP license
-- See http://warp.rice.edu/license/ for details
------------------------------------------------------------------------------
-- radio_controller.vhd - entity/architecture pair
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v2_00_a;
use proc_common_v2_00_a.proc_common_pkg.all;
use proc_common_v2_00_a.ipif_pkg.all;
library opb_ipif_v3_01_c;
use opb_ipif_v3_01_c.all;
library radio_controller_v1_06_a;
use radio_controller_v1_06_a.all;
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_BASEADDR -- User logic base address
-- C_HIGHADDR -- User logic high address
-- C_OPB_AWIDTH -- OPB address bus width
-- C_OPB_DWIDTH -- OPB data bus width
-- C_FAMILY -- Target FPGA architecture
--
-- Definition of Ports:
-- OPB_Clk -- OPB Clock
-- OPB_Rst -- OPB Reset
-- Sl_DBus -- Slave data bus
-- Sl_errAck -- Slave error acknowledge
-- Sl_retry -- Slave retry
-- Sl_toutSup -- Slave timeout suppress
-- Sl_xferAck -- Slave transfer acknowledge
-- OPB_ABus -- OPB address bus
-- OPB_BE -- OPB byte enable
-- OPB_DBus -- OPB data bus
-- OPB_RNW -- OPB read/not write
-- OPB_select -- OPB select
-- OPB_seqAddr -- OPB sequential address
------------------------------------------------------------------------------
entity radio_controller is
generic
(
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_BASEADDR : std_logic_vector := X"00000000";
C_HIGHADDR : std_logic_vector := X"0000FFFF";
C_OPB_AWIDTH : integer := 32;
C_OPB_DWIDTH : integer := 32;
C_FAMILY : string := "virtex2p"
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
spi_clk : out std_logic;
data_out : out std_logic;
radio1_cs : out std_logic;
radio2_cs : out std_logic;
radio3_cs : out std_logic;
radio4_cs : out std_logic;
dac1_cs : out std_logic;
dac2_cs : out std_logic;
dac3_cs : out std_logic;
dac4_cs : out std_logic;
radio1_SHDN : out std_logic;
radio1_TxEn : out std_logic;
radio1_RxEn : out std_logic;
radio1_RxHP : out std_logic;
radio1_LD : in std_logic;
radio1_24PA : out std_logic;
radio1_5PA : out std_logic;
radio1_ANTSW : out std_logic_vector(0 to 1);
radio1_LED : out std_logic_vector(0 to 2);
radio1_ADC_RX_DCS : out std_logic;
radio1_ADC_RX_DFS : out std_logic;
radio1_ADC_RX_OTRA : in std_logic;
radio1_ADC_RX_OTRB : in std_logic;
radio1_ADC_RX_PWDNA : out std_logic;
radio1_ADC_RX_PWDNB : out std_logic;
radio1_DIPSW : in std_logic_vector(0 to 3);
radio1_RSSI_ADC_CLAMP : out std_logic;
radio1_RSSI_ADC_HIZ : out std_logic;
radio1_RSSI_ADC_OTR : in std_logic;
radio1_RSSI_ADC_SLEEP : out std_logic;
radio1_RSSI_ADC_D : in std_logic_vector(0 to 9);
radio1_TX_DAC_PLL_LOCK : in std_logic;
radio1_TX_DAC_RESET : out std_logic;
radio1_RxHP_external : in std_logic;
radio1_TxGain : out std_logic_vector(0 to 5);
radio1_TxStart : out std_logic;
radio2_SHDN : out std_logic;
radio2_TxEn : out std_logic;
radio2_RxEn : out std_logic;
radio2_RxHP : out std_logic;
radio2_LD : in std_logic;
radio2_24PA : out std_logic;
radio2_5PA : out std_logic;
radio2_ANTSW : out std_logic_vector(0 to 1);
radio2_LED : out std_logic_vector(0 to 2);
radio2_ADC_RX_DCS : out std_logic;
radio2_ADC_RX_DFS : out std_logic;
radio2_ADC_RX_OTRA : in std_logic;
radio2_ADC_RX_OTRB : in std_logic;
radio2_ADC_RX_PWDNA : out std_logic;
radio2_ADC_RX_PWDNB : out std_logic;
radio2_DIPSW : in std_logic_vector(0 to 3);
radio2_RSSI_ADC_CLAMP : out std_logic;
radio2_RSSI_ADC_HIZ : out std_logic;
radio2_RSSI_ADC_OTR : in std_logic;
radio2_RSSI_ADC_SLEEP : out std_logic;
radio2_RSSI_ADC_D : in std_logic_vector(0 to 9);
radio2_TX_DAC_PLL_LOCK : in std_logic;
radio2_TX_DAC_RESET : out std_logic;
radio2_RxHP_external : in std_logic;
radio2_TxGain : out std_logic_vector(0 to 5);
radio2_TxStart : out std_logic;
radio3_SHDN : out std_logic;
radio3_TxEn : out std_logic;
radio3_RxEn : out std_logic;
radio3_RxHP : out std_logic;
radio3_LD : in std_logic;
radio3_24PA : out std_logic;
radio3_5PA : out std_logic;
radio3_ANTSW : out std_logic_vector(0 to 1);
radio3_LED : out std_logic_vector(0 to 2);
radio3_ADC_RX_DCS : out std_logic;
radio3_ADC_RX_DFS : out std_logic;
radio3_ADC_RX_OTRA : in std_logic;
radio3_ADC_RX_OTRB : in std_logic;
radio3_ADC_RX_PWDNA : out std_logic;
radio3_ADC_RX_PWDNB : out std_logic;
radio3_DIPSW : in std_logic_vector(0 to 3);
radio3_RSSI_ADC_CLAMP : out std_logic;
radio3_RSSI_ADC_HIZ : out std_logic;
radio3_RSSI_ADC_OTR : in std_logic;
radio3_RSSI_ADC_SLEEP : out std_logic;
radio3_RSSI_ADC_D : in std_logic_vector(0 to 9);
radio3_TX_DAC_PLL_LOCK : in std_logic;
radio3_TX_DAC_RESET : out std_logic;
radio3_RxHP_external : in std_logic;
radio3_TxGain : out std_logic_vector(0 to 5);
radio3_TxStart : out std_logic;
radio4_SHDN : out std_logic;
radio4_TxEn : out std_logic;
radio4_RxEn : out std_logic;
radio4_RxHP : out std_logic;
radio4_LD : in std_logic;
radio4_24PA : out std_logic;
radio4_5PA : out std_logic;
radio4_ANTSW : out std_logic_vector(0 to 1);
radio4_LED : out std_logic_vector(0 to 2);
radio4_ADC_RX_DCS : out std_logic;
radio4_ADC_RX_DFS : out std_logic;
radio4_ADC_RX_OTRA : in std_logic;
radio4_ADC_RX_OTRB : in std_logic;
radio4_ADC_RX_PWDNA : out std_logic;
radio4_ADC_RX_PWDNB : out std_logic;
radio4_DIPSW : in std_logic_vector(0 to 3);
radio4_RSSI_ADC_CLAMP : out std_logic;
radio4_RSSI_ADC_HIZ : out std_logic;
radio4_RSSI_ADC_OTR : in std_logic;
radio4_RSSI_ADC_SLEEP : out std_logic;
radio4_RSSI_ADC_D : in std_logic_vector(0 to 9);
radio4_TX_DAC_PLL_LOCK : in std_logic;
radio4_TX_DAC_RESET : out std_logic;
radio4_RxHP_external : in std_logic;
radio4_TxGain : out std_logic_vector(0 to 5);
radio4_TxStart : out std_logic;
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
OPB_Clk : in std_logic;
OPB_Rst : in std_logic;
Sl_DBus : out std_logic_vector(0 to C_OPB_DWIDTH-1);
Sl_errAck : out std_logic;
Sl_retry : out std_logic;
Sl_toutSup : out std_logic;
Sl_xferAck : out std_logic;
OPB_ABus : in std_logic_vector(0 to C_OPB_AWIDTH-1);
OPB_BE : in std_logic_vector(0 to C_OPB_DWIDTH/8-1);
OPB_DBus : in std_logic_vector(0 to C_OPB_DWIDTH-1);
OPB_RNW : in std_logic;
OPB_select : in std_logic;
OPB_seqAddr : in std_logic
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
attribute SIGIS : string;
attribute SIGIS of OPB_Clk : signal is "Clk";
attribute SIGIS of OPB_Rst : signal is "Rst";
end entity radio_controller;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of radio_controller is
------------------------------------------
-- Constant: array of address range identifiers
------------------------------------------
constant ARD_ID_ARRAY : INTEGER_ARRAY_TYPE :=
(
0 => USER_00 -- user logic S/W register address space
);
------------------------------------------
-- Constant: array of address pairs for each address range
------------------------------------------
constant ZERO_ADDR_PAD : std_logic_vector(0 to 64-C_OPB_AWIDTH-1) := (others => '0');
constant USER_BASEADDR : std_logic_vector := C_BASEADDR;
constant USER_HIGHADDR : std_logic_vector := C_HIGHADDR;
constant ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE :=
(
ZERO_ADDR_PAD & USER_BASEADDR, -- user logic base address
ZERO_ADDR_PAD & USER_HIGHADDR -- user logic high address
);
------------------------------------------
-- Constant: array of data widths for each target address range
------------------------------------------
constant USER_DWIDTH : integer := 32;
constant ARD_DWIDTH_ARRAY : INTEGER_ARRAY_TYPE :=
(
0 => USER_DWIDTH -- user logic data width
);
------------------------------------------
-- Constant: array of desired number of chip enables for each address range
------------------------------------------
-- constant USER_NUM_CE : integer := 8;
constant USER_NUM_CE : integer := 16;
constant ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE :=
(
0 => pad_power2(USER_NUM_CE) -- user logic number of CEs
);
------------------------------------------
-- Constant: array of unique properties for each address range
------------------------------------------
constant ARD_DEPENDENT_PROPS_ARRAY : DEPENDENT_PROPS_ARRAY_TYPE :=
(
0 => (others => 0) -- user logic slave space dependent properties (none defined)
);
------------------------------------------
-- Constant: pipeline mode
-- 1 = include OPB-In pipeline registers
-- 2 = include IP pipeline registers
-- 3 = include OPB-In and IP pipeline registers
-- 4 = include OPB-Out pipeline registers
-- 5 = include OPB-In and OPB-Out pipeline registers
-- 6 = include IP and OPB-Out pipeline registers
-- 7 = include OPB-In, IP, and OPB-Out pipeline registers
-- Note:
-- only mode 4, 5, 7 are supported for this release
------------------------------------------
constant PIPELINE_MODEL : integer := 5;
------------------------------------------
-- Constant: user core ID code
------------------------------------------
constant DEV_BLK_ID : integer := 0;
------------------------------------------
-- Constant: enable MIR/Reset register
------------------------------------------
constant DEV_MIR_ENABLE : integer := 0;
------------------------------------------
-- Constant: array of IP interrupt mode
-- 1 = Active-high interrupt condition
-- 2 = Active-low interrupt condition
-- 3 = Active-high pulse interrupt event
-- 4 = Active-low pulse interrupt event
-- 5 = Positive-edge interrupt event
-- 6 = Negative-edge interrupt event
------------------------------------------
constant IP_INTR_MODE_ARRAY : INTEGER_ARRAY_TYPE :=
(
0 => 0 -- not used
);
------------------------------------------
-- Constant: enable device burst
------------------------------------------
constant DEV_BURST_ENABLE : integer := 0;
------------------------------------------
-- Constant: include address counter for burst transfers
------------------------------------------
constant INCLUDE_ADDR_CNTR : integer := 0;
------------------------------------------
-- Constant: include write buffer that decouples OPB and IPIC write transactions
------------------------------------------
constant INCLUDE_WR_BUF : integer := 0;
------------------------------------------
-- Constant: index for CS/CE
------------------------------------------
constant USER00_CS_INDEX : integer := get_id_index(ARD_ID_ARRAY, USER_00);
constant USER00_CE_INDEX : integer := calc_start_ce_index(ARD_NUM_CE_ARRAY, USER00_CS_INDEX);
------------------------------------------
-- IP Interconnect (IPIC) signal declarations -- do not delete
-- prefix 'i' stands for IPIF while prefix 'u' stands for user logic
-- typically user logic will be hooked up to IPIF directly via i<sig>
-- unless signal slicing and muxing are needed via u<sig>
------------------------------------------
signal iBus2IP_RdCE : std_logic_vector(0 to calc_num_ce(ARD_NUM_CE_ARRAY)-1);
signal iBus2IP_WrCE : std_logic_vector(0 to calc_num_ce(ARD_NUM_CE_ARRAY)-1);
signal iBus2IP_Data : std_logic_vector(0 to C_OPB_DWIDTH-1);
signal iBus2IP_BE : std_logic_vector(0 to C_OPB_DWIDTH/8-1);
signal iIP2Bus_Data : std_logic_vector(0 to C_OPB_DWIDTH-1) := (others => '0');
signal iIP2Bus_Ack : std_logic := '0';
signal iIP2Bus_Error : std_logic := '0';
signal iIP2Bus_Retry : std_logic := '0';
signal iIP2Bus_ToutSup : std_logic := '0';
signal ZERO_IP2Bus_PostedWrInh : std_logic_vector(0 to ARD_ID_ARRAY'length-1) := (others => '0'); -- work around for XST not taking (others => '0') in port mapping
signal ZERO_IP2RFIFO_Data : std_logic_vector(0 to ARD_DWIDTH_ARRAY(get_id_index_iboe(ARD_ID_ARRAY, IPIF_RDFIFO_DATA))-1) := (others => '0'); -- work around for XST not taking (others => '0') in port mapping
signal ZERO_WFIFO2IP_Data : std_logic_vector(0 to ARD_DWIDTH_ARRAY(get_id_index_iboe(ARD_ID_ARRAY, IPIF_WRFIFO_DATA))-1) := (others => '0'); -- work around for XST not taking (others => '0') in port mapping
signal ZERO_IP2Bus_IntrEvent : std_logic_vector(0 to IP_INTR_MODE_ARRAY'length-1) := (others => '0'); -- work around for XST not taking (others => '0') in port mapping
signal iBus2IP_Clk : std_logic;
signal iBus2IP_Reset : std_logic;
signal uBus2IP_Data : std_logic_vector(0 to USER_DWIDTH-1);
signal uBus2IP_BE : std_logic_vector(0 to USER_DWIDTH/8-1);
signal uBus2IP_RdCE : std_logic_vector(0 to USER_NUM_CE-1);
signal uBus2IP_WrCE : std_logic_vector(0 to USER_NUM_CE-1);
signal uIP2Bus_Data : std_logic_vector(0 to USER_DWIDTH-1);
------------------------------------------
-- Component declaration for verilog user logic
------------------------------------------
component user_logic is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_DWIDTH : integer := 32;
C_NUM_CE : integer := 16
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
spi_clk : out std_logic;
data_out : out std_logic;
Radio1_cs : out std_logic;
Radio2_cs : out std_logic;
Radio3_cs : out std_logic;
Radio4_cs : out std_logic;
Dac1_cs : out std_logic;
Dac2_cs : out std_logic;
Dac3_cs : out std_logic;
Dac4_cs : out std_logic;
Radio1_SHDN : out std_logic;
Radio1_TxEn : out std_logic;
Radio1_RxEn : out std_logic;
Radio1_RxHP : out std_logic;
Radio1_LD : in std_logic;
Radio1_24PA : out std_logic;
Radio1_5PA : out std_logic;
Radio1_ANTSW : out std_logic_vector(0 to 1);
Radio1_LED : out std_logic_vector(0 to 2);
Radio1_ADC_RX_DCS : out std_logic;
Radio1_ADC_RX_DFS : out std_logic;
Radio1_ADC_RX_OTRA : in std_logic;
Radio1_ADC_RX_OTRB : in std_logic;
Radio1_ADC_RX_PWDNA : out std_logic;
Radio1_ADC_RX_PWDNB : out std_logic;
Radio1_DIPSW : in std_logic_vector(0 to 3);
Radio1_RSSI_ADC_CLAMP : out std_logic;
Radio1_RSSI_ADC_HIZ : out std_logic;
Radio1_RSSI_ADC_OTR : in std_logic;
Radio1_RSSI_ADC_SLEEP : out std_logic;
Radio1_RSSI_ADC_D : in std_logic_vector(0 to 9);
Radio1_TX_DAC_PLL_LOCK : in std_logic;
Radio1_TX_DAC_RESET : out std_logic;
Radio1_RxHP_external : in std_logic;
Radio1_TxGain : out std_logic_vector(0 to 5);
Radio1_TxStart : out std_logic;
Radio2_SHDN : out std_logic;
Radio2_TxEn : out std_logic;
Radio2_RxEn : out std_logic;
Radio2_RxHP : out std_logic;
Radio2_LD : in std_logic;
Radio2_24PA : out std_logic;
Radio2_5PA : out std_logic;
Radio2_ANTSW : out std_logic_vector(0 to 1);
Radio2_LED : out std_logic_vector(0 to 2);
Radio2_ADC_RX_DCS : out std_logic;
Radio2_ADC_RX_DFS : out std_logic;
Radio2_ADC_RX_OTRA : in std_logic;
Radio2_ADC_RX_OTRB : in std_logic;
Radio2_ADC_RX_PWDNA : out std_logic;
Radio2_ADC_RX_PWDNB : out std_logic;
Radio2_DIPSW : in std_logic_vector(0 to 3);
Radio2_RSSI_ADC_CLAMP : out std_logic;
Radio2_RSSI_ADC_HIZ : out std_logic;
Radio2_RSSI_ADC_OTR : in std_logic;
Radio2_RSSI_ADC_SLEEP : out std_logic;
Radio2_RSSI_ADC_D : in std_logic_vector(0 to 9);
Radio2_TX_DAC_PLL_LOCK : in std_logic;
Radio2_TX_DAC_RESET : out std_logic;
Radio2_RxHP_external : in std_logic;
Radio2_TxGain : out std_logic_vector(0 to 5);
Radio2_TxStart : out std_logic;
Radio3_SHDN : out std_logic;
Radio3_TxEn : out std_logic;
Radio3_RxEn : out std_logic;
Radio3_RxHP : out std_logic;
Radio3_LD : in std_logic;
Radio3_24PA : out std_logic;
Radio3_5PA : out std_logic;
Radio3_ANTSW : out std_logic_vector(0 to 1);
Radio3_LED : out std_logic_vector(0 to 2);
Radio3_ADC_RX_DCS : out std_logic;
Radio3_ADC_RX_DFS : out std_logic;
Radio3_ADC_RX_OTRA : in std_logic;
Radio3_ADC_RX_OTRB : in std_logic;
Radio3_ADC_RX_PWDNA : out std_logic;
Radio3_ADC_RX_PWDNB : out std_logic;
Radio3_DIPSW : in std_logic_vector(0 to 3);
Radio3_RSSI_ADC_CLAMP : out std_logic;
Radio3_RSSI_ADC_HIZ : out std_logic;
Radio3_RSSI_ADC_OTR : in std_logic;
Radio3_RSSI_ADC_SLEEP : out std_logic;
Radio3_RSSI_ADC_D : in std_logic_vector(0 to 9);
Radio3_TX_DAC_PLL_LOCK : in std_logic;
Radio3_TX_DAC_RESET : out std_logic;
Radio3_RxHP_external : in std_logic;
Radio3_TxGain : out std_logic_vector(0 to 5);
Radio3_TxStart : out std_logic;
Radio4_SHDN : out std_logic;
Radio4_TxEn : out std_logic;
Radio4_RxEn : out std_logic;
Radio4_RxHP : out std_logic;
Radio4_LD : in std_logic;
Radio4_24PA : out std_logic;
Radio4_5PA : out std_logic;
Radio4_ANTSW : out std_logic_vector(0 to 1);
Radio4_LED : out std_logic_vector(0 to 2);
Radio4_ADC_RX_DCS : out std_logic;
Radio4_ADC_RX_DFS : out std_logic;
Radio4_ADC_RX_OTRA : in std_logic;
Radio4_ADC_RX_OTRB : in std_logic;
Radio4_ADC_RX_PWDNA : out std_logic;
Radio4_ADC_RX_PWDNB : out std_logic;
Radio4_DIPSW : in std_logic_vector(0 to 3);
Radio4_RSSI_ADC_CLAMP : out std_logic;
Radio4_RSSI_ADC_HIZ : out std_logic;
Radio4_RSSI_ADC_OTR : in std_logic;
Radio4_RSSI_ADC_SLEEP : out std_logic;
Radio4_RSSI_ADC_D : in std_logic_vector(0 to 9);
Radio4_TX_DAC_PLL_LOCK : in std_logic;
Radio4_TX_DAC_RESET : out std_logic;
Radio4_RxHP_external : in std_logic;
Radio4_TxGain : out std_logic_vector(0 to 5);
Radio4_TxStart : out std_logic;
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Data : in std_logic_vector(0 to C_DWIDTH-1);
Bus2IP_BE : in std_logic_vector(0 to C_DWIDTH/8-1);
Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_CE-1);
Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_CE-1);
IP2Bus_Data : out std_logic_vector(0 to C_DWIDTH-1);
IP2Bus_Ack : out std_logic;
IP2Bus_Retry : out std_logic;
IP2Bus_Error : out std_logic;
IP2Bus_ToutSup : out std_logic
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
end component user_logic;
begin
------------------------------------------
-- instantiate the OPB IPIF
------------------------------------------
OPB_IPIF_I : entity opb_ipif_v3_01_c.opb_ipif
generic map
(
C_ARD_ID_ARRAY => ARD_ID_ARRAY,
C_ARD_ADDR_RANGE_ARRAY => ARD_ADDR_RANGE_ARRAY,
C_ARD_DWIDTH_ARRAY => ARD_DWIDTH_ARRAY,
C_ARD_NUM_CE_ARRAY => ARD_NUM_CE_ARRAY,
C_ARD_DEPENDENT_PROPS_ARRAY => ARD_DEPENDENT_PROPS_ARRAY,
C_PIPELINE_MODEL => PIPELINE_MODEL,
C_DEV_BLK_ID => DEV_BLK_ID,
C_DEV_MIR_ENABLE => DEV_MIR_ENABLE,
C_OPB_AWIDTH => C_OPB_AWIDTH,
C_OPB_DWIDTH => C_OPB_DWIDTH,
C_FAMILY => C_FAMILY,
C_IP_INTR_MODE_ARRAY => IP_INTR_MODE_ARRAY,
C_DEV_BURST_ENABLE => DEV_BURST_ENABLE,
C_INCLUDE_ADDR_CNTR => INCLUDE_ADDR_CNTR,
C_INCLUDE_WR_BUF => INCLUDE_WR_BUF
)
port map
(
OPB_select => OPB_select,
OPB_DBus => OPB_DBus,
OPB_ABus => OPB_ABus,
OPB_BE => OPB_BE,
OPB_RNW => OPB_RNW,
OPB_seqAddr => OPB_seqAddr,
Sln_DBus => Sl_DBus,
Sln_xferAck => Sl_xferAck,
Sln_errAck => Sl_errAck,
Sln_retry => Sl_retry,
Sln_toutSup => Sl_toutSup,
Bus2IP_CS => open,
Bus2IP_CE => open,
Bus2IP_RdCE => iBus2IP_RdCE,
Bus2IP_WrCE => iBus2IP_WrCE,
Bus2IP_Data => iBus2IP_Data,
Bus2IP_Addr => open,
Bus2IP_AddrValid => open,
Bus2IP_BE => iBus2IP_BE,
Bus2IP_RNW => open,
Bus2IP_Burst => open,
IP2Bus_Data => iIP2Bus_Data,
IP2Bus_Ack => iIP2Bus_Ack,
IP2Bus_AddrAck => '0',
IP2Bus_Error => iIP2Bus_Error,
IP2Bus_Retry => iIP2Bus_Retry,
IP2Bus_ToutSup => iIP2Bus_ToutSup,
IP2Bus_PostedWrInh => ZERO_IP2Bus_PostedWrInh,
IP2RFIFO_Data => ZERO_IP2RFIFO_Data,
IP2RFIFO_WrMark => '0',
IP2RFIFO_WrRelease => '0',
IP2RFIFO_WrReq => '0',
IP2RFIFO_WrRestore => '0',
RFIFO2IP_AlmostFull => open,
RFIFO2IP_Full => open,
RFIFO2IP_Vacancy => open,
RFIFO2IP_WrAck => open,
IP2WFIFO_RdMark => '0',
IP2WFIFO_RdRelease => '0',
IP2WFIFO_RdReq => '0',
IP2WFIFO_RdRestore => '0',
WFIFO2IP_AlmostEmpty => open,
WFIFO2IP_Data => ZERO_WFIFO2IP_Data,
WFIFO2IP_Empty => open,
WFIFO2IP_Occupancy => open,
WFIFO2IP_RdAck => open,
IP2Bus_IntrEvent => ZERO_IP2Bus_IntrEvent,
IP2INTC_Irpt => open,
Freeze => '0',
Bus2IP_Freeze => open,
OPB_Clk => OPB_Clk,
Bus2IP_Clk => iBus2IP_Clk,
IP2Bus_Clk => '0',
Reset => OPB_Rst,
Bus2IP_Reset => iBus2IP_Reset
);
------------------------------------------
-- instantiate the User Logic
------------------------------------------
USER_LOGIC_I : component user_logic
generic map
(
-- MAP USER GENERICS BELOW THIS LINE ---------------
--USER generics mapped here
-- MAP USER GENERICS ABOVE THIS LINE ---------------
C_DWIDTH => USER_DWIDTH,
C_NUM_CE => USER_NUM_CE
)
port map
(
-- MAP USER PORTS BELOW THIS LINE ------------------
--USER ports mapped here
spi_clk => spi_clk,
data_out => data_out,
Radio1_cs => radio1_cs,
Radio2_cs => radio2_cs,
Radio3_cs => radio3_cs,
Radio4_cs => radio4_cs,
Dac1_cs => dac1_cs,
Dac2_cs => dac2_cs,
Dac3_cs => dac3_cs,
Dac4_cs => dac4_cs,
Radio1_SHDN => radio1_SHDN,
Radio1_TxEn => radio1_TxEn,
Radio1_RxEn => radio1_RxEn,
Radio1_RxHP => radio1_RxHP,
Radio1_LD => radio1_LD,
Radio1_24PA => radio1_24PA,
Radio1_5PA => radio1_5PA,
Radio1_ANTSW => radio1_ANTSW,
Radio1_LED => radio1_LED,
Radio1_ADC_RX_DCS => radio1_ADC_RX_DCS,
Radio1_ADC_RX_DFS => radio1_ADC_RX_DFS,
Radio1_ADC_RX_OTRA => radio1_ADC_RX_OTRA,
Radio1_ADC_RX_OTRB => radio1_ADC_RX_OTRB,
Radio1_ADC_RX_PWDNA => radio1_ADC_RX_PWDNA,
Radio1_ADC_RX_PWDNB => radio1_ADC_RX_PWDNB,
Radio1_DIPSW => radio1_DIPSW,
Radio1_RSSI_ADC_CLAMP => radio1_RSSI_ADC_CLAMP,
Radio1_RSSI_ADC_HIZ => radio1_RSSI_ADC_HIZ,
Radio1_RSSI_ADC_OTR => radio1_RSSI_ADC_OTR,
Radio1_RSSI_ADC_SLEEP => radio1_RSSI_ADC_SLEEP,
Radio1_RSSI_ADC_D => radio1_RSSI_ADC_D,
Radio1_TX_DAC_PLL_LOCK => radio1_TX_DAC_PLL_LOCK,
Radio1_TX_DAC_RESET => radio1_TX_DAC_RESET,
Radio1_RxHP_external => radio1_RxHP_external,
Radio1_TxGain => radio1_TxGain,
Radio1_TxStart => radio1_TxStart,
Radio2_SHDN => radio2_SHDN,
Radio2_TxEn => radio2_TxEn,
Radio2_RxEn => radio2_RxEn,
Radio2_RxHP => radio2_RxHP,
Radio2_LD => radio2_LD,
Radio2_24PA => radio2_24PA,
Radio2_5PA => radio2_5PA,
Radio2_ANTSW => radio2_ANTSW,
Radio2_LED => radio2_LED,
Radio2_ADC_RX_DCS => radio2_ADC_RX_DCS,
Radio2_ADC_RX_DFS => radio2_ADC_RX_DFS,
Radio2_ADC_RX_OTRA => radio2_ADC_RX_OTRA,
Radio2_ADC_RX_OTRB => radio2_ADC_RX_OTRB,
Radio2_ADC_RX_PWDNA => radio2_ADC_RX_PWDNA,
Radio2_ADC_RX_PWDNB => radio2_ADC_RX_PWDNB,
Radio2_DIPSW => radio2_DIPSW,
Radio2_RSSI_ADC_CLAMP => radio2_RSSI_ADC_CLAMP,
Radio2_RSSI_ADC_HIZ => radio2_RSSI_ADC_HIZ,
Radio2_RSSI_ADC_OTR => radio2_RSSI_ADC_OTR,
Radio2_RSSI_ADC_SLEEP => radio2_RSSI_ADC_SLEEP,
Radio2_RSSI_ADC_D => radio2_RSSI_ADC_D,
Radio2_TX_DAC_PLL_LOCK => radio2_TX_DAC_PLL_LOCK,
Radio2_TX_DAC_RESET => radio2_TX_DAC_RESET,
Radio2_RxHP_external => radio2_RxHP_external,
Radio2_TxGain => radio2_TxGain,
Radio2_TxStart => radio2_TxStart,
Radio3_SHDN => radio3_SHDN,
Radio3_TxEn => radio3_TxEn,
Radio3_RxEn => radio3_RxEn,
Radio3_RxHP => radio3_RxHP,
Radio3_LD => radio3_LD,
Radio3_24PA => radio3_24PA,
Radio3_5PA => radio3_5PA,
Radio3_ANTSW => radio3_ANTSW,
Radio3_LED => radio3_LED,
Radio3_ADC_RX_DCS => radio3_ADC_RX_DCS,
Radio3_ADC_RX_DFS => radio3_ADC_RX_DFS,
Radio3_ADC_RX_OTRA => radio3_ADC_RX_OTRA,
Radio3_ADC_RX_OTRB => radio3_ADC_RX_OTRB,
Radio3_ADC_RX_PWDNA => radio3_ADC_RX_PWDNA,
Radio3_ADC_RX_PWDNB => radio3_ADC_RX_PWDNB,
Radio3_DIPSW => radio3_DIPSW,
Radio3_RSSI_ADC_CLAMP => radio3_RSSI_ADC_CLAMP,
Radio3_RSSI_ADC_HIZ => radio3_RSSI_ADC_HIZ,
Radio3_RSSI_ADC_OTR => radio3_RSSI_ADC_OTR,
Radio3_RSSI_ADC_SLEEP => radio3_RSSI_ADC_SLEEP,
Radio3_RSSI_ADC_D => radio3_RSSI_ADC_D,
Radio3_TX_DAC_PLL_LOCK => radio3_TX_DAC_PLL_LOCK,
Radio3_TX_DAC_RESET => radio3_TX_DAC_RESET,
Radio3_RxHP_external => radio3_RxHP_external,
Radio3_TxGain => radio3_TxGain,
Radio3_TxStart => radio3_TxStart,
Radio4_SHDN => radio4_SHDN,
Radio4_TxEn => radio4_TxEn,
Radio4_RxEn => radio4_RxEn,
Radio4_RxHP => radio4_RxHP,
Radio4_LD => radio4_LD,
Radio4_24PA => radio4_24PA,
Radio4_5PA => radio4_5PA,
Radio4_ANTSW => radio4_ANTSW,
Radio4_LED => radio4_LED,
Radio4_ADC_RX_DCS => radio4_ADC_RX_DCS,
Radio4_ADC_RX_DFS => radio4_ADC_RX_DFS,
Radio4_ADC_RX_OTRA => radio4_ADC_RX_OTRA,
Radio4_ADC_RX_OTRB => radio4_ADC_RX_OTRB,
Radio4_ADC_RX_PWDNA => radio4_ADC_RX_PWDNA,
Radio4_ADC_RX_PWDNB => radio4_ADC_RX_PWDNB,
Radio4_DIPSW => radio4_DIPSW,
Radio4_RSSI_ADC_CLAMP => radio4_RSSI_ADC_CLAMP,
Radio4_RSSI_ADC_HIZ => radio4_RSSI_ADC_HIZ,
Radio4_RSSI_ADC_OTR => radio4_RSSI_ADC_OTR,
Radio4_RSSI_ADC_SLEEP => radio4_RSSI_ADC_SLEEP,
Radio4_RSSI_ADC_D => radio4_RSSI_ADC_D,
Radio4_TX_DAC_PLL_LOCK => radio4_TX_DAC_PLL_LOCK,
Radio4_TX_DAC_RESET => radio4_TX_DAC_RESET,
Radio4_RxHP_external => radio4_RxHP_external,
Radio4_TxGain => radio4_TxGain,
Radio4_TxStart => radio4_TxStart,
-- MAP USER PORTS ABOVE THIS LINE ------------------
Bus2IP_Clk => iBus2IP_Clk,
Bus2IP_Reset => iBus2IP_Reset,
Bus2IP_Data => uBus2IP_Data,
Bus2IP_BE => uBus2IP_BE,
Bus2IP_RdCE => uBus2IP_RdCE,
Bus2IP_WrCE => uBus2IP_WrCE,
IP2Bus_Data => uIP2Bus_Data,
IP2Bus_Ack => iIP2Bus_Ack,
IP2Bus_Retry => iIP2Bus_Retry,
IP2Bus_Error => iIP2Bus_Error,
IP2Bus_ToutSup => iIP2Bus_ToutSup
);
------------------------------------------
-- hooking up signal slicing
------------------------------------------
uBus2IP_BE <= iBus2IP_BE(0 to USER_DWIDTH/8-1);
uBus2IP_Data <= iBus2IP_Data(0 to USER_DWIDTH-1);
uBus2IP_RdCE <= iBus2IP_RdCE(USER00_CE_INDEX to USER00_CE_INDEX+USER_NUM_CE-1);
uBus2IP_WrCE <= iBus2IP_WrCE(USER00_CE_INDEX to USER00_CE_INDEX+USER_NUM_CE-1);
iIP2Bus_Data(0 to USER_DWIDTH-1) <= uIP2Bus_Data;
end IMP;
| bsd-2-clause | 7293cd231c6e164e0ca11de207799254 | 0.433285 | 3.945868 | false | false | false | false |
Andy46/OV7670-VHDL | OV7670/src/mod_VGA/mod_VGA.vhd | 1 | 4,153 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 20:32:02 05/05/2014
-- Design Name:
-- Module Name: mod_VGA - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity mod_VGA is
Port ( clk_100MHz, reset : in STD_LOGIC; -- FPGA's clock, FPGA's reset(Active Low)
-- VGA pins --
vga_vsync, vga_hsync : out STD_LOGIC; -- VGA Vertical synchronization, VGA Horizontal synchronization
vga_red, vga_green, vga_blue : out STD_LOGIC_VECTOR(3 downto 0) -- VGA colors (4bits)
-- vga_red, vga_green, vga_blue : out STD_LOGIC; -- VGA colors (1bit)
-- VGA pins --
);
end mod_VGA;
architecture Behavioral of mod_VGA is
--Divisor de frecuencia
component Divisor4 is
Port ( clk_in, reset : in std_logic;
clk_out : out std_logic);
end component;
signal clk_25MHz : std_logic;
--Contadores vertical y horizontal
component contador10bits is
Port ( A : in STD_LOGIC_VECTOR (9 downto 0);
A_next : out STD_LOGIC_VECTOR (9 downto 0));
end component;
--Señales
signal Vcount, Vcount_next : std_logic_vector(9 downto 0);
signal Hcount, Hcount_next : std_logic_vector(9 downto 0);
--Imagen
component mod_Image is
Port ( clk_100MHz, reset : in STD_LOGIC;
readX, readY : in STD_LOGIC_VECTOR (9 downto 0); -- Pixel read addr(row, column)
vga_red, vga_green, vga_blue : out STD_LOGIC_VECTOR (3 downto 0); -- Pixel read color
writeX, writeY : in std_logic_vector (9 downto 0); -- Pixel write addr(row, column)
pixel : in std_logic_vector(7 downto 0) -- Pixel write color
);
end component;
begin
--Divisor de frecuencia 1/4
Div_VGA: Divisor4 port map(clk_in => clk_100MHz, reset => reset, clk_out => clk_25MHz);
--Asignar colores
Im: mod_Image port map( clk_100MHz => clk_100MHz, reset => reset, readX => Hcount, readY => Vcount,
vga_red => vga_red, vga_green => vga_green, vga_blue => vga_blue,
writeX => std_logic_vector(to_unsigned(640, 10)), writeY =>std_logic_vector(to_unsigned(480,10)),
pixel => "00000011" );
--Process VSYNC
FA_Vsync: contador10bits port map(A => Vcount, A_next => Vcount_next);
process(clk_25MHz, reset, Hcount, Vcount)
begin
if clk_25MHz'event and clk_25MHz = '1' then
if reset = '0' then
Vcount <= (others => '0');
vga_vsync <= '0';
else
if Hcount = std_logic_vector(to_unsigned(800, 10)) then -- 1040 | 800 | 800
if Vcount = std_logic_vector(to_unsigned(525, 10)) then -- 665 | 525 | 448
Vcount <= (others => '0');
else
Vcount <= Vcount_next;
end if;
if Vcount >= std_logic_vector(to_unsigned(490, 10)) and Vcount < std_logic_vector(to_unsigned(492, 10)) then -- 636,642 | 490,492 | 386,388
vga_vsync <= '1';
else
vga_vsync <= '0';
end if;
end if;
end if;
end if;
end process;
--Process HSYNC
FA_Hsync: contador10bits port map(A => Hcount, A_next => Hcount_next);
process(clk_25MHz, reset)
begin
if clk_25MHz'event and clk_25MHz = '1' then
if reset = '0' then
Hcount <= (others => '0');
vga_hsync <= '0';
else
if Hcount = std_logic_vector(to_unsigned(800, 10)) then -- 1040 | 800 | 800
Hcount <= (others => '0');
else
Hcount <= Hcount_next;
end if;
if Hcount >= std_logic_vector(to_unsigned(656, 10)) and Hcount < std_logic_vector(to_unsigned(752, 10)) then -- 855, 975 | 656,752 | 656,752
vga_hsync <= '1';
else
vga_hsync <= '0';
end if;
end if;
end if;
end process;
end Behavioral; | mit | 121b303731abe059db96f6c1e8dddea5 | 0.607659 | 3.208655 | false | false | false | false |
inmcm/Simon_Speck_Ciphers | VHDL/AXI_IP/Speck_Block_Cipher_1.0/hdl/Speck_Block_Cipher_v1_0_S00_AXI.vhd | 1 | 26,309 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity Speck_Block_Cipher_v1_0_S00_AXI is
generic (
-- Users to add parameters here
-- User parameters ends
-- Do not modify the parameters beyond this line
-- Width of S_AXI data bus
C_S_AXI_DATA_WIDTH : integer := 32;
-- Width of S_AXI address bus
C_S_AXI_ADDR_WIDTH : integer := 7
);
port (
-- Users to add ports here
-- Cipher Block Input
SLV_REG00_OUT : out std_logic_vector(31 downto 0);
SLV_REG01_OUT : out std_logic_vector(31 downto 0);
SLV_REG02_OUT : out std_logic_vector(31 downto 0);
SLV_REG03_OUT : out std_logic_vector(31 downto 0);
-- Cipher Key Input
SLV_REG04_OUT : out std_logic_vector(31 downto 0);
SLV_REG05_OUT : out std_logic_vector(31 downto 0);
SLV_REG06_OUT : out std_logic_vector(31 downto 0);
SLV_REG07_OUT : out std_logic_vector(31 downto 0);
SLV_REG08_OUT : out std_logic_vector(31 downto 0);
SLV_REG09_OUT : out std_logic_vector(31 downto 0);
SLV_REG10_OUT : out std_logic_vector(31 downto 0);
SLV_REG11_OUT : out std_logic_vector(31 downto 0);
-- Cipher Control/Rest Register
SLV_REG12_OUT : out std_logic_vector(31 downto 0);
-- Cipher Block Output
SLV_REG13_IN : in std_logic_vector(31 downto 0);
SLV_REG14_IN : in std_logic_vector(31 downto 0);
SLV_REG15_IN : in std_logic_vector(31 downto 0);
SLV_REG16_IN : in std_logic_vector(31 downto 0);
-- Cipher Status Output
SLV_REG17_IN : in std_logic_vector(31 downto 0);
-- User ports ends
-- Do not modify the ports beyond this line
-- Global Clock Signal
S_AXI_ACLK : in std_logic;
-- Global Reset Signal. This Signal is Active LOW
S_AXI_ARESETN : in std_logic;
-- Write address (issued by master, acceped by Slave)
S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
-- Write channel Protection type. This signal indicates the
-- privilege and security level of the transaction, and whether
-- the transaction is a data access or an instruction access.
S_AXI_AWPROT : in std_logic_vector(2 downto 0);
-- Write address valid. This signal indicates that the master signaling
-- valid write address and control information.
S_AXI_AWVALID : in std_logic;
-- Write address ready. This signal indicates that the slave is ready
-- to accept an address and associated control signals.
S_AXI_AWREADY : out std_logic;
-- Write data (issued by master, acceped by Slave)
S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
-- Write strobes. This signal indicates which byte lanes hold
-- valid data. There is one write strobe bit for each eight
-- bits of the write data bus.
S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0);
-- Write valid. This signal indicates that valid write
-- data and strobes are available.
S_AXI_WVALID : in std_logic;
-- Write ready. This signal indicates that the slave
-- can accept the write data.
S_AXI_WREADY : out std_logic;
-- Write response. This signal indicates the status
-- of the write transaction.
S_AXI_BRESP : out std_logic_vector(1 downto 0);
-- Write response valid. This signal indicates that the channel
-- is signaling a valid write response.
S_AXI_BVALID : out std_logic;
-- Response ready. This signal indicates that the master
-- can accept a write response.
S_AXI_BREADY : in std_logic;
-- Read address (issued by master, acceped by Slave)
S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
-- Protection type. This signal indicates the privilege
-- and security level of the transaction, and whether the
-- transaction is a data access or an instruction access.
S_AXI_ARPROT : in std_logic_vector(2 downto 0);
-- Read address valid. This signal indicates that the channel
-- is signaling valid read address and control information.
S_AXI_ARVALID : in std_logic;
-- Read address ready. This signal indicates that the slave is
-- ready to accept an address and associated control signals.
S_AXI_ARREADY : out std_logic;
-- Read data (issued by slave)
S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
-- Read response. This signal indicates the status of the
-- read transfer.
S_AXI_RRESP : out std_logic_vector(1 downto 0);
-- Read valid. This signal indicates that the channel is
-- signaling the required read data.
S_AXI_RVALID : out std_logic;
-- Read ready. This signal indicates that the master can
-- accept the read data and response information.
S_AXI_RREADY : in std_logic
);
end Speck_Block_Cipher_v1_0_S00_AXI;
architecture arch_imp of Speck_Block_Cipher_v1_0_S00_AXI is
-- AXI4LITE signals
signal axi_awaddr : std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
signal axi_awready : std_logic;
signal axi_wready : std_logic;
signal axi_bresp : std_logic_vector(1 downto 0);
signal axi_bvalid : std_logic;
signal axi_araddr : std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
signal axi_arready : std_logic;
signal axi_rdata : std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal axi_rresp : std_logic_vector(1 downto 0);
signal axi_rvalid : std_logic;
-- Example-specific design signals
-- local parameter for addressing 32 bit / 64 bit C_S_AXI_DATA_WIDTH
-- ADDR_LSB is used for addressing 32/64 bit registers/memories
-- ADDR_LSB = 2 for 32 bits (n downto 2)
-- ADDR_LSB = 3 for 64 bits (n downto 3)
constant ADDR_LSB : integer := (C_S_AXI_DATA_WIDTH/32)+ 1;
constant OPT_MEM_ADDR_BITS : integer := 4;
------------------------------------------------
---- Signals for user logic register space example
--------------------------------------------------
---- Number of Slave Registers 14
signal slv_reg0 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal slv_reg1 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal slv_reg2 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal slv_reg3 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal slv_reg4 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal slv_reg5 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal slv_reg6 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal slv_reg7 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal slv_reg8 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal slv_reg9 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal slv_reg10 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal slv_reg11 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal slv_reg12 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal slv_reg13 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal slv_reg14 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal slv_reg15 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal slv_reg16 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal slv_reg17 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal slv_reg_rden : std_logic;
signal slv_reg_wren : std_logic;
signal reg_data_out :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal byte_index : integer;
begin
-- I/O Connections assignments
S_AXI_AWREADY <= axi_awready;
S_AXI_WREADY <= axi_wready;
S_AXI_BRESP <= axi_bresp;
S_AXI_BVALID <= axi_bvalid;
S_AXI_ARREADY <= axi_arready;
S_AXI_RDATA <= axi_rdata;
S_AXI_RRESP <= axi_rresp;
S_AXI_RVALID <= axi_rvalid;
-- Implement axi_awready generation
-- axi_awready is asserted for one S_AXI_ACLK clock cycle when both
-- S_AXI_AWVALID and S_AXI_WVALID are asserted. axi_awready is
-- de-asserted when reset is low.
process (S_AXI_ACLK)
begin
if rising_edge(S_AXI_ACLK) then
if S_AXI_ARESETN = '0' then
axi_awready <= '0';
else
if (axi_awready = '0' and S_AXI_AWVALID = '1' and S_AXI_WVALID = '1') then
-- slave is ready to accept write address when
-- there is a valid write address and write data
-- on the write address and data bus. This design
-- expects no outstanding transactions.
axi_awready <= '1';
else
axi_awready <= '0';
end if;
end if;
end if;
end process;
-- Implement axi_awaddr latching
-- This process is used to latch the address when both
-- S_AXI_AWVALID and S_AXI_WVALID are valid.
process (S_AXI_ACLK)
begin
if rising_edge(S_AXI_ACLK) then
if S_AXI_ARESETN = '0' then
axi_awaddr <= (others => '0');
else
if (axi_awready = '0' and S_AXI_AWVALID = '1' and S_AXI_WVALID = '1') then
-- Write Address latching
axi_awaddr <= S_AXI_AWADDR;
end if;
end if;
end if;
end process;
-- Implement axi_wready generation
-- axi_wready is asserted for one S_AXI_ACLK clock cycle when both
-- S_AXI_AWVALID and S_AXI_WVALID are asserted. axi_wready is
-- de-asserted when reset is low.
process (S_AXI_ACLK)
begin
if rising_edge(S_AXI_ACLK) then
if S_AXI_ARESETN = '0' then
axi_wready <= '0';
else
if (axi_wready = '0' and S_AXI_WVALID = '1' and S_AXI_AWVALID = '1') then
-- slave is ready to accept write data when
-- there is a valid write address and write data
-- on the write address and data bus. This design
-- expects no outstanding transactions.
axi_wready <= '1';
else
axi_wready <= '0';
end if;
end if;
end if;
end process;
-- Implement memory mapped register select and write logic generation
-- The write data is accepted and written to memory mapped registers when
-- axi_awready, S_AXI_WVALID, axi_wready and S_AXI_WVALID are asserted. Write strobes are used to
-- select byte enables of slave registers while writing.
-- These registers are cleared when reset (active low) is applied.
-- Slave register write enable is asserted when valid address and data are available
-- and the slave is ready to accept the write address and write data.
slv_reg_wren <= axi_wready and S_AXI_WVALID and axi_awready and S_AXI_AWVALID ;
process (S_AXI_ACLK)
variable loc_addr :std_logic_vector(OPT_MEM_ADDR_BITS downto 0);
begin
if rising_edge(S_AXI_ACLK) then
if S_AXI_ARESETN = '0' then
slv_reg0 <= (others => '0');
slv_reg1 <= (others => '0');
slv_reg2 <= (others => '0');
slv_reg3 <= (others => '0');
slv_reg4 <= (others => '0');
slv_reg5 <= (others => '0');
slv_reg6 <= (others => '0');
slv_reg7 <= (others => '0');
slv_reg8 <= (others => '0');
slv_reg9 <= (others => '0');
slv_reg10 <= (others => '0');
slv_reg11 <= (others => '0');
slv_reg12 <= (others => '0');
slv_reg13 <= (others => '0');
slv_reg14 <= (others => '0');
slv_reg15 <= (others => '0');
slv_reg16 <= (others => '0');
slv_reg17 <= (others => '0');
else
loc_addr := axi_awaddr(ADDR_LSB + OPT_MEM_ADDR_BITS downto ADDR_LSB);
if (slv_reg_wren = '1') then
case loc_addr is
when b"00000" =>
for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop
if ( S_AXI_WSTRB(byte_index) = '1' ) then
-- Respective byte enables are asserted as per write strobes
-- slave registor 0
slv_reg0(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when b"00001" =>
for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop
if ( S_AXI_WSTRB(byte_index) = '1' ) then
-- Respective byte enables are asserted as per write strobes
-- slave registor 1
slv_reg1(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when b"00010" =>
for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop
if ( S_AXI_WSTRB(byte_index) = '1' ) then
-- Respective byte enables are asserted as per write strobes
-- slave registor 2
slv_reg2(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when b"00011" =>
for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop
if ( S_AXI_WSTRB(byte_index) = '1' ) then
-- Respective byte enables are asserted as per write strobes
-- slave registor 3
slv_reg3(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when b"00100" =>
for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop
if ( S_AXI_WSTRB(byte_index) = '1' ) then
-- Respective byte enables are asserted as per write strobes
-- slave registor 4
slv_reg4(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when b"00101" =>
for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop
if ( S_AXI_WSTRB(byte_index) = '1' ) then
-- Respective byte enables are asserted as per write strobes
-- slave registor 5
slv_reg5(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when b"00110" =>
for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop
if ( S_AXI_WSTRB(byte_index) = '1' ) then
-- Respective byte enables are asserted as per write strobes
-- slave registor 6
slv_reg6(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when b"00111" =>
for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop
if ( S_AXI_WSTRB(byte_index) = '1' ) then
-- Respective byte enables are asserted as per write strobes
-- slave registor 7
slv_reg7(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when b"01000" =>
for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop
if ( S_AXI_WSTRB(byte_index) = '1' ) then
-- Respective byte enables are asserted as per write strobes
-- slave registor 8
slv_reg8(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when b"01001" =>
for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop
if ( S_AXI_WSTRB(byte_index) = '1' ) then
-- Respective byte enables are asserted as per write strobes
-- slave registor 9
slv_reg9(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when b"01010" =>
for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop
if ( S_AXI_WSTRB(byte_index) = '1' ) then
-- Respective byte enables are asserted as per write strobes
-- slave registor 10
slv_reg10(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when b"01011" =>
for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop
if ( S_AXI_WSTRB(byte_index) = '1' ) then
-- Respective byte enables are asserted as per write strobes
-- slave registor 11
slv_reg11(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when b"01100" =>
for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop
if ( S_AXI_WSTRB(byte_index) = '1' ) then
-- Respective byte enables are asserted as per write strobes
-- slave registor 12
slv_reg12(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when b"01101" =>
for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop
if ( S_AXI_WSTRB(byte_index) = '1' ) then
-- Respective byte enables are asserted as per write strobes
-- slave registor 13
slv_reg13(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when b"01110" =>
for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop
if ( S_AXI_WSTRB(byte_index) = '1' ) then
-- Respective byte enables are asserted as per write strobes
-- slave registor 14
slv_reg14(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when b"01111" =>
for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop
if ( S_AXI_WSTRB(byte_index) = '1' ) then
-- Respective byte enables are asserted as per write strobes
-- slave registor 15
slv_reg15(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when b"10000" =>
for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop
if ( S_AXI_WSTRB(byte_index) = '1' ) then
-- Respective byte enables are asserted as per write strobes
-- slave registor 16
slv_reg16(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when b"10001" =>
for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop
if ( S_AXI_WSTRB(byte_index) = '1' ) then
-- Respective byte enables are asserted as per write strobes
-- slave registor 17
slv_reg17(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8);
end if;
end loop;
when others =>
slv_reg0 <= slv_reg0;
slv_reg1 <= slv_reg1;
slv_reg2 <= slv_reg2;
slv_reg3 <= slv_reg3;
slv_reg4 <= slv_reg4;
slv_reg5 <= slv_reg5;
slv_reg6 <= slv_reg6;
slv_reg7 <= slv_reg7;
slv_reg8 <= slv_reg8;
slv_reg9 <= slv_reg9;
slv_reg10 <= slv_reg10;
slv_reg11 <= slv_reg11;
slv_reg12 <= slv_reg12;
slv_reg13 <= slv_reg13;
slv_reg14 <= slv_reg14;
slv_reg15 <= slv_reg15;
slv_reg16 <= slv_reg16;
slv_reg17 <= slv_reg17;
end case;
end if;
end if;
end if;
end process;
-- Implement write response logic generation
-- The write response and response valid signals are asserted by the slave
-- when axi_wready, S_AXI_WVALID, axi_wready and S_AXI_WVALID are asserted.
-- This marks the acceptance of address and indicates the status of
-- write transaction.
process (S_AXI_ACLK)
begin
if rising_edge(S_AXI_ACLK) then
if S_AXI_ARESETN = '0' then
axi_bvalid <= '0';
axi_bresp <= "00"; --need to work more on the responses
else
if (axi_awready = '1' and S_AXI_AWVALID = '1' and axi_wready = '1' and S_AXI_WVALID = '1' and axi_bvalid = '0' ) then
axi_bvalid <= '1';
axi_bresp <= "00";
elsif (S_AXI_BREADY = '1' and axi_bvalid = '1') then --check if bready is asserted while bvalid is high)
axi_bvalid <= '0'; -- (there is a possibility that bready is always asserted high)
end if;
end if;
end if;
end process;
-- Implement axi_arready generation
-- axi_arready is asserted for one S_AXI_ACLK clock cycle when
-- S_AXI_ARVALID is asserted. axi_awready is
-- de-asserted when reset (active low) is asserted.
-- The read address is also latched when S_AXI_ARVALID is
-- asserted. axi_araddr is reset to zero on reset assertion.
process (S_AXI_ACLK)
begin
if rising_edge(S_AXI_ACLK) then
if S_AXI_ARESETN = '0' then
axi_arready <= '0';
axi_araddr <= (others => '1');
else
if (axi_arready = '0' and S_AXI_ARVALID = '1') then
-- indicates that the slave has acceped the valid read address
axi_arready <= '1';
-- Read Address latching
axi_araddr <= S_AXI_ARADDR;
else
axi_arready <= '0';
end if;
end if;
end if;
end process;
-- Implement axi_arvalid generation
-- axi_rvalid is asserted for one S_AXI_ACLK clock cycle when both
-- S_AXI_ARVALID and axi_arready are asserted. The slave registers
-- data are available on the axi_rdata bus at this instance. The
-- assertion of axi_rvalid marks the validity of read data on the
-- bus and axi_rresp indicates the status of read transaction.axi_rvalid
-- is deasserted on reset (active low). axi_rresp and axi_rdata are
-- cleared to zero on reset (active low).
process (S_AXI_ACLK)
begin
if rising_edge(S_AXI_ACLK) then
if S_AXI_ARESETN = '0' then
axi_rvalid <= '0';
axi_rresp <= "00";
else
if (axi_arready = '1' and S_AXI_ARVALID = '1' and axi_rvalid = '0') then
-- Valid read data is available at the read data bus
axi_rvalid <= '1';
axi_rresp <= "00"; -- 'OKAY' response
elsif (axi_rvalid = '1' and S_AXI_RREADY = '1') then
-- Read data is accepted by the master
axi_rvalid <= '0';
end if;
end if;
end if;
end process;
-- Implement memory mapped register select and read logic generation
-- Slave register read enable is asserted when valid address is available
-- and the slave is ready to accept the read address.
slv_reg_rden <= axi_arready and S_AXI_ARVALID and (not axi_rvalid) ;
process (slv_reg0, slv_reg1, slv_reg2, slv_reg3, slv_reg4, slv_reg5, slv_reg6, slv_reg7, slv_reg8, slv_reg9, slv_reg10, slv_reg11, slv_reg12, slv_reg13, axi_araddr, S_AXI_ARESETN, slv_reg_rden)
variable loc_addr :std_logic_vector(OPT_MEM_ADDR_BITS downto 0);
begin
-- Address decoding for reading registers
loc_addr := axi_araddr(ADDR_LSB + OPT_MEM_ADDR_BITS downto ADDR_LSB);
case loc_addr is
when b"00000" =>
reg_data_out <= slv_reg0;
when b"00001" =>
reg_data_out <= slv_reg1;
when b"00010" =>
reg_data_out <= slv_reg2;
when b"00011" =>
reg_data_out <= slv_reg3;
when b"00100" =>
reg_data_out <= slv_reg4;
when b"00101" =>
reg_data_out <= slv_reg5;
when b"00110" =>
reg_data_out <= slv_reg6;
when b"00111" =>
reg_data_out <= slv_reg7;
when b"01000" =>
reg_data_out <= slv_reg8;
when b"01001" =>
reg_data_out <= slv_reg9;
when b"01010" =>
reg_data_out <= slv_reg10;
when b"01011" =>
reg_data_out <= slv_reg11;
when b"01100" =>
reg_data_out <= slv_reg12;
when b"01101" =>
reg_data_out <= SLV_REG13_IN;
when b"01110" =>
reg_data_out <= SLV_REG14_IN;
when b"01111" =>
reg_data_out <= SLV_REG15_IN;
when b"10000" =>
reg_data_out <= SLV_REG16_IN;
when b"10001" =>
reg_data_out <= SLV_REG17_IN;
when others =>
reg_data_out <= (others => '0');
end case;
end process;
-- Output register or memory read data
process( S_AXI_ACLK ) is
begin
if (rising_edge (S_AXI_ACLK)) then
if ( S_AXI_ARESETN = '0' ) then
axi_rdata <= (others => '0');
else
if (slv_reg_rden = '1') then
-- When there is a valid read address (S_AXI_ARVALID) with
-- acceptance of read address by the slave (axi_arready),
-- output the read dada
-- Read address mux
axi_rdata <= reg_data_out; -- register read data
end if;
end if;
end if;
end process;
-- Add user logic here
SLV_REG00_OUT <= slv_reg0;
SLV_REG01_OUT <= slv_reg1;
SLV_REG02_OUT <= slv_reg2;
SLV_REG03_OUT <= slv_reg3;
SLV_REG04_OUT <= slv_reg4;
SLV_REG05_OUT <= slv_reg5;
SLV_REG06_OUT <= slv_reg6;
SLV_REG07_OUT <= slv_reg7;
SLV_REG08_OUT <= slv_reg8;
SLV_REG09_OUT <= slv_reg9;
SLV_REG10_OUT <= slv_reg10;
SLV_REG11_OUT <= slv_reg11;
SLV_REG12_OUT <= slv_reg12;
-- User logic ends
end arch_imp;
| mit | 5c31d7e424fbd0544a219f7b5b7bf38d | 0.567106 | 3.47497 | false | false | false | false |
timvideos/HDMI2USB-jahanzeb-firmware | ipcore_dir/cmdfifo/simulation/cmdfifo_pctrl.vhd | 3 | 20,685 |
--------------------------------------------------------------------------------
--
-- FIFO Generator Core Demo Testbench
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: cmdfifo_pctrl.vhd
--
-- Description:
-- Used for protocol control on write and read interface stimulus and status generation
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.all;
USE IEEE.std_logic_arith.all;
USE IEEE.std_logic_misc.all;
LIBRARY work;
USE work.cmdfifo_pkg.ALL;
ENTITY cmdfifo_pctrl IS
GENERIC(
AXI_CHANNEL : STRING :="NONE";
C_APPLICATION_TYPE : INTEGER := 0;
C_DIN_WIDTH : INTEGER := 0;
C_DOUT_WIDTH : INTEGER := 0;
C_WR_PNTR_WIDTH : INTEGER := 0;
C_RD_PNTR_WIDTH : INTEGER := 0;
C_CH_TYPE : INTEGER := 0;
FREEZEON_ERROR : INTEGER := 0;
TB_STOP_CNT : INTEGER := 2;
TB_SEED : INTEGER := 2
);
PORT(
RESET_WR : IN STD_LOGIC;
RESET_RD : IN STD_LOGIC;
WR_CLK : IN STD_LOGIC;
RD_CLK : IN STD_LOGIC;
FULL : IN STD_LOGIC;
EMPTY : IN STD_LOGIC;
ALMOST_FULL : IN STD_LOGIC;
ALMOST_EMPTY : IN STD_LOGIC;
DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0);
DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0);
DOUT_CHK : IN STD_LOGIC;
PRC_WR_EN : OUT STD_LOGIC;
PRC_RD_EN : OUT STD_LOGIC;
RESET_EN : OUT STD_LOGIC;
SIM_DONE : OUT STD_LOGIC;
STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END ENTITY;
ARCHITECTURE fg_pc_arch OF cmdfifo_pctrl IS
CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH);
CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH,8);
CONSTANT D_WIDTH_DIFF : INTEGER := log2roundup(C_DOUT_WIDTH/C_DIN_WIDTH);
SIGNAL data_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0');
SIGNAL full_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0');
SIGNAL empty_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0');
SIGNAL status_i : STD_LOGIC_VECTOR(4 DOWNTO 0):= (OTHERS => '0');
SIGNAL status_d1_i : STD_LOGIC_VECTOR(4 DOWNTO 0):= (OTHERS => '0');
SIGNAL wr_en_gen : STD_LOGIC_VECTOR(7 DOWNTO 0):= (OTHERS => '0');
SIGNAL rd_en_gen : STD_LOGIC_VECTOR(7 DOWNTO 0):= (OTHERS => '0');
SIGNAL wr_cntr : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH-2 DOWNTO 0) := (OTHERS => '0');
SIGNAL full_as_timeout : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0');
SIGNAL full_ds_timeout : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0');
SIGNAL rd_cntr : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH-2 DOWNTO 0) := (OTHERS => '0');
SIGNAL empty_as_timeout : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0');
SIGNAL empty_ds_timeout : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH DOWNTO 0):= (OTHERS => '0');
SIGNAL wr_en_i : STD_LOGIC := '0';
SIGNAL rd_en_i : STD_LOGIC := '0';
SIGNAL state : STD_LOGIC := '0';
SIGNAL wr_control : STD_LOGIC := '0';
SIGNAL rd_control : STD_LOGIC := '0';
SIGNAL stop_on_err : STD_LOGIC := '0';
SIGNAL sim_stop_cntr : STD_LOGIC_VECTOR(7 DOWNTO 0):= conv_std_logic_vector(if_then_else(C_CH_TYPE=2,64,TB_STOP_CNT),8);
SIGNAL sim_done_i : STD_LOGIC := '0';
SIGNAL reset_ex1 : STD_LOGIC := '0';
SIGNAL reset_ex2 : STD_LOGIC := '0';
SIGNAL reset_ex3 : STD_LOGIC := '0';
SIGNAL ae_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0');
SIGNAL af_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0');
SIGNAL rdw_gt_wrw : STD_LOGIC_VECTOR(D_WIDTH_DIFF-1 DOWNTO 0) := (OTHERS => '1');
SIGNAL wrw_gt_rdw : STD_LOGIC_VECTOR(D_WIDTH_DIFF-1 DOWNTO 0) := (OTHERS => '1');
SIGNAL rd_activ_cont : STD_LOGIC_VECTOR(25 downto 0):= (OTHERS => '0');
SIGNAL prc_we_i : STD_LOGIC := '0';
SIGNAL prc_re_i : STD_LOGIC := '0';
SIGNAL reset_en_i : STD_LOGIC := '0';
SIGNAL sim_done_d1 : STD_LOGIC := '0';
SIGNAL sim_done_wr1 : STD_LOGIC := '0';
SIGNAL sim_done_wr2 : STD_LOGIC := '0';
SIGNAL empty_d1 : STD_LOGIC := '0';
SIGNAL empty_wr_dom1 : STD_LOGIC := '0';
SIGNAL state_d1 : STD_LOGIC := '0';
SIGNAL state_rd_dom1 : STD_LOGIC := '0';
SIGNAL rd_en_d1 : STD_LOGIC := '0';
SIGNAL rd_en_wr1 : STD_LOGIC := '0';
SIGNAL wr_en_d1 : STD_LOGIC := '0';
SIGNAL wr_en_rd1 : STD_LOGIC := '0';
SIGNAL full_chk_d1 : STD_LOGIC := '0';
SIGNAL full_chk_rd1 : STD_LOGIC := '0';
SIGNAL empty_wr_dom2 : STD_LOGIC := '0';
SIGNAL state_rd_dom2 : STD_LOGIC := '0';
SIGNAL state_rd_dom3 : STD_LOGIC := '0';
SIGNAL rd_en_wr2 : STD_LOGIC := '0';
SIGNAL wr_en_rd2 : STD_LOGIC := '0';
SIGNAL full_chk_rd2 : STD_LOGIC := '0';
SIGNAL reset_en_d1 : STD_LOGIC := '0';
SIGNAL reset_en_rd1 : STD_LOGIC := '0';
SIGNAL reset_en_rd2 : STD_LOGIC := '0';
SIGNAL data_chk_wr_d1 : STD_LOGIC := '0';
SIGNAL data_chk_rd1 : STD_LOGIC := '0';
SIGNAL data_chk_rd2 : STD_LOGIC := '0';
SIGNAL full_d1 : STD_LOGIC := '0';
SIGNAL full_rd_dom1 : STD_LOGIC := '0';
SIGNAL full_rd_dom2 : STD_LOGIC := '0';
SIGNAL af_chk_d1 : STD_LOGIC := '0';
SIGNAL af_chk_rd1 : STD_LOGIC := '0';
SIGNAL af_chk_rd2 : STD_LOGIC := '0';
SIGNAL post_rst_dly_wr : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '1');
SIGNAL post_rst_dly_rd : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '1');
BEGIN
status_i <= data_chk_i & full_chk_rd2 & empty_chk_i & af_chk_rd2 & ae_chk_i;
STATUS <= status_d1_i & '0' & '0' & rd_activ_cont(rd_activ_cont'high);
prc_we_i <= wr_en_i WHEN sim_done_wr2 = '0' ELSE '0';
prc_re_i <= rd_en_i WHEN sim_done_i = '0' ELSE '0';
SIM_DONE <= sim_done_i;
wrw_gt_rdw <= (OTHERS => '1');
PROCESS(RD_CLK)
BEGIN
IF (RD_CLK'event AND RD_CLK='1') THEN
IF(prc_re_i = '1') THEN
rd_activ_cont <= rd_activ_cont + "1";
END IF;
END IF;
END PROCESS;
PROCESS(sim_done_i)
BEGIN
assert sim_done_i = '0'
report "Simulation Complete for:" & AXI_CHANNEL
severity note;
END PROCESS;
-----------------------------------------------------
-- SIM_DONE SIGNAL GENERATION
-----------------------------------------------------
PROCESS (RD_CLK,RESET_RD)
BEGIN
IF(RESET_RD = '1') THEN
--sim_done_i <= '0';
ELSIF(RD_CLK'event AND RD_CLK='1') THEN
IF((OR_REDUCE(sim_stop_cntr) = '0' AND TB_STOP_CNT /= 0) OR stop_on_err = '1') THEN
sim_done_i <= '1';
END IF;
END IF;
END PROCESS;
-- TB Timeout/Stop
fifo_tb_stop_run:IF(TB_STOP_CNT /= 0) GENERATE
PROCESS (RD_CLK)
BEGIN
IF (RD_CLK'event AND RD_CLK='1') THEN
IF(state_rd_dom2 = '0' AND state_rd_dom3 = '1') THEN
sim_stop_cntr <= sim_stop_cntr - "1";
END IF;
END IF;
END PROCESS;
END GENERATE fifo_tb_stop_run;
-- Stop when error found
PROCESS (RD_CLK)
BEGIN
IF (RD_CLK'event AND RD_CLK='1') THEN
IF(sim_done_i = '0') THEN
status_d1_i <= status_i OR status_d1_i;
END IF;
IF(FREEZEON_ERROR = 1 AND status_i /= "0") THEN
stop_on_err <= '1';
END IF;
END IF;
END PROCESS;
-----------------------------------------------------
-----------------------------------------------------
-- CHECKS FOR FIFO
-----------------------------------------------------
-- Reset pulse extension require for FULL flags checks
-- FULL flag may stay high for 3 clocks after reset is removed.
PROCESS(WR_CLK,RESET_WR)
BEGIN
IF(RESET_WR = '1') THEN
reset_ex1 <= '1';
reset_ex2 <= '1';
reset_ex3 <= '1';
ELSIF (WR_CLK'event AND WR_CLK='1') THEN
reset_ex1 <= '0';
reset_ex2 <= reset_ex1;
reset_ex3 <= reset_ex2;
END IF;
END PROCESS;
PROCESS(RD_CLK,RESET_RD)
BEGIN
IF(RESET_RD = '1') THEN
post_rst_dly_rd <= (OTHERS => '1');
ELSIF (RD_CLK'event AND RD_CLK='1') THEN
post_rst_dly_rd <= post_rst_dly_rd-post_rst_dly_rd(4);
END IF;
END PROCESS;
PROCESS(WR_CLK,RESET_WR)
BEGIN
IF(RESET_WR = '1') THEN
post_rst_dly_wr <= (OTHERS => '1');
ELSIF (WR_CLK'event AND WR_CLK='1') THEN
post_rst_dly_wr <= post_rst_dly_wr-post_rst_dly_wr(4);
END IF;
END PROCESS;
-- FULL de-assert Counter
PROCESS(WR_CLK,RESET_WR)
BEGIN
IF(RESET_WR = '1') THEN
full_ds_timeout <= (OTHERS => '0');
ELSIF(WR_CLK'event AND WR_CLK='1') THEN
IF(state = '1') THEN
IF(rd_en_wr2 = '1' AND wr_en_i = '0' AND FULL = '1' AND AND_REDUCE(wrw_gt_rdw) = '1') THEN
full_ds_timeout <= full_ds_timeout + '1';
END IF;
ELSE
full_ds_timeout <= (OTHERS => '0');
END IF;
END IF;
END PROCESS;
PROCESS(RD_CLK,RESET_RD)
BEGIN
IF(RESET_RD = '1') THEN
rdw_gt_wrw <= (OTHERS => '1');
ELSIF (RD_CLK'event AND RD_CLK='1') THEN
IF(wr_en_rd2 = '1' AND rd_en_i= '0' AND EMPTY = '1') THEN
rdw_gt_wrw <= rdw_gt_wrw + '1';
END IF;
END IF;
END PROCESS;
-- EMPTY deassert counter
PROCESS(RD_CLK,RESET_RD)
BEGIN
IF(RESET_RD = '1') THEN
empty_ds_timeout <= (OTHERS => '0');
ELSIF(RD_CLK'event AND RD_CLK='1') THEN
IF(state = '0') THEN
IF(wr_en_rd2 = '1' AND rd_en_i = '0' AND EMPTY = '1' AND AND_REDUCE(rdw_gt_wrw) = '1') THEN
empty_ds_timeout <= empty_ds_timeout + '1';
END IF;
ELSE
empty_ds_timeout <= (OTHERS => '0');
END IF;
END IF;
END PROCESS;
-- Full check signal generation
PROCESS(WR_CLK,RESET_WR)
BEGIN
IF(RESET_WR = '1') THEN
full_chk_i <= '0';
ELSIF(WR_CLK'event AND WR_CLK='1') THEN
IF(C_APPLICATION_TYPE = 1 AND (AXI_CHANNEL = "WACH" OR AXI_CHANNEL = "RACH" OR AXI_CHANNEL = "AXI4_Stream")) THEN
full_chk_i <= '0';
ELSE
full_chk_i <= AND_REDUCE(full_as_timeout) OR
AND_REDUCE(full_ds_timeout);
END IF;
END IF;
END PROCESS;
-- Empty checks
PROCESS(RD_CLK,RESET_RD)
BEGIN
IF(RESET_RD = '1') THEN
empty_chk_i <= '0';
ELSIF(RD_CLK'event AND RD_CLK='1') THEN
IF(C_APPLICATION_TYPE = 1 AND (AXI_CHANNEL = "WACH" OR AXI_CHANNEL = "RACH" OR AXI_CHANNEL = "AXI4_Stream")) THEN
empty_chk_i <= '0';
ELSE
empty_chk_i <= AND_REDUCE(empty_as_timeout) OR
AND_REDUCE(empty_ds_timeout);
END IF;
END IF;
END PROCESS;
fifo_d_chk:IF(C_CH_TYPE /= 2) GENERATE
PRC_WR_EN <= prc_we_i AFTER 100 ns;
PRC_RD_EN <= prc_re_i AFTER 50 ns;
data_chk_i <= dout_chk;
END GENERATE fifo_d_chk;
-- Almost full flag checks
PROCESS(WR_CLK,reset_ex3)
BEGIN
IF(reset_ex3 = '1') THEN
af_chk_i <= '0';
ELSIF (WR_CLK'event AND WR_CLK='1') THEN
IF((FULL = '1' AND ALMOST_FULL = '0') OR (empty_wr_dom2 = '1' AND ALMOST_FULL = '1' AND C_WR_PNTR_WIDTH > 4)) THEN
af_chk_i <= '1';
ELSE
af_chk_i <= '0';
END IF;
END IF;
END PROCESS;
-- Almost empty flag checks
PROCESS(RD_CLK,RESET_RD)
BEGIN
IF(RESET_RD = '1') THEN
ae_chk_i <= '0';
ELSIF (RD_CLK'event AND RD_CLK='1') THEN
IF((EMPTY = '1' AND ALMOST_EMPTY = '0') OR
(state = '1' AND full_rd_dom2 = '1' AND ALMOST_EMPTY = '1')) THEN
ae_chk_i <= '1';
ELSE
ae_chk_i <= '0';
END IF;
END IF;
END PROCESS;
-----------------------------------------------------
-----------------------------------------------------
-- SYNCHRONIZERS B/W WRITE AND READ DOMAINS
-----------------------------------------------------
PROCESS(WR_CLK,RESET_WR)
BEGIN
IF(RESET_WR = '1') THEN
empty_wr_dom1 <= '1';
empty_wr_dom2 <= '1';
state_d1 <= '0';
wr_en_d1 <= '0';
rd_en_wr1 <= '0';
rd_en_wr2 <= '0';
full_chk_d1 <= '0';
af_chk_d1 <= '0';
full_d1 <= '0';
reset_en_d1 <= '0';
sim_done_wr1 <= '0';
sim_done_wr2 <= '0';
ELSIF (WR_CLK'event AND WR_CLK='1') THEN
sim_done_wr1 <= sim_done_d1;
sim_done_wr2 <= sim_done_wr1;
reset_en_d1 <= reset_en_i;
full_d1 <= FULL;
state_d1 <= state;
empty_wr_dom1 <= empty_d1;
empty_wr_dom2 <= empty_wr_dom1;
wr_en_d1 <= wr_en_i;
rd_en_wr1 <= rd_en_d1;
rd_en_wr2 <= rd_en_wr1;
full_chk_d1 <= full_chk_i;
af_chk_d1 <= af_chk_i;
END IF;
END PROCESS;
PROCESS(RD_CLK,RESET_RD)
BEGIN
IF(RESET_RD = '1') THEN
empty_d1 <= '1';
state_rd_dom1 <= '0';
state_rd_dom2 <= '0';
state_rd_dom3 <= '0';
wr_en_rd1 <= '0';
wr_en_rd2 <= '0';
rd_en_d1 <= '0';
full_chk_rd1 <= '0';
full_chk_rd2 <= '0';
af_chk_rd1 <= '0';
af_chk_rd2 <= '0';
full_rd_dom1 <= '0';
full_rd_dom2 <= '0';
reset_en_rd1 <= '0';
reset_en_rd2 <= '0';
sim_done_d1 <= '0';
ELSIF (RD_CLK'event AND RD_CLK='1') THEN
sim_done_d1 <= sim_done_i;
reset_en_rd1 <= reset_en_d1;
reset_en_rd2 <= reset_en_rd1;
empty_d1 <= EMPTY;
rd_en_d1 <= rd_en_i;
state_rd_dom1 <= state_d1;
state_rd_dom2 <= state_rd_dom1;
state_rd_dom3 <= state_rd_dom2;
wr_en_rd1 <= wr_en_d1;
wr_en_rd2 <= wr_en_rd1;
full_chk_rd1 <= full_chk_d1;
full_chk_rd2 <= full_chk_rd1;
af_chk_rd1 <= af_chk_d1;
af_chk_rd2 <= af_chk_rd1;
full_rd_dom1 <= full_d1;
full_rd_dom2 <= full_rd_dom1;
END IF;
END PROCESS;
RESET_EN <= reset_en_rd2;
data_fifo_en:IF(C_CH_TYPE /= 2) GENERATE
-----------------------------------------------------
-- WR_EN GENERATION
-----------------------------------------------------
gen_rand_wr_en:cmdfifo_rng
GENERIC MAP(
WIDTH => 8,
SEED => TB_SEED+1
)
PORT MAP(
CLK => WR_CLK,
RESET => RESET_WR,
RANDOM_NUM => wr_en_gen,
ENABLE => '1'
);
PROCESS(WR_CLK,RESET_WR)
BEGIN
IF(RESET_WR = '1') THEN
wr_en_i <= '0';
ELSIF(WR_CLK'event AND WR_CLK='1') THEN
IF(state = '1') THEN
wr_en_i <= wr_en_gen(0) AND wr_en_gen(7) AND wr_en_gen(2) AND wr_control;
ELSE
wr_en_i <= (wr_en_gen(3) OR wr_en_gen(4) OR wr_en_gen(2)) AND (NOT post_rst_dly_wr(4));
END IF;
END IF;
END PROCESS;
-----------------------------------------------------
-- WR_EN CONTROL
-----------------------------------------------------
PROCESS(WR_CLK,RESET_WR)
BEGIN
IF(RESET_WR = '1') THEN
wr_cntr <= (OTHERS => '0');
wr_control <= '1';
full_as_timeout <= (OTHERS => '0');
ELSIF(WR_CLK'event AND WR_CLK='1') THEN
IF(state = '1') THEN
IF(wr_en_i = '1') THEN
wr_cntr <= wr_cntr + "1";
END IF;
full_as_timeout <= (OTHERS => '0');
ELSE
wr_cntr <= (OTHERS => '0');
IF(rd_en_wr2 = '0') THEN
IF(wr_en_i = '1') THEN
full_as_timeout <= full_as_timeout + "1";
END IF;
ELSE
full_as_timeout <= (OTHERS => '0');
END IF;
END IF;
wr_control <= NOT wr_cntr(wr_cntr'high);
END IF;
END PROCESS;
-----------------------------------------------------
-- RD_EN GENERATION
-----------------------------------------------------
gen_rand_rd_en:cmdfifo_rng
GENERIC MAP(
WIDTH => 8,
SEED => TB_SEED
)
PORT MAP(
CLK => RD_CLK,
RESET => RESET_RD,
RANDOM_NUM => rd_en_gen,
ENABLE => '1'
);
PROCESS(RD_CLK,RESET_RD)
BEGIN
IF(RESET_RD = '1') THEN
rd_en_i <= '0';
ELSIF(RD_CLK'event AND RD_CLK='1') THEN
IF(state_rd_dom2 = '0') THEN
rd_en_i <= rd_en_gen(1) AND rd_en_gen(5) AND rd_en_gen(3) AND rd_control AND (NOT post_rst_dly_rd(4));
ELSE
rd_en_i <= rd_en_gen(0) OR rd_en_gen(6);
END IF;
END IF;
END PROCESS;
-----------------------------------------------------
-- RD_EN CONTROL
-----------------------------------------------------
PROCESS(RD_CLK,RESET_RD)
BEGIN
IF(RESET_RD = '1') THEN
rd_cntr <= (OTHERS => '0');
rd_control <= '1';
empty_as_timeout <= (OTHERS => '0');
ELSIF(RD_CLK'event AND RD_CLK='1') THEN
IF(state_rd_dom2 = '0') THEN
IF(rd_en_i = '1') THEN
rd_cntr <= rd_cntr + "1";
END IF;
empty_as_timeout <= (OTHERS => '0');
ELSE
rd_cntr <= (OTHERS => '0');
IF(wr_en_rd2 = '0') THEN
IF(rd_en_i = '1') THEN
empty_as_timeout <= empty_as_timeout + "1";
END IF;
ELSE
empty_as_timeout <= (OTHERS => '0');
END IF;
END IF;
rd_control <= NOT rd_cntr(rd_cntr'high);
END IF;
END PROCESS;
-----------------------------------------------------
-- STIMULUS CONTROL
-----------------------------------------------------
PROCESS(WR_CLK,RESET_WR)
BEGIN
IF(RESET_WR = '1') THEN
state <= '0';
reset_en_i <= '0';
ELSIF(WR_CLK'event AND WR_CLK='1') THEN
CASE state IS
WHEN '0' =>
IF(FULL = '1' AND empty_wr_dom2 = '0') THEN
state <= '1';
reset_en_i <= '0';
END IF;
WHEN '1' =>
IF(empty_wr_dom2 = '1' AND FULL = '0') THEN
state <= '0';
reset_en_i <= '1';
END IF;
WHEN OTHERS => state <= state;
END CASE;
END IF;
END PROCESS;
END GENERATE data_fifo_en;
END ARCHITECTURE;
| bsd-2-clause | c6504c4847dc3397cfcd2af90663d1a2 | 0.508533 | 3.19016 | false | false | false | false |
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/blk_mem_gen_v8_0/blk_mem_gen_prim_wrapper_v6_init.vhd | 9 | 605,511 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
I9MdyPZOXqeUIdO7ZlIIhG+OewyiOI+g+if/MqLQnR9z2BrWLshrB4PGe8iQTRmhhMK2AEx73nQE
7aN2+MC6tQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ZdNWmZFAqcPY3+l0PvILykSrz4uBB82iroKw7JlVNk34D5JFcbk+/WzGdtr/fIokbEmSCNsjbM5m
ZDLTBA075c9NSLEVKov4NK6etMs6UAexA259ne0l4H7CtrXL2mpflEJfoQXwVtCQGglp4nSpAFeo
6EwIaTkvDWaxnANgBuU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
pHfz8rcgad5lQ33ZLcS8wC9hyUnRdP1F/Z/UvbxEMaRItbE6OB9DDDwdWUoT2udr/9x5Y6aas4ER
P4Zs2X6wH91E68YTGxdv0llKNatthxXUXTWrFvjD2Pal7gcIEASn7GQ3PVU4udk5ifrFAMma71HP
qpsv8+3pJhgIRoQuX4w9Rr+anRPywomKV2ty7A7sLUrUJpY7YHJ9xAPymGfmNYvodvg+O7rc//0p
oMU7kDCTUGiuvEHsFBBgIbzqeEDRTiPEaUNh+SRuaW/LnH7qAhLKPASKEPoBz7bJks8Ow+oSkDGw
csB0vkJwes+5GaS9rWe2eeXUkYBqziQN6nKYEQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
RAngXcaD9XBZ2u7vnGzMm16g0PO01mfbvNu9/0WPuDswhe0NRvSnKISweFNTbYEHNf2lM0hYNv2h
98LFxLwSK/qS8ZvGHjiW+RxMMhOZBIfYfPusVXJ4WR5DfbI82hKV37zQ/opKqgRl+XnSq0SSLVq7
HmJH1UfVo49rbFnXriQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
htmGxvxRrKc7LuQtA4MLeO2xrHMOO4EsJmE13ZGM3YHCf/6Cdo+W1K5QNJKXHOVyKtgtsLVOIoZI
u7MWbAXloPyU0s7DIiVs/3jDyBXx4+8rdMBwLzI6sakumCo/C8jkc/rzZKZU4QaVQWovXGtmwVZ3
fTs6TrmqrdanAyl8NoSLhIeWEZsOBM/XSDt0ww6//hT/CzANCVSd2WPlVbnPhsfb/81ugZbiNpZ1
ufeSij24qPHw9u00Zto8CQkugtjrIj6ZCvFYV5l8Yn9sv+XcS/IX/xNB+Wllj3wgs8tstNfs3vrM
7mCSAJZ8vKhDi7tPP+xeGye9lh74n1l99pJjRg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 446496)
`protect data_block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`protect end_protected
| gpl-3.0 | be89bfd9a8a1b74c1f8f8ecbafd9e96d | 0.955798 | 1.807425 | false | false | false | false |
ymei/TMSPlane | Firmware/src/byte2cmd.vhd | 2 | 3,261 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer: Yuan Mei
--
-- Create Date: 23:56:58 10/26/2013
-- Design Name: Convert byte stream into command
-- Module Name: byte2cmd - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
USE IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
LIBRARY UNISIM;
USE UNISIM.VComponents.ALL;
ENTITY byte2cmd IS
PORT (
CLK : IN std_logic;
RESET : IN std_logic;
-- byte in
RX_DATA : IN std_logic_vector(7 DOWNTO 0);
RX_RDY : IN std_logic;
-- cmd out
CMD_FIFO_Q : OUT std_logic_vector(35 DOWNTO 0); -- command fifo data out port
CMD_FIFO_EMPTY : OUT std_logic; -- command fifo "emtpy" SIGNAL
CMD_FIFO_RDCLK : IN std_logic;
CMD_FIFO_RDREQ : IN std_logic -- command fifo read request
);
END byte2cmd;
ARCHITECTURE Behavioral OF byte2cmd IS
COMPONENT fifo36x512
PORT (
rst : IN std_logic;
wr_clk : IN std_logic;
rd_clk : IN std_logic;
din : IN std_logic_vector(35 DOWNTO 0);
wr_en : IN std_logic;
rd_en : IN std_logic;
dout : OUT std_logic_vector(35 DOWNTO 0);
full : OUT std_logic;
empty : OUT std_logic
);
END COMPONENT;
SIGNAL sCmdFifoWrClk : std_logic;
SIGNAL sCmdFifoD : std_logic_vector(39 DOWNTO 0);
SIGNAL sCmdFifoWrreq : std_logic;
SIGNAL sCmdFifoFull : std_logic;
SIGNAL sInByte : std_logic_vector(7 DOWNTO 0);
TYPE cmdState_t IS (S0, S1);
SIGNAL cmdState : cmdState_t;
BEGIN
-- cmd FIFO
sCmdFifoWrClk <= CLK;
cmd_fifo : fifo36x512
PORT MAP (
rst => RESET,
wr_clk => sCmdFifoWrClk,
rd_clk => CMD_FIFO_RDCLK,
din => sCmdFifoD(35 DOWNTO 0),
wr_en => sCmdFifoWrreq,
rd_en => CMD_FIFO_RDREQ,
dout => CMD_FIFO_Q,
full => sCmdFifoFull,
empty => CMD_FIFO_EMPTY
);
PROCESS (CLK, RESET) IS
VARIABLE addri : integer RANGE 0 TO 7 :=0;
BEGIN
IF RESET = '1' THEN
addri := 0;
sCmdFifoD <= (OTHERS => '0');
sCmdFifoWrreq <= '0';
sInByte <= x"ff";
cmdState <= S0;
ELSIF falling_edge(CLK) THEN
CASE cmdState IS
WHEN S0 =>
sCmdFifoWrreq <= '0';
IF RX_RDY = '1' THEN
sInByte <= RX_DATA;
addri := to_integer(unsigned(sInByte(7 DOWNTO 5)));
sCmdFifoD((addri+1)*5-1 DOWNTO addri*5) <= sInByte(4 DOWNTO 0);
IF addri = 0 THEN
cmdState <= S1;
END IF;
END IF;
WHEN S1 =>
sCmdFifoWrreq <= '1';
cmdState <= S0;
WHEN OTHERS =>
cmdState <= S0;
END CASE;
END IF;
END PROCESS;
END Behavioral;
| bsd-3-clause | dce1a1961342322d113372c6e17123b7 | 0.546765 | 3.611296 | false | false | false | false |
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/blk_mem_gen_v8_0/blk_mem_output_block.vhd | 9 | 17,048 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ZDbLXMCW/rFA4qQp7M4XtRAVOMy7+62OqdKd3dOe4Jvb/C2JADukHaa3oslAf5TtlaTLr3ozEohl
VKGhLio1ig==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Y/syMaBfRSQ9MD98NKAleGixPcntMfRl9i4DpBCi/l65gO8EpoXWOhQZbbZ/maNd7yin7yuO19Yn
GGuE9YDWOl8XBpG3phkcKzJdSu0mKYd+0AQJj9q1lFv6qrGMoUttsl/IpN2yMUpz5fUapnIBd6rb
mRz2FHrHicaebKc88GU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FF+Cl3PgjNR7xzwHRMbIHqn9oRbNDNLj8DIaO1Hlm+2QX1CI/VCFdTgjthL/wOzU50VEXfI4vdA+
5GN341oMmZ0O5YACNPw0jsmb5K/Axml5iblkv1aO205Ys1mBMBZkaFGlBcZsIV0uEzUDpOvPfeVc
ABQXYw6KbTA1+NUfxZFROZrc/rjF2mQh4nDUCfFYZPrriJZjjyEjlSX+cy4KzCuZbbpJBCFd6XxQ
koLohsN3xKemISIPZsKR/aiic3+A4CLGXARU2+NNZ8Y9zw6ZjLQLvFiy4Fb1QeehEhg6MMEY/h+t
IjJP8sZ2k68e+ilMbQE8db8f77x7eXxc0dya2Q==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
WftP1jT77k0S0KW6WZKPHR28tgdkvbiMqDTC2VCWXKRGglkNUJl3J5a6mxg7KN6NyWhnYj6a5QQx
8Hz0va2ePEpBUyQNGP6NCbGXeaRe8pCPsXgRKTVJmrMqDjyhAZagmIXcKOaLXzSspWEBEQiSDaSF
bOXSgmj7JNe+zDKqwGQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
RqlUBtgum9dv43EmKmtzWIjfHZGaDUNQ3TN8Yu3IeXyJKi5cWCoW72Oqm8t5IbLFWHnY2SKPDquO
q9pnAH1xYve2UU/ki12sb1zBNCPYJNGoVMVoYZ+WBiTxkJRS6r3QcID+4PLSLBrCx6FOaNYxyz+N
HNXn1tfTA8+dazSGeer4nW4ht7uWxXKe8ZcSvezFDU3/Z+p+x33qF8Pn4hTSjcYP4oZL0Zy9vG3Q
RhJw+4Hx1YmXbpfrBWVqQOuYui18fd1gpad/b4yH9e+H5xWbSO//cFWXzEE/cO+APY0/xbSvI9qd
ejSJhSc7iuIlnvzmNk5U33IYSygGzh0yfq6Rzw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10880)
`protect data_block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`protect end_protected
| gpl-3.0 | 507b89280e141effa7137b2071af1f66 | 0.937353 | 1.86012 | false | false | false | false |
Given-Jiang/Gray_Processing | tb_Gray_Processing/hdl/alt_dspbuilder_delay_GNVTJPHWYT.vhd | 9 | 1,102 | library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_delay_GNVTJPHWYT is
generic ( ClockPhase : string := "1";
delay : positive := 1;
use_init : natural := 1;
BitPattern : string := "01111111";
width : positive := 8);
port(
aclr : in std_logic;
clock : in std_logic;
ena : in std_logic;
input : in std_logic_vector((width)-1 downto 0);
output : out std_logic_vector((width)-1 downto 0);
sclr : in std_logic);
end entity;
architecture rtl of alt_dspbuilder_delay_GNVTJPHWYT is
Begin
-- Delay Element, with reset value
DelayWithInit : alt_dspbuilder_SInitDelay generic map (
LPM_WIDTH => 8,
LPM_DELAY => 1,
SequenceLength => 1,
SequenceValue => "1",
ResetValue => "01111111")
port map (
dataa => input,
clock => clock,
ena => ena,
sclr => sclr,
aclr => aclr,
user_aclr => '0',
result => output);
end architecture; | mit | f11f4e69ebbc672d6f3497218af9694a | 0.634301 | 3.061111 | false | false | false | false |
fpgaminer/Open-Source-FPGA-Bitcoin-Miner | projects/VHDL_Xilinx_Port/uart.vhd | 4 | 3,858 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 15:16:44 05/29/2011
-- Design Name:
-- Module Name: uart - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.std_logic_misc.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity uart is
Port ( clk : in STD_LOGIC;
tx : out STD_LOGIC;
rx : in STD_LOGIC;
txdata : in STD_LOGIC_VECTOR (48 downto 0);
txwidth : in STD_LOGIC_VECTOR (5 downto 0);
txstrobe : in STD_LOGIC;
txbusy : out STD_LOGIC;
rxdata : out STD_LOGIC_VECTOR (7 downto 0);
rxstrobe : out STD_LOGIC);
end uart;
architecture Behavioral of uart is
signal txclk : std_logic := '0';
signal rxclk : std_logic := '0';
signal txclkdiv : std_logic_vector(10 downto 0);
signal rxclkdiv : std_logic_vector(10 downto 0);
signal txclksync : std_logic := '0';
signal rxclksync : std_logic := '0';
signal txdatabuf : std_logic_vector(48 downto 0) := "0000000000000000000000000000000000000000000000000";
signal txdataleft : std_logic_vector(5 downto 0) := "000000";
signal txbusy_src : std_logic := '0';
signal rxdata_src : std_logic_vector(7 downto 0);
signal rxbits : std_logic_vector(3 downto 0);
signal rxbusy : std_logic := '0';
signal rx1 : std_logic;
signal rx2 : std_logic;
begin
tx <= txdatabuf(0);
txclk <= '1' when txclkdiv = "00000000000" and txclksync = '0' else '0';
rxclk <= '1' when rxclkdiv = "00000000000" and rxclksync = '0' else '0';
txbusy_src <= '0' when txdataleft = "000000" else '1';
txbusy <= txbusy_src;
rxdata <= rxdata_src;
process(clk)
begin
if rising_edge(clk) then
rx1 <= rx;
rx2 <= rx1;
end if;
end process;
process(clk)
begin
if rising_edge(clk) then
if txclksync = '1' or txclkdiv = "00000000000" then
txclkdiv <= "10000010001";
else
txclkdiv <= txclkdiv - 1;
end if;
end if;
end process;
process(clk)
begin
if rising_edge(clk) then
if rxclksync = '1' then
rxclkdiv <= "11000011001";
elsif rxclkdiv = "00000000000000" then
rxclkdiv <= "10000010001";
else
rxclkdiv <= rxclkdiv - 1;
end if;
end if;
end process;
process(clk)
begin
if rising_edge(clk) then
if txstrobe = '1' and txbusy_src = '0' then
txdatabuf <= txdata;
txdataleft <= txwidth;
txclksync <= '1';
else
if txclk = '1' then
txdatabuf(47 downto 0) <= txdatabuf(48 downto 1);
txdatabuf(48) <= '1';
if txbusy_src = '1' then
txdataleft <= txdataleft - 1;
end if;
end if;
txclksync <= '0';
end if;
end if;
end process;
process(clk)
begin
if rising_edge(clk) then
if rxbusy = '1' then
if rxclk = '1' then
if rxbits = "1000" then
rxbusy <= '0';
rxstrobe <= '1';
else
rxdata_src(6 downto 0) <= rxdata_src(7 downto 1);
rxdata_src(7) <= rx2;
rxbits <= rxbits + 1;
rxbusy <= '1';
rxstrobe <= '0';
end if;
end if;
rxclksync <= '0';
elsif rx2 = '0' then
rxbits <= "0000";
rxbusy <= '1';
rxclksync <= '1';
rxstrobe <= '0';
else
rxbusy <= '0';
rxclksync <= '0';
rxstrobe <= '0';
end if;
end if;
end process;
end Behavioral;
| gpl-3.0 | 2b2c402c13e6dd7a73b67af1c5f9cbc0 | 0.588388 | 3.121359 | false | false | false | false |
shailcoolboy/Warp-Trinity | edk_user_repository/WARP/pcores/linkport_v1_00_a/hdl/vhdl/fifo_64x18.vhd | 4 | 5,459 | --------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used --
-- solely for design, simulation, implementation and creation of --
-- design files limited to Xilinx devices or technologies. Use --
-- with non-Xilinx devices or technologies is expressly prohibited --
-- and immediately terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" --
-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR --
-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION --
-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION --
-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS --
-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, --
-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE --
-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY --
-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS --
-- FOR A PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support --
-- appliances, devices, or systems. Use in such applications are --
-- expressly prohibited. --
-- --
-- (c) Copyright 1995-2006 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
-- You must compile the wrapper file fifo_64x18.vhd when simulating
-- the core, fifo_64x18. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synopsys directives "translate_off/translate_on" specified
-- below are supported by XST, FPGA Compiler II, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synopsys translate_off
Library XilinxCoreLib;
-- synopsys translate_on
ENTITY fifo_64x18 IS
port (
din: IN std_logic_VECTOR(17 downto 0);
rd_clk: IN std_logic;
rd_en: IN std_logic;
rst: IN std_logic;
wr_clk: IN std_logic;
wr_en: IN std_logic;
almost_empty: OUT std_logic;
almost_full: OUT std_logic;
dout: OUT std_logic_VECTOR(17 downto 0);
empty: OUT std_logic;
full: OUT std_logic);
END fifo_64x18;
ARCHITECTURE fifo_64x18_a OF fifo_64x18 IS
-- synopsys translate_off
component wrapped_fifo_64x18
port (
din: IN std_logic_VECTOR(17 downto 0);
rd_clk: IN std_logic;
rd_en: IN std_logic;
rst: IN std_logic;
wr_clk: IN std_logic;
wr_en: IN std_logic;
almost_empty: OUT std_logic;
almost_full: OUT std_logic;
dout: OUT std_logic_VECTOR(17 downto 0);
empty: OUT std_logic;
full: OUT std_logic);
end component;
-- Configuration specification
for all : wrapped_fifo_64x18 use entity XilinxCoreLib.fifo_generator_v2_3(behavioral)
generic map(
c_wr_response_latency => 1,
c_has_rd_data_count => 0,
c_din_width => 18,
c_has_wr_data_count => 0,
c_implementation_type => 2,
c_family => "virtex2p",
c_has_wr_rst => 0,
c_underflow_low => 0,
c_has_meminit_file => 0,
c_has_overflow => 0,
c_preload_latency => 0,
c_dout_width => 18,
c_rd_depth => 64,
c_default_value => "BlankString",
c_mif_file_name => "BlankString",
c_has_underflow => 0,
c_has_rd_rst => 0,
c_has_almost_full => 1,
c_has_rst => 1,
c_data_count_width => 2,
c_has_wr_ack => 0,
c_wr_ack_low => 0,
c_common_clock => 0,
c_rd_pntr_width => 6,
c_has_almost_empty => 1,
c_rd_data_count_width => 2,
c_enable_rlocs => 0,
c_wr_pntr_width => 6,
c_overflow_low => 0,
c_prog_empty_type => 0,
c_optimization_mode => 0,
c_wr_data_count_width => 2,
c_preload_regs => 1,
c_dout_rst_val => "0",
c_has_data_count => 0,
c_prog_full_thresh_negate_val => 62,
c_wr_depth => 64,
c_prog_empty_thresh_negate_val => 62,
c_prog_empty_thresh_assert_val => 62,
c_has_valid => 0,
c_init_wr_pntr_val => 0,
c_prog_full_thresh_assert_val => 62,
c_use_fifo16_flags => 0,
c_has_backup => 0,
c_valid_low => 0,
c_prim_fifo_type => 512,
c_count_type => 0,
c_prog_full_type => 0,
c_memory_type => 2);
-- synopsys translate_on
BEGIN
-- synopsys translate_off
U0 : wrapped_fifo_64x18
port map (
din => din,
rd_clk => rd_clk,
rd_en => rd_en,
rst => rst,
wr_clk => wr_clk,
wr_en => wr_en,
almost_empty => almost_empty,
almost_full => almost_full,
dout => dout,
empty => empty,
full => full);
-- synopsys translate_on
END fifo_64x18_a;
| bsd-2-clause | bb34dd9048272d28d1f57bd29fd9f7d1 | 0.566587 | 3.542505 | false | false | false | false |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.