repo_name
stringlengths
6
79
path
stringlengths
6
236
copies
int64
1
472
size
int64
137
1.04M
content
stringlengths
137
1.04M
license
stringclasses
15 values
hash
stringlengths
32
32
alpha_frac
float64
0.25
0.96
ratio
float64
1.51
17.5
autogenerated
bool
1 class
config_or_test
bool
2 classes
has_no_keywords
bool
1 class
has_few_assignments
bool
1 class
INTI-CMNB-FPGA/fpga_lib
vhdl/mems/mems_pkg.vhdl
1
4,624
-- -- Mems Package -- -- Author(s): -- * Rodrigo A. Melo -- -- Copyright (C) 2016-2017 Authors and INTI -- Distributed under the BSD 3-Clause License -- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; --! Description of memory blocks to be inferred package Mems is --! Read-During-Write Behavior type syncmode_t is (READ_FIRST, WRITE_FIRST, NO_CHANGE); --! Returns the max memory depth based on the AWIDTH generic when the DEPTH --! generic is zero or the DEPTH value in other cases. function getMemorySize(depth: natural; awidth: positive) return positive; component SinglePortRAM is generic ( AWIDTH : positive:=8; -- Address width DWIDTH : positive:=8; -- Data width DEPTH : natural:=0; -- Memory depth SYNCMODE : syncmode_t:=WRITE_FIRST; -- Synchronization Mode OUTREG : boolean :=FALSE -- Optional Output Register ); port ( clk_i : in std_logic; wen_i : in std_logic; addr_i : in std_logic_vector(AWIDTH-1 downto 0); data_i : in std_logic_vector(DWIDTH-1 downto 0); data_o : out std_logic_vector(DWIDTH-1 downto 0) ); end component SinglePortRAM; component SimpleDualPortRAM is generic ( AWIDTH : positive:=8; -- Address width DWIDTH : positive:=8; -- Data width DEPTH : natural:=0; -- Memory depth OUTREG : boolean :=FALSE -- Optional Output Register ); port ( clk1_i : in std_logic; clk2_i : in std_logic; wen1_i : in std_logic; addr1_i : in std_logic_vector(AWIDTH-1 downto 0); addr2_i : in std_logic_vector(AWIDTH-1 downto 0); data1_i : in std_logic_vector(DWIDTH-1 downto 0); data2_o : out std_logic_vector(DWIDTH-1 downto 0) ); end component SimpleDualPortRAM; component TrueDualPortRAM is generic ( AWIDTH : positive:=8; -- Address width DWIDTH : positive:=8; -- Data width DEPTH : natural:=0; -- Memory depth SYNCMODE : syncmode_t:=WRITE_FIRST; -- Synchronization Mode OUTREG : boolean :=FALSE -- Optional Output Register ); port ( clk1_i : in std_logic; clk2_i : in std_logic; wen1_i : in std_logic; wen2_i : in std_logic; addr1_i : in std_logic_vector(AWIDTH-1 downto 0); addr2_i : in std_logic_vector(AWIDTH-1 downto 0); data1_i : in std_logic_vector(DWIDTH-1 downto 0); data2_i : in std_logic_vector(DWIDTH-1 downto 0); data1_o : out std_logic_vector(DWIDTH-1 downto 0); data2_o : out std_logic_vector(DWIDTH-1 downto 0) ); end component TrueDualPortRAM; component FIFO is generic ( DWIDTH : positive:=8; -- Data width DEPTH : positive:=8; -- FIFO depth OUTREG : boolean :=FALSE; -- Optional Output Register AFULLOFFSET : positive:=1; -- Almost FULL OFFSET AEMPTYOFFSET : positive:=1; -- Almost EMPTY OFFSET ASYNC : boolean :=TRUE -- Asynchronous FIFO ); port ( -- write side wclk_i : in std_logic; -- Write Clock wrst_i : in std_logic; -- Write Reset wen_i : in std_logic; -- Write Enable data_i : in std_logic_vector(DWIDTH-1 downto 0); -- Data Input full_o : out std_logic; -- Full Flag afull_o : out std_logic; -- Almost Full Flag overflow_o : out std_logic; -- Overflow Flag -- read side rclk_i : in std_logic; -- Read Clock rrst_i : in std_logic; -- Read Reset ren_i : in std_logic; -- Read enable data_o : out std_logic_vector(DWIDTH-1 downto 0); -- Data Output empty_o : out std_logic; -- Empty flag aempty_o : out std_logic; -- Almost Empty flag underflow_o : out std_logic; -- Underflow Flag valid_o : out std_logic -- Read Valid ); end component FIFO; end package Mems; package body Mems is function getMemorySize(depth: natural; awidth: positive) return positive is begin if depth=0 then return 2**awidth; else return depth; end if; end function getMemorySize; end package body Mems;
bsd-3-clause
55ad36589b99591bebe5d369d02fd2d6
0.548875
3.846922
false
false
false
false
openPOWERLINK/openPOWERLINK_V2
hardware/ipcore/common/fifo/src/fifoWrite-rtl-ea.vhd
3
5,853
------------------------------------------------------------------------------- --! @file fifoWrite-rtl-ea.vhd -- --! @brief FIFO write controller -- --! @details This is a FIFO write controller. -- ------------------------------------------------------------------------------- -- -- (c) B&R Industrial Automation GmbH, 2014 -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- 3. Neither the name of B&R nor the names of its -- contributors may be used to endorse or promote products derived -- from this software without prior written permission. For written -- permission, please contact [email protected] -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, -- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT -- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN -- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; --! Common library library libcommon; --! Use common library global package use libcommon.global.all; entity fifoWrite is generic ( gAddrWidth : natural := 4 ); port ( iClk : in std_logic; iRst : in std_logic; iWrite : in std_logic; iRdPointer : in std_logic_vector(gAddrWidth downto 0); oFull : out std_logic; oEmpty : out std_logic; oPointer : out std_logic_vector(gAddrWidth downto 0); oAddress : out std_logic_vector(gAddrWidth-1 downto 0); oUsedWord : out std_logic_vector(gAddrWidth-1 downto 0) ); end fifoWrite; architecture rtl of fifoWrite is signal w_ptr_reg : std_logic_vector(gAddrWidth downto 0); signal w_ptr_next : std_logic_vector(gAddrWidth downto 0); signal gray1 : std_logic_vector(gAddrWidth downto 0); signal bin : std_logic_vector(gAddrWidth downto 0); signal bin1 : std_logic_vector(gAddrWidth downto 0); signal waddr_all : std_logic_vector(gAddrWidth-1 downto 0); signal waddr_msb : std_logic; signal raddr_msb : std_logic; signal full_flag : std_logic; signal empty_flag : std_logic; signal w_elements_wr : std_logic_vector(gAddrWidth downto 0); signal w_elements_rd : std_logic_vector(gAddrWidth downto 0); signal w_elements_diff : std_logic_vector(gAddrWidth downto 0); signal w_elements_reg : std_logic_vector(gAddrWidth-1 downto 0); signal w_elements_next : std_logic_vector(gAddrWidth-1 downto 0); begin --! Clock process for registers. regProc : process(iClk, iRst) begin if iRst = cActivated then w_ptr_reg <= (others => cInactivated); w_elements_reg <= (others => cInactivated); elsif rising_edge(iClk) then w_ptr_reg <= w_ptr_next; w_elements_reg <= w_elements_next; end if; end process; -- (gAddrWidth+1)-bit Gray counter bin <= w_ptr_reg xor (cInactivated & bin(gAddrWidth downto 1)); bin1 <= std_logic_vector(unsigned(bin) + 1); gray1 <= bin1 xor (cInactivated & bin1(gAddrWidth downto 1)); -- update write pointer w_ptr_next <= gray1 when iWrite = cActivated and full_flag = cInactivated else w_ptr_reg; -- gAddrWidth-bit Gray counter waddr_msb <= w_ptr_reg(gAddrWidth) xor w_ptr_reg(gAddrWidth-1); waddr_all <= waddr_msb & w_ptr_reg(gAddrWidth-2 downto 0); raddr_msb <= iRdPointer(gAddrWidth) xor iRdPointer(gAddrWidth-1); -- check for FIFO write empty empty_flag <= cActivated when iRdPointer(gAddrWidth) = w_ptr_reg(gAddrWidth) and iRdPointer(gAddrWidth-2 downto 0) = w_ptr_reg(gAddrWidth-2 downto 0) and raddr_msb = waddr_msb else cInactivated; -- check for FIFO write full full_flag <= cActivated when iRdPointer(gAddrWidth) /= w_ptr_reg(gAddrWidth) and iRdPointer(gAddrWidth-2 downto 0) = w_ptr_reg(gAddrWidth-2 downto 0) and raddr_msb = waddr_msb else cInactivated; -- convert gray value to bin and obtain difference w_elements_wr <= bin; w_elements_rd <= iRdPointer xor (cInactivated & w_elements_rd(gAddrWidth downto 1)); w_elements_diff <= std_logic_vector(unsigned(w_elements_wr) - unsigned(w_elements_rd)); w_elements_next <= w_elements_diff(w_elements_next'range); -- output oAddress <= waddr_all; oPointer <= w_ptr_reg; oUsedWord <= w_elements_reg; oEmpty <= empty_flag; oFull <= full_flag; end rtl;
gpl-2.0
431a05d22c688f7f465c569c29e68932
0.62122
4.159915
false
false
false
false
ou-cse-378/vhdl-tetris
mux2g.vhd
1
1,069
-- ================================================================================= -- // Name: Bryan Mason, James Batcheler, & Brad McMahon -- // File: mux2g.vhd -- // Date: 12/9/2004 -- // Description: 2 channel, n bit mux -- // Class: CSE 378 -- ================================================================================= library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following lines to use the declarations that are -- provided for instantiating Xilinx primitive components. --library UNISIM; --use UNISIM.VComponents.all; entity mux2g is generic(width:positive); Port ( a : in std_logic_vector(width-1 downto 0); b : in std_logic_vector(width-1 downto 0); sel : in std_logic; y : out std_logic_vector(width-1 downto 0) ); end mux2g; architecture mux2g_arch of mux2g is begin process(a, b, sel) begin case sel is when '0' => y <= a; when others => y <= b; end case; end process; end mux2g_arch;
mit
f8e5795b36351cbe12f2982492ff6daa
0.539757
3.551495
false
false
false
false
DreamIP/GPStudio
support/process/fastfilter/hdl/fastfilter_process.vhd
1
4,707
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.fastfilter_types.all; entity fastfilter_process is generic( PIXEL_SIZE : integer; IMAGE_WIDTH : integer ); port( clk : in std_logic; reset_n : in std_logic; enable : in std_logic; in_data : in std_logic_vector ((PIXEL_SIZE-1) downto 0); in_dv : in std_logic; in_fv : in std_logic; out1_data : out std_logic_vector ((PIXEL_SIZE-1) downto 0); out1_dv : out std_logic; out1_fv : out std_logic ); end entity; architecture structural of fastfilter_process is -------------------------------------------------------------------------------- -- COMPONENTS -------------------------------------------------------------------------------- component neighExtractor generic( PIXEL_SIZE : integer; IMAGE_WIDTH : integer; KERNEL_SIZE : integer ); port( clk : in std_logic; reset_n : in std_logic; enable : in std_logic; in_data : in std_logic_vector((PIXEL_SIZE-1) downto 0); in_dv : in std_logic; in_fv : in std_logic; out_data : out pixel_array (0 to (KERNEL_SIZE * KERNEL_SIZE)- 1); out_dv : out std_logic; out_fv : out std_logic ); end component; -------------------------------------------------------------------------------- component fastfilterElement generic( KERNEL_SIZE : integer; --IMAGE_WIDTH : integer; PIXEL_SIZE : integer ); port( clk : in std_logic; reset_n : in std_logic; enable : in std_logic; in_data : in pixel_array (0 to KERNEL_SIZE * KERNEL_SIZE - 1); in_dv : in std_logic; in_fv : in std_logic; in_kernel : in pixel_array (0 to KERNEL_SIZE * KERNEL_SIZE - 1); in_norm : in std_logic_vector(PIXEL_SIZE-1 downto 0); out_data : out std_logic_vector(PIXEL_SIZE-1 downto 0); out_dv : out std_logic; out_fv : out std_logic ); end component; -------------------------------------------------------------------------------- -- CONSTANTS -------------------------------------------------------------------------------- constant CONST_C1_KERNEL_SIZE : integer := 5; constant CONST_C1_NORM : std_logic_vector := std_logic_vector(to_unsigned(5,PIXEL_SIZE)); constant CONST_C1_KERNEL : pixel_array (0 to CONST_C1_KERNEL_SIZE * CONST_C1_KERNEL_SIZE - 1) := (others => (std_logic_vector(to_unsigned(1,PIXEL_SIZE)))); -------------------------------------------------------------------------------- -- SIGNALS -------------------------------------------------------------------------------- signal ne1_data : pixel_array (0 to CONST_C1_KERNEL_SIZE * CONST_C1_KERNEL_SIZE - 1); signal ne1_dv : std_logic; signal ne1_fv : std_logic; -------------------------------------------------------------------------------- -- STRUCTURAL DESCRIPTION -------------------------------------------------------------------------------- begin NE1_INST : neighExtractor generic map( PIXEL_SIZE => PIXEL_SIZE, IMAGE_WIDTH => IMAGE_WIDTH, KERNEL_SIZE => CONST_C1_KERNEL_SIZE ) port map( clk => clk, reset_n => reset_n, enable => enable, in_data => in_data, in_dv => in_dv, in_fv => in_fv, out_data => ne1_data, out_dv => ne1_dv, out_fv => ne1_fv ); -------------------------------------------------------------------------------- CE1_INST : fastfilterElement generic map( PIXEL_SIZE => PIXEL_SIZE, --IMAGE_WIDTH => IMAGE_WIDTH, KERNEL_SIZE => CONST_C1_KERNEL_SIZE ) port map( clk => clk, reset_n => reset_n, enable => enable, in_data => ne1_data, in_dv => ne1_dv, in_fv => ne1_fv, in_kernel => CONST_C1_KERNEL, in_norm => CONST_C1_NORM, out_data => out1_data, out_dv => out1_dv, out_fv => out1_fv ); end structural;
gpl-3.0
a967c96d199618d11905a8b623bf390c
0.395581
4.00937
false
false
false
false
openPOWERLINK/openPOWERLINK_V2
hardware/ipcore/common/parallelinterface/src/prlSlave-rtl-ea.vhd
3
12,837
------------------------------------------------------------------------------- --! @file prlSlave-rtl-ea.vhd --! @brief Multiplexed memory mapped slave ------------------------------------------------------------------------------- -- -- (c) B&R Industrial Automation GmbH, 2014 -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- 3. Neither the name of B&R nor the names of its -- contributors may be used to endorse or promote products derived -- from this software without prior written permission. For written -- permission, please contact [email protected] -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, -- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT -- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN -- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- ------------------------------------------------------------------------------- --! Use standard ieee library library ieee; --! Use logic elements use ieee.std_logic_1164.all; --! Use numeric std use ieee.numeric_std.all; --! Use libcommon library library libcommon; --! Use global package use libcommon.global.all; entity prlSlave is generic ( --! Enable multiplexed address/data-bus mode (0 = FALSE) gEnableMux : natural := 0; --! Data bus width gDataWidth : natural := 16; --! Address bus width gAddrWidth : natural := 16; --! Ad bus width (valid when gEnableMux /= FALSE) gAdWidth : natural := 16 ); port ( --! Clock iClk : in std_logic; --! Reset iRst : in std_logic; -- Memory mapped multiplexed slave --! Chipselect iPrlSlv_cs : in std_logic; --! Read strobe iPrlSlv_rd : in std_logic; --! Write strobe iPrlSlv_wr : in std_logic; --! Address Latch enable (Multiplexed only) iPrlSlv_ale : in std_logic; --! High active Acknowledge oPrlSlv_ack : out std_logic; --! Byteenables iPrlSlv_be : in std_logic_vector(gDataWidth/8-1 downto 0); -- Multiplexed AD-bus --! Address/Data bus out oPrlSlv_ad_o : out std_logic_vector(gAdWidth-1 downto 0); --! Address/Data bus in iPrlSlv_ad_i : in std_logic_vector(gAdWidth-1 downto 0); --! Address/Data bus outenable oPrlSlv_ad_oen : out std_logic; -- Demultiplexed AD-bus --! Address bus iPrlSlv_addr : in std_logic_vector(gAddrWidth-1 downto 0); --! Data bus in iPrlSlv_data_i : in std_logic_vector(gDataWidth-1 downto 0); --! Data bus out oPrlSlv_data_o : out std_logic_vector(gDataWidth-1 downto 0); --! Data bus outenable oPrlSlv_data_oen : out std_logic; -- Memory Mapped master --! MM slave host address oMst_address : out std_logic_vector(gAddrWidth-1 downto 0); --! MM slave host byteenable oMst_byteenable : out std_logic_vector(gDataWidth/8-1 downto 0); --! MM slave host read oMst_read : out std_logic; --! MM slave host readdata iMst_readdata : in std_logic_vector(gDataWidth-1 downto 0); --! MM slave host write oMst_write : out std_logic; --! MM slave host writedata oMst_writedata : out std_logic_vector(gDataWidth-1 downto 0); --! MM slave host waitrequest iMst_waitrequest : in std_logic ); end prlSlave; architecture rtl of prlSlave is -- address register to store the address populated to the interface signal addressRegister : std_logic_vector(gAddrWidth-1 downto 0); -- byteenable register to store byteenable qualifiers signal byteenableRegister : std_logic_vector(gDataWidth/8-1 downto 0); -- register clock enable signal byteenableRegClkEnable : std_logic; -- write data register to store the data populated to the interface signal writeDataRegister : std_logic_vector(gDataWidth-1 downto 0); -- register clock enable signal writeDataRegClkEnable : std_logic; -- read data register to store the read data populated to the host signal readDataRegister : std_logic_vector(gDataWidth-1 downto 0); signal readDataRegister_next : std_logic_vector(gDataWidth-1 downto 0); -- synchronized signals signal hostChipselect : std_logic; signal hostWrite : std_logic; signal hostWrite_noCs : std_logic; signal hostRead : std_logic; signal hostRead_noCs : std_logic; signal hostDataEnable : std_logic; signal hostDataEnable_reg : std_logic; signal hostAck : std_logic; signal hostAck_reg : std_logic; -- fsm type tFsm is ( sIdle, sStart, sWaitForBus, sHold ); signal fsm : tFsm; -- Latch type type tLatch is record clear : std_logic; enable : std_logic; data : std_logic_vector(gAddrWidth-1 downto 0); output : std_logic_vector(gAddrWidth-1 downto 0); end record; signal inst_latch : tLatch; begin --! The processes describe the register, which stores the unsynchronized --! inputs! reg : process(iRst, iClk) begin if iRst = cActivated then addressRegister <= (others => cInactivated); byteenableRegister <= (others => cInactivated); writeDataRegister <= (others => cInactivated); readDataRegister <= (others => cInactivated); hostDataEnable_reg <= cInactivated; hostAck_reg <= cInactivated; elsif rising_edge(iClk) then hostDataEnable_reg <= hostDataEnable; hostAck_reg <= hostAck; if byteenableRegClkEnable = cActivated then byteenableRegister <= iPrlSlv_be; -- Assign byte addresses to the address register if gEnableMux /= 0 then addressRegister <= (others => cInactivated); addressRegister <= inst_latch.output; else addressRegister <= iPrlSlv_addr; end if; end if; if writeDataRegClkEnable = cActivated then if gEnableMux /= 0 then writeDataRegister <= iPrlSlv_ad_i(writeDataRegister'range); else writeDataRegister <= iPrlSlv_data_i; end if; end if; if iMst_waitrequest = cInactivated and hostRead = cActivated then readDataRegister <= readDataRegister_next; end if; end if; end process; oMst_address <= addressRegister; -- Multiplexed output oPrlSlv_ad_oen <= hostDataEnable_reg; oPrlSlv_ack <= hostAck_reg; -- Demultiplexed output oPrlSlv_data_oen <= hostDataEnable_reg; assignReaddata : process(readDataRegister) begin -- default assign zeros oPrlSlv_ad_o <= (others => cInactivated); oPrlSlv_data_o <= (others => cInactivated); oPrlSlv_ad_o(readDataRegister'range) <= readDataRegister; oPrlSlv_data_o(readDataRegister'range) <= readDataRegister; end process assignReaddata; --! combinatoric process for ack and output enable generation combProc : process ( hostWrite, hostRead, fsm ) begin -- default assignments to avoid unwanted latches hostAck <= cInactivated; hostDataEnable <= cInactivated; if fsm = sHold then if hostRead = cActivated then hostDataEnable <= cActivated; hostAck <= cActivated; elsif hostWrite = cActivated then hostAck <= cActivated; end if; end if; end process; --! Fsm to control access and timeout counter fsmProc : process(iRst, iClk) begin if iRst = cActivated then fsm <= sIdle; byteenableRegClkEnable <= cInactivated; writeDataRegClkEnable <= cInactivated; oMst_write <= cInactivated; oMst_read <= cInactivated; elsif rising_edge(iClk) then --defaults byteenableRegClkEnable <= cInactivated; writeDataRegClkEnable <= cInactivated; case fsm is when sIdle => oMst_write <= cInactivated; oMst_read <= cInactivated; if hostRead = cActivated or hostWrite = cActivated then fsm <= sStart; byteenableRegClkEnable <= cActivated; writeDataRegClkEnable <= hostWrite; end if; when sStart => fsm <= sWaitForBus; oMst_read <= hostRead; oMst_write <= hostWrite; when sWaitForBus => if iMst_waitrequest = cInactivated then fsm <= sHold; oMst_read <= cInactivated; oMst_write <= cInactivated; end if; when sHold => if hostRead = cInactivated and hostWrite = cInactivated then fsm <= sIdle; end if; end case; end if; end process; oMst_byteenable <= byteenableRegister; oMst_writedata <= writeDataRegister; readDataRegister_next <= iMst_readdata; muxLatch : if gEnableMux /= 0 generate -- Address latch addrLatch : entity work.dataLatch generic map ( gDataWidth => inst_latch.data'length ) port map ( iClear => inst_latch.clear, iEnable => inst_latch.enable, iData => inst_latch.data, oData => inst_latch.output ); inst_latch.clear <= cInactivated; inst_latch.enable <= iPrlSlv_ale; inst_latch.data <= iPrlSlv_ad_i(inst_latch.data'range); end generate muxLatch; -- synchronize all available control signals syncChipselect : entity libcommon.synchronizer generic map ( gStages => 2, gInit => cInactivated ) port map ( iArst => iRst, iClk => iClk, iAsync => iPrlSlv_cs, oSync => hostChipselect ); syncWrite : entity libcommon.synchronizer generic map ( gStages => 2, gInit => cInactivated ) port map ( iArst => iRst, iClk => iClk, iAsync => iPrlSlv_wr, oSync => hostWrite_noCs ); hostWrite <= hostChipselect and hostWrite_noCs; syncRead : entity libcommon.synchronizer generic map ( gStages => 2, gInit => cInactivated ) port map ( iArst => iRst, iClk => iClk, iAsync => iPrlSlv_rd, oSync => hostRead_noCs ); hostRead <= hostChipselect and hostRead_noCs; end rtl;
gpl-2.0
4a93f3f32417ee72484a85b79c3b11a3
0.549583
5.118421
false
false
false
false
openPOWERLINK/openPOWERLINK_V2
hardware/ipcore/common/openmac/src/openhub-rtl-ea.vhd
3
7,534
------------------------------------------------------------------------------- --! @file openhub-rtl-ea.vhd -- --! @brief OpenHUB -- --! @details This is the openHUB using RMII Rx and Tx lines. ------------------------------------------------------------------------------- -- -- (c) B&R Industrial Automation GmbH, 2014 -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- 3. Neither the name of B&R nor the names of its -- contributors may be used to endorse or promote products derived -- from this software without prior written permission. For written -- permission, please contact [email protected] -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, -- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT -- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN -- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; --! Common library library libcommon; --! Use common library global package use libcommon.global.all; --! Work library library work; --! use openmac package use work.openmacPkg.all; entity openhub is generic ( --! Number of ports gPortCount : integer := 3 ); port ( --! Reset iRst : in std_logic; --! RMII Clock iClk : in std_logic; --! RMII receive paths iRx : in tRmiiPathArray(gPortCount downto 1); --! RMII transmit paths oTx : out tRmiiPathArray(gPortCount downto 1); --! Determine number of internal port (to MAC) iIntPort : in integer range 1 to gPortCount := 1; --! Transmit mask to enable ports iTxMask : in std_logic_vector(gPortCount downto 1) := (others => cActivated); --! Gives the number of the currectly receiving port oRxPort : out integer range 0 to gPortCount ); end entity openhub; architecture rtl of openhub is --! All ports inactive constant constant cPortsAreInactive : std_logic_vector(gPortCount downto 0) := (others => cInactivated); --! Receive path array signal rxPath : tRmiiPathArray(gPortCount downto 0); --! Receive path array delayed by one cycle signal rxPath_l : tRmiiPathArray(gPortCount downto 0); --! Transmit path array signal txPath : tRmiiPathArray(gPortCount downto 0); --! Stored transmit mask (is taken from iTxMask when to packet transfer is in progress) signal txMask_reg : std_logic_vector(gPortCount downto 1); begin rxPath <= iRx & cRmiiPathInit; oTx <= txPath(oTx'range); do: process (iRst, iClk) variable vActive : boolean; variable vMaster : integer range 0 to gPortCount; variable vMasterAtCollision : integer range 0 to gPortCount; variable vCollision : boolean; variable vRxDvm : std_logic_vector(gPortCount downto 0); begin if iRst = cActivated then rxPath_l <= (others => cRmiiPathInit); txPath <= (others => cRmiiPathInit); vActive := false; vMaster := 0; vMasterAtCollision := 0; vCollision := false; txMask_reg <= (others => cInactivated); elsif rising_edge(iClk) then rxPath_l <= rxPath; if vActive = false then if rmiiGetEnable(rxPath_l) /= cPortsAreInactive then for i in 1 to gPortCount loop if (rxPath_l(i).enable = cActivated and (rxPath_l(i).data(0) = cActivated or rxPath_l(i).data(1) = cActivated)) then vMaster := i; vActive := true; exit; end if; end loop; end if; else if rxPath_l(vMaster).enable = cInactivated and rxPath(vMaster).enable = cInactivated then vMaster := 0; end if; if rmiiGetEnable(rxPath_l) = cPortsAreInactive and rmiiGetEnable(rxPath) = cPortsAreInactive then vActive := false; end if; end if; if vMaster = 0 then txPath <= (others => cRmiiPathInit); -- overtake new iTxMask only, when there is no active frame. txMask_reg <= iTxMask; else for i in 1 to gPortCount loop -- output received frame to every port if i /= vMaster then -- but not to the port where it is coming from - "eh kloar!" -- only send data to active ports (=> iTxMask is set to cActivated) or the internal port (mac) if txMask_reg(i) = cActivated or vMaster = iIntPort then txPath(i).enable <= cActivated; txPath(i).data <= rxPath_l(vMaster).data; end if; -- if there is a frame received and another is sent => collision! if rxPath_l(i).enable = cActivated then vCollision := true; vMasterAtCollision := vMaster; end if; end if; end loop; end if; if vCollision = true then txPath(vMasterAtCollision).enable <= cActivated; txPath(vMasterAtCollision).data <= "01"; vRxDvm := rmiiGetEnable(rxPath_l); vRxDvm(vMasterAtCollision) := cInactivated; if vRxDvm = cPortsAreInactive then txPath(vMasterAtCollision) <= cRmiiPathInit; vCollision := false; vMasterAtCollision := 0; end if; end if; -- output the master port - identifies the port (1...n) which has received the packet. -- if master is 0, the hub is inactive. oRxPort <= vMaster; end if; end process do; end rtl;
gpl-2.0
33fa97868a4331ff1dd787ba775c65c7
0.547518
4.982804
false
false
false
false
DreamIP/GPStudio
support/component/gp_com/flow_to_com/fifo_com_tx.vhd
1
6,568
library ieee; use ieee.std_logic_1164.all; use ieee.math_real.all; library altera_mf; use altera_mf.all; entity fifo_com_tx is generic ( DEPTH : POSITIVE; IN_SIZE : POSITIVE; OUT_SIZE : POSITIVE ); port ( aclr : in std_logic; data : in std_logic_vector (IN_SIZE-1 downto 0); rdclk : in std_logic; rdreq : in std_logic; wrclk : in std_logic; wrreq : in std_logic; q : out std_logic_vector (OUT_SIZE-1 downto 0); rdempty : out std_logic; rdusedw : out std_logic_vector (integer(ceil(log2(real(DEPTH))*(real(IN_SIZE)/real(OUT_SIZE))))-1 downto 0); wrfull : out std_logic; wrusedw : out std_logic_vector (integer(ceil(log2(real(DEPTH))))-1 downto 0) ); END fifo_com_tx; architecture syn of fifo_com_tx is signal sub_wire0 : std_logic; signal sub_wire1 : std_logic_vector (OUT_SIZE-1 downto 0); signal sub_wire2 : std_logic; signal sub_wire3 : std_logic_vector (integer(ceil(log2(real(DEPTH))))-1 downto 0); signal sub_wire4 : std_logic_vector (integer(ceil(log2(real(DEPTH))*(real(IN_SIZE)/real(OUT_SIZE))))-1 downto 0); component dcfifo generic ( intended_device_family : STRING; lpm_numwords : NATURAL; lpm_showahead : STRING; lpm_type : STRING; lpm_width : NATURAL; lpm_widthu : NATURAL; overflow_checking : STRING; rdsync_delaypipe : NATURAL; read_aclr_synch : STRING; underflow_checking : STRING; use_eab : STRING; write_aclr_synch : STRING; wrsync_delaypipe : NATURAL ); port ( rdclk : in std_logic; wrfull : out std_logic; q : out std_logic_vector (OUT_SIZE-1 downto 0); rdempty : out std_logic; wrclk : in std_logic; wrreq : in std_logic; wrusedw : out std_logic_vector (integer(ceil(log2(real(depth))))-1 downto 0); aclr : in std_logic; data : in std_logic_vector (IN_SIZE-1 downto 0); rdreq : in std_logic; rdusedw : out std_logic_vector (integer(ceil(log2(real(depth))))-1 downto 0) ); end component; component dcfifo_mixed_widths generic ( intended_device_family : STRING; lpm_numwords : NATURAL; lpm_showahead : STRING; lpm_type : STRING; lpm_width : NATURAL; lpm_widthu : NATURAL; lpm_widthu_r : NATURAL; lpm_width_r : NATURAL; overflow_checking : STRING; rdsync_delaypipe : NATURAL; read_aclr_synch : STRING; underflow_checking : STRING; use_eab : STRING; write_aclr_synch : STRING; wrsync_delaypipe : NATURAL ); port ( rdclk : in std_logic; wrfull : out std_logic; q : out std_logic_vector (OUT_SIZE-1 downto 0); rdempty : out std_logic; wrclk : in std_logic; wrreq : in std_logic; wrusedw : out std_logic_vector (integer(ceil(log2(real(depth))))-1 downto 0); aclr : in std_logic; data : in std_logic_vector (IN_SIZE-1 downto 0); rdreq : in std_logic; rdusedw : out std_logic_vector (integer(ceil(log2(real(DEPTH))*(real(IN_SIZE)/real(OUT_SIZE))))-1 downto 0) ); end component; begin wrfull <= sub_wire0; q <= sub_wire1; rdempty <= sub_wire2; wrusedw <= sub_wire3; rdusedw <= sub_wire4; FIFO_GEN_SAME_WIDTH : if (IN_SIZE = OUT_SIZE) generate dcfifo_component : dcfifo generic map ( intended_device_family => "Cyclone III", lpm_numwords => DEPTH, lpm_showahead => "OFF", lpm_type => "dcfifo", lpm_width => IN_SIZE, lpm_widthu => integer(ceil(log2(real(DEPTH)))), overflow_checking => "ON", rdsync_delaypipe => 4, read_aclr_synch => "OFF", underflow_checking => "ON", use_eab => "ON", write_aclr_synch => "OFF", wrsync_delaypipe => 4 ) port map ( rdclk => rdclk, wrclk => wrclk, wrreq => wrreq, aclr => aclr, data => data, rdreq => rdreq, wrfull => sub_wire0, q => sub_wire1, rdempty => sub_wire2, wrusedw => sub_wire3, rdusedw => sub_wire4 ); end generate; FIFO_GEN_MIXED_WIDTH : if (IN_SIZE /= OUT_SIZE) generate dcfifo_component : dcfifo_mixed_widths generic map ( intended_device_family => "Cyclone III", lpm_numwords => DEPTH, lpm_showahead => "OFF", lpm_type => "dcfifo_mixed_widths", lpm_width => IN_SIZE, lpm_widthu => integer(ceil(log2(real(DEPTH)))), lpm_widthu_r => integer(ceil(log2(real(DEPTH))*(real(IN_SIZE)/real(OUT_SIZE)))), lpm_width_r => OUT_SIZE, overflow_checking => "ON", rdsync_delaypipe => 4, read_aclr_synch => "OFF", underflow_checking => "ON", use_eab => "ON", write_aclr_synch => "OFF", wrsync_delaypipe => 4 ) port map ( rdclk => rdclk, wrclk => wrclk, wrreq => wrreq, aclr => aclr, data => data(7 downto 0) & data(15 downto 8), -- inverse bytes rdreq => rdreq, wrfull => sub_wire0, q => sub_wire1, rdempty => sub_wire2, wrusedw => sub_wire3, rdusedw => sub_wire4 ); end generate; end syn;
gpl-3.0
fe5e4be83efbe9078e39bf8ab08bb074
0.461023
4.112711
false
false
false
false
ou-cse-378/vhdl-tetris
datastack.vhd
1
3,086
-- ================================================================================= -- // Name: Bryan Mason, James Batcheler, & Brad McMahon -- // File: Datastack.vhd -- // Date: 12/9/2004 -- // Description: Datastack -- // Class: CSE 378 -- ================================================================================= library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; entity datastack is port ( TLoad : in std_logic; y1 : in STD_LOGIC_VECTOR(15 downto 0); nsel : in STD_LOGIC_VECTOR(1 downto 0); nload : in STD_LOGIC; ssel : in STD_LOGIC; clk : in STD_LOGIC; clr : in STD_LOGIC; dpush : in STD_LOGIC; dpop : in STD_LOGIC; Tin : in STD_LOGIC_VECTOR(15 downto 0); T : out STD_LOGIC_VECTOR(15 downto 0); N : out STD_LOGIC_VECTOR(15 downto 0); N2 : out STD_LOGIC_VECTOR(15 downto 0) ); end datastack; architecture Behavioral of datastack is component stack32x16 port ( d : in STD_LOGIC_VECTOR(15 downto 0); clk : in STD_LOGIC; clr : in STD_LOGIC; push : in STD_LOGIC; pop : in STD_LOGIC; full : out STD_LOGIC; empty : out STD_LOGIC; q : out STD_LOGIC_VECTOR(15 downto 0) ); END component; component mux2g generic(width:positive); Port ( a : in std_logic_vector(width-1 downto 0); b : in std_logic_vector(width-1 downto 0); sel : in std_logic; y : out std_logic_vector(width-1 downto 0) ); end component; component mux4g generic(width:positive); Port ( a : in std_logic_vector(width-1 downto 0); b : in std_logic_vector(width-1 downto 0); c : in std_logic_vector(width-1 downto 0); d : in std_logic_vector(width-1 downto 0); sel : in std_logic_vector(1 downto 0); y : out std_logic_vector(width-1 downto 0) ); end component; component reg generic(width: positive); port ( d : in STD_LOGIC_VECTOR (width-1 downto 0); load : in STD_LOGIC; clr : in STD_LOGIC; clk : in STD_LOGIC; q : out STD_LOGIC_VECTOR (width-1 downto 0) ); end component; constant bus_width: positive := 16; signal T1: std_logic_vector(15 downto 0); signal N1: std_logic_vector(15 downto 0); signal NS: std_logic_vector(15 downto 0); signal D: std_logic_vector(15 downto 0); signal NIN: std_logic_vector(15 downto 0); signal FULL: std_logic; signal EMPTY: std_logic; begin T <= T1; N <= N1; N2 <= NS; SWtreg : reg generic map (width => bus_width) port map ( d => TIN, load => TLOAD, clr => CLR, clk => CLK, q => T1 ); SWnreg : reg generic map (width => bus_width) port map ( d => NIN, load => NLOAD, clr => CLR, clk => CLK, q => N1 ); SWmux2g: mux2g generic map (width => bus_width) port map ( a => N1, b => T1, sel => SSEL, y => D ); SWmux4g: mux4g generic map (width => bus_width) port map ( a => T1, b => NS, c => Y1, d=> Y1, sel => NSEL, y => NIN ); SWstack32x16: stack32x16 port map ( d => D, clk => CLK, clr => CLR, push => DPUSH, pop => DPOP, full => FULL, empty => EMPTY, q => NS ); end behavioral;
mit
6bf7ae8b2ce200ac6309b149bb511dbf
0.57453
3.142566
false
false
false
false
hpeng2/ECE492_Group4_Project
Ryans_stuff/tracking_camera/tracking_camera_system/testbench/tracking_camera_system_tb/simulation/submodules/tracking_camera_system_jtag_uart_0_avalon_jtag_slave_translator.vhd
1
12,724
-- tracking_camera_system_jtag_uart_0_avalon_jtag_slave_translator.vhd -- Generated using ACDS version 12.1sp1 243 at 2015.02.13.13:59:38 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity tracking_camera_system_jtag_uart_0_avalon_jtag_slave_translator is generic ( AV_ADDRESS_W : integer := 1; AV_DATA_W : integer := 32; UAV_DATA_W : integer := 32; AV_BURSTCOUNT_W : integer := 1; AV_BYTEENABLE_W : integer := 1; UAV_BYTEENABLE_W : integer := 4; UAV_ADDRESS_W : integer := 25; UAV_BURSTCOUNT_W : integer := 3; AV_READLATENCY : integer := 0; USE_READDATAVALID : integer := 0; USE_WAITREQUEST : integer := 1; USE_UAV_CLKEN : integer := 0; AV_SYMBOLS_PER_WORD : integer := 4; AV_ADDRESS_SYMBOLS : integer := 0; AV_BURSTCOUNT_SYMBOLS : integer := 0; AV_CONSTANT_BURST_BEHAVIOR : integer := 0; UAV_CONSTANT_BURST_BEHAVIOR : integer := 0; AV_REQUIRE_UNALIGNED_ADDRESSES : integer := 0; CHIPSELECT_THROUGH_READLATENCY : integer := 0; AV_READ_WAIT_CYCLES : integer := 1; AV_WRITE_WAIT_CYCLES : integer := 0; AV_SETUP_WAIT_CYCLES : integer := 0; AV_DATA_HOLD_CYCLES : integer := 0 ); port ( clk : in std_logic := '0'; -- clk.clk reset : in std_logic := '0'; -- reset.reset uav_address : in std_logic_vector(24 downto 0) := (others => '0'); -- avalon_universal_slave_0.address uav_burstcount : in std_logic_vector(2 downto 0) := (others => '0'); -- .burstcount uav_read : in std_logic := '0'; -- .read uav_write : in std_logic := '0'; -- .write uav_waitrequest : out std_logic; -- .waitrequest uav_readdatavalid : out std_logic; -- .readdatavalid uav_byteenable : in std_logic_vector(3 downto 0) := (others => '0'); -- .byteenable uav_readdata : out std_logic_vector(31 downto 0); -- .readdata uav_writedata : in std_logic_vector(31 downto 0) := (others => '0'); -- .writedata uav_lock : in std_logic := '0'; -- .lock uav_debugaccess : in std_logic := '0'; -- .debugaccess av_address : out std_logic_vector(0 downto 0); -- avalon_anti_slave_0.address av_write : out std_logic; -- .write av_read : out std_logic; -- .read av_readdata : in std_logic_vector(31 downto 0) := (others => '0'); -- .readdata av_writedata : out std_logic_vector(31 downto 0); -- .writedata av_waitrequest : in std_logic := '0'; -- .waitrequest av_chipselect : out std_logic; -- .chipselect av_beginbursttransfer : out std_logic; av_begintransfer : out std_logic; av_burstcount : out std_logic_vector(0 downto 0); av_byteenable : out std_logic_vector(0 downto 0); av_clken : out std_logic; av_debugaccess : out std_logic; av_lock : out std_logic; av_outputenable : out std_logic; av_readdatavalid : in std_logic := '0'; av_writebyteenable : out std_logic_vector(0 downto 0); uav_clken : in std_logic := '0' ); end entity tracking_camera_system_jtag_uart_0_avalon_jtag_slave_translator; architecture rtl of tracking_camera_system_jtag_uart_0_avalon_jtag_slave_translator is component altera_merlin_slave_translator is generic ( AV_ADDRESS_W : integer := 30; AV_DATA_W : integer := 32; UAV_DATA_W : integer := 32; AV_BURSTCOUNT_W : integer := 4; AV_BYTEENABLE_W : integer := 4; UAV_BYTEENABLE_W : integer := 4; UAV_ADDRESS_W : integer := 32; UAV_BURSTCOUNT_W : integer := 4; AV_READLATENCY : integer := 0; USE_READDATAVALID : integer := 1; USE_WAITREQUEST : integer := 1; USE_UAV_CLKEN : integer := 0; AV_SYMBOLS_PER_WORD : integer := 4; AV_ADDRESS_SYMBOLS : integer := 0; AV_BURSTCOUNT_SYMBOLS : integer := 0; AV_CONSTANT_BURST_BEHAVIOR : integer := 0; UAV_CONSTANT_BURST_BEHAVIOR : integer := 0; AV_REQUIRE_UNALIGNED_ADDRESSES : integer := 0; CHIPSELECT_THROUGH_READLATENCY : integer := 0; AV_READ_WAIT_CYCLES : integer := 0; AV_WRITE_WAIT_CYCLES : integer := 0; AV_SETUP_WAIT_CYCLES : integer := 0; AV_DATA_HOLD_CYCLES : integer := 0 ); port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset uav_address : in std_logic_vector(24 downto 0) := (others => 'X'); -- address uav_burstcount : in std_logic_vector(2 downto 0) := (others => 'X'); -- burstcount uav_read : in std_logic := 'X'; -- read uav_write : in std_logic := 'X'; -- write uav_waitrequest : out std_logic; -- waitrequest uav_readdatavalid : out std_logic; -- readdatavalid uav_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable uav_readdata : out std_logic_vector(31 downto 0); -- readdata uav_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata uav_lock : in std_logic := 'X'; -- lock uav_debugaccess : in std_logic := 'X'; -- debugaccess av_address : out std_logic_vector(0 downto 0); -- address av_write : out std_logic; -- write av_read : out std_logic; -- read av_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata av_writedata : out std_logic_vector(31 downto 0); -- writedata av_waitrequest : in std_logic := 'X'; -- waitrequest av_chipselect : out std_logic; -- chipselect av_begintransfer : out std_logic; -- begintransfer av_beginbursttransfer : out std_logic; -- beginbursttransfer av_burstcount : out std_logic_vector(0 downto 0); -- burstcount av_byteenable : out std_logic_vector(0 downto 0); -- byteenable av_readdatavalid : in std_logic := 'X'; -- readdatavalid av_writebyteenable : out std_logic_vector(0 downto 0); -- writebyteenable av_lock : out std_logic; -- lock av_clken : out std_logic; -- clken uav_clken : in std_logic := 'X'; -- clken av_debugaccess : out std_logic; -- debugaccess av_outputenable : out std_logic -- outputenable ); end component altera_merlin_slave_translator; begin jtag_uart_0_avalon_jtag_slave_translator : component altera_merlin_slave_translator generic map ( AV_ADDRESS_W => AV_ADDRESS_W, AV_DATA_W => AV_DATA_W, UAV_DATA_W => UAV_DATA_W, AV_BURSTCOUNT_W => AV_BURSTCOUNT_W, AV_BYTEENABLE_W => AV_BYTEENABLE_W, UAV_BYTEENABLE_W => UAV_BYTEENABLE_W, UAV_ADDRESS_W => UAV_ADDRESS_W, UAV_BURSTCOUNT_W => UAV_BURSTCOUNT_W, AV_READLATENCY => AV_READLATENCY, USE_READDATAVALID => USE_READDATAVALID, USE_WAITREQUEST => USE_WAITREQUEST, USE_UAV_CLKEN => USE_UAV_CLKEN, AV_SYMBOLS_PER_WORD => AV_SYMBOLS_PER_WORD, AV_ADDRESS_SYMBOLS => AV_ADDRESS_SYMBOLS, AV_BURSTCOUNT_SYMBOLS => AV_BURSTCOUNT_SYMBOLS, AV_CONSTANT_BURST_BEHAVIOR => AV_CONSTANT_BURST_BEHAVIOR, UAV_CONSTANT_BURST_BEHAVIOR => UAV_CONSTANT_BURST_BEHAVIOR, AV_REQUIRE_UNALIGNED_ADDRESSES => AV_REQUIRE_UNALIGNED_ADDRESSES, CHIPSELECT_THROUGH_READLATENCY => CHIPSELECT_THROUGH_READLATENCY, AV_READ_WAIT_CYCLES => AV_READ_WAIT_CYCLES, AV_WRITE_WAIT_CYCLES => AV_WRITE_WAIT_CYCLES, AV_SETUP_WAIT_CYCLES => AV_SETUP_WAIT_CYCLES, AV_DATA_HOLD_CYCLES => AV_DATA_HOLD_CYCLES ) port map ( clk => clk, -- clk.clk reset => reset, -- reset.reset uav_address => uav_address, -- avalon_universal_slave_0.address uav_burstcount => uav_burstcount, -- .burstcount uav_read => uav_read, -- .read uav_write => uav_write, -- .write uav_waitrequest => uav_waitrequest, -- .waitrequest uav_readdatavalid => uav_readdatavalid, -- .readdatavalid uav_byteenable => uav_byteenable, -- .byteenable uav_readdata => uav_readdata, -- .readdata uav_writedata => uav_writedata, -- .writedata uav_lock => uav_lock, -- .lock uav_debugaccess => uav_debugaccess, -- .debugaccess av_address => av_address, -- avalon_anti_slave_0.address av_write => av_write, -- .write av_read => av_read, -- .read av_readdata => av_readdata, -- .readdata av_writedata => av_writedata, -- .writedata av_waitrequest => av_waitrequest, -- .waitrequest av_chipselect => av_chipselect, -- .chipselect av_begintransfer => open, -- (terminated) av_beginbursttransfer => open, -- (terminated) av_burstcount => open, -- (terminated) av_byteenable => open, -- (terminated) av_readdatavalid => '0', -- (terminated) av_writebyteenable => open, -- (terminated) av_lock => open, -- (terminated) av_clken => open, -- (terminated) uav_clken => '0', -- (terminated) av_debugaccess => open, -- (terminated) av_outputenable => open -- (terminated) ); end architecture rtl; -- of tracking_camera_system_jtag_uart_0_avalon_jtag_slave_translator
gpl-2.0
69043d4ce58027c7d5ed54d3134287ca
0.435948
4.275538
false
false
false
false
hpeng2/ECE492_Group4_Project
ECE_492_Project_new/Video_System/simulation/submodules/Video_System_Chroma_Resampler.vhd
1
10,468
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_misc.all; -- ****************************************************************************** -- * License Agreement * -- * * -- * Copyright (c) 1991-2012 Altera Corporation, San Jose, California, USA. * -- * All rights reserved. * -- * * -- * Any megafunction design, and related net list (encrypted or decrypted), * -- * support information, device programming or simulation file, and any other * -- * associated documentation or information provided by Altera or a partner * -- * under Altera's Megafunction Partnership Program may be used only to * -- * program PLD devices (but not masked PLD devices) from Altera. Any other * -- * use of such megafunction design, net list, support information, device * -- * programming or simulation file, or any other related documentation or * -- * information is prohibited for any other purpose, including, but not * -- * limited to modification, reverse engineering, de-compiling, or use with * -- * any other silicon devices, unless such use is explicitly licensed under * -- * a separate agreement with Altera or a megafunction partner. Title to * -- * the intellectual property, including patents, copyrights, trademarks, * -- * trade secrets, or maskworks, embodied in any such megafunction design, * -- * net list, support information, device programming or simulation file, or * -- * any other related documentation or information provided by Altera or a * -- * megafunction partner, remains with Altera, the megafunction partner, or * -- * their respective licensors. No other licenses, including any licenses * -- * needed under any third party's intellectual property, are provided herein.* -- * Copying or modifying any file, or portion thereof, to which this notice * -- * is attached violates this copyright. * -- * * -- * THIS FILE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * -- * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * -- * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL * -- * THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER * -- * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING * -- * FROM, OUT OF OR IN CONNECTION WITH THIS FILE OR THE USE OR OTHER DEALINGS * -- * IN THIS FILE. * -- * * -- * This agreement shall be governed in all respects by the laws of the State * -- * of California and by the laws of the United States of America. * -- * * -- ****************************************************************************** -- ****************************************************************************** -- * * -- * This module converts resamples the chroma components of a video in * -- * stream, whos colour space is YCrCb. * -- * * -- ****************************************************************************** ENTITY Video_System_Chroma_Resampler IS -- ***************************************************************************** -- * Generic Declarations * -- ***************************************************************************** GENERIC ( IDW :INTEGER := 15; -- Incoming frame's data width ODW :INTEGER := 23; -- Outcoming frame's data width IEW :INTEGER := 0; -- Incoming frame's empty width OEW :INTEGER := 1 -- Outcoming frame's empty width ); -- ***************************************************************************** -- * Port Declarations * -- ***************************************************************************** PORT ( -- Inputs clk :IN STD_LOGIC; reset :IN STD_LOGIC; stream_in_data :IN STD_LOGIC_VECTOR(IDW DOWNTO 0); stream_in_startofpacket :IN STD_LOGIC; stream_in_endofpacket :IN STD_LOGIC; stream_in_empty :IN STD_LOGIC_VECTOR(IEW DOWNTO 0); stream_in_valid :IN STD_LOGIC; stream_out_ready :IN STD_LOGIC; -- Bidirectional -- Outputs stream_in_ready :BUFFER STD_LOGIC; stream_out_data :BUFFER STD_LOGIC_VECTOR(ODW DOWNTO 0); stream_out_startofpacket :BUFFER STD_LOGIC; stream_out_endofpacket :BUFFER STD_LOGIC; stream_out_empty :BUFFER STD_LOGIC_VECTOR(OEW DOWNTO 0); stream_out_valid :BUFFER STD_LOGIC ); END Video_System_Chroma_Resampler; ARCHITECTURE Behaviour OF Video_System_Chroma_Resampler IS -- ***************************************************************************** -- * Constant Declarations * -- ***************************************************************************** -- ***************************************************************************** -- * Internal Signals Declarations * -- ***************************************************************************** -- Internal Wires SIGNAL transfer_data :STD_LOGIC; SIGNAL converted_data :STD_LOGIC_VECTOR(ODW DOWNTO 0); SIGNAL converted_startofpacket :STD_LOGIC; SIGNAL converted_endofpacket :STD_LOGIC; SIGNAL converted_empty :STD_LOGIC_VECTOR(OEW DOWNTO 0); SIGNAL converted_valid :STD_LOGIC; -- Internal Registers SIGNAL data :STD_LOGIC_VECTOR(IDW DOWNTO 0); SIGNAL startofpacket :STD_LOGIC; SIGNAL endofpacket :STD_LOGIC; SIGNAL empty :STD_LOGIC_VECTOR(IEW DOWNTO 0); SIGNAL valid :STD_LOGIC; SIGNAL saved_CrCb :STD_LOGIC_VECTOR( 7 DOWNTO 0); SIGNAL cur_is_Cr_or_Cb :STD_LOGIC; -- State Machine Registers -- Integers -- ***************************************************************************** -- * Component Declarations * -- ***************************************************************************** BEGIN -- ***************************************************************************** -- * Finite State Machine(s) * -- ***************************************************************************** -- ***************************************************************************** -- * Sequential Logic * -- ***************************************************************************** -- Output Registers PROCESS (clk) BEGIN IF clk'EVENT AND clk = '1' THEN IF (reset = '1') THEN stream_out_data <= (OTHERS => '0'); stream_out_startofpacket <= '0'; stream_out_endofpacket <= '0'; stream_out_empty <= (OTHERS => '0'); stream_out_valid <= '0'; ELSIF (transfer_data = '1') THEN stream_out_data <= converted_data; stream_out_startofpacket <= converted_startofpacket; stream_out_endofpacket <= converted_endofpacket; stream_out_empty <= converted_empty; stream_out_valid <= converted_valid; END IF; END IF; END PROCESS; -- Internal Registers PROCESS (clk) BEGIN IF clk'EVENT AND clk = '1' THEN IF (reset = '1') THEN data <= (OTHERS => '0'); startofpacket <= '0'; endofpacket <= '0'; empty <= (OTHERS => '0'); valid <= '0'; ELSIF (stream_in_ready = '1') THEN data <= stream_in_data; startofpacket <= stream_in_startofpacket; endofpacket <= stream_in_endofpacket; empty <= stream_in_empty; valid <= stream_in_valid; ELSIF (transfer_data = '1') THEN data <= (OTHERS => '0'); startofpacket <= '0'; endofpacket <= '0'; empty <= (OTHERS => '0'); valid <= '0'; END IF; END IF; END PROCESS; PROCESS (clk) BEGIN IF clk'EVENT AND clk = '1' THEN IF (reset = '1') THEN saved_CrCb <= B"00000000"; ELSIF ((stream_in_ready = '1') AND (stream_in_startofpacket = '1')) THEN saved_CrCb <= B"00000000"; ELSIF ((transfer_data = '1') AND (valid = '1')) THEN saved_CrCb <= data(15 DOWNTO 8); END IF; END IF; END PROCESS; PROCESS (clk) BEGIN IF clk'EVENT AND clk = '1' THEN IF (reset = '1') THEN cur_is_Cr_or_Cb <= '0'; ELSIF ((stream_in_ready = '1') AND (stream_in_startofpacket = '1')) THEN cur_is_Cr_or_Cb <= '0'; ELSIF (stream_in_ready = '1') THEN cur_is_Cr_or_Cb <= cur_is_Cr_or_Cb XOR '1'; END IF; END IF; END PROCESS; -- ***************************************************************************** -- * Combinational Logic * -- ***************************************************************************** -- Output Assignments stream_in_ready <= stream_in_valid AND (NOT valid OR transfer_data); -- Internal Assignments transfer_data <= NOT stream_out_valid OR (stream_out_ready AND stream_out_valid); converted_data(23 DOWNTO 16) <= data(15 DOWNTO 8) WHEN (cur_is_Cr_or_Cb = '1') ELSE saved_CrCb; converted_data(15 DOWNTO 8) <= saved_CrCb WHEN (cur_is_Cr_or_Cb = '1') ELSE data(15 DOWNTO 8); converted_data( 7 DOWNTO 0) <= data( 7 DOWNTO 0); converted_startofpacket <= startofpacket; converted_endofpacket <= endofpacket; converted_empty <= empty; converted_valid <= valid; -- ***************************************************************************** -- * Component Instantiations * -- ***************************************************************************** END Behaviour;
gpl-2.0
34c39a9c0cd81b3b55e5ac82ef9888c7
0.458349
4.398319
false
false
false
false
hoglet67/ElectronFpga
src/common/T6502/T65_ALU.vhd
3
10,064
-- **** -- T65(b) core. In an effort to merge and maintain bug fixes .... -- -- See list of changes in T65 top file (T65.vhd)... -- -- **** -- 65xx compatible microprocessor core -- -- FPGAARCADE SVN: $Id: T65_ALU.vhd 1234 2015-02-28 20:14:50Z wolfgang.scherr $ -- -- Copyright (c) 2002...2015 -- Daniel Wallner (jesus <at> opencores <dot> org) -- Mike Johnson (mikej <at> fpgaarcade <dot> com) -- Wolfgang Scherr (WoS <at> pin4 <dot> at> -- Morten Leikvoll () -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author(s), but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- Limitations : -- See in T65 top file (T65.vhd)... library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.T65_Pack.all; entity T65_ALU is port( Mode : in std_logic_vector(1 downto 0); -- "00" => 6502, "01" => 65C02, "10" => 65816 Op : in T_ALU_OP; BusA : in std_logic_vector(7 downto 0); BusB : in std_logic_vector(7 downto 0); P_In : in std_logic_vector(7 downto 0); P_Out : out std_logic_vector(7 downto 0); Q : out std_logic_vector(7 downto 0) ); end T65_ALU; architecture rtl of T65_ALU is -- AddSub variables (temporary signals) signal ADC_Z : std_logic; signal ADC_C : std_logic; signal ADC_V : std_logic; signal ADC_N : std_logic; signal ADC_Q : std_logic_vector(7 downto 0); signal SBC_Z : std_logic; signal SBC_C : std_logic; signal SBC_V : std_logic; signal SBC_N : std_logic; signal SBC_Q : std_logic_vector(7 downto 0); signal SBX_Q : std_logic_vector(7 downto 0); begin process (P_In, BusA, BusB) variable AL : unsigned(6 downto 0); variable AH : unsigned(6 downto 0); variable C : std_logic; begin AL := resize(unsigned(BusA(3 downto 0) & P_In(Flag_C)), 7) + resize(unsigned(BusB(3 downto 0) & "1"), 7); AH := resize(unsigned(BusA(7 downto 4) & AL(5)), 7) + resize(unsigned(BusB(7 downto 4) & "1"), 7); -- pragma translate_off if is_x(std_logic_vector(AL)) then AL := "0000000"; end if; if is_x(std_logic_vector(AH)) then AH := "0000000"; end if; -- pragma translate_on if AL(4 downto 1) = 0 and AH(4 downto 1) = 0 then ADC_Z <= '1'; else ADC_Z <= '0'; end if; if AL(5 downto 1) > 9 and P_In(Flag_D) = '1' then AL(6 downto 1) := AL(6 downto 1) + 6; end if; C := AL(6) or AL(5); AH := resize(unsigned(BusA(7 downto 4) & C), 7) + resize(unsigned(BusB(7 downto 4) & "1"), 7); ADC_N <= AH(4); ADC_V <= (AH(4) xor BusA(7)) and not (BusA(7) xor BusB(7)); -- pragma translate_off if is_x(std_logic_vector(AH)) then AH := "0000000"; end if; -- pragma translate_on if AH(5 downto 1) > 9 and P_In(Flag_D) = '1' then AH(6 downto 1) := AH(6 downto 1) + 6; end if; ADC_C <= AH(6) or AH(5); ADC_Q <= std_logic_vector(AH(4 downto 1) & AL(4 downto 1)); end process; process (Op, P_In, BusA, BusB) variable AL : unsigned(6 downto 0); variable AH : unsigned(5 downto 0); variable C : std_logic; variable CT : std_logic; begin CT:='0'; if( Op=ALU_OP_AND or --"0001" These OpCodes used to have LSB set Op=ALU_OP_ADC or --"0011" Op=ALU_OP_EQ2 or --"0101" Op=ALU_OP_SBC or --"0111" Op=ALU_OP_ROL or --"1001" Op=ALU_OP_ROR or --"1011" -- Op=ALU_OP_EQ3 or --"1101" Op=ALU_OP_INC --"1111" ) then CT:='1'; end if; C := P_In(Flag_C) or not CT;--was: or not Op(0); AL := resize(unsigned(BusA(3 downto 0) & C), 7) - resize(unsigned(BusB(3 downto 0) & "1"), 6); AH := resize(unsigned(BusA(7 downto 4) & "0"), 6) - resize(unsigned(BusB(7 downto 4) & AL(5)), 6); -- pragma translate_off if is_x(std_logic_vector(AL)) then AL := "0000000"; end if; if is_x(std_logic_vector(AH)) then AH := "000000"; end if; -- pragma translate_on if AL(4 downto 1) = 0 and AH(4 downto 1) = 0 then SBC_Z <= '1'; else SBC_Z <= '0'; end if; SBC_C <= not AH(5); SBC_V <= (AH(4) xor BusA(7)) and (BusA(7) xor BusB(7)); SBC_N <= AH(4); SBX_Q <= std_logic_vector(AH(4 downto 1) & AL(4 downto 1)); if P_In(Flag_D) = '1' then if AL(5) = '1' then AL(5 downto 1) := AL(5 downto 1) - 6; end if; AH := resize(unsigned(BusA(7 downto 4) & "0"), 6) - resize(unsigned(BusB(7 downto 4) & AL(6)), 6); if AH(5) = '1' then AH(5 downto 1) := AH(5 downto 1) - 6; end if; end if; SBC_Q <= std_logic_vector(AH(4 downto 1) & AL(4 downto 1)); end process; process (Op, P_In, BusA, BusB, ADC_Z, ADC_C, ADC_V, ADC_N, ADC_Q, SBC_Z, SBC_C, SBC_V, SBC_N, SBC_Q, SBX_Q) variable Q_t : std_logic_vector(7 downto 0); variable Q2_t : std_logic_vector(7 downto 0); begin -- ORA, AND, EOR, ADC, NOP, LD, CMP, SBC -- ASL, ROL, LSR, ROR, BIT, LD, DEC, INC P_Out <= P_In; Q_t := BusA; Q2_t := BusA; case Op is when ALU_OP_OR=> Q_t := BusA or BusB; when ALU_OP_AND=> Q_t := BusA and BusB; when ALU_OP_EOR=> Q_t := BusA xor BusB; when ALU_OP_ADC=> P_Out(Flag_V) <= ADC_V; P_Out(Flag_C) <= ADC_C; Q_t := ADC_Q; when ALU_OP_CMP=> P_Out(Flag_C) <= SBC_C; when ALU_OP_SAX=> P_Out(Flag_C) <= SBC_C; Q_t := SBX_Q; -- undoc: subtract (A & X) - (immediate) when ALU_OP_SBC=> P_Out(Flag_V) <= SBC_V; P_Out(Flag_C) <= SBC_C; Q_t := SBC_Q; -- undoc: subtract (A & X) - (immediate), then decimal correction when ALU_OP_ASL=> Q_t := BusA(6 downto 0) & "0"; P_Out(Flag_C) <= BusA(7); when ALU_OP_ROL=> Q_t := BusA(6 downto 0) & P_In(Flag_C); P_Out(Flag_C) <= BusA(7); when ALU_OP_LSR=> Q_t := "0" & BusA(7 downto 1); P_Out(Flag_C) <= BusA(0); when ALU_OP_ROR=> Q_t := P_In(Flag_C) & BusA(7 downto 1); P_Out(Flag_C) <= BusA(0); when ALU_OP_ARR=> Q_t := P_In(Flag_C) & (BusA(7 downto 1) and BusB(7 downto 1)); P_Out(Flag_V) <= Q_t(5) xor Q_t(6); Q2_t := Q_t; if P_In(Flag_D)='1' then if (BusA(3 downto 0) and BusB(3 downto 0)) > "0100" then Q2_t(3 downto 0) := std_logic_vector(unsigned(Q_t(3 downto 0)) + x"6"); end if; if (BusA(7 downto 4) and BusB(7 downto 4)) > "0100" then Q2_t(7 downto 4) := std_logic_vector(unsigned(Q_t(7 downto 4)) + x"6"); P_Out(Flag_C) <= '1'; else P_Out(Flag_C) <= '0'; end if; else P_Out(Flag_C) <= Q_t(6); end if; when ALU_OP_BIT=> P_Out(Flag_V) <= BusB(6); when ALU_OP_DEC=> Q_t := std_logic_vector(unsigned(BusA) - 1); when ALU_OP_INC=> Q_t := std_logic_vector(unsigned(BusA) + 1); when others => null; --EQ1,EQ2,EQ3 passes BusA to Q_t and P_in to P_out end case; case Op is when ALU_OP_ADC=> P_Out(Flag_N) <= ADC_N; P_Out(Flag_Z) <= ADC_Z; when ALU_OP_CMP|ALU_OP_SBC|ALU_OP_SAX=> P_Out(Flag_N) <= SBC_N; P_Out(Flag_Z) <= SBC_Z; when ALU_OP_EQ1=>--dont touch P when ALU_OP_BIT=> P_Out(Flag_N) <= BusB(7); if (BusA and BusB) = "00000000" then P_Out(Flag_Z) <= '1'; else P_Out(Flag_Z) <= '0'; end if; when ALU_OP_ANC=> P_Out(Flag_N) <= Q_t(7); P_Out(Flag_C) <= Q_t(7); if Q_t = "00000000" then P_Out(Flag_Z) <= '1'; else P_Out(Flag_Z) <= '0'; end if; when others => P_Out(Flag_N) <= Q_t(7); if Q_t = "00000000" then P_Out(Flag_Z) <= '1'; else P_Out(Flag_Z) <= '0'; end if; end case; if Op=ALU_OP_ARR then -- handled above in ARR code Q <= Q2_t; else Q <= Q_t; end if; end process; end;
gpl-3.0
c6d9fe02c5482b435b61122ff75aadca
0.536467
3.066423
false
false
false
false
DreamIP/GPStudio
support/process/draw/hdl/draw_slave.vhd
1
3,677
library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; library std; entity draw_slave is generic ( CLK_PROC_FREQ : integer ); port ( clk_proc : in std_logic; reset_n : in std_logic; ---------------- dynamic parameters ports --------------- status_reg_enable_bit : out std_logic; inImg_size_reg_in_w_reg : out std_logic_vector(11 downto 0); inImg_size_reg_in_h_reg : out std_logic_vector(11 downto 0); --======================= Slaves ======================== ------------------------- bus_sl ------------------------ addr_rel_i : in std_logic_vector(1 downto 0); wr_i : in std_logic; rd_i : in std_logic; datawr_i : in std_logic_vector(31 downto 0); datard_o : out std_logic_vector(31 downto 0) ); end draw_slave; architecture rtl of draw_slave is -- Registers address constant STATUS_REG_REG_ADDR : natural := 0; constant INIMG_SIZE_REG_REG_ADDR : natural := 1; -- Internal registers signal status_reg_enable_bit_reg : std_logic; signal inImg_size_reg_in_w_reg_reg : std_logic_vector (11 downto 0); signal inImg_size_reg_in_h_reg_reg : std_logic_vector (11 downto 0); begin write_reg : process (clk_proc, reset_n) begin if(reset_n='0') then status_reg_enable_bit_reg <= '0'; elsif(rising_edge(clk_proc)) then if(wr_i='1') then case to_integer(unsigned(addr_rel_i)) is when STATUS_REG_REG_ADDR => status_reg_enable_bit_reg <= datawr_i(0); when INIMG_SIZE_REG_REG_ADDR => inImg_size_reg_in_w_reg_reg <= datawr_i(11) & datawr_i(10) & datawr_i(9) & datawr_i(8) & datawr_i(7) & datawr_i(6) & datawr_i(5) & datawr_i(4) & datawr_i(3) & datawr_i(2) & datawr_i(1) & datawr_i(0); inImg_size_reg_in_h_reg_reg <= datawr_i(27) & datawr_i(26) & datawr_i(25) & datawr_i(24) & datawr_i(23) & datawr_i(22) & datawr_i(21) & datawr_i(20) & datawr_i(19) & datawr_i(18) & datawr_i(17) & datawr_i(16); when others=> end case; end if; end if; end process; read_reg : process (clk_proc, reset_n) begin if(reset_n='0') then datard_o <= (others => '0'); elsif(rising_edge(clk_proc)) then if(rd_i='1') then case to_integer(unsigned(addr_rel_i)) is when STATUS_REG_REG_ADDR => datard_o <= "00000000000000000000000000000" & '0' & '0' & status_reg_enable_bit_reg; when INIMG_SIZE_REG_REG_ADDR => datard_o <= "0000" & inImg_size_reg_in_h_reg_reg(11) & inImg_size_reg_in_h_reg_reg(10) & inImg_size_reg_in_h_reg_reg(9) & inImg_size_reg_in_h_reg_reg(8) & inImg_size_reg_in_h_reg_reg(7) & inImg_size_reg_in_h_reg_reg(6) & inImg_size_reg_in_h_reg_reg(5) & inImg_size_reg_in_h_reg_reg(4) & inImg_size_reg_in_h_reg_reg(3) & inImg_size_reg_in_h_reg_reg(2) & inImg_size_reg_in_h_reg_reg(1) & inImg_size_reg_in_h_reg_reg(0) & "0000" & inImg_size_reg_in_w_reg_reg(11) & inImg_size_reg_in_w_reg_reg(10) & inImg_size_reg_in_w_reg_reg(9) & inImg_size_reg_in_w_reg_reg(8) & inImg_size_reg_in_w_reg_reg(7) & inImg_size_reg_in_w_reg_reg(6) & inImg_size_reg_in_w_reg_reg(5) & inImg_size_reg_in_w_reg_reg(4) & inImg_size_reg_in_w_reg_reg(3) & inImg_size_reg_in_w_reg_reg(2) & inImg_size_reg_in_w_reg_reg(1) & inImg_size_reg_in_w_reg_reg(0); when others=> datard_o <= (others => '0'); end case; end if; end if; end process; status_reg_enable_bit <= status_reg_enable_bit_reg; inImg_size_reg_in_w_reg <= inImg_size_reg_in_w_reg_reg; inImg_size_reg_in_h_reg <= inImg_size_reg_in_h_reg_reg; end rtl;
gpl-3.0
07f978bd648e8df6b1ac69f24a18f6e2
0.580908
2.532369
false
false
false
false
hpeng2/ECE492_Group4_Project
ECE_492_Project_new/Video_System/simulation/video_system_av_config_avalon_av_config_slave_translator.vhd
1
12,673
-- video_system_av_config_avalon_av_config_slave_translator.vhd -- Generated using ACDS version 12.1sp1 243 at 2015.02.09.14:34:21 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity video_system_av_config_avalon_av_config_slave_translator is generic ( AV_ADDRESS_W : integer := 2; AV_DATA_W : integer := 32; UAV_DATA_W : integer := 32; AV_BURSTCOUNT_W : integer := 1; AV_BYTEENABLE_W : integer := 4; UAV_BYTEENABLE_W : integer := 4; UAV_ADDRESS_W : integer := 32; UAV_BURSTCOUNT_W : integer := 3; AV_READLATENCY : integer := 1; USE_READDATAVALID : integer := 0; USE_WAITREQUEST : integer := 1; USE_UAV_CLKEN : integer := 0; AV_SYMBOLS_PER_WORD : integer := 4; AV_ADDRESS_SYMBOLS : integer := 0; AV_BURSTCOUNT_SYMBOLS : integer := 0; AV_CONSTANT_BURST_BEHAVIOR : integer := 0; UAV_CONSTANT_BURST_BEHAVIOR : integer := 0; AV_REQUIRE_UNALIGNED_ADDRESSES : integer := 0; CHIPSELECT_THROUGH_READLATENCY : integer := 0; AV_READ_WAIT_CYCLES : integer := 0; AV_WRITE_WAIT_CYCLES : integer := 0; AV_SETUP_WAIT_CYCLES : integer := 0; AV_DATA_HOLD_CYCLES : integer := 0 ); port ( clk : in std_logic := '0'; -- clk.clk reset : in std_logic := '0'; -- reset.reset uav_address : in std_logic_vector(31 downto 0) := (others => '0'); -- avalon_universal_slave_0.address uav_burstcount : in std_logic_vector(2 downto 0) := (others => '0'); -- .burstcount uav_read : in std_logic := '0'; -- .read uav_write : in std_logic := '0'; -- .write uav_waitrequest : out std_logic; -- .waitrequest uav_readdatavalid : out std_logic; -- .readdatavalid uav_byteenable : in std_logic_vector(3 downto 0) := (others => '0'); -- .byteenable uav_readdata : out std_logic_vector(31 downto 0); -- .readdata uav_writedata : in std_logic_vector(31 downto 0) := (others => '0'); -- .writedata uav_lock : in std_logic := '0'; -- .lock uav_debugaccess : in std_logic := '0'; -- .debugaccess av_address : out std_logic_vector(1 downto 0); -- avalon_anti_slave_0.address av_write : out std_logic; -- .write av_read : out std_logic; -- .read av_readdata : in std_logic_vector(31 downto 0) := (others => '0'); -- .readdata av_writedata : out std_logic_vector(31 downto 0); -- .writedata av_byteenable : out std_logic_vector(3 downto 0); -- .byteenable av_waitrequest : in std_logic := '0'; -- .waitrequest av_beginbursttransfer : out std_logic; av_begintransfer : out std_logic; av_burstcount : out std_logic_vector(0 downto 0); av_chipselect : out std_logic; av_clken : out std_logic; av_debugaccess : out std_logic; av_lock : out std_logic; av_outputenable : out std_logic; av_readdatavalid : in std_logic := '0'; av_writebyteenable : out std_logic_vector(3 downto 0); uav_clken : in std_logic := '0' ); end entity video_system_av_config_avalon_av_config_slave_translator; architecture rtl of video_system_av_config_avalon_av_config_slave_translator is component altera_merlin_slave_translator is generic ( AV_ADDRESS_W : integer := 30; AV_DATA_W : integer := 32; UAV_DATA_W : integer := 32; AV_BURSTCOUNT_W : integer := 4; AV_BYTEENABLE_W : integer := 4; UAV_BYTEENABLE_W : integer := 4; UAV_ADDRESS_W : integer := 32; UAV_BURSTCOUNT_W : integer := 4; AV_READLATENCY : integer := 0; USE_READDATAVALID : integer := 1; USE_WAITREQUEST : integer := 1; USE_UAV_CLKEN : integer := 0; AV_SYMBOLS_PER_WORD : integer := 4; AV_ADDRESS_SYMBOLS : integer := 0; AV_BURSTCOUNT_SYMBOLS : integer := 0; AV_CONSTANT_BURST_BEHAVIOR : integer := 0; UAV_CONSTANT_BURST_BEHAVIOR : integer := 0; AV_REQUIRE_UNALIGNED_ADDRESSES : integer := 0; CHIPSELECT_THROUGH_READLATENCY : integer := 0; AV_READ_WAIT_CYCLES : integer := 0; AV_WRITE_WAIT_CYCLES : integer := 0; AV_SETUP_WAIT_CYCLES : integer := 0; AV_DATA_HOLD_CYCLES : integer := 0 ); port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset uav_address : in std_logic_vector(31 downto 0) := (others => 'X'); -- address uav_burstcount : in std_logic_vector(2 downto 0) := (others => 'X'); -- burstcount uav_read : in std_logic := 'X'; -- read uav_write : in std_logic := 'X'; -- write uav_waitrequest : out std_logic; -- waitrequest uav_readdatavalid : out std_logic; -- readdatavalid uav_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable uav_readdata : out std_logic_vector(31 downto 0); -- readdata uav_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata uav_lock : in std_logic := 'X'; -- lock uav_debugaccess : in std_logic := 'X'; -- debugaccess av_address : out std_logic_vector(1 downto 0); -- address av_write : out std_logic; -- write av_read : out std_logic; -- read av_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata av_writedata : out std_logic_vector(31 downto 0); -- writedata av_byteenable : out std_logic_vector(3 downto 0); -- byteenable av_waitrequest : in std_logic := 'X'; -- waitrequest av_begintransfer : out std_logic; -- begintransfer av_beginbursttransfer : out std_logic; -- beginbursttransfer av_burstcount : out std_logic_vector(0 downto 0); -- burstcount av_readdatavalid : in std_logic := 'X'; -- readdatavalid av_writebyteenable : out std_logic_vector(3 downto 0); -- writebyteenable av_lock : out std_logic; -- lock av_chipselect : out std_logic; -- chipselect av_clken : out std_logic; -- clken uav_clken : in std_logic := 'X'; -- clken av_debugaccess : out std_logic; -- debugaccess av_outputenable : out std_logic -- outputenable ); end component altera_merlin_slave_translator; begin av_config_avalon_av_config_slave_translator : component altera_merlin_slave_translator generic map ( AV_ADDRESS_W => AV_ADDRESS_W, AV_DATA_W => AV_DATA_W, UAV_DATA_W => UAV_DATA_W, AV_BURSTCOUNT_W => AV_BURSTCOUNT_W, AV_BYTEENABLE_W => AV_BYTEENABLE_W, UAV_BYTEENABLE_W => UAV_BYTEENABLE_W, UAV_ADDRESS_W => UAV_ADDRESS_W, UAV_BURSTCOUNT_W => UAV_BURSTCOUNT_W, AV_READLATENCY => AV_READLATENCY, USE_READDATAVALID => USE_READDATAVALID, USE_WAITREQUEST => USE_WAITREQUEST, USE_UAV_CLKEN => USE_UAV_CLKEN, AV_SYMBOLS_PER_WORD => AV_SYMBOLS_PER_WORD, AV_ADDRESS_SYMBOLS => AV_ADDRESS_SYMBOLS, AV_BURSTCOUNT_SYMBOLS => AV_BURSTCOUNT_SYMBOLS, AV_CONSTANT_BURST_BEHAVIOR => AV_CONSTANT_BURST_BEHAVIOR, UAV_CONSTANT_BURST_BEHAVIOR => UAV_CONSTANT_BURST_BEHAVIOR, AV_REQUIRE_UNALIGNED_ADDRESSES => AV_REQUIRE_UNALIGNED_ADDRESSES, CHIPSELECT_THROUGH_READLATENCY => CHIPSELECT_THROUGH_READLATENCY, AV_READ_WAIT_CYCLES => AV_READ_WAIT_CYCLES, AV_WRITE_WAIT_CYCLES => AV_WRITE_WAIT_CYCLES, AV_SETUP_WAIT_CYCLES => AV_SETUP_WAIT_CYCLES, AV_DATA_HOLD_CYCLES => AV_DATA_HOLD_CYCLES ) port map ( clk => clk, -- clk.clk reset => reset, -- reset.reset uav_address => uav_address, -- avalon_universal_slave_0.address uav_burstcount => uav_burstcount, -- .burstcount uav_read => uav_read, -- .read uav_write => uav_write, -- .write uav_waitrequest => uav_waitrequest, -- .waitrequest uav_readdatavalid => uav_readdatavalid, -- .readdatavalid uav_byteenable => uav_byteenable, -- .byteenable uav_readdata => uav_readdata, -- .readdata uav_writedata => uav_writedata, -- .writedata uav_lock => uav_lock, -- .lock uav_debugaccess => uav_debugaccess, -- .debugaccess av_address => av_address, -- avalon_anti_slave_0.address av_write => av_write, -- .write av_read => av_read, -- .read av_readdata => av_readdata, -- .readdata av_writedata => av_writedata, -- .writedata av_byteenable => av_byteenable, -- .byteenable av_waitrequest => av_waitrequest, -- .waitrequest av_begintransfer => open, -- (terminated) av_beginbursttransfer => open, -- (terminated) av_burstcount => open, -- (terminated) av_readdatavalid => '0', -- (terminated) av_writebyteenable => open, -- (terminated) av_lock => open, -- (terminated) av_chipselect => open, -- (terminated) av_clken => open, -- (terminated) uav_clken => '0', -- (terminated) av_debugaccess => open, -- (terminated) av_outputenable => open -- (terminated) ); end architecture rtl; -- of video_system_av_config_avalon_av_config_slave_translator
gpl-2.0
9a5ffd8183f0fc10c1baa290c76a548a
0.435572
4.272758
false
true
false
false
DreamIP/GPStudio
support/process/scharr/hdl/scharr_process.vhd
1
5,253
library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; library std; entity scharr_process is generic ( LINE_WIDTH_MAX : integer; CLK_PROC_FREQ : integer; IN_SIZE : integer; OUT_SIZE : integer; WEIGHT_SIZE : integer := 8 ); port ( clk_proc : in std_logic; reset_n : in std_logic; ---------------- dynamic parameters ports --------------- status_reg_enable_bit : in std_logic; widthimg_reg_width : in std_logic_vector(15 downto 0); ------------------------- in flow ----------------------- in_data : in std_logic_vector(IN_SIZE-1 downto 0); in_fv : in std_logic; in_dv : in std_logic; ------------------------ out flow ----------------------- out_data : out std_logic_vector(OUT_SIZE-1 downto 0); out_fv : out std_logic; out_dv : out std_logic ); end scharr_process; architecture rtl of scharr_process is component matrix_extractor generic ( LINE_WIDTH_MAX : integer; PIX_WIDTH : integer; OUTVALUE_WIDTH : integer ); port ( clk_proc : in std_logic; reset_n : in std_logic; ------------------------- in flow ----------------------- in_data : in std_logic_vector((PIX_WIDTH-1) downto 0); in_fv : in std_logic; in_dv : in std_logic; ------------------------ out flow ----------------------- out_data : out std_logic_vector((PIX_WIDTH-1) downto 0); out_fv : out std_logic; out_dv : out std_logic; ------------------------ matrix out --------------------- p00, p01, p02 : out std_logic_vector((PIX_WIDTH-1) downto 0); p10, p11, p12 : out std_logic_vector((PIX_WIDTH-1) downto 0); p20, p21, p22 : out std_logic_vector((PIX_WIDTH-1) downto 0); matrix_dv : out std_logic; ---------------------- computed value ------------------- value_data : in std_logic_vector((PIX_WIDTH-1) downto 0); value_dv : in std_logic; ------------------------- params ------------------------ enable_i : in std_logic; widthimg_i : in std_logic_vector(15 downto 0) ); end component; -- neighbors extraction signal p00, p01, p02 : std_logic_vector((IN_SIZE-1) downto 0); signal p10, p11, p12 : std_logic_vector((IN_SIZE-1) downto 0); signal p20, p21, p22 : std_logic_vector((IN_SIZE-1) downto 0); signal matrix_dv : std_logic; -- products calculation signal prod00, prod01, prod02 : signed((WEIGHT_SIZE + IN_SIZE) downto 0); signal prod10, prod11, prod12 : signed((WEIGHT_SIZE + IN_SIZE) downto 0); signal prod20, prod21, prod22 : signed((WEIGHT_SIZE + IN_SIZE) downto 0); signal prod_dv : std_logic; signal value_data : std_logic_vector((IN_SIZE-1) downto 0); signal value_dv : std_logic; signal out_fv_s : std_logic; signal enable_s : std_logic; begin matrix_extractor_inst : matrix_extractor generic map ( LINE_WIDTH_MAX => LINE_WIDTH_MAX, PIX_WIDTH => IN_SIZE, OUTVALUE_WIDTH => IN_SIZE ) port map ( clk_proc => clk_proc, reset_n => reset_n, in_data => in_data, in_fv => in_fv, in_dv => in_dv, p00 => p00, p01 => p01, p02 => p02, p10 => p10, p11 => p11, p12 => p12, p20 => p20, p21 => p21, p22 => p22, matrix_dv => matrix_dv, value_data => value_data, value_dv => value_dv, out_data => out_data, out_fv => out_fv_s, out_dv => out_dv, enable_i => status_reg_enable_bit, widthimg_i => widthimg_reg_width ); process (clk_proc, reset_n, matrix_dv) variable sum : signed((WEIGHT_SIZE + IN_SIZE) downto 0); begin if(reset_n='0') then enable_s <= '0'; prod_dv <= '0'; value_dv <= '0'; elsif(rising_edge(clk_proc)) then if(in_fv = '0') then enable_s <= status_reg_enable_bit; prod_dv <= '0'; value_dv <= '0'; end if; -- product calculation pipeline stage prod_dv <= '0'; if(matrix_dv = '1' and enable_s = '1') then prod00 <= "11111010" * signed('0' & p00); prod01 <= "11110110" * signed('0' & p01); prod02 <= "00000000" * signed('0' & p02); prod10 <= "11110110" * signed('0' & p10); prod11 <= "00000000" * signed('0' & p11); prod12 <= "00001010" * signed('0' & p12); prod20 <= "00000000" * signed('0' & p20); prod21 <= "00001010" * signed('0' & p21); prod22 <= "00000110" * signed('0' & p22); prod_dv <= '1'; end if; value_dv <= '0'; if(prod_dv='1' and enable_s = '1') then sum := prod00 + prod01 + prod02 + prod10 + prod11 + prod12 + prod20 + prod21 + prod22; if (sum(sum'left) = '1') then sum := (others => '0'); end if; value_data <= std_logic_vector(sum)(OUT_SIZE -1 downto 0); value_dv <= '1'; end if; end if; end process; out_fv <= enable_s and out_fv_s; end rtl;
gpl-3.0
ead0b52bda37669709161c1b6d75e8ac
0.493242
3.106446
false
false
false
false
DreamIP/GPStudio
support/process/maxPool/hdl/poolV.vhd
1
8,558
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; entity poolV is generic( PIXEL_SIZE : integer; IMAGE_WIDTH : integer; KERNEL_SIZE : integer ); port( clk : in std_logic; reset_n : in std_logic; enable : in std_logic; in_data : in std_logic_vector (PIXEL_SIZE - 1 downto 0); in_dv : in std_logic; in_fv : in std_logic; out_data : out std_logic_vector (PIXEL_SIZE - 1 downto 0); out_dv : out std_logic; out_fv : out std_logic ); end entity; architecture rtl of poolV is -------------------------------------------------------------------------- -- Signals -------------------------------------------------------------------------- type buffer_data_type is array ( integer range <> ) of signed (PIXEL_SIZE-1 downto 0); signal buffer_line : buffer_data_type (IMAGE_WIDTH - 1 downto 0); signal buffer_data : buffer_data_type (KERNEL_SIZE - 1 downto 0); signal max_value_signal : signed (PIXEL_SIZE - 1 downto 0); signal buffer_fv : std_logic_vector(KERNEL_SIZE downto 0); signal delay_fv : std_logic := '0'; signal tmp_dv : std_logic := '0'; begin even_frameWidth : if (IMAGE_WIDTH mod 2 = 0) generate process (clk) variable x_cmp : unsigned (15 downto 0); begin if (reset_n = '0') then tmp_dv <='0'; buffer_data <= (others=>(others=>'0')); buffer_line <= (others=>(others=>'0')); max_value_signal <= (others=>'0'); x_cmp := (others=>'0'); elsif (rising_edge(clk)) then if (enable = '1') then if (in_fv = '1') then if (in_dv = '1') then -- Bufferize line -------------------------------------------------------- buffer_line(IMAGE_WIDTH - 1) <= signed(in_data); BUFFER_LOOP : for i in (IMAGE_WIDTH - 1) downto 1 loop buffer_line(i-1) <= buffer_line(i); end loop; buffer_data(0) <= signed(in_data); buffer_data(1) <= buffer_line(0); -- Compute max : Case2 , just a comparator -------------------------------- if (buffer_data(0) > buffer_data(1)) then max_value_signal <= buffer_data(0); else max_value_signal <= buffer_data(1); end if; -- V Subsample ------------------------------------------------------------- if (x_cmp < to_unsigned(IMAGE_WIDTH+1, 16)) then tmp_dv <= '0'; x_cmp := x_cmp + to_unsigned(1,16); elsif (x_cmp > to_unsigned(IMAGE_WIDTH + IMAGE_WIDTH,16)) then tmp_dv <= '0'; x_cmp := to_unsigned(2,16); else tmp_dv <= '1'; x_cmp := x_cmp + to_unsigned(1,16); end if; -------------------------------------------------------------------------- else -- Data is not valid tmp_dv <= '0'; end if; else buffer_data <= (others=>(others=>'0')); buffer_line <= (others=>(others=>'0')); max_value_signal <= (others=>'0'); x_cmp := (others=>'0'); end if; end if; end if; end process; out_dv <= tmp_dv; end generate; ---------------------------------------------------------------------------------------------------------------------------------------------------- ---------------------------------------------------------------------------------------------------------------------------------------------------- ---------------------------------------------------------------------------------------------------------------------------------------------------- odd_frameWidth : if (IMAGE_WIDTH mod 2 = 1) generate process (clk) variable x_cmp : unsigned (15 downto 0); variable v_buff_data : buffer_data_type (KERNEL_SIZE - 1 downto 0); begin if (reset_n = '0') then tmp_dv <='0'; v_buff_data := (others=>(others=>'0')); buffer_line <= (others=>(others=>'0')); max_value_signal <= (others=>'0'); x_cmp := (others=>'0'); elsif (rising_edge(clk)) then if (enable = '1') then if (in_fv = '1') then if (in_dv = '1') then -- Bufferize line -------------------------------------------------------- buffer_line(IMAGE_WIDTH - 1) <= signed(in_data); BUFFER_LOOP : for i in (IMAGE_WIDTH - 1) downto 1 loop buffer_line(i-1) <= buffer_line(i); end loop; v_buff_data(0) := signed(in_data); v_buff_data(1) := buffer_line(0); -- Compute max : Case2 , just a comparator -------------------------------- if (v_buff_data(0) > v_buff_data(1)) then max_value_signal <= v_buff_data(0); else max_value_signal <= v_buff_data(1); end if; -- V Subsample ------------------------------------------------------------- if (x_cmp < to_unsigned(IMAGE_WIDTH, 16)) then tmp_dv <= '0'; x_cmp := x_cmp + to_unsigned(1,16); elsif (x_cmp = to_unsigned(IMAGE_WIDTH + IMAGE_WIDTH,16)) then tmp_dv <= '0'; x_cmp := to_unsigned(1,16); else tmp_dv <= '1'; x_cmp := x_cmp + to_unsigned(1,16); end if; -------------------------------------------------------------------------- else -- Data is not valid tmp_dv <= '0'; end if; else v_buff_data := (others=>(others=>'0')); buffer_line <= (others=>(others=>'0')); max_value_signal <= (others=>'0'); x_cmp := (others=>'0'); end if; end if; end if; out_dv <= tmp_dv; end process; -------------------------------------------------------------------------- end generate; -------------------------------------------------------------------------- -------------------------------------------------------------------------- -------------------------------------------------------------------------- delay : process(clk) begin if (reset_n = '0') then delay_fv <= '0'; buffer_fv <= (others=>'0'); elsif (rising_edge(clk)) then if (enable = '1') then buffer_fv <= buffer_fv(buffer_fv'HIGH -1 downto 0) & in_fv; delay_fv <= buffer_fv(buffer_fv'HIGH); end if; end if; end process; out_data <= std_logic_vector(max_value_signal); out_fv <= delay_fv; end architecture;
gpl-3.0
30e659d14dd3d464a8d908380d09fa4f
0.307315
5.302354
false
false
false
false
DreamIP/GPStudio
support/process/dynthreshold/hdl/dynthreshold_slave.vhd
1
3,029
library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; library std; entity dynthreshold_slave is generic ( CLK_PROC_FREQ : integer ); port ( clk_proc : in std_logic; reset_n : in std_logic; ---------------- dynamic parameters ports --------------- status_reg_enable_bit : out std_logic; desired_ratio_reg : out std_logic_vector(31 downto 0); border_research_type_reg : out std_logic_vector(31 downto 0); --======================= Slaves ======================== ------------------------- bus_sl ------------------------ addr_rel_i : in std_logic_vector(1 downto 0); wr_i : in std_logic; rd_i : in std_logic; datawr_i : in std_logic_vector(31 downto 0); datard_o : out std_logic_vector(31 downto 0) ); end dynthreshold_slave; architecture rtl of dynthreshold_slave is -- Registers address constant STATUS_REG_REG_ADDR : natural := 0; constant DESIRED_RATIO_REG_REG_ADDR : natural := 1; constant BORDER_RESEARCH_TYPE_REG_REG_ADDR : natural := 2; -- Internal registers signal status_reg_enable_bit_reg : std_logic; signal desired_ratio_reg_reg : std_logic_vector (31 downto 0); signal border_research_type_reg_reg : std_logic_vector (31 downto 0); begin write_reg : process (clk_proc, reset_n) begin if(reset_n='0') then status_reg_enable_bit_reg <= '0'; desired_ratio_reg_reg <= x"00000000"; border_research_type_reg_reg <= x"00000000"; elsif(rising_edge(clk_proc)) then if(wr_i='1') then case addr_rel_i is when std_logic_vector(to_unsigned(STATUS_REG_REG_ADDR, 2))=> status_reg_enable_bit_reg <= datawr_i(0); when std_logic_vector(to_unsigned(DESIRED_RATIO_REG_REG_ADDR, 2))=> desired_ratio_reg_reg <= datawr_i; when std_logic_vector(to_unsigned(BORDER_RESEARCH_TYPE_REG_REG_ADDR, 2))=> border_research_type_reg_reg <= datawr_i; when others=> end case; end if; end if; end process; read_reg : process (clk_proc, reset_n) begin if(reset_n='0') then datard_o <= (others => '0'); elsif(rising_edge(clk_proc)) then if(rd_i='1') then case addr_rel_i is when std_logic_vector(to_unsigned(STATUS_REG_REG_ADDR, 2))=> datard_o <= "0000000000000000000000000000000" & status_reg_enable_bit_reg; when std_logic_vector(to_unsigned(DESIRED_RATIO_REG_REG_ADDR, 2))=> datard_o <= desired_ratio_reg_reg; when std_logic_vector(to_unsigned(BORDER_RESEARCH_TYPE_REG_REG_ADDR, 2))=> datard_o <= border_research_type_reg_reg; when others=> datard_o <= (others => '0'); end case; end if; end if; end process; status_reg_enable_bit <= status_reg_enable_bit_reg; desired_ratio_reg <= desired_ratio_reg_reg; border_research_type_reg <= border_research_type_reg_reg; end rtl;
gpl-3.0
7ec9e449843482c1d8636179aa7507c9
0.584351
3.119464
false
false
false
false
DreamIP/GPStudio
support/io/usb_cypress_CY7C68014A/hdl/usb_cypress_CY7C68014A_hal.vhd
1
6,379
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_unsigned.all; -- library std; library work; use work.ComFlow_pkg.all; entity usb_cypress_CY7C68014A_hal is port ( -- external port, to CY7C68014A physical component usb_ifclk : in std_logic; usb_flaga : in std_logic; usb_flagb : in std_logic; usb_flagc : in std_logic; usb_flagd : in std_logic; usb_fd_io : inout std_logic_vector(15 downto 0); usb_sloe : out std_logic; usb_slrd : out std_logic; usb_slwr : out std_logic; usb_pktend : out std_logic; usb_addr : out std_logic_vector(1 downto 0); usb_rst : in std_logic; -- connection to com manager out_data_o : out std_logic_vector(15 downto 0); out_data_wr_o : out std_logic; out_data_full_i : in std_logic; out_data_end_o : out std_logic; in_data_i : in std_logic_vector(15 downto 0); in_data_rd_o : out std_logic; in_data_empty_i : in std_logic; in_data_rdy_i : in std_logic ); end usb_cypress_CY7C68014A_hal; architecture rtl of usb_cypress_CY7C68014A_hal is type sm is (idle, rd, wr, wr_end, WaitOneCycleBeforeWr); signal state : sm := idle; signal write_enable : std_logic; signal flow_out_rdy_r : std_logic := '0'; signal usb_flaga_r : std_logic := '0'; signal status_rdy_r : std_logic := '0'; signal in_data_empty_i_r : std_logic := '0'; signal fifo_tx_flow_rdy_s : std_logic := '0'; begin process(usb_ifclk,usb_rst) begin if (usb_rst = '0') then state <= idle; out_data_wr_o <= '0'; in_data_rd_o <= '0'; out_data_end_o <= '0'; usb_addr <= "00"; -- Connected to End Point 2 - OUT - (EP2 = "00") usb_sloe <= '1'; usb_slrd <= '1'; usb_slwr <= '1'; usb_pktend <= '1'; write_enable <= '0'; usb_fd_io <= (others => 'Z'); flow_out_rdy_r <= '0'; -- end_status_wr_s <='0'; -- status_nb := (others=>'0'); in_data_empty_i_r <= '0'; elsif (rising_edge(usb_ifclk)) then flow_out_rdy_r <= in_data_rdy_i; in_data_empty_i_r <= in_data_empty_i; case state is when idle => -- EZ-USB Interface : Do Nothing usb_addr <= "00"; -- Connected to End Point 2 - OUT - (EP2 = "00") usb_sloe <= '1'; usb_slrd <= '1'; usb_slwr <= '1'; usb_pktend <= '1'; out_data_end_o <= '0'; usb_fd_io <= (others => 'Z'); out_data_wr_o <= '0'; in_data_rd_o <= '0'; -- end_status_wr_s <='0'; if (usb_flaga = '1') then -- flaga : EP2 pas vide state <= rd; usb_sloe <= '0'; usb_slrd <= '0'; -- elsif(status_rdy_s = '1' and usb_flagd='1')then -- state <= ReturnStatus; elsif (in_data_rdy_i = '1' and usb_flagd='1') then state <= WaitOneCycleBeforeWr; usb_sloe <= '1'; -- for test in_data_rd_o <= '1'; else state <= idle; end if; when rd => -- EZ-USB Interface : Read Request to EP2 usb_addr <= "00"; -- Connected to End Point 2 (EP2 = "00") usb_slwr <= '1'; usb_pktend <= '1'; out_data_end_o <='0'; if (usb_flaga = '1') then usb_sloe <= '0'; usb_slrd <= '0'; -- ecrire usb_fd_io dans fifo EP2 if (out_data_full_i = '0') then --TODO: inversion octets pour USB out_data_o(15 downto 8) <= usb_fd_io(7 downto 0); out_data_o(7 downto 0) <= usb_fd_io(15 downto 8); usb_fd_io <= (others => 'Z'); out_data_wr_o <= '1'; else out_data_wr_o <= '0'; end if; state <= rd; else out_data_wr_o <= '0'; usb_pktend <='0'; out_data_end_o <='1'; state <= idle; end if; when WaitOneCycleBeforeWr => state <= wr; usb_addr <= "10"; when wr => usb_addr <= "10"; -- Connected to End Point 6 - IN - (EP6 = "10") usb_sloe <= '1'; usb_slrd <= '1'; usb_pktend <= '1'; if(usb_flagd = '1') then -- flagd : EP6 pas plein usb_slwr <= '0'; if (in_data_rdy_i = '1') then in_data_rd_o <= '1'; -- TODO:inversion pour USB usb_fd_io(7 downto 0) <= in_data_i(15 downto 8) ; usb_fd_io(15 downto 8) <= in_data_i(7 downto 0) ; state <= wr; elsif(flow_out_rdy_r='1'and in_data_rdy_i = '0') then -- in_data_rd_o <='0'; -- state <= wr_end; -- usb_pktend <= '1'; -- usb_slwr <= '1'; usb_fd_io(7 downto 0) <= in_data_i(15 downto 8) ; usb_fd_io(15 downto 8) <= in_data_i(7 downto 0) ; usb_pktend <= '0'; in_data_rd_o <='0'; state <= wr_end; else state <= wr_end; end if; else usb_slwr <= '1'; state <= idle; end if; -- Waiting for usb_start_read falling to zero when wr_end => usb_pktend <= '1'; usb_slwr <= '1'; state <= idle; end case; end if; end process; end rtl;
gpl-3.0
023e441688ec912bab71a04f52e5169a
0.402101
3.573669
false
false
false
false
DreamIP/GPStudio
support/process/dynroiBinMask/hdl/dynroiBinMask_process.vhd
1
4,521
library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; library std; entity dynroiBinMask_process is generic ( CLK_PROC_FREQ : integer; IN_SIZE : integer; OUT_SIZE : integer ); port ( clk_proc : in std_logic; reset_n : in std_logic; ---------------- dynamic parameters ports --------------- status_reg_enable_bit : in std_logic; status_reg_bypass_bit : in std_logic; in_size_reg_in_w_reg : in std_logic_vector(11 downto 0); in_size_reg_in_h_reg : in std_logic_vector(11 downto 0); ------------------------- in flow ----------------------- in_data : in std_logic_vector(IN_SIZE-1 downto 0); in_fv : in std_logic; in_dv : in std_logic; ------------------------ out flow ----------------------- out_data : out std_logic_vector(OUT_SIZE-1 downto 0); out_fv : out std_logic; out_dv : out std_logic ); end dynroiBinMask_process; architecture rtl of dynroiBinMask_process is constant X_COUNTER_SIZE : integer := 12; constant Y_COUNTER_SIZE : integer := 12; signal x_pos : unsigned(X_COUNTER_SIZE-1 downto 0); signal y_pos : unsigned(Y_COUNTER_SIZE-1 downto 0); signal x_min : unsigned(X_COUNTER_SIZE-1 downto 0); signal x_max : unsigned(X_COUNTER_SIZE-1 downto 0); signal x : unsigned(X_COUNTER_SIZE-1 downto 0); signal y_min : unsigned(Y_COUNTER_SIZE-1 downto 0); signal y_max : unsigned(Y_COUNTER_SIZE-1 downto 0); signal y : unsigned(Y_COUNTER_SIZE-1 downto 0); signal w : unsigned(X_COUNTER_SIZE-1 downto 0); signal h : unsigned(Y_COUNTER_SIZE-1 downto 0); signal bypass_s : std_logic; signal enabled : std_logic; begin data_process : process (clk_proc, reset_n) begin if(reset_n='0') then x_pos <= to_unsigned(0, X_COUNTER_SIZE); y_pos <= to_unsigned(0, Y_COUNTER_SIZE); --Cleaning frame coordinates x_max <= (others=>'0'); y_max <= (others=>'0'); x_min <= unsigned(in_size_reg_in_w_reg); y_min <= unsigned(in_size_reg_in_h_reg); -- x <= (others=>'0'); y <= (others=>'0'); w <= unsigned(in_size_reg_in_w_reg); h <= unsigned(in_size_reg_in_h_reg); out_data <= (others => '0'); out_dv <= '0'; out_fv <= '0'; elsif(rising_edge(clk_proc)) then if in_fv = '1' and status_reg_enable_bit = '1' and enabled = '1' then out_fv <= '1'; else out_fv <= '0'; end if; out_dv <= '0'; out_data <= (others => '0'); if(in_fv = '0') then x_pos <= to_unsigned(0, X_COUNTER_SIZE); y_pos <= to_unsigned(0, Y_COUNTER_SIZE); --Updating last frame coordinates if x_max > 0 then x <= x_min; y <= y_min; w <= x_max-x_min; h <= y_max-y_min; end if; --Cleaning frame coordinates x_max <= (others=>'0'); y_max <= (others=>'0'); x_min <= unsigned(in_size_reg_in_w_reg); y_min <= unsigned(in_size_reg_in_h_reg); bypass_s <= status_reg_bypass_bit; enabled <= status_reg_enable_bit; else if status_reg_enable_bit = '1' and enabled = '1' then if(in_dv = '1' ) then x_pos <= x_pos + 1; if(x_pos=unsigned(in_size_reg_in_w_reg)-1) then y_pos <= y_pos + 1; x_pos <= to_unsigned(0, X_COUNTER_SIZE); end if; --ROI out_dv <= '1'; if(bypass_s = '0') then if(y_pos >= y and y_pos < y + h and x_pos >= x and x_pos < x + w )then --out_dv <= '1'; out_data <= (others=>'1'); else --out_dv <= '1'; out_data <= (others=>'0'); end if; else out_data <= in_data; end if; -- This will give the smallest area including all non-black points if in_data /= (in_data'range => '0') then if x_pos < x_min then x_min <= x_pos; end if; if x_pos > x_max then x_max <= x_pos; end if; -- if y_pos < y_min then y_min <= y_pos; end if; if y_pos > y_max then y_max <= y_pos; end if; end if; end if; else enabled <= '0'; end if; end if; end if; end process; end rtl;
gpl-3.0
f656b7f99f8e349865ff1660e56effad
0.490157
2.951044
false
false
false
false
openPOWERLINK/openPOWERLINK_V2
hardware/ipcore/common/lib/src/edgedetectorRtl.vhd
3
4,175
------------------------------------------------------------------------------- --! @file edgedetectorRtl.vhd -- --! @brief Edge detector -- --! @details This is an edge detector circuit providing any, rising and falling --! edge outputs. ------------------------------------------------------------------------------- -- -- (c) B&R Industrial Automation GmbH, 2014 -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- 3. Neither the name of B&R nor the names of its -- contributors may be used to endorse or promote products derived -- from this software without prior written permission. For written -- permission, please contact [email protected] -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, -- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT -- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN -- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; --! Common library library libcommon; --! Use common library global package use libcommon.global.all; entity edgedetector is port ( --! Asynchronous reset iArst : in std_logic; --! Clock iClk : in std_logic; --! Enable detection iEnable : in std_logic; --! Data to be sampled iData : in std_logic; --! Rising edge detected (unregistered) oRising : out std_logic; --! Falling edge detected (unregistered) oFalling : out std_logic; --! Any edge detected (unregistered) oAny : out std_logic ); end edgedetector; architecture rtl of edgedetector is --! Register to delay input by one clock cycle signal reg : std_logic; --! Register next signal reg_next : std_logic; --! Second register signal reg_l : std_logic; --! Second register next signal reg_l_next : std_logic; begin -- assign input data to register reg_next <= iData; --! Detection comb : process ( iEnable, reg, reg_l ) begin -- default oRising <= cInactivated; oFalling <= cInactivated; oAny <= cInactivated; if iEnable = cActivated then -- rising edge if reg_l = cInactivated and reg = cActivated then oRising <= cActivated; oAny <= cActivated; end if; -- falling edge if reg_l = cActivated and reg = cInactivated then oFalling <= cActivated; oAny <= cActivated; end if; end if; end process; reg_l_next <= reg; --! Clock process regClk : process(iArst, iClk) begin if iArst = cActivated then reg <= cInactivated; reg_l <= cInactivated; elsif rising_edge(iClk) then reg <= reg_next; reg_l <= reg_l_next; end if; end process; end rtl;
gpl-2.0
b56f21aea56a95bdf97ba1ea6ba0ff5c
0.592814
4.798851
false
false
false
false
ou-cse-378/vhdl-tetris
mux4g.vhd
1
1,230
-- ================================================================================= -- // Name: Bryan Mason, James Batcheler, & Brad McMahon -- // File: mux4g.vhd -- // Date: 12/9/2004 -- // Description: 4 way generic mux -- // Class: CSE 378 -- ================================================================================= library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following lines to use the declarations that are -- provided for instantiating Xilinx primitive components. --library UNISIM; --use UNISIM.VComponents.all; entity mux4g is generic(width:positive); Port ( a : in std_logic_vector(width-1 downto 0); b : in std_logic_vector(width-1 downto 0); c : in std_logic_vector(width-1 downto 0); d : in std_logic_vector(width-1 downto 0); sel : in std_logic_vector(1 downto 0); y : out std_logic_vector(width-1 downto 0) ); end mux4g; architecture mux4g_arch of mux4g is begin process(a, b, c, d, sel) begin case sel is when "00" => y <= a; when "01" => y <= b; when "10" => y <= c; when others => y <= d; end case; end process; end mux4g_arch;
mit
09003b52aaba8a551c3ccde407de1182
0.543902
3.407202
false
false
false
false
Reiuiji/ECE368-Lab
Lab 1/MealyFSM/mealy-testbench.vhd
1
2,187
--------------------------------------------------- -- School: University of Massachusetts Dartmouth -- Department: Computer and Electrical Engineering -- Engineer: Daniel Noyes -- -- Create Date: SPRING 2016 -- Module Name: MEALY TestBench -- Project Name: MEALY MACHINE -- Target Devices: Spartan-3E -- Tool versions: Xilinx ISE 14.7 -- Description: Mealy -- Finite State Machine (FSM) -- Mealy: The Output is a function of a present -- state and inputs --------------------------------------------------- LIBRARY ieee; USE ieee.STD_LOGIC_1164.ALL; USE ieee.STD_LOGIC_unsigned.all; USE ieee.numeric_std.ALL; entity mealy_tb is end mealy_tb; architecture io_test of mealy_tb is component mealy port ( CLK: in BIT; RST: in BIT; X: in BIT; Z: out BIT); end component; signal CLK_TEST: BIT := '0'; signal RESET_TEST: BIT := '0'; signal X_IN_TEST: BIT := '0'; signal Z_OUT_TEST: BIT; -- Constants -- constant period : time := 20 ns; -- 25 MHz =(1/20E-9)/2 constant period : time := 10 ns; -- 50 MHz =(1/10E-9)/2 -- constant period : time := 5 ns; -- 100 MHz =(1/10E-9)/2 begin -- Instantiate the Unit Under Testing (UUT) uut: mealy port map( CLK => CLK_TEST, RST => RESET_TEST, X => X_IN_TEST, Z => Z_OUT_TEST ); --Another Method of Port Map --uut: mealy port map(CLK_TEST,RESET_TEST,X_IN_TEST,Z_OUT_TEST); CLK_Process: process begin CLK_TEST <= '0'; wait for period; CLK_TEST <= '1'; wait for period; end process CLK_Process; tb : process begin wait for 100 ns; report "Starting mealy Test Bench" severity NOTE; ----- Unit Test ----- --Reset RESET_TEST <= '1'; wait for period; RESET_TEST <= '0'; wait for period; X_IN_TEST <= '0'; wait for 50 ns; X_IN_TEST <= '1'; wait for 90 ns; X_IN_TEST <= '0'; wait for 130 ns; X_IN_TEST <= '0'; wait for 170 ns; X_IN_TEST <= '1'; wait for 210 ns; X_IN_TEST <= '1'; wait for 250 ns; X_IN_TEST <= '0'; end process; end;
mit
00bbfc6a319b5b7352d835ee022681a0
0.538637
3.471429
false
true
false
false
openPOWERLINK/openPOWERLINK_V2
hardware/ipcore/common/lib/src/syncTog-rtl-ea.vhd
3
4,901
------------------------------------------------------------------------------- --! @file syncTog-rtl-ea.vhd -- --! @brief Synchronizer with toggling signal -- --! @details This is a synchronizer that transfers an incoming signal to the --! target clock domain with toggling signal levels. ------------------------------------------------------------------------------- -- -- (c) B&R Industrial Automation GmbH, 2014 -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- 3. Neither the name of B&R nor the names of its -- contributors may be used to endorse or promote products derived -- from this software without prior written permission. For written -- permission, please contact [email protected] -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, -- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT -- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN -- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; --! Common library library libcommon; --! Use common library global package use libcommon.global.all; entity syncTog is generic ( --! Stages gStages : natural := 2; --! Initialization level gInit : std_logic := cInactivated ); port ( --! Source reset iSrc_rst : in std_logic; --! Source clock iSrc_clk : in std_logic; --! Source data iSrc_data : in std_logic; --! Destination reset iDst_rst : in std_logic; --! Destination clock iDst_clk : in std_logic; --! Destination data oDst_data : out std_logic ); end syncTog; architecture rtl of syncTog is --! Source pulse signal srcPulse : std_logic; --! Transfer toggle signal metaToggle : std_logic; --! Transferred toggle signal toggle : std_logic; --! Destination pulse signal dstPulse : std_logic; begin -- Output map oDst_data <= dstPulse; --! This is the first edge detector generating a single pulse. FIRST_EDGE : entity libcommon.edgedetector port map ( iArst => iSrc_rst, iClk => iSrc_clk, iEnable => cActivated, iData => iSrc_data, oRising => srcPulse, oFalling => open, oAny => open ); --! This process generates a toggling signal, controled by the rising edge --! of the first edge detector. GEN_TOGGLE : process(iSrc_rst, iSrc_clk) begin if iSrc_rst = cActivated then metaToggle <= cInactivated; elsif rising_edge(iSrc_clk) then if srcPulse = cActivated then metaToggle <= not metaToggle; end if; end if; end process GEN_TOGGLE; --! This synchronizer transfers the metaToggle to the destination clock --! domain. SYNC : entity libcommon.synchronizer generic map ( gStages => gStages, gInit => gInit ) port map ( iArst => iDst_rst, iClk => iDst_clk, iAsync => metaToggle, oSync => toggle ); --! The second edge detector detects any edge of the synchronized toggle. SECOND_EDGE : entity libcommon.edgedetector port map ( iArst => iDst_rst, iClk => iDst_clk, iEnable => cActivated, iData => toggle, oRising => open, oFalling => open, oAny => dstPulse ); end rtl;
gpl-2.0
ff987caafce47dc245751ca91cdee58b
0.577229
4.795499
false
false
false
false
hpeng2/ECE492_Group4_Project
ECE_492_Project_new/Video_System/simulation/video_system_onchip_memory_s1_translator.vhd
1
12,605
-- video_system_onchip_memory_s1_translator.vhd -- Generated using ACDS version 12.1sp1 243 at 2015.02.09.14:34:21 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity video_system_onchip_memory_s1_translator is generic ( AV_ADDRESS_W : integer := 12; AV_DATA_W : integer := 32; UAV_DATA_W : integer := 32; AV_BURSTCOUNT_W : integer := 1; AV_BYTEENABLE_W : integer := 4; UAV_BYTEENABLE_W : integer := 4; UAV_ADDRESS_W : integer := 32; UAV_BURSTCOUNT_W : integer := 3; AV_READLATENCY : integer := 1; USE_READDATAVALID : integer := 0; USE_WAITREQUEST : integer := 0; USE_UAV_CLKEN : integer := 0; AV_SYMBOLS_PER_WORD : integer := 4; AV_ADDRESS_SYMBOLS : integer := 0; AV_BURSTCOUNT_SYMBOLS : integer := 0; AV_CONSTANT_BURST_BEHAVIOR : integer := 0; UAV_CONSTANT_BURST_BEHAVIOR : integer := 0; AV_REQUIRE_UNALIGNED_ADDRESSES : integer := 0; CHIPSELECT_THROUGH_READLATENCY : integer := 0; AV_READ_WAIT_CYCLES : integer := 0; AV_WRITE_WAIT_CYCLES : integer := 0; AV_SETUP_WAIT_CYCLES : integer := 0; AV_DATA_HOLD_CYCLES : integer := 0 ); port ( clk : in std_logic := '0'; -- clk.clk reset : in std_logic := '0'; -- reset.reset uav_address : in std_logic_vector(31 downto 0) := (others => '0'); -- avalon_universal_slave_0.address uav_burstcount : in std_logic_vector(2 downto 0) := (others => '0'); -- .burstcount uav_read : in std_logic := '0'; -- .read uav_write : in std_logic := '0'; -- .write uav_waitrequest : out std_logic; -- .waitrequest uav_readdatavalid : out std_logic; -- .readdatavalid uav_byteenable : in std_logic_vector(3 downto 0) := (others => '0'); -- .byteenable uav_readdata : out std_logic_vector(31 downto 0); -- .readdata uav_writedata : in std_logic_vector(31 downto 0) := (others => '0'); -- .writedata uav_lock : in std_logic := '0'; -- .lock uav_debugaccess : in std_logic := '0'; -- .debugaccess av_address : out std_logic_vector(11 downto 0); -- avalon_anti_slave_0.address av_write : out std_logic; -- .write av_readdata : in std_logic_vector(31 downto 0) := (others => '0'); -- .readdata av_writedata : out std_logic_vector(31 downto 0); -- .writedata av_byteenable : out std_logic_vector(3 downto 0); -- .byteenable av_chipselect : out std_logic; -- .chipselect av_clken : out std_logic; -- .clken av_beginbursttransfer : out std_logic; av_begintransfer : out std_logic; av_burstcount : out std_logic_vector(0 downto 0); av_debugaccess : out std_logic; av_lock : out std_logic; av_outputenable : out std_logic; av_read : out std_logic; av_readdatavalid : in std_logic := '0'; av_waitrequest : in std_logic := '0'; av_writebyteenable : out std_logic_vector(3 downto 0); uav_clken : in std_logic := '0' ); end entity video_system_onchip_memory_s1_translator; architecture rtl of video_system_onchip_memory_s1_translator is component altera_merlin_slave_translator is generic ( AV_ADDRESS_W : integer := 30; AV_DATA_W : integer := 32; UAV_DATA_W : integer := 32; AV_BURSTCOUNT_W : integer := 4; AV_BYTEENABLE_W : integer := 4; UAV_BYTEENABLE_W : integer := 4; UAV_ADDRESS_W : integer := 32; UAV_BURSTCOUNT_W : integer := 4; AV_READLATENCY : integer := 0; USE_READDATAVALID : integer := 1; USE_WAITREQUEST : integer := 1; USE_UAV_CLKEN : integer := 0; AV_SYMBOLS_PER_WORD : integer := 4; AV_ADDRESS_SYMBOLS : integer := 0; AV_BURSTCOUNT_SYMBOLS : integer := 0; AV_CONSTANT_BURST_BEHAVIOR : integer := 0; UAV_CONSTANT_BURST_BEHAVIOR : integer := 0; AV_REQUIRE_UNALIGNED_ADDRESSES : integer := 0; CHIPSELECT_THROUGH_READLATENCY : integer := 0; AV_READ_WAIT_CYCLES : integer := 0; AV_WRITE_WAIT_CYCLES : integer := 0; AV_SETUP_WAIT_CYCLES : integer := 0; AV_DATA_HOLD_CYCLES : integer := 0 ); port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset uav_address : in std_logic_vector(31 downto 0) := (others => 'X'); -- address uav_burstcount : in std_logic_vector(2 downto 0) := (others => 'X'); -- burstcount uav_read : in std_logic := 'X'; -- read uav_write : in std_logic := 'X'; -- write uav_waitrequest : out std_logic; -- waitrequest uav_readdatavalid : out std_logic; -- readdatavalid uav_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable uav_readdata : out std_logic_vector(31 downto 0); -- readdata uav_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata uav_lock : in std_logic := 'X'; -- lock uav_debugaccess : in std_logic := 'X'; -- debugaccess av_address : out std_logic_vector(11 downto 0); -- address av_write : out std_logic; -- write av_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata av_writedata : out std_logic_vector(31 downto 0); -- writedata av_byteenable : out std_logic_vector(3 downto 0); -- byteenable av_chipselect : out std_logic; -- chipselect av_clken : out std_logic; -- clken av_read : out std_logic; -- read av_begintransfer : out std_logic; -- begintransfer av_beginbursttransfer : out std_logic; -- beginbursttransfer av_burstcount : out std_logic_vector(0 downto 0); -- burstcount av_readdatavalid : in std_logic := 'X'; -- readdatavalid av_waitrequest : in std_logic := 'X'; -- waitrequest av_writebyteenable : out std_logic_vector(3 downto 0); -- writebyteenable av_lock : out std_logic; -- lock uav_clken : in std_logic := 'X'; -- clken av_debugaccess : out std_logic; -- debugaccess av_outputenable : out std_logic -- outputenable ); end component altera_merlin_slave_translator; begin onchip_memory_s1_translator : component altera_merlin_slave_translator generic map ( AV_ADDRESS_W => AV_ADDRESS_W, AV_DATA_W => AV_DATA_W, UAV_DATA_W => UAV_DATA_W, AV_BURSTCOUNT_W => AV_BURSTCOUNT_W, AV_BYTEENABLE_W => AV_BYTEENABLE_W, UAV_BYTEENABLE_W => UAV_BYTEENABLE_W, UAV_ADDRESS_W => UAV_ADDRESS_W, UAV_BURSTCOUNT_W => UAV_BURSTCOUNT_W, AV_READLATENCY => AV_READLATENCY, USE_READDATAVALID => USE_READDATAVALID, USE_WAITREQUEST => USE_WAITREQUEST, USE_UAV_CLKEN => USE_UAV_CLKEN, AV_SYMBOLS_PER_WORD => AV_SYMBOLS_PER_WORD, AV_ADDRESS_SYMBOLS => AV_ADDRESS_SYMBOLS, AV_BURSTCOUNT_SYMBOLS => AV_BURSTCOUNT_SYMBOLS, AV_CONSTANT_BURST_BEHAVIOR => AV_CONSTANT_BURST_BEHAVIOR, UAV_CONSTANT_BURST_BEHAVIOR => UAV_CONSTANT_BURST_BEHAVIOR, AV_REQUIRE_UNALIGNED_ADDRESSES => AV_REQUIRE_UNALIGNED_ADDRESSES, CHIPSELECT_THROUGH_READLATENCY => CHIPSELECT_THROUGH_READLATENCY, AV_READ_WAIT_CYCLES => AV_READ_WAIT_CYCLES, AV_WRITE_WAIT_CYCLES => AV_WRITE_WAIT_CYCLES, AV_SETUP_WAIT_CYCLES => AV_SETUP_WAIT_CYCLES, AV_DATA_HOLD_CYCLES => AV_DATA_HOLD_CYCLES ) port map ( clk => clk, -- clk.clk reset => reset, -- reset.reset uav_address => uav_address, -- avalon_universal_slave_0.address uav_burstcount => uav_burstcount, -- .burstcount uav_read => uav_read, -- .read uav_write => uav_write, -- .write uav_waitrequest => uav_waitrequest, -- .waitrequest uav_readdatavalid => uav_readdatavalid, -- .readdatavalid uav_byteenable => uav_byteenable, -- .byteenable uav_readdata => uav_readdata, -- .readdata uav_writedata => uav_writedata, -- .writedata uav_lock => uav_lock, -- .lock uav_debugaccess => uav_debugaccess, -- .debugaccess av_address => av_address, -- avalon_anti_slave_0.address av_write => av_write, -- .write av_readdata => av_readdata, -- .readdata av_writedata => av_writedata, -- .writedata av_byteenable => av_byteenable, -- .byteenable av_chipselect => av_chipselect, -- .chipselect av_clken => av_clken, -- .clken av_read => open, -- (terminated) av_begintransfer => open, -- (terminated) av_beginbursttransfer => open, -- (terminated) av_burstcount => open, -- (terminated) av_readdatavalid => '0', -- (terminated) av_waitrequest => '0', -- (terminated) av_writebyteenable => open, -- (terminated) av_lock => open, -- (terminated) uav_clken => '0', -- (terminated) av_debugaccess => open, -- (terminated) av_outputenable => open -- (terminated) ); end architecture rtl; -- of video_system_onchip_memory_s1_translator
gpl-2.0
b6e047d0da99474846be41b7e28f3865
0.431733
4.291794
false
false
false
false
hpeng2/ECE492_Group4_Project
Ryans_stuff/tracking_camera/tracking_camera_system/testbench/tracking_camera_system_tb/simulation/submodules/tracking_camera_system_green_leds_s1_translator.vhd
1
12,489
-- tracking_camera_system_green_leds_s1_translator.vhd -- Generated using ACDS version 12.1sp1 243 at 2015.02.13.13:59:38 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity tracking_camera_system_green_leds_s1_translator is generic ( AV_ADDRESS_W : integer := 2; AV_DATA_W : integer := 32; UAV_DATA_W : integer := 32; AV_BURSTCOUNT_W : integer := 1; AV_BYTEENABLE_W : integer := 1; UAV_BYTEENABLE_W : integer := 4; UAV_ADDRESS_W : integer := 25; UAV_BURSTCOUNT_W : integer := 3; AV_READLATENCY : integer := 0; USE_READDATAVALID : integer := 0; USE_WAITREQUEST : integer := 0; USE_UAV_CLKEN : integer := 0; AV_SYMBOLS_PER_WORD : integer := 4; AV_ADDRESS_SYMBOLS : integer := 0; AV_BURSTCOUNT_SYMBOLS : integer := 0; AV_CONSTANT_BURST_BEHAVIOR : integer := 0; UAV_CONSTANT_BURST_BEHAVIOR : integer := 0; AV_REQUIRE_UNALIGNED_ADDRESSES : integer := 0; CHIPSELECT_THROUGH_READLATENCY : integer := 0; AV_READ_WAIT_CYCLES : integer := 1; AV_WRITE_WAIT_CYCLES : integer := 0; AV_SETUP_WAIT_CYCLES : integer := 0; AV_DATA_HOLD_CYCLES : integer := 0 ); port ( clk : in std_logic := '0'; -- clk.clk reset : in std_logic := '0'; -- reset.reset uav_address : in std_logic_vector(24 downto 0) := (others => '0'); -- avalon_universal_slave_0.address uav_burstcount : in std_logic_vector(2 downto 0) := (others => '0'); -- .burstcount uav_read : in std_logic := '0'; -- .read uav_write : in std_logic := '0'; -- .write uav_waitrequest : out std_logic; -- .waitrequest uav_readdatavalid : out std_logic; -- .readdatavalid uav_byteenable : in std_logic_vector(3 downto 0) := (others => '0'); -- .byteenable uav_readdata : out std_logic_vector(31 downto 0); -- .readdata uav_writedata : in std_logic_vector(31 downto 0) := (others => '0'); -- .writedata uav_lock : in std_logic := '0'; -- .lock uav_debugaccess : in std_logic := '0'; -- .debugaccess av_address : out std_logic_vector(1 downto 0); -- avalon_anti_slave_0.address av_write : out std_logic; -- .write av_readdata : in std_logic_vector(31 downto 0) := (others => '0'); -- .readdata av_writedata : out std_logic_vector(31 downto 0); -- .writedata av_chipselect : out std_logic; -- .chipselect av_beginbursttransfer : out std_logic; av_begintransfer : out std_logic; av_burstcount : out std_logic_vector(0 downto 0); av_byteenable : out std_logic_vector(0 downto 0); av_clken : out std_logic; av_debugaccess : out std_logic; av_lock : out std_logic; av_outputenable : out std_logic; av_read : out std_logic; av_readdatavalid : in std_logic := '0'; av_waitrequest : in std_logic := '0'; av_writebyteenable : out std_logic_vector(0 downto 0); uav_clken : in std_logic := '0' ); end entity tracking_camera_system_green_leds_s1_translator; architecture rtl of tracking_camera_system_green_leds_s1_translator is component altera_merlin_slave_translator is generic ( AV_ADDRESS_W : integer := 30; AV_DATA_W : integer := 32; UAV_DATA_W : integer := 32; AV_BURSTCOUNT_W : integer := 4; AV_BYTEENABLE_W : integer := 4; UAV_BYTEENABLE_W : integer := 4; UAV_ADDRESS_W : integer := 32; UAV_BURSTCOUNT_W : integer := 4; AV_READLATENCY : integer := 0; USE_READDATAVALID : integer := 1; USE_WAITREQUEST : integer := 1; USE_UAV_CLKEN : integer := 0; AV_SYMBOLS_PER_WORD : integer := 4; AV_ADDRESS_SYMBOLS : integer := 0; AV_BURSTCOUNT_SYMBOLS : integer := 0; AV_CONSTANT_BURST_BEHAVIOR : integer := 0; UAV_CONSTANT_BURST_BEHAVIOR : integer := 0; AV_REQUIRE_UNALIGNED_ADDRESSES : integer := 0; CHIPSELECT_THROUGH_READLATENCY : integer := 0; AV_READ_WAIT_CYCLES : integer := 0; AV_WRITE_WAIT_CYCLES : integer := 0; AV_SETUP_WAIT_CYCLES : integer := 0; AV_DATA_HOLD_CYCLES : integer := 0 ); port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset uav_address : in std_logic_vector(24 downto 0) := (others => 'X'); -- address uav_burstcount : in std_logic_vector(2 downto 0) := (others => 'X'); -- burstcount uav_read : in std_logic := 'X'; -- read uav_write : in std_logic := 'X'; -- write uav_waitrequest : out std_logic; -- waitrequest uav_readdatavalid : out std_logic; -- readdatavalid uav_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable uav_readdata : out std_logic_vector(31 downto 0); -- readdata uav_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata uav_lock : in std_logic := 'X'; -- lock uav_debugaccess : in std_logic := 'X'; -- debugaccess av_address : out std_logic_vector(1 downto 0); -- address av_write : out std_logic; -- write av_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata av_writedata : out std_logic_vector(31 downto 0); -- writedata av_chipselect : out std_logic; -- chipselect av_read : out std_logic; -- read av_begintransfer : out std_logic; -- begintransfer av_beginbursttransfer : out std_logic; -- beginbursttransfer av_burstcount : out std_logic_vector(0 downto 0); -- burstcount av_byteenable : out std_logic_vector(0 downto 0); -- byteenable av_readdatavalid : in std_logic := 'X'; -- readdatavalid av_waitrequest : in std_logic := 'X'; -- waitrequest av_writebyteenable : out std_logic_vector(0 downto 0); -- writebyteenable av_lock : out std_logic; -- lock av_clken : out std_logic; -- clken uav_clken : in std_logic := 'X'; -- clken av_debugaccess : out std_logic; -- debugaccess av_outputenable : out std_logic -- outputenable ); end component altera_merlin_slave_translator; begin green_leds_s1_translator : component altera_merlin_slave_translator generic map ( AV_ADDRESS_W => AV_ADDRESS_W, AV_DATA_W => AV_DATA_W, UAV_DATA_W => UAV_DATA_W, AV_BURSTCOUNT_W => AV_BURSTCOUNT_W, AV_BYTEENABLE_W => AV_BYTEENABLE_W, UAV_BYTEENABLE_W => UAV_BYTEENABLE_W, UAV_ADDRESS_W => UAV_ADDRESS_W, UAV_BURSTCOUNT_W => UAV_BURSTCOUNT_W, AV_READLATENCY => AV_READLATENCY, USE_READDATAVALID => USE_READDATAVALID, USE_WAITREQUEST => USE_WAITREQUEST, USE_UAV_CLKEN => USE_UAV_CLKEN, AV_SYMBOLS_PER_WORD => AV_SYMBOLS_PER_WORD, AV_ADDRESS_SYMBOLS => AV_ADDRESS_SYMBOLS, AV_BURSTCOUNT_SYMBOLS => AV_BURSTCOUNT_SYMBOLS, AV_CONSTANT_BURST_BEHAVIOR => AV_CONSTANT_BURST_BEHAVIOR, UAV_CONSTANT_BURST_BEHAVIOR => UAV_CONSTANT_BURST_BEHAVIOR, AV_REQUIRE_UNALIGNED_ADDRESSES => AV_REQUIRE_UNALIGNED_ADDRESSES, CHIPSELECT_THROUGH_READLATENCY => CHIPSELECT_THROUGH_READLATENCY, AV_READ_WAIT_CYCLES => AV_READ_WAIT_CYCLES, AV_WRITE_WAIT_CYCLES => AV_WRITE_WAIT_CYCLES, AV_SETUP_WAIT_CYCLES => AV_SETUP_WAIT_CYCLES, AV_DATA_HOLD_CYCLES => AV_DATA_HOLD_CYCLES ) port map ( clk => clk, -- clk.clk reset => reset, -- reset.reset uav_address => uav_address, -- avalon_universal_slave_0.address uav_burstcount => uav_burstcount, -- .burstcount uav_read => uav_read, -- .read uav_write => uav_write, -- .write uav_waitrequest => uav_waitrequest, -- .waitrequest uav_readdatavalid => uav_readdatavalid, -- .readdatavalid uav_byteenable => uav_byteenable, -- .byteenable uav_readdata => uav_readdata, -- .readdata uav_writedata => uav_writedata, -- .writedata uav_lock => uav_lock, -- .lock uav_debugaccess => uav_debugaccess, -- .debugaccess av_address => av_address, -- avalon_anti_slave_0.address av_write => av_write, -- .write av_readdata => av_readdata, -- .readdata av_writedata => av_writedata, -- .writedata av_chipselect => av_chipselect, -- .chipselect av_read => open, -- (terminated) av_begintransfer => open, -- (terminated) av_beginbursttransfer => open, -- (terminated) av_burstcount => open, -- (terminated) av_byteenable => open, -- (terminated) av_readdatavalid => '0', -- (terminated) av_waitrequest => '0', -- (terminated) av_writebyteenable => open, -- (terminated) av_lock => open, -- (terminated) av_clken => open, -- (terminated) uav_clken => '0', -- (terminated) av_debugaccess => open, -- (terminated) av_outputenable => open -- (terminated) ); end architecture rtl; -- of tracking_camera_system_green_leds_s1_translator
gpl-2.0
a9a815029e51a087bf1cdd08be47d97a
0.435984
4.255196
false
false
false
false
DreamIP/GPStudio
support/component/gp_com/flow_to_com/com_flow_fifo_tx.vhd
1
10,588
-- ************************************************************************** -- ComFlowFifo -- ************************************************************************** -- -- 16/10/2014 - creation -------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; use work.ComFlow_pkg.all; entity com_flow_fifo_tx is generic ( FIFO_DEPTH : INTEGER := 1024; FLOW_ID : INTEGER := 1; PACKET_SIZE : INTEGER := 256; HAL_WIDTH : INTEGER := 16; FLAGS_CODES : my_array_t := InitFlagCodes ); port ( clk_proc : in std_logic; clk_hal : in std_logic; rst_n : in std_logic; data_wr_i : in std_logic; data_i : in std_logic_vector(15 downto 0); flag_wr_i : in std_logic; flag_i : in std_logic_vector(7 downto 0); -- fifo pkt inputs fifo_pkt_wr_i : in std_logic; fifo_pkt_data_i : in std_logic_vector(15 downto 0); -- to hal via arbitrer rdreq_i : in std_logic; data_o : out std_logic_vector(HAL_WIDTH-1 downto 0); flow_rdy_o : out std_logic; f_empty_o : out std_logic; fifos_f_o : out std_logic; size_packet_o : out std_logic_vector(15 downto 0) ); end com_flow_fifo_tx; architecture rtl of com_flow_fifo_tx is -- Calcul de la largeur de bus en fonction de la profondeur de la Fifo --constant WIDTHU : integer := integer(ceil(log2(real(FIFO_DEPTH)))); constant WIDTHU : integer := clog2(FIFO_DEPTH); constant FIFO_PKT_SIZE: integer := integer(ceil(real(FIFO_DEPTH/PACKET_SIZE))) + 1; --------------------------------------------------------- -- COMPONENT DECLARATION --------------------------------------------------------- component fifo_com_tx generic ( DEPTH : positive := 1024; IN_SIZE : positive; OUT_SIZE : positive ); port ( aclr : in std_logic; data : in std_logic_vector (IN_SIZE-1 downto 0); rdclk : in std_logic; rdreq : in std_logic; wrclk : in std_logic; wrreq : in std_logic; q : out std_logic_vector (OUT_SIZE-1 downto 0); rdempty : out std_logic; rdusedw : out std_logic_vector (integer(ceil(log2(real(DEPTH))*(real(IN_SIZE)/real(OUT_SIZE))))-1 downto 0); wrfull : out std_logic; wrusedw : out std_logic_vector (integer(ceil(log2(real(depth))))-1 downto 0) ); end component; --------------------------------------------------------- -- SIGNALS --------------------------------------------------------- ------------- -- FIFO SIGNALS ------------- signal fifo_data_wrreq_s : std_logic := '0'; signal fifo_data_wrfull_s : std_logic := '0'; signal fifo_data_rdreq_s : std_logic := '0'; signal fifo_data_rdempty_s : std_logic := '0'; -- registers signal fifo_data_rdempty_r : std_logic := '0'; signal flag_s : std_logic_vector(15 downto 0) := (others=>'0'); signal fifo_data_q_s : std_logic_vector(HAL_WIDTH-1 downto 0) := (others=>'0'); signal fifo_flag_q_s : std_logic_vector(15 downto 0) := (others=>'0'); signal fifo_flag_rdreq_s : std_logic := '0'; ------------- -- FSM Signal ------------- type fsm_state_t is (Idle,WritePacket); signal fsm_state : fsm_state_t := Idle; type RDUSB_fsm_state_t is (Idle, WaitoneClk, WaitSyncPktSize, FlowRdy, HeaderFlag8, HeaderFN, HeaderFN8, HeaderFN8_low, Unpile); signal RDUSB_state : RDUSB_fsm_state_t := Idle; signal data_wr_r : std_logic := '0'; signal fifo_flag_wrfull_s : std_logic := '0'; signal fifo_flag_rdempty_s : std_logic := '0'; signal fifo_pkt_wrfull_s : std_logic := '0'; signal fifo_pkt_rdempty_s : std_logic := '0'; signal fifo_pkt_wr_s : std_logic := '0'; signal fifo_pkt_rdreq_s : std_logic := '0'; signal fifo_pkt_data_s : std_logic_vector(HAL_WIDTH-1 downto 0) := (others=>'0'); signal fifo_pkt_q_s : std_logic_vector(15 downto 0) := (others=>'0'); signal aclr : std_logic := '0'; signal packet_counter : unsigned(15 downto 0) := (others=>'0'); signal data_s : std_logic_vector(HAL_WIDTH-1 downto 0) := (others=>'0'); begin ------- -- MAP CLK ------- f_empty_o <= fifo_data_rdempty_s; fifos_f_o <= fifo_data_wrfull_s; aclr <= not(rst_n); FIFO_DATA : component fifo_com_tx generic map ( DEPTH => FIFO_DEPTH, IN_SIZE => 16, OUT_SIZE => HAL_WIDTH ) port map ( data => data_i, rdclk => clk_hal, rdreq => fifo_data_rdreq_s, wrclk => clk_proc, wrreq => data_wr_i, aclr => aclr, q => fifo_data_q_s, rdempty => fifo_data_rdempty_s, rdusedw => open, wrusedw => open, wrfull => fifo_data_wrfull_s ); flag_s <= X"00" & flag_i; FIFO_FLAG : component fifo_com_tx generic map ( DEPTH => FIFO_PKT_SIZE, IN_SIZE => 16, OUT_SIZE => 16 ) port map ( data => flag_s, rdclk => clk_hal, rdreq => fifo_flag_rdreq_s, wrclk => clk_proc, wrreq => flag_wr_i, aclr => aclr, q => fifo_flag_q_s, rdempty => fifo_flag_rdempty_s, rdusedw => open, wrusedw => open, wrfull => fifo_flag_wrfull_s ); FIFO_PKT : component fifo_com_tx generic map ( DEPTH => FIFO_PKT_SIZE, IN_SIZE => 16, OUT_SIZE => 16 ) port map ( data => fifo_pkt_data_i, rdclk => clk_hal, rdreq => fifo_pkt_rdreq_s, wrclk => clk_proc, wrreq => fifo_pkt_wr_i, aclr => aclr, q => fifo_pkt_q_s, rdempty => fifo_pkt_rdempty_s, rdusedw => open, wrusedw => open, wrfull => fifo_pkt_wrfull_s ); --- Connections to hal communication, FSM RDHAL_FSM : process (clk_hal, rst_n) variable pkt_cpt : std_logic_vector(15 downto 0) := (others=>'0'); variable packet_number : std_logic_vector(15 downto 0) := (others=>'0'); variable counter : integer range 0 to 4 := 0; begin if (rst_n = '0') then flow_rdy_o <='0'; packet_number := X"0000"; data_s <= (others=>'0'); fifo_flag_rdreq_s <= '0'; fifo_pkt_rdreq_s <= '0'; pkt_cpt := (others=>'0'); counter := 0; RDUSB_state <= Idle; elsif rising_edge(clk_hal) then case RDUSB_state is when Idle => counter := 0; flow_rdy_o <= '0'; fifo_flag_rdreq_s <= '0'; fifo_pkt_rdreq_s <= '0'; if ( fifo_flag_rdempty_s = '0' ) then RDUSB_state <= WaitSyncPktSize; end if; when WaitSyncPktSize => fifo_flag_rdreq_s <= '0'; counter := counter + 1; if(counter = 4 ) then fifo_flag_rdreq_s <= '1'; fifo_pkt_rdreq_s <= '1'; RDUSB_state <= WaitoneClk; end if; when WaitoneClk => fifo_flag_rdreq_s <= '0'; fifo_pkt_rdreq_s <= '0'; RDUSB_state <= FlowRdy; when FlowRdy => -- si la fifo est depilable on monte le flag de flow rdy flow_rdy_o <= '1'; size_packet_o <= std_logic_vector(unsigned(fifo_pkt_q_s(14 downto 0) & '0') + X"0004"); pkt_cpt := fifo_pkt_q_s(14 downto 0) & '0'; if (rdreq_i = '1') then -- si l'usb est pret -- ne marche pas car flag = BC au moment de dépiler ... if (fifo_flag_q_s(7 downto 0) = FLAGS_CODES(SoF)) then packet_number := X"0000"; else packet_number := std_logic_vector(unsigned(packet_number) + X"0001"); end if; if(HAL_WIDTH = 16) then data_s <= std_logic_vector(to_unsigned(FLOW_ID,8)) & fifo_flag_q_s(7 downto 0); fifo_data_rdreq_s <= '1'; -- assert fifo request here to be ready for Unpile state RDUSB_state <= HeaderFN; else data_s(7 downto 0) <= std_logic_vector(to_unsigned(FLOW_ID,8)); RDUSB_state <= HeaderFlag8; end if; end if; when HeaderFlag8 => data_s(7 downto 0) <= fifo_flag_q_s(7 downto 0); RDUSB_state <= HeaderFN8; when HeaderFN => -- 16 bits mode only data_s <= packet_number(HAL_WIDTH-1 downto 0); RDUSB_state <= Unpile; when HeaderFN8 => -- 8 bits mode only data_s(7 downto 0) <= packet_number(15 downto 8); fifo_data_rdreq_s <= '1'; -- assert fifo request here to be ready for Unpile state RDUSB_state <= HeaderFN8_low; when HeaderFN8_low => -- 8 bits mode only data_s(7 downto 0) <= packet_number(7 downto 0); RDUSB_state <= Unpile; when Unpile => data_s <= fifo_data_q_s; pkt_cpt := std_logic_vector(unsigned(pkt_cpt) - X"0001"); if (pkt_cpt = X"0001") then fifo_data_rdreq_s <= '0'; end if; if (pkt_cpt = X"0000") then flow_rdy_o <= '0'; RDUSB_state <= Idle; end if; end case; end if; end process; data_o <= data_s; end rtl;
gpl-3.0
af2680c59a9b0b54bb084130869fd78b
0.445546
3.771642
false
false
false
false
DreamIP/GPStudio
support/process/fastfilter/hdl/fastfilter.vhd
1
2,985
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity fastfilter is generic ( IMAGE_WIDTH : integer := 314; IN_SIZE : integer := 8; OUT1_SIZE : integer := 8; CLK_PROC_FREQ : integer := 50000000 ); port ( clk_proc : in std_logic; reset_n : in std_logic; ------------------------------ IN FLOW --------------------------------- in_data : in std_logic_vector((IN_SIZE-1) downto 0); in_dv : in std_logic; in_fv : in std_logic; ----------------------------- OUT FLOW --------------------------------- out1_data : out std_logic_vector((OUT1_SIZE-1) downto 0); out1_dv : out std_logic; out1_fv : out std_logic; ------------------------------ Slaves --------------------------------- addr_rel_i : in std_logic_vector(1 downto 0); wr_i : in std_logic; rd_i : in std_logic; datawr_i : in std_logic_vector(31 downto 0); datard_o : out std_logic_vector(31 downto 0) ); end fastfilter; architecture structural of fastfilter is component fastfilter_slave port( clk_proc : in std_logic; reset_n : in std_logic; addr_rel_i : in std_logic_vector(1 downto 0); wr_i : in std_logic; rd_i : in std_logic; datawr_i : in std_logic_vector(31 downto 0); datard_o : out std_logic_vector(31 downto 0); enable_o : out std_logic ); end component; component fastfilter_process generic( PIXEL_SIZE : integer; IMAGE_WIDTH : integer ); port( clk : in std_logic; reset_n : in std_logic; enable : in std_logic; in_data : in std_logic_vector ((PIXEL_SIZE-1) downto 0); in_dv : in std_logic; in_fv : in std_logic; out1_data : out std_logic_vector ((PIXEL_SIZE-1) downto 0); out1_dv : out std_logic; out1_fv : out std_logic ); end component; signal enable_s : std_logic; begin slave_inst : fastfilter_slave port map ( clk_proc => clk_proc, reset_n => reset_n, addr_rel_i => addr_rel_i, wr_i => wr_i, rd_i => rd_i, datawr_i => datawr_i, datard_o => datard_o, enable_o => enable_s ); proce_inst : fastfilter_process generic map( PIXEL_SIZE => IN_SIZE, IMAGE_WIDTH => IMAGE_WIDTH ) port map( clk => clk_proc, reset_n => reset_n, enable => enable_s, in_data => in_data, in_dv => in_dv, in_fv => in_fv, out1_data => out1_data, out1_dv => out1_dv, out1_fv => out1_fv ); end structural;
gpl-3.0
feb3b3f0e6e90caa07c49524b19662cf
0.452931
3.273026
false
false
false
false
DreamIP/GPStudio
support/component/gp_fifo/hdl/gp_fifo.vhd
1
2,941
library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; use ieee.math_real.all; library ALTERA_MF; use ALTERA_MF.all; entity gp_fifo is generic ( DATA_WIDTH : positive; FIFO_DEPTH : positive ); port ( clk : in std_logic; reset_n : in std_logic; -- writer data_wr : in std_logic; data_in : in std_logic_vector(DATA_WIDTH-1 downto 0); full : out std_logic; -- reader data_rd : in std_logic; data_out : out std_logic_vector(DATA_WIDTH-1 downto 0); empty : out std_logic ); end gp_fifo; architecture rtl of gp_fifo is -- signals signal reset_s : std_logic; -- components component scfifo generic ( ADD_RAM_OUTPUT_REGISTER : string; INTENDED_DEVICE_FAMILY : string; LPM_NUMWORDS : natural; LPM_SHOWAHEAD : string; LPM_TYPE : string; LPM_WIDTH : natural; LPM_WIDTHU : natural; OVERFLOW_CHECKING : string; UNDERFLOW_CHECKING : string; USE_EAB : string ); port ( clock : in std_logic; aclr : in std_logic; sclr : in std_logic; data : in std_logic_vector (LPM_WIDTH-1 downto 0); wrreq : in std_logic; full : out std_logic; almost_full : out std_logic; rdreq : in std_logic; empty : out std_logic; almost_empty : out std_logic; q : out std_logic_vector (LPM_WIDTH-1 downto 0); usedw : out std_logic_vector (LPM_WIDTHU-1 downto 0) ); end component; -- instantiation begin reset_s <= not(reset_n); scfifo_component : scfifo generic map ( ADD_RAM_OUTPUT_REGISTER => "OFF", INTENDED_DEVICE_FAMILY => "Cyclone III", LPM_NUMWORDS => FIFO_DEPTH, LPM_SHOWAHEAD => "OFF", LPM_TYPE => "scfifo", LPM_WIDTH => DATA_WIDTH, LPM_WIDTHU => integer(ceil(log2(real(FIFO_DEPTH)))), OVERFLOW_CHECKING => "ON", UNDERFLOW_CHECKING => "ON", USE_EAB => "ON" ) port map ( clock => clk, aclr => reset_s, sclr => '0', data => data_in, wrreq => data_wr, full => full, almost_full => open, rdreq => data_rd, empty => empty, almost_empty => open, q => data_out, usedw => open ); end rtl;
gpl-3.0
29aa8321c0592cfb960614eaa528f922
0.439646
4.213467
false
false
false
false
INTI-CMNB-FPGA/fpga_lib
vhdl/mems/SimpleDualPortRAM.vhdl
1
1,751
-- -- Simple Dual-Port RAM -- -- Author(s): -- * Rodrigo A. Melo -- -- Copyright (c) 2016 Authors and INTI -- Distributed under the BSD 3-Clause License -- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library FPGALIB; use FPGALIB.MEMS.all; entity SimpleDualPortRAM is generic ( AWIDTH : positive:=8; -- Address width DWIDTH : positive:=8; -- Data width DEPTH : natural:=0; -- Memory depth OUTREG : boolean :=FALSE -- Optional Output Register ); port ( clk1_i : in std_logic; clk2_i : in std_logic; wen1_i : in std_logic; addr1_i : in std_logic_vector(AWIDTH-1 downto 0); addr2_i : in std_logic_vector(AWIDTH-1 downto 0); data1_i : in std_logic_vector(DWIDTH-1 downto 0); data2_o : out std_logic_vector(DWIDTH-1 downto 0) ); end entity SimpleDualPortRAM; architecture RTL of SimpleDualPortRAM is constant SIZE : positive:=getMemorySize(DEPTH,AWIDTH); type ram_type is array(SIZE-1 downto 0) of std_logic_vector (DWIDTH-1 downto 0); signal ram : ram_type; signal data2 : std_logic_vector(DWIDTH-1 downto 0); begin ram1_p: process (clk1_i) begin if rising_edge(clk1_i) then if wen1_i='1' then ram(to_integer(unsigned(addr1_i))) <= data1_i; end if; end if; end process ram1_p; ram2_p: process (clk2_i) begin if rising_edge(clk2_i) then if OUTREG then data2 <= ram(to_integer(unsigned(addr2_i))); data2_o <= data2; else data2_o <= ram(to_integer(unsigned(addr2_i))); end if; end if; end process ram2_p; end architecture RTL;
bsd-3-clause
2feb39329576870c148e5310fe844bf2
0.593375
3.254647
false
false
false
false
hoglet67/ElectronFpga
src/common/ROM/RomOS100.vhd
1
803,553
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; entity RomOS100 is port ( clk : in std_logic; addr : in std_logic_vector(13 downto 0); data : out std_logic_vector(7 downto 0) ); end; architecture RTL of RomOS100 is signal rom_addr : std_logic_vector(13 downto 0); begin p_addr : process(addr) begin rom_addr <= (others => '0'); rom_addr(13 downto 0) <= addr; end process; p_rom : process begin wait until rising_edge(clk); data <= (others => '0'); case rom_addr is when "00" & x"000" => data <= x"00"; when "00" & x"001" => data <= x"00"; when "00" & x"002" => data <= x"00"; when "00" & x"003" => data <= x"00"; when "00" & x"004" => data <= x"00"; when "00" & x"005" => data <= x"00"; when "00" & x"006" => data <= x"00"; when "00" & x"007" => data <= x"00"; when "00" & x"008" => data <= x"18"; when "00" & x"009" => data <= x"18"; when "00" & x"00a" => data <= x"18"; when "00" & x"00b" => data <= x"18"; when "00" & x"00c" => data <= x"18"; when "00" & x"00d" => data <= x"00"; when "00" & x"00e" => data <= x"18"; when "00" & x"00f" => data <= x"00"; when "00" & x"010" => data <= x"6c"; when "00" & x"011" => data <= x"6c"; when "00" & x"012" => data <= x"6c"; when "00" & x"013" => data <= x"00"; when "00" & x"014" => data <= x"00"; when "00" & x"015" => data <= x"00"; when "00" & x"016" => data <= x"00"; when "00" & x"017" => data <= x"00"; when "00" & x"018" => data <= x"36"; when "00" & x"019" => data <= x"36"; when "00" & x"01a" => data <= x"7f"; when "00" & x"01b" => data <= x"36"; when "00" & x"01c" => data <= x"7f"; when "00" & x"01d" => data <= x"36"; when "00" & x"01e" => data <= x"36"; when "00" & x"01f" => data <= x"00"; when "00" & x"020" => data <= x"0c"; when "00" & x"021" => data <= x"3f"; when "00" & x"022" => data <= x"68"; when "00" & x"023" => data <= x"3e"; when "00" & x"024" => data <= x"0b"; when "00" & x"025" => data <= x"7e"; when "00" & x"026" => data <= x"18"; when "00" & x"027" => data <= x"00"; when "00" & x"028" => data <= x"60"; when "00" & x"029" => data <= x"66"; when "00" & x"02a" => data <= x"0c"; when "00" & x"02b" => data <= x"18"; when "00" & x"02c" => data <= x"30"; when "00" & x"02d" => data <= x"66"; when "00" & x"02e" => data <= x"06"; when "00" & x"02f" => data <= x"00"; when "00" & x"030" => data <= x"38"; when "00" & x"031" => data <= x"6c"; when "00" & x"032" => data <= x"6c"; when "00" & x"033" => data <= x"38"; when "00" & x"034" => data <= x"6d"; when "00" & x"035" => data <= x"66"; when "00" & x"036" => data <= x"3b"; when "00" & x"037" => data <= x"00"; when "00" & x"038" => data <= x"0c"; when "00" & x"039" => data <= x"18"; when "00" & x"03a" => data <= x"30"; when "00" & x"03b" => data <= x"00"; when "00" & x"03c" => data <= x"00"; when "00" & x"03d" => data <= x"00"; when "00" & x"03e" => data <= x"00"; when "00" & x"03f" => data <= x"00"; when "00" & x"040" => data <= x"0c"; when "00" & x"041" => data <= x"18"; when "00" & x"042" => data <= x"30"; when "00" & x"043" => data <= x"30"; when "00" & x"044" => data <= x"30"; when "00" & x"045" => data <= x"18"; when "00" & x"046" => data <= x"0c"; when "00" & x"047" => data <= x"00"; when "00" & x"048" => data <= x"30"; when "00" & x"049" => data <= x"18"; when "00" & x"04a" => data <= x"0c"; when "00" & x"04b" => data <= x"0c"; when "00" & x"04c" => data <= x"0c"; when "00" & x"04d" => data <= x"18"; when "00" & x"04e" => data <= x"30"; when "00" & x"04f" => data <= x"00"; when "00" & x"050" => data <= x"00"; when "00" & x"051" => data <= x"18"; when "00" & x"052" => data <= x"7e"; when "00" & x"053" => data <= x"3c"; when "00" & x"054" => data <= x"7e"; when "00" & x"055" => data <= x"18"; when "00" & x"056" => data <= x"00"; when "00" & x"057" => data <= x"00"; when "00" & x"058" => data <= x"00"; when "00" & x"059" => data <= x"18"; when "00" & x"05a" => data <= x"18"; when "00" & x"05b" => data <= x"7e"; when "00" & x"05c" => data <= x"18"; when "00" & x"05d" => data <= x"18"; when "00" & x"05e" => data <= x"00"; when "00" & x"05f" => data <= x"00"; when "00" & x"060" => data <= x"00"; when "00" & x"061" => data <= x"00"; when "00" & x"062" => data <= x"00"; when "00" & x"063" => data <= x"00"; when "00" & x"064" => data <= x"00"; when "00" & x"065" => data <= x"18"; when "00" & x"066" => data <= x"18"; when "00" & x"067" => data <= x"30"; when "00" & x"068" => data <= x"00"; when "00" & x"069" => data <= x"00"; when "00" & x"06a" => data <= x"00"; when "00" & x"06b" => data <= x"7e"; when "00" & x"06c" => data <= x"00"; when "00" & x"06d" => data <= x"00"; when "00" & x"06e" => data <= x"00"; when "00" & x"06f" => data <= x"00"; when "00" & x"070" => data <= x"00"; when "00" & x"071" => data <= x"00"; when "00" & x"072" => data <= x"00"; when "00" & x"073" => data <= x"00"; when "00" & x"074" => data <= x"00"; when "00" & x"075" => data <= x"18"; when "00" & x"076" => data <= x"18"; when "00" & x"077" => data <= x"00"; when "00" & x"078" => data <= x"00"; when "00" & x"079" => data <= x"06"; when "00" & x"07a" => data <= x"0c"; when "00" & x"07b" => data <= x"18"; when "00" & x"07c" => data <= x"30"; when "00" & x"07d" => data <= x"60"; when "00" & x"07e" => data <= x"00"; when "00" & x"07f" => data <= x"00"; when "00" & x"080" => data <= x"3c"; when "00" & x"081" => data <= x"66"; when "00" & x"082" => data <= x"6e"; when "00" & x"083" => data <= x"7e"; when "00" & x"084" => data <= x"76"; when "00" & x"085" => data <= x"66"; when "00" & x"086" => data <= x"3c"; when "00" & x"087" => data <= x"00"; when "00" & x"088" => data <= x"18"; when "00" & x"089" => data <= x"38"; when "00" & x"08a" => data <= x"18"; when "00" & x"08b" => data <= x"18"; when "00" & x"08c" => data <= x"18"; when "00" & x"08d" => data <= x"18"; when "00" & x"08e" => data <= x"7e"; when "00" & x"08f" => data <= x"00"; when "00" & x"090" => data <= x"3c"; when "00" & x"091" => data <= x"66"; when "00" & x"092" => data <= x"06"; when "00" & x"093" => data <= x"0c"; when "00" & x"094" => data <= x"18"; when "00" & x"095" => data <= x"30"; when "00" & x"096" => data <= x"7e"; when "00" & x"097" => data <= x"00"; when "00" & x"098" => data <= x"3c"; when "00" & x"099" => data <= x"66"; when "00" & x"09a" => data <= x"06"; when "00" & x"09b" => data <= x"1c"; when "00" & x"09c" => data <= x"06"; when "00" & x"09d" => data <= x"66"; when "00" & x"09e" => data <= x"3c"; when "00" & x"09f" => data <= x"00"; when "00" & x"0a0" => data <= x"0c"; when "00" & x"0a1" => data <= x"1c"; when "00" & x"0a2" => data <= x"3c"; when "00" & x"0a3" => data <= x"6c"; when "00" & x"0a4" => data <= x"7e"; when "00" & x"0a5" => data <= x"0c"; when "00" & x"0a6" => data <= x"0c"; when "00" & x"0a7" => data <= x"00"; when "00" & x"0a8" => data <= x"7e"; when "00" & x"0a9" => data <= x"60"; when "00" & x"0aa" => data <= x"7c"; when "00" & x"0ab" => data <= x"06"; when "00" & x"0ac" => data <= x"06"; when "00" & x"0ad" => data <= x"66"; when "00" & x"0ae" => data <= x"3c"; when "00" & x"0af" => data <= x"00"; when "00" & x"0b0" => data <= x"1c"; when "00" & x"0b1" => data <= x"30"; when "00" & x"0b2" => data <= x"60"; when "00" & x"0b3" => data <= x"7c"; when "00" & x"0b4" => data <= x"66"; when "00" & x"0b5" => data <= x"66"; when "00" & x"0b6" => data <= x"3c"; when "00" & x"0b7" => data <= x"00"; when "00" & x"0b8" => data <= x"7e"; when "00" & x"0b9" => data <= x"06"; when "00" & x"0ba" => data <= x"0c"; when "00" & x"0bb" => data <= x"18"; when "00" & x"0bc" => data <= x"30"; when "00" & x"0bd" => data <= x"30"; when "00" & x"0be" => data <= x"30"; when "00" & x"0bf" => data <= x"00"; when "00" & x"0c0" => data <= x"3c"; when "00" & x"0c1" => data <= x"66"; when "00" & x"0c2" => data <= x"66"; when "00" & x"0c3" => data <= x"3c"; when "00" & x"0c4" => data <= x"66"; when "00" & x"0c5" => data <= x"66"; when "00" & x"0c6" => data <= x"3c"; when "00" & x"0c7" => data <= x"00"; when "00" & x"0c8" => data <= x"3c"; when "00" & x"0c9" => data <= x"66"; when "00" & x"0ca" => data <= x"66"; when "00" & x"0cb" => data <= x"3e"; when "00" & x"0cc" => data <= x"06"; when "00" & x"0cd" => data <= x"0c"; when "00" & x"0ce" => data <= x"38"; when "00" & x"0cf" => data <= x"00"; when "00" & x"0d0" => data <= x"00"; when "00" & x"0d1" => data <= x"00"; when "00" & x"0d2" => data <= x"18"; when "00" & x"0d3" => data <= x"18"; when "00" & x"0d4" => data <= x"00"; when "00" & x"0d5" => data <= x"18"; when "00" & x"0d6" => data <= x"18"; when "00" & x"0d7" => data <= x"00"; when "00" & x"0d8" => data <= x"00"; when "00" & x"0d9" => data <= x"00"; when "00" & x"0da" => data <= x"18"; when "00" & x"0db" => data <= x"18"; when "00" & x"0dc" => data <= x"00"; when "00" & x"0dd" => data <= x"18"; when "00" & x"0de" => data <= x"18"; when "00" & x"0df" => data <= x"30"; when "00" & x"0e0" => data <= x"0c"; when "00" & x"0e1" => data <= x"18"; when "00" & x"0e2" => data <= x"30"; when "00" & x"0e3" => data <= x"60"; when "00" & x"0e4" => data <= x"30"; when "00" & x"0e5" => data <= x"18"; when "00" & x"0e6" => data <= x"0c"; when "00" & x"0e7" => data <= x"00"; when "00" & x"0e8" => data <= x"00"; when "00" & x"0e9" => data <= x"00"; when "00" & x"0ea" => data <= x"7e"; when "00" & x"0eb" => data <= x"00"; when "00" & x"0ec" => data <= x"7e"; when "00" & x"0ed" => data <= x"00"; when "00" & x"0ee" => data <= x"00"; when "00" & x"0ef" => data <= x"00"; when "00" & x"0f0" => data <= x"30"; when "00" & x"0f1" => data <= x"18"; when "00" & x"0f2" => data <= x"0c"; when "00" & x"0f3" => data <= x"06"; when "00" & x"0f4" => data <= x"0c"; when "00" & x"0f5" => data <= x"18"; when "00" & x"0f6" => data <= x"30"; when "00" & x"0f7" => data <= x"00"; when "00" & x"0f8" => data <= x"3c"; when "00" & x"0f9" => data <= x"66"; when "00" & x"0fa" => data <= x"0c"; when "00" & x"0fb" => data <= x"18"; when "00" & x"0fc" => data <= x"18"; when "00" & x"0fd" => data <= x"00"; when "00" & x"0fe" => data <= x"18"; when "00" & x"0ff" => data <= x"00"; when "00" & x"100" => data <= x"3c"; when "00" & x"101" => data <= x"66"; when "00" & x"102" => data <= x"6e"; when "00" & x"103" => data <= x"6a"; when "00" & x"104" => data <= x"6e"; when "00" & x"105" => data <= x"60"; when "00" & x"106" => data <= x"3c"; when "00" & x"107" => data <= x"00"; when "00" & x"108" => data <= x"3c"; when "00" & x"109" => data <= x"66"; when "00" & x"10a" => data <= x"66"; when "00" & x"10b" => data <= x"7e"; when "00" & x"10c" => data <= x"66"; when "00" & x"10d" => data <= x"66"; when "00" & x"10e" => data <= x"66"; when "00" & x"10f" => data <= x"00"; when "00" & x"110" => data <= x"7c"; when "00" & x"111" => data <= x"66"; when "00" & x"112" => data <= x"66"; when "00" & x"113" => data <= x"7c"; when "00" & x"114" => data <= x"66"; when "00" & x"115" => data <= x"66"; when "00" & x"116" => data <= x"7c"; when "00" & x"117" => data <= x"00"; when "00" & x"118" => data <= x"3c"; when "00" & x"119" => data <= x"66"; when "00" & x"11a" => data <= x"60"; when "00" & x"11b" => data <= x"60"; when "00" & x"11c" => data <= x"60"; when "00" & x"11d" => data <= x"66"; when "00" & x"11e" => data <= x"3c"; when "00" & x"11f" => data <= x"00"; when "00" & x"120" => data <= x"78"; when "00" & x"121" => data <= x"6c"; when "00" & x"122" => data <= x"66"; when "00" & x"123" => data <= x"66"; when "00" & x"124" => data <= x"66"; when "00" & x"125" => data <= x"6c"; when "00" & x"126" => data <= x"78"; when "00" & x"127" => data <= x"00"; when "00" & x"128" => data <= x"7e"; when "00" & x"129" => data <= x"60"; when "00" & x"12a" => data <= x"60"; when "00" & x"12b" => data <= x"7c"; when "00" & x"12c" => data <= x"60"; when "00" & x"12d" => data <= x"60"; when "00" & x"12e" => data <= x"7e"; when "00" & x"12f" => data <= x"00"; when "00" & x"130" => data <= x"7e"; when "00" & x"131" => data <= x"60"; when "00" & x"132" => data <= x"60"; when "00" & x"133" => data <= x"7c"; when "00" & x"134" => data <= x"60"; when "00" & x"135" => data <= x"60"; when "00" & x"136" => data <= x"60"; when "00" & x"137" => data <= x"00"; when "00" & x"138" => data <= x"3c"; when "00" & x"139" => data <= x"66"; when "00" & x"13a" => data <= x"60"; when "00" & x"13b" => data <= x"6e"; when "00" & x"13c" => data <= x"66"; when "00" & x"13d" => data <= x"66"; when "00" & x"13e" => data <= x"3c"; when "00" & x"13f" => data <= x"00"; when "00" & x"140" => data <= x"66"; when "00" & x"141" => data <= x"66"; when "00" & x"142" => data <= x"66"; when "00" & x"143" => data <= x"7e"; when "00" & x"144" => data <= x"66"; when "00" & x"145" => data <= x"66"; when "00" & x"146" => data <= x"66"; when "00" & x"147" => data <= x"00"; when "00" & x"148" => data <= x"7e"; when "00" & x"149" => data <= x"18"; when "00" & x"14a" => data <= x"18"; when "00" & x"14b" => data <= x"18"; when "00" & x"14c" => data <= x"18"; when "00" & x"14d" => data <= x"18"; when "00" & x"14e" => data <= x"7e"; when "00" & x"14f" => data <= x"00"; when "00" & x"150" => data <= x"3e"; when "00" & x"151" => data <= x"0c"; when "00" & x"152" => data <= x"0c"; when "00" & x"153" => data <= x"0c"; when "00" & x"154" => data <= x"0c"; when "00" & x"155" => data <= x"6c"; when "00" & x"156" => data <= x"38"; when "00" & x"157" => data <= x"00"; when "00" & x"158" => data <= x"66"; when "00" & x"159" => data <= x"6c"; when "00" & x"15a" => data <= x"78"; when "00" & x"15b" => data <= x"70"; when "00" & x"15c" => data <= x"78"; when "00" & x"15d" => data <= x"6c"; when "00" & x"15e" => data <= x"66"; when "00" & x"15f" => data <= x"00"; when "00" & x"160" => data <= x"60"; when "00" & x"161" => data <= x"60"; when "00" & x"162" => data <= x"60"; when "00" & x"163" => data <= x"60"; when "00" & x"164" => data <= x"60"; when "00" & x"165" => data <= x"60"; when "00" & x"166" => data <= x"7e"; when "00" & x"167" => data <= x"00"; when "00" & x"168" => data <= x"63"; when "00" & x"169" => data <= x"77"; when "00" & x"16a" => data <= x"7f"; when "00" & x"16b" => data <= x"6b"; when "00" & x"16c" => data <= x"6b"; when "00" & x"16d" => data <= x"63"; when "00" & x"16e" => data <= x"63"; when "00" & x"16f" => data <= x"00"; when "00" & x"170" => data <= x"66"; when "00" & x"171" => data <= x"66"; when "00" & x"172" => data <= x"76"; when "00" & x"173" => data <= x"7e"; when "00" & x"174" => data <= x"6e"; when "00" & x"175" => data <= x"66"; when "00" & x"176" => data <= x"66"; when "00" & x"177" => data <= x"00"; when "00" & x"178" => data <= x"3c"; when "00" & x"179" => data <= x"66"; when "00" & x"17a" => data <= x"66"; when "00" & x"17b" => data <= x"66"; when "00" & x"17c" => data <= x"66"; when "00" & x"17d" => data <= x"66"; when "00" & x"17e" => data <= x"3c"; when "00" & x"17f" => data <= x"00"; when "00" & x"180" => data <= x"7c"; when "00" & x"181" => data <= x"66"; when "00" & x"182" => data <= x"66"; when "00" & x"183" => data <= x"7c"; when "00" & x"184" => data <= x"60"; when "00" & x"185" => data <= x"60"; when "00" & x"186" => data <= x"60"; when "00" & x"187" => data <= x"00"; when "00" & x"188" => data <= x"3c"; when "00" & x"189" => data <= x"66"; when "00" & x"18a" => data <= x"66"; when "00" & x"18b" => data <= x"66"; when "00" & x"18c" => data <= x"6a"; when "00" & x"18d" => data <= x"6c"; when "00" & x"18e" => data <= x"36"; when "00" & x"18f" => data <= x"00"; when "00" & x"190" => data <= x"7c"; when "00" & x"191" => data <= x"66"; when "00" & x"192" => data <= x"66"; when "00" & x"193" => data <= x"7c"; when "00" & x"194" => data <= x"6c"; when "00" & x"195" => data <= x"66"; when "00" & x"196" => data <= x"66"; when "00" & x"197" => data <= x"00"; when "00" & x"198" => data <= x"3c"; when "00" & x"199" => data <= x"66"; when "00" & x"19a" => data <= x"60"; when "00" & x"19b" => data <= x"3c"; when "00" & x"19c" => data <= x"06"; when "00" & x"19d" => data <= x"66"; when "00" & x"19e" => data <= x"3c"; when "00" & x"19f" => data <= x"00"; when "00" & x"1a0" => data <= x"7e"; when "00" & x"1a1" => data <= x"18"; when "00" & x"1a2" => data <= x"18"; when "00" & x"1a3" => data <= x"18"; when "00" & x"1a4" => data <= x"18"; when "00" & x"1a5" => data <= x"18"; when "00" & x"1a6" => data <= x"18"; when "00" & x"1a7" => data <= x"00"; when "00" & x"1a8" => data <= x"66"; when "00" & x"1a9" => data <= x"66"; when "00" & x"1aa" => data <= x"66"; when "00" & x"1ab" => data <= x"66"; when "00" & x"1ac" => data <= x"66"; when "00" & x"1ad" => data <= x"66"; when "00" & x"1ae" => data <= x"3c"; when "00" & x"1af" => data <= x"00"; when "00" & x"1b0" => data <= x"66"; when "00" & x"1b1" => data <= x"66"; when "00" & x"1b2" => data <= x"66"; when "00" & x"1b3" => data <= x"66"; when "00" & x"1b4" => data <= x"66"; when "00" & x"1b5" => data <= x"3c"; when "00" & x"1b6" => data <= x"18"; when "00" & x"1b7" => data <= x"00"; when "00" & x"1b8" => data <= x"63"; when "00" & x"1b9" => data <= x"63"; when "00" & x"1ba" => data <= x"6b"; when "00" & x"1bb" => data <= x"6b"; when "00" & x"1bc" => data <= x"7f"; when "00" & x"1bd" => data <= x"77"; when "00" & x"1be" => data <= x"63"; when "00" & x"1bf" => data <= x"00"; when "00" & x"1c0" => data <= x"66"; when "00" & x"1c1" => data <= x"66"; when "00" & x"1c2" => data <= x"3c"; when "00" & x"1c3" => data <= x"18"; when "00" & x"1c4" => data <= x"3c"; when "00" & x"1c5" => data <= x"66"; when "00" & x"1c6" => data <= x"66"; when "00" & x"1c7" => data <= x"00"; when "00" & x"1c8" => data <= x"66"; when "00" & x"1c9" => data <= x"66"; when "00" & x"1ca" => data <= x"66"; when "00" & x"1cb" => data <= x"3c"; when "00" & x"1cc" => data <= x"18"; when "00" & x"1cd" => data <= x"18"; when "00" & x"1ce" => data <= x"18"; when "00" & x"1cf" => data <= x"00"; when "00" & x"1d0" => data <= x"7e"; when "00" & x"1d1" => data <= x"06"; when "00" & x"1d2" => data <= x"0c"; when "00" & x"1d3" => data <= x"18"; when "00" & x"1d4" => data <= x"30"; when "00" & x"1d5" => data <= x"60"; when "00" & x"1d6" => data <= x"7e"; when "00" & x"1d7" => data <= x"00"; when "00" & x"1d8" => data <= x"7c"; when "00" & x"1d9" => data <= x"60"; when "00" & x"1da" => data <= x"60"; when "00" & x"1db" => data <= x"60"; when "00" & x"1dc" => data <= x"60"; when "00" & x"1dd" => data <= x"60"; when "00" & x"1de" => data <= x"7c"; when "00" & x"1df" => data <= x"00"; when "00" & x"1e0" => data <= x"00"; when "00" & x"1e1" => data <= x"60"; when "00" & x"1e2" => data <= x"30"; when "00" & x"1e3" => data <= x"18"; when "00" & x"1e4" => data <= x"0c"; when "00" & x"1e5" => data <= x"06"; when "00" & x"1e6" => data <= x"00"; when "00" & x"1e7" => data <= x"00"; when "00" & x"1e8" => data <= x"3e"; when "00" & x"1e9" => data <= x"06"; when "00" & x"1ea" => data <= x"06"; when "00" & x"1eb" => data <= x"06"; when "00" & x"1ec" => data <= x"06"; when "00" & x"1ed" => data <= x"06"; when "00" & x"1ee" => data <= x"3e"; when "00" & x"1ef" => data <= x"00"; when "00" & x"1f0" => data <= x"18"; when "00" & x"1f1" => data <= x"3c"; when "00" & x"1f2" => data <= x"66"; when "00" & x"1f3" => data <= x"42"; when "00" & x"1f4" => data <= x"00"; when "00" & x"1f5" => data <= x"00"; when "00" & x"1f6" => data <= x"00"; when "00" & x"1f7" => data <= x"00"; when "00" & x"1f8" => data <= x"00"; when "00" & x"1f9" => data <= x"00"; when "00" & x"1fa" => data <= x"00"; when "00" & x"1fb" => data <= x"00"; when "00" & x"1fc" => data <= x"00"; when "00" & x"1fd" => data <= x"00"; when "00" & x"1fe" => data <= x"00"; when "00" & x"1ff" => data <= x"ff"; when "00" & x"200" => data <= x"1c"; when "00" & x"201" => data <= x"36"; when "00" & x"202" => data <= x"30"; when "00" & x"203" => data <= x"7c"; when "00" & x"204" => data <= x"30"; when "00" & x"205" => data <= x"30"; when "00" & x"206" => data <= x"7e"; when "00" & x"207" => data <= x"00"; when "00" & x"208" => data <= x"00"; when "00" & x"209" => data <= x"00"; when "00" & x"20a" => data <= x"3c"; when "00" & x"20b" => data <= x"06"; when "00" & x"20c" => data <= x"3e"; when "00" & x"20d" => data <= x"66"; when "00" & x"20e" => data <= x"3e"; when "00" & x"20f" => data <= x"00"; when "00" & x"210" => data <= x"60"; when "00" & x"211" => data <= x"60"; when "00" & x"212" => data <= x"7c"; when "00" & x"213" => data <= x"66"; when "00" & x"214" => data <= x"66"; when "00" & x"215" => data <= x"66"; when "00" & x"216" => data <= x"7c"; when "00" & x"217" => data <= x"00"; when "00" & x"218" => data <= x"00"; when "00" & x"219" => data <= x"00"; when "00" & x"21a" => data <= x"3c"; when "00" & x"21b" => data <= x"66"; when "00" & x"21c" => data <= x"60"; when "00" & x"21d" => data <= x"66"; when "00" & x"21e" => data <= x"3c"; when "00" & x"21f" => data <= x"00"; when "00" & x"220" => data <= x"06"; when "00" & x"221" => data <= x"06"; when "00" & x"222" => data <= x"3e"; when "00" & x"223" => data <= x"66"; when "00" & x"224" => data <= x"66"; when "00" & x"225" => data <= x"66"; when "00" & x"226" => data <= x"3e"; when "00" & x"227" => data <= x"00"; when "00" & x"228" => data <= x"00"; when "00" & x"229" => data <= x"00"; when "00" & x"22a" => data <= x"3c"; when "00" & x"22b" => data <= x"66"; when "00" & x"22c" => data <= x"7e"; when "00" & x"22d" => data <= x"60"; when "00" & x"22e" => data <= x"3c"; when "00" & x"22f" => data <= x"00"; when "00" & x"230" => data <= x"1c"; when "00" & x"231" => data <= x"30"; when "00" & x"232" => data <= x"30"; when "00" & x"233" => data <= x"7c"; when "00" & x"234" => data <= x"30"; when "00" & x"235" => data <= x"30"; when "00" & x"236" => data <= x"30"; when "00" & x"237" => data <= x"00"; when "00" & x"238" => data <= x"00"; when "00" & x"239" => data <= x"00"; when "00" & x"23a" => data <= x"3e"; when "00" & x"23b" => data <= x"66"; when "00" & x"23c" => data <= x"66"; when "00" & x"23d" => data <= x"3e"; when "00" & x"23e" => data <= x"06"; when "00" & x"23f" => data <= x"3c"; when "00" & x"240" => data <= x"60"; when "00" & x"241" => data <= x"60"; when "00" & x"242" => data <= x"7c"; when "00" & x"243" => data <= x"66"; when "00" & x"244" => data <= x"66"; when "00" & x"245" => data <= x"66"; when "00" & x"246" => data <= x"66"; when "00" & x"247" => data <= x"00"; when "00" & x"248" => data <= x"18"; when "00" & x"249" => data <= x"00"; when "00" & x"24a" => data <= x"38"; when "00" & x"24b" => data <= x"18"; when "00" & x"24c" => data <= x"18"; when "00" & x"24d" => data <= x"18"; when "00" & x"24e" => data <= x"3c"; when "00" & x"24f" => data <= x"00"; when "00" & x"250" => data <= x"18"; when "00" & x"251" => data <= x"00"; when "00" & x"252" => data <= x"38"; when "00" & x"253" => data <= x"18"; when "00" & x"254" => data <= x"18"; when "00" & x"255" => data <= x"18"; when "00" & x"256" => data <= x"18"; when "00" & x"257" => data <= x"70"; when "00" & x"258" => data <= x"60"; when "00" & x"259" => data <= x"60"; when "00" & x"25a" => data <= x"66"; when "00" & x"25b" => data <= x"6c"; when "00" & x"25c" => data <= x"78"; when "00" & x"25d" => data <= x"6c"; when "00" & x"25e" => data <= x"66"; when "00" & x"25f" => data <= x"00"; when "00" & x"260" => data <= x"38"; when "00" & x"261" => data <= x"18"; when "00" & x"262" => data <= x"18"; when "00" & x"263" => data <= x"18"; when "00" & x"264" => data <= x"18"; when "00" & x"265" => data <= x"18"; when "00" & x"266" => data <= x"3c"; when "00" & x"267" => data <= x"00"; when "00" & x"268" => data <= x"00"; when "00" & x"269" => data <= x"00"; when "00" & x"26a" => data <= x"36"; when "00" & x"26b" => data <= x"7f"; when "00" & x"26c" => data <= x"6b"; when "00" & x"26d" => data <= x"6b"; when "00" & x"26e" => data <= x"63"; when "00" & x"26f" => data <= x"00"; when "00" & x"270" => data <= x"00"; when "00" & x"271" => data <= x"00"; when "00" & x"272" => data <= x"7c"; when "00" & x"273" => data <= x"66"; when "00" & x"274" => data <= x"66"; when "00" & x"275" => data <= x"66"; when "00" & x"276" => data <= x"66"; when "00" & x"277" => data <= x"00"; when "00" & x"278" => data <= x"00"; when "00" & x"279" => data <= x"00"; when "00" & x"27a" => data <= x"3c"; when "00" & x"27b" => data <= x"66"; when "00" & x"27c" => data <= x"66"; when "00" & x"27d" => data <= x"66"; when "00" & x"27e" => data <= x"3c"; when "00" & x"27f" => data <= x"00"; when "00" & x"280" => data <= x"00"; when "00" & x"281" => data <= x"00"; when "00" & x"282" => data <= x"7c"; when "00" & x"283" => data <= x"66"; when "00" & x"284" => data <= x"66"; when "00" & x"285" => data <= x"7c"; when "00" & x"286" => data <= x"60"; when "00" & x"287" => data <= x"60"; when "00" & x"288" => data <= x"00"; when "00" & x"289" => data <= x"00"; when "00" & x"28a" => data <= x"3e"; when "00" & x"28b" => data <= x"66"; when "00" & x"28c" => data <= x"66"; when "00" & x"28d" => data <= x"3e"; when "00" & x"28e" => data <= x"06"; when "00" & x"28f" => data <= x"07"; when "00" & x"290" => data <= x"00"; when "00" & x"291" => data <= x"00"; when "00" & x"292" => data <= x"6c"; when "00" & x"293" => data <= x"76"; when "00" & x"294" => data <= x"60"; when "00" & x"295" => data <= x"60"; when "00" & x"296" => data <= x"60"; when "00" & x"297" => data <= x"00"; when "00" & x"298" => data <= x"00"; when "00" & x"299" => data <= x"00"; when "00" & x"29a" => data <= x"3e"; when "00" & x"29b" => data <= x"60"; when "00" & x"29c" => data <= x"3c"; when "00" & x"29d" => data <= x"06"; when "00" & x"29e" => data <= x"7c"; when "00" & x"29f" => data <= x"00"; when "00" & x"2a0" => data <= x"30"; when "00" & x"2a1" => data <= x"30"; when "00" & x"2a2" => data <= x"7c"; when "00" & x"2a3" => data <= x"30"; when "00" & x"2a4" => data <= x"30"; when "00" & x"2a5" => data <= x"30"; when "00" & x"2a6" => data <= x"1c"; when "00" & x"2a7" => data <= x"00"; when "00" & x"2a8" => data <= x"00"; when "00" & x"2a9" => data <= x"00"; when "00" & x"2aa" => data <= x"66"; when "00" & x"2ab" => data <= x"66"; when "00" & x"2ac" => data <= x"66"; when "00" & x"2ad" => data <= x"66"; when "00" & x"2ae" => data <= x"3e"; when "00" & x"2af" => data <= x"00"; when "00" & x"2b0" => data <= x"00"; when "00" & x"2b1" => data <= x"00"; when "00" & x"2b2" => data <= x"66"; when "00" & x"2b3" => data <= x"66"; when "00" & x"2b4" => data <= x"66"; when "00" & x"2b5" => data <= x"3c"; when "00" & x"2b6" => data <= x"18"; when "00" & x"2b7" => data <= x"00"; when "00" & x"2b8" => data <= x"00"; when "00" & x"2b9" => data <= x"00"; when "00" & x"2ba" => data <= x"63"; when "00" & x"2bb" => data <= x"6b"; when "00" & x"2bc" => data <= x"6b"; when "00" & x"2bd" => data <= x"7f"; when "00" & x"2be" => data <= x"36"; when "00" & x"2bf" => data <= x"00"; when "00" & x"2c0" => data <= x"00"; when "00" & x"2c1" => data <= x"00"; when "00" & x"2c2" => data <= x"66"; when "00" & x"2c3" => data <= x"3c"; when "00" & x"2c4" => data <= x"18"; when "00" & x"2c5" => data <= x"3c"; when "00" & x"2c6" => data <= x"66"; when "00" & x"2c7" => data <= x"00"; when "00" & x"2c8" => data <= x"00"; when "00" & x"2c9" => data <= x"00"; when "00" & x"2ca" => data <= x"66"; when "00" & x"2cb" => data <= x"66"; when "00" & x"2cc" => data <= x"66"; when "00" & x"2cd" => data <= x"3e"; when "00" & x"2ce" => data <= x"06"; when "00" & x"2cf" => data <= x"3c"; when "00" & x"2d0" => data <= x"00"; when "00" & x"2d1" => data <= x"00"; when "00" & x"2d2" => data <= x"7e"; when "00" & x"2d3" => data <= x"0c"; when "00" & x"2d4" => data <= x"18"; when "00" & x"2d5" => data <= x"30"; when "00" & x"2d6" => data <= x"7e"; when "00" & x"2d7" => data <= x"00"; when "00" & x"2d8" => data <= x"0c"; when "00" & x"2d9" => data <= x"18"; when "00" & x"2da" => data <= x"18"; when "00" & x"2db" => data <= x"70"; when "00" & x"2dc" => data <= x"18"; when "00" & x"2dd" => data <= x"18"; when "00" & x"2de" => data <= x"0c"; when "00" & x"2df" => data <= x"00"; when "00" & x"2e0" => data <= x"18"; when "00" & x"2e1" => data <= x"18"; when "00" & x"2e2" => data <= x"18"; when "00" & x"2e3" => data <= x"00"; when "00" & x"2e4" => data <= x"18"; when "00" & x"2e5" => data <= x"18"; when "00" & x"2e6" => data <= x"18"; when "00" & x"2e7" => data <= x"00"; when "00" & x"2e8" => data <= x"30"; when "00" & x"2e9" => data <= x"18"; when "00" & x"2ea" => data <= x"18"; when "00" & x"2eb" => data <= x"0e"; when "00" & x"2ec" => data <= x"18"; when "00" & x"2ed" => data <= x"18"; when "00" & x"2ee" => data <= x"30"; when "00" & x"2ef" => data <= x"00"; when "00" & x"2f0" => data <= x"31"; when "00" & x"2f1" => data <= x"6b"; when "00" & x"2f2" => data <= x"46"; when "00" & x"2f3" => data <= x"00"; when "00" & x"2f4" => data <= x"00"; when "00" & x"2f5" => data <= x"00"; when "00" & x"2f6" => data <= x"00"; when "00" & x"2f7" => data <= x"00"; when "00" & x"2f8" => data <= x"ff"; when "00" & x"2f9" => data <= x"ff"; when "00" & x"2fa" => data <= x"ff"; when "00" & x"2fb" => data <= x"ff"; when "00" & x"2fc" => data <= x"ff"; when "00" & x"2fd" => data <= x"ff"; when "00" & x"2fe" => data <= x"ff"; when "00" & x"2ff" => data <= x"ff"; when "00" & x"300" => data <= x"4c"; when "00" & x"301" => data <= x"12"; when "00" & x"302" => data <= x"cb"; when "00" & x"303" => data <= x"0d"; when "00" & x"304" => data <= x"41"; when "00" & x"305" => data <= x"63"; when "00" & x"306" => data <= x"6f"; when "00" & x"307" => data <= x"72"; when "00" & x"308" => data <= x"6e"; when "00" & x"309" => data <= x"20"; when "00" & x"30a" => data <= x"45"; when "00" & x"30b" => data <= x"6c"; when "00" & x"30c" => data <= x"65"; when "00" & x"30d" => data <= x"63"; when "00" & x"30e" => data <= x"74"; when "00" & x"30f" => data <= x"72"; when "00" & x"310" => data <= x"6f"; when "00" & x"311" => data <= x"6e"; when "00" & x"312" => data <= x"20"; when "00" & x"313" => data <= x"00"; when "00" & x"314" => data <= x"08"; when "00" & x"315" => data <= x"0d"; when "00" & x"316" => data <= x"0d"; when "00" & x"317" => data <= x"00"; when "00" & x"318" => data <= x"11"; when "00" & x"319" => data <= x"22"; when "00" & x"31a" => data <= x"33"; when "00" & x"31b" => data <= x"44"; when "00" & x"31c" => data <= x"55"; when "00" & x"31d" => data <= x"66"; when "00" & x"31e" => data <= x"77"; when "00" & x"31f" => data <= x"88"; when "00" & x"320" => data <= x"99"; when "00" & x"321" => data <= x"aa"; when "00" & x"322" => data <= x"bb"; when "00" & x"323" => data <= x"cc"; when "00" & x"324" => data <= x"dd"; when "00" & x"325" => data <= x"ee"; when "00" & x"326" => data <= x"ff"; when "00" & x"327" => data <= x"00"; when "00" & x"328" => data <= x"55"; when "00" & x"329" => data <= x"aa"; when "00" & x"32a" => data <= x"ff"; when "00" & x"32b" => data <= x"8b"; when "00" & x"32c" => data <= x"b5"; when "00" & x"32d" => data <= x"1c"; when "00" & x"32e" => data <= x"27"; when "00" & x"32f" => data <= x"2e"; when "00" & x"330" => data <= x"35"; when "00" & x"331" => data <= x"8b"; when "00" & x"332" => data <= x"4b"; when "00" & x"333" => data <= x"3e"; when "00" & x"334" => data <= x"e2"; when "00" & x"335" => data <= x"6a"; when "00" & x"336" => data <= x"d9"; when "00" & x"337" => data <= x"f6"; when "00" & x"338" => data <= x"5e"; when "00" & x"339" => data <= x"13"; when "00" & x"33a" => data <= x"2c"; when "00" & x"33b" => data <= x"6c"; when "00" & x"33c" => data <= x"a5"; when "00" & x"33d" => data <= x"c4"; when "00" & x"33e" => data <= x"41"; when "00" & x"33f" => data <= x"f6"; when "00" & x"340" => data <= x"21"; when "00" & x"341" => data <= x"ec"; when "00" & x"342" => data <= x"f2"; when "00" & x"343" => data <= x"38"; when "00" & x"344" => data <= x"86"; when "00" & x"345" => data <= x"02"; when "00" & x"346" => data <= x"8b"; when "00" & x"347" => data <= x"74"; when "00" & x"348" => data <= x"a1"; when "00" & x"349" => data <= x"16"; when "00" & x"34a" => data <= x"25"; when "00" & x"34b" => data <= x"ab"; when "00" & x"34c" => data <= x"c4"; when "00" & x"34d" => data <= x"1f"; when "00" & x"34e" => data <= x"c5"; when "00" & x"34f" => data <= x"c5"; when "00" & x"350" => data <= x"c5"; when "00" & x"351" => data <= x"c5"; when "00" & x"352" => data <= x"c4"; when "00" & x"353" => data <= x"e6"; when "00" & x"354" => data <= x"c5"; when "00" & x"355" => data <= x"c5"; when "00" & x"356" => data <= x"c6"; when "00" & x"357" => data <= x"c5"; when "00" & x"358" => data <= x"c6"; when "00" & x"359" => data <= x"c7"; when "00" & x"35a" => data <= x"c5"; when "00" & x"35b" => data <= x"c5"; when "00" & x"35c" => data <= x"c7"; when "00" & x"35d" => data <= x"4f"; when "00" & x"35e" => data <= x"4e"; when "00" & x"35f" => data <= x"5b"; when "00" & x"360" => data <= x"c7"; when "00" & x"361" => data <= x"c5"; when "00" & x"362" => data <= x"5f"; when "00" & x"363" => data <= x"57"; when "00" & x"364" => data <= x"78"; when "00" & x"365" => data <= x"6b"; when "00" & x"366" => data <= x"ca"; when "00" & x"367" => data <= x"c4"; when "00" & x"368" => data <= x"3c"; when "00" & x"369" => data <= x"7c"; when "00" & x"36a" => data <= x"c7"; when "00" & x"36b" => data <= x"4e"; when "00" & x"36c" => data <= x"ca"; when "00" & x"36d" => data <= x"00"; when "00" & x"36e" => data <= x"00"; when "00" & x"36f" => data <= x"02"; when "00" & x"370" => data <= x"80"; when "00" & x"371" => data <= x"05"; when "00" & x"372" => data <= x"00"; when "00" & x"373" => data <= x"07"; when "00" & x"374" => data <= x"80"; when "00" & x"375" => data <= x"0a"; when "00" & x"376" => data <= x"00"; when "00" & x"377" => data <= x"0c"; when "00" & x"378" => data <= x"80"; when "00" & x"379" => data <= x"0f"; when "00" & x"37a" => data <= x"00"; when "00" & x"37b" => data <= x"11"; when "00" & x"37c" => data <= x"80"; when "00" & x"37d" => data <= x"14"; when "00" & x"37e" => data <= x"00"; when "00" & x"37f" => data <= x"16"; when "00" & x"380" => data <= x"80"; when "00" & x"381" => data <= x"19"; when "00" & x"382" => data <= x"00"; when "00" & x"383" => data <= x"1b"; when "00" & x"384" => data <= x"80"; when "00" & x"385" => data <= x"1e"; when "00" & x"386" => data <= x"00"; when "00" & x"387" => data <= x"20"; when "00" & x"388" => data <= x"80"; when "00" & x"389" => data <= x"23"; when "00" & x"38a" => data <= x"00"; when "00" & x"38b" => data <= x"25"; when "00" & x"38c" => data <= x"80"; when "00" & x"38d" => data <= x"28"; when "00" & x"38e" => data <= x"00"; when "00" & x"38f" => data <= x"2a"; when "00" & x"390" => data <= x"80"; when "00" & x"391" => data <= x"2d"; when "00" & x"392" => data <= x"00"; when "00" & x"393" => data <= x"2f"; when "00" & x"394" => data <= x"80"; when "00" & x"395" => data <= x"32"; when "00" & x"396" => data <= x"00"; when "00" & x"397" => data <= x"34"; when "00" & x"398" => data <= x"80"; when "00" & x"399" => data <= x"37"; when "00" & x"39a" => data <= x"00"; when "00" & x"39b" => data <= x"39"; when "00" & x"39c" => data <= x"80"; when "00" & x"39d" => data <= x"3c"; when "00" & x"39e" => data <= x"00"; when "00" & x"39f" => data <= x"3e"; when "00" & x"3a0" => data <= x"80"; when "00" & x"3a1" => data <= x"41"; when "00" & x"3a2" => data <= x"00"; when "00" & x"3a3" => data <= x"43"; when "00" & x"3a4" => data <= x"80"; when "00" & x"3a5" => data <= x"46"; when "00" & x"3a6" => data <= x"00"; when "00" & x"3a7" => data <= x"48"; when "00" & x"3a8" => data <= x"80"; when "00" & x"3a9" => data <= x"4b"; when "00" & x"3aa" => data <= x"00"; when "00" & x"3ab" => data <= x"4d"; when "00" & x"3ac" => data <= x"80"; when "00" & x"3ad" => data <= x"1f"; when "00" & x"3ae" => data <= x"1f"; when "00" & x"3af" => data <= x"1f"; when "00" & x"3b0" => data <= x"18"; when "00" & x"3b1" => data <= x"1f"; when "00" & x"3b2" => data <= x"1f"; when "00" & x"3b3" => data <= x"18"; when "00" & x"3b4" => data <= x"4f"; when "00" & x"3b5" => data <= x"27"; when "00" & x"3b6" => data <= x"13"; when "00" & x"3b7" => data <= x"4f"; when "00" & x"3b8" => data <= x"27"; when "00" & x"3b9" => data <= x"13"; when "00" & x"3ba" => data <= x"27"; when "00" & x"3bb" => data <= x"08"; when "00" & x"3bc" => data <= x"10"; when "00" & x"3bd" => data <= x"20"; when "00" & x"3be" => data <= x"08"; when "00" & x"3bf" => data <= x"08"; when "00" & x"3c0" => data <= x"10"; when "00" & x"3c1" => data <= x"08"; when "00" & x"3c2" => data <= x"aa"; when "00" & x"3c3" => data <= x"55"; when "00" & x"3c4" => data <= x"88"; when "00" & x"3c5" => data <= x"44"; when "00" & x"3c6" => data <= x"22"; when "00" & x"3c7" => data <= x"11"; when "00" & x"3c8" => data <= x"80"; when "00" & x"3c9" => data <= x"40"; when "00" & x"3ca" => data <= x"20"; when "00" & x"3cb" => data <= x"10"; when "00" & x"3cc" => data <= x"08"; when "00" & x"3cd" => data <= x"04"; when "00" & x"3ce" => data <= x"02"; when "00" & x"3cf" => data <= x"01"; when "00" & x"3d0" => data <= x"03"; when "00" & x"3d1" => data <= x"0f"; when "00" & x"3d2" => data <= x"01"; when "00" & x"3d3" => data <= x"01"; when "00" & x"3d4" => data <= x"03"; when "00" & x"3d5" => data <= x"01"; when "00" & x"3d6" => data <= x"00"; when "00" & x"3d7" => data <= x"ff"; when "00" & x"3d8" => data <= x"00"; when "00" & x"3d9" => data <= x"00"; when "00" & x"3da" => data <= x"ff"; when "00" & x"3db" => data <= x"ff"; when "00" & x"3dc" => data <= x"ff"; when "00" & x"3dd" => data <= x"ff"; when "00" & x"3de" => data <= x"00"; when "00" & x"3df" => data <= x"00"; when "00" & x"3e0" => data <= x"ff"; when "00" & x"3e1" => data <= x"00"; when "00" & x"3e2" => data <= x"0f"; when "00" & x"3e3" => data <= x"f0"; when "00" & x"3e4" => data <= x"ff"; when "00" & x"3e5" => data <= x"00"; when "00" & x"3e6" => data <= x"03"; when "00" & x"3e7" => data <= x"0c"; when "00" & x"3e8" => data <= x"0f"; when "00" & x"3e9" => data <= x"30"; when "00" & x"3ea" => data <= x"33"; when "00" & x"3eb" => data <= x"3c"; when "00" & x"3ec" => data <= x"3f"; when "00" & x"3ed" => data <= x"c0"; when "00" & x"3ee" => data <= x"c3"; when "00" & x"3ef" => data <= x"cc"; when "00" & x"3f0" => data <= x"cf"; when "00" & x"3f1" => data <= x"f0"; when "00" & x"3f2" => data <= x"f3"; when "00" & x"3f3" => data <= x"fc"; when "00" & x"3f4" => data <= x"ff"; when "00" & x"3f5" => data <= x"07"; when "00" & x"3f6" => data <= x"03"; when "00" & x"3f7" => data <= x"01"; when "00" & x"3f8" => data <= x"00"; when "00" & x"3f9" => data <= x"07"; when "00" & x"3fa" => data <= x"03"; when "00" & x"3fb" => data <= x"00"; when "00" & x"3fc" => data <= x"00"; when "00" & x"3fd" => data <= x"00"; when "00" & x"3fe" => data <= x"01"; when "00" & x"3ff" => data <= x"02"; when "00" & x"400" => data <= x"02"; when "00" & x"401" => data <= x"03"; when "00" & x"402" => data <= x"03"; when "00" & x"403" => data <= x"04"; when "00" & x"404" => data <= x"00"; when "00" & x"405" => data <= x"06"; when "00" & x"406" => data <= x"02"; when "00" & x"407" => data <= x"50"; when "00" & x"408" => data <= x"40"; when "00" & x"409" => data <= x"28"; when "00" & x"40a" => data <= x"20"; when "00" & x"40b" => data <= x"30"; when "00" & x"40c" => data <= x"40"; when "00" & x"40d" => data <= x"58"; when "00" & x"40e" => data <= x"60"; when "00" & x"40f" => data <= x"40"; when "00" & x"410" => data <= x"80"; when "00" & x"411" => data <= x"9d"; when "00" & x"412" => data <= x"d2"; when "00" & x"413" => data <= x"95"; when "00" & x"414" => data <= x"d2"; when "00" & x"415" => data <= x"81"; when "00" & x"416" => data <= x"8b"; when "00" & x"417" => data <= x"59"; when "00" & x"418" => data <= x"62"; when "00" & x"419" => data <= x"d2"; when "00" & x"41a" => data <= x"d2"; when "00" & x"41b" => data <= x"d2"; when "00" & x"41c" => data <= x"d2"; when "00" & x"41d" => data <= x"04"; when "00" & x"41e" => data <= x"05"; when "00" & x"41f" => data <= x"06"; when "00" & x"420" => data <= x"00"; when "00" & x"421" => data <= x"01"; when "00" & x"422" => data <= x"02"; when "00" & x"423" => data <= x"ff"; when "00" & x"424" => data <= x"f0"; when "00" & x"425" => data <= x"0f"; when "00" & x"426" => data <= x"00"; when "00" & x"427" => data <= x"11"; when "00" & x"428" => data <= x"22"; when "00" & x"429" => data <= x"44"; when "00" & x"42a" => data <= x"88"; when "00" & x"42b" => data <= x"18"; when "00" & x"42c" => data <= x"3c"; when "00" & x"42d" => data <= x"3c"; when "00" & x"42e" => data <= x"7e"; when "00" & x"42f" => data <= x"7e"; when "00" & x"430" => data <= x"00"; when "00" & x"431" => data <= x"7e"; when "00" & x"432" => data <= x"3c"; when "00" & x"433" => data <= x"ae"; when "00" & x"434" => data <= x"6a"; when "00" & x"435" => data <= x"02"; when "00" & x"436" => data <= x"d0"; when "00" & x"437" => data <= x"54"; when "00" & x"438" => data <= x"24"; when "00" & x"439" => data <= x"d0"; when "00" & x"43a" => data <= x"50"; when "00" & x"43b" => data <= x"16"; when "00" & x"43c" => data <= x"20"; when "00" & x"43d" => data <= x"e2"; when "00" & x"43e" => data <= x"c4"; when "00" & x"43f" => data <= x"20"; when "00" & x"440" => data <= x"c1"; when "00" & x"441" => data <= x"cc"; when "00" & x"442" => data <= x"30"; when "00" & x"443" => data <= x"0e"; when "00" & x"444" => data <= x"c9"; when "00" & x"445" => data <= x"0d"; when "00" & x"446" => data <= x"d0"; when "00" & x"447" => data <= x"0a"; when "00" & x"448" => data <= x"a9"; when "00" & x"449" => data <= x"bd"; when "00" & x"44a" => data <= x"20"; when "00" & x"44b" => data <= x"30"; when "00" & x"44c" => data <= x"c5"; when "00" & x"44d" => data <= x"4e"; when "00" & x"44e" => data <= x"5f"; when "00" & x"44f" => data <= x"03"; when "00" & x"450" => data <= x"a9"; when "00" & x"451" => data <= x"0d"; when "00" & x"452" => data <= x"c9"; when "00" & x"453" => data <= x"7f"; when "00" & x"454" => data <= x"f0"; when "00" & x"455" => data <= x"11"; when "00" & x"456" => data <= x"c9"; when "00" & x"457" => data <= x"20"; when "00" & x"458" => data <= x"90"; when "00" & x"459" => data <= x"0f"; when "00" & x"45a" => data <= x"24"; when "00" & x"45b" => data <= x"d0"; when "00" & x"45c" => data <= x"30"; when "00" & x"45d" => data <= x"06"; when "00" & x"45e" => data <= x"20"; when "00" & x"45f" => data <= x"df"; when "00" & x"460" => data <= x"ce"; when "00" & x"461" => data <= x"20"; when "00" & x"462" => data <= x"e2"; when "00" & x"463" => data <= x"c5"; when "00" & x"464" => data <= x"4c"; when "00" & x"465" => data <= x"d8"; when "00" & x"466" => data <= x"c4"; when "00" & x"467" => data <= x"a9"; when "00" & x"468" => data <= x"20"; when "00" & x"469" => data <= x"a8"; when "00" & x"46a" => data <= x"b9"; when "00" & x"46b" => data <= x"2b"; when "00" & x"46c" => data <= x"c3"; when "00" & x"46d" => data <= x"8d"; when "00" & x"46e" => data <= x"5d"; when "00" & x"46f" => data <= x"03"; when "00" & x"470" => data <= x"b9"; when "00" & x"471" => data <= x"4c"; when "00" & x"472" => data <= x"c3"; when "00" & x"473" => data <= x"30"; when "00" & x"474" => data <= x"4a"; when "00" & x"475" => data <= x"aa"; when "00" & x"476" => data <= x"09"; when "00" & x"477" => data <= x"f0"; when "00" & x"478" => data <= x"8d"; when "00" & x"479" => data <= x"6a"; when "00" & x"47a" => data <= x"02"; when "00" & x"47b" => data <= x"8a"; when "00" & x"47c" => data <= x"4a"; when "00" & x"47d" => data <= x"4a"; when "00" & x"47e" => data <= x"4a"; when "00" & x"47f" => data <= x"4a"; when "00" & x"480" => data <= x"18"; when "00" & x"481" => data <= x"69"; when "00" & x"482" => data <= x"c3"; when "00" & x"483" => data <= x"8d"; when "00" & x"484" => data <= x"5e"; when "00" & x"485" => data <= x"03"; when "00" & x"486" => data <= x"24"; when "00" & x"487" => data <= x"d0"; when "00" & x"488" => data <= x"70"; when "00" & x"489" => data <= x"1f"; when "00" & x"48a" => data <= x"18"; when "00" & x"48b" => data <= x"60"; when "00" & x"48c" => data <= x"9d"; when "00" & x"48d" => data <= x"24"; when "00" & x"48e" => data <= x"02"; when "00" & x"48f" => data <= x"e8"; when "00" & x"490" => data <= x"8e"; when "00" & x"491" => data <= x"6a"; when "00" & x"492" => data <= x"02"; when "00" & x"493" => data <= x"d0"; when "00" & x"494" => data <= x"17"; when "00" & x"495" => data <= x"24"; when "00" & x"496" => data <= x"d0"; when "00" & x"497" => data <= x"30"; when "00" & x"498" => data <= x"15"; when "00" & x"499" => data <= x"70"; when "00" & x"49a" => data <= x"05"; when "00" & x"49b" => data <= x"20"; when "00" & x"49c" => data <= x"5f"; when "00" & x"49d" => data <= x"d2"; when "00" & x"49e" => data <= x"18"; when "00" & x"49f" => data <= x"60"; when "00" & x"4a0" => data <= x"20"; when "00" & x"4a1" => data <= x"e2"; when "00" & x"4a2" => data <= x"c4"; when "00" & x"4a3" => data <= x"20"; when "00" & x"4a4" => data <= x"c1"; when "00" & x"4a5" => data <= x"cc"; when "00" & x"4a6" => data <= x"20"; when "00" & x"4a7" => data <= x"5f"; when "00" & x"4a8" => data <= x"d2"; when "00" & x"4a9" => data <= x"20"; when "00" & x"4aa" => data <= x"df"; when "00" & x"4ab" => data <= x"c4"; when "00" & x"4ac" => data <= x"18"; when "00" & x"4ad" => data <= x"60"; when "00" & x"4ae" => data <= x"ac"; when "00" & x"4af" => data <= x"5e"; when "00" & x"4b0" => data <= x"03"; when "00" & x"4b1" => data <= x"c0"; when "00" & x"4b2" => data <= x"c4"; when "00" & x"4b3" => data <= x"d0"; when "00" & x"4b4" => data <= x"f7"; when "00" & x"4b5" => data <= x"aa"; when "00" & x"4b6" => data <= x"a5"; when "00" & x"4b7" => data <= x"d0"; when "00" & x"4b8" => data <= x"4a"; when "00" & x"4b9" => data <= x"90"; when "00" & x"4ba" => data <= x"d0"; when "00" & x"4bb" => data <= x"8a"; when "00" & x"4bc" => data <= x"4c"; when "00" & x"4bd" => data <= x"ae"; when "00" & x"4be" => data <= x"de"; when "00" & x"4bf" => data <= x"8d"; when "00" & x"4c0" => data <= x"5e"; when "00" & x"4c1" => data <= x"03"; when "00" & x"4c2" => data <= x"98"; when "00" & x"4c3" => data <= x"c9"; when "00" & x"4c4" => data <= x"08"; when "00" & x"4c5" => data <= x"90"; when "00" & x"4c6" => data <= x"06"; when "00" & x"4c7" => data <= x"49"; when "00" & x"4c8" => data <= x"ff"; when "00" & x"4c9" => data <= x"c9"; when "00" & x"4ca" => data <= x"f2"; when "00" & x"4cb" => data <= x"49"; when "00" & x"4cc" => data <= x"ff"; when "00" & x"4cd" => data <= x"24"; when "00" & x"4ce" => data <= x"d0"; when "00" & x"4cf" => data <= x"30"; when "00" & x"4d0" => data <= x"26"; when "00" & x"4d1" => data <= x"08"; when "00" & x"4d2" => data <= x"20"; when "00" & x"4d3" => data <= x"5f"; when "00" & x"4d4" => data <= x"d2"; when "00" & x"4d5" => data <= x"28"; when "00" & x"4d6" => data <= x"90"; when "00" & x"4d7" => data <= x"03"; when "00" & x"4d8" => data <= x"a5"; when "00" & x"4d9" => data <= x"d0"; when "00" & x"4da" => data <= x"4a"; when "00" & x"4db" => data <= x"24"; when "00" & x"4dc" => data <= x"d0"; when "00" & x"4dd" => data <= x"50"; when "00" & x"4de" => data <= x"ac"; when "00" & x"4df" => data <= x"20"; when "00" & x"4e0" => data <= x"c1"; when "00" & x"4e1" => data <= x"cc"; when "00" & x"4e2" => data <= x"08"; when "00" & x"4e3" => data <= x"48"; when "00" & x"4e4" => data <= x"a2"; when "00" & x"4e5" => data <= x"18"; when "00" & x"4e6" => data <= x"a0"; when "00" & x"4e7" => data <= x"64"; when "00" & x"4e8" => data <= x"20"; when "00" & x"4e9" => data <= x"1a"; when "00" & x"4ea" => data <= x"cd"; when "00" & x"4eb" => data <= x"20"; when "00" & x"4ec" => data <= x"42"; when "00" & x"4ed" => data <= x"ce"; when "00" & x"4ee" => data <= x"a5"; when "00" & x"4ef" => data <= x"d0"; when "00" & x"4f0" => data <= x"49"; when "00" & x"4f1" => data <= x"02"; when "00" & x"4f2" => data <= x"85"; when "00" & x"4f3" => data <= x"d0"; when "00" & x"4f4" => data <= x"68"; when "00" & x"4f5" => data <= x"28"; when "00" & x"4f6" => data <= x"60"; when "00" & x"4f7" => data <= x"49"; when "00" & x"4f8" => data <= x"06"; when "00" & x"4f9" => data <= x"d0"; when "00" & x"4fa" => data <= x"04"; when "00" & x"4fb" => data <= x"a9"; when "00" & x"4fc" => data <= x"7f"; when "00" & x"4fd" => data <= x"90"; when "00" & x"4fe" => data <= x"31"; when "00" & x"4ff" => data <= x"90"; when "00" & x"500" => data <= x"03"; when "00" & x"501" => data <= x"a5"; when "00" & x"502" => data <= x"d0"; when "00" & x"503" => data <= x"4a"; when "00" & x"504" => data <= x"60"; when "00" & x"505" => data <= x"a5"; when "00" & x"506" => data <= x"d0"; when "00" & x"507" => data <= x"29"; when "00" & x"508" => data <= x"20"; when "00" & x"509" => data <= x"60"; when "00" & x"50a" => data <= x"20"; when "00" & x"50b" => data <= x"de"; when "00" & x"50c" => data <= x"d6"; when "00" & x"50d" => data <= x"20"; when "00" & x"50e" => data <= x"fb"; when "00" & x"50f" => data <= x"de"; when "00" & x"510" => data <= x"4c"; when "00" & x"511" => data <= x"de"; when "00" & x"512" => data <= x"d6"; when "00" & x"513" => data <= x"a0"; when "00" & x"514" => data <= x"00"; when "00" & x"515" => data <= x"8c"; when "00" & x"516" => data <= x"69"; when "00" & x"517" => data <= x"02"; when "00" & x"518" => data <= x"a9"; when "00" & x"519" => data <= x"04"; when "00" & x"51a" => data <= x"d0"; when "00" & x"51b" => data <= x"07"; when "00" & x"51c" => data <= x"20"; when "00" & x"51d" => data <= x"0a"; when "00" & x"51e" => data <= x"c5"; when "00" & x"51f" => data <= x"a9"; when "00" & x"520" => data <= x"94"; when "00" & x"521" => data <= x"49"; when "00" & x"522" => data <= x"95"; when "00" & x"523" => data <= x"05"; when "00" & x"524" => data <= x"d0"; when "00" & x"525" => data <= x"d0"; when "00" & x"526" => data <= x"0b"; when "00" & x"527" => data <= x"20"; when "00" & x"528" => data <= x"0a"; when "00" & x"529" => data <= x"c5"; when "00" & x"52a" => data <= x"a9"; when "00" & x"52b" => data <= x"0a"; when "00" & x"52c" => data <= x"49"; when "00" & x"52d" => data <= x"2f"; when "00" & x"52e" => data <= x"49"; when "00" & x"52f" => data <= x"db"; when "00" & x"530" => data <= x"25"; when "00" & x"531" => data <= x"d0"; when "00" & x"532" => data <= x"85"; when "00" & x"533" => data <= x"d0"; when "00" & x"534" => data <= x"60"; when "00" & x"535" => data <= x"ad"; when "00" & x"536" => data <= x"61"; when "00" & x"537" => data <= x"03"; when "00" & x"538" => data <= x"f0"; when "00" & x"539" => data <= x"fa"; when "00" & x"53a" => data <= x"a9"; when "00" & x"53b" => data <= x"20"; when "00" & x"53c" => data <= x"d0"; when "00" & x"53d" => data <= x"e5"; when "00" & x"53e" => data <= x"20"; when "00" & x"53f" => data <= x"05"; when "00" & x"540" => data <= x"c5"; when "00" & x"541" => data <= x"d0"; when "00" & x"542" => data <= x"5a"; when "00" & x"543" => data <= x"ce"; when "00" & x"544" => data <= x"18"; when "00" & x"545" => data <= x"03"; when "00" & x"546" => data <= x"ae"; when "00" & x"547" => data <= x"18"; when "00" & x"548" => data <= x"03"; when "00" & x"549" => data <= x"ec"; when "00" & x"54a" => data <= x"08"; when "00" & x"54b" => data <= x"03"; when "00" & x"54c" => data <= x"30"; when "00" & x"54d" => data <= x"1e"; when "00" & x"54e" => data <= x"a5"; when "00" & x"54f" => data <= x"d6"; when "00" & x"550" => data <= x"38"; when "00" & x"551" => data <= x"ed"; when "00" & x"552" => data <= x"4f"; when "00" & x"553" => data <= x"03"; when "00" & x"554" => data <= x"aa"; when "00" & x"555" => data <= x"a5"; when "00" & x"556" => data <= x"d7"; when "00" & x"557" => data <= x"e9"; when "00" & x"558" => data <= x"00"; when "00" & x"559" => data <= x"cd"; when "00" & x"55a" => data <= x"4e"; when "00" & x"55b" => data <= x"03"; when "00" & x"55c" => data <= x"b0"; when "00" & x"55d" => data <= x"03"; when "00" & x"55e" => data <= x"6d"; when "00" & x"55f" => data <= x"54"; when "00" & x"560" => data <= x"03"; when "00" & x"561" => data <= x"86"; when "00" & x"562" => data <= x"d6"; when "00" & x"563" => data <= x"10"; when "00" & x"564" => data <= x"04"; when "00" & x"565" => data <= x"38"; when "00" & x"566" => data <= x"ed"; when "00" & x"567" => data <= x"54"; when "00" & x"568" => data <= x"03"; when "00" & x"569" => data <= x"85"; when "00" & x"56a" => data <= x"d7"; when "00" & x"56b" => data <= x"60"; when "00" & x"56c" => data <= x"ad"; when "00" & x"56d" => data <= x"0a"; when "00" & x"56e" => data <= x"03"; when "00" & x"56f" => data <= x"8d"; when "00" & x"570" => data <= x"18"; when "00" & x"571" => data <= x"03"; when "00" & x"572" => data <= x"ce"; when "00" & x"573" => data <= x"69"; when "00" & x"574" => data <= x"02"; when "00" & x"575" => data <= x"10"; when "00" & x"576" => data <= x"03"; when "00" & x"577" => data <= x"ee"; when "00" & x"578" => data <= x"69"; when "00" & x"579" => data <= x"02"; when "00" & x"57a" => data <= x"ae"; when "00" & x"57b" => data <= x"19"; when "00" & x"57c" => data <= x"03"; when "00" & x"57d" => data <= x"ec"; when "00" & x"57e" => data <= x"0b"; when "00" & x"57f" => data <= x"03"; when "00" & x"580" => data <= x"f0"; when "00" & x"581" => data <= x"06"; when "00" & x"582" => data <= x"ce"; when "00" & x"583" => data <= x"19"; when "00" & x"584" => data <= x"03"; when "00" & x"585" => data <= x"4c"; when "00" & x"586" => data <= x"42"; when "00" & x"587" => data <= x"ce"; when "00" & x"588" => data <= x"18"; when "00" & x"589" => data <= x"20"; when "00" & x"58a" => data <= x"96"; when "00" & x"58b" => data <= x"cc"; when "00" & x"58c" => data <= x"a9"; when "00" & x"58d" => data <= x"08"; when "00" & x"58e" => data <= x"24"; when "00" & x"58f" => data <= x"d0"; when "00" & x"590" => data <= x"d0"; when "00" & x"591" => data <= x"05"; when "00" & x"592" => data <= x"20"; when "00" & x"593" => data <= x"d1"; when "00" & x"594" => data <= x"c9"; when "00" & x"595" => data <= x"d0"; when "00" & x"596" => data <= x"03"; when "00" & x"597" => data <= x"20"; when "00" & x"598" => data <= x"dd"; when "00" & x"599" => data <= x"cc"; when "00" & x"59a" => data <= x"4c"; when "00" & x"59b" => data <= x"28"; when "00" & x"59c" => data <= x"c6"; when "00" & x"59d" => data <= x"a2"; when "00" & x"59e" => data <= x"00"; when "00" & x"59f" => data <= x"86"; when "00" & x"5a0" => data <= x"d9"; when "00" & x"5a1" => data <= x"20"; when "00" & x"5a2" => data <= x"1e"; when "00" & x"5a3" => data <= x"d0"; when "00" & x"5a4" => data <= x"a6"; when "00" & x"5a5" => data <= x"d9"; when "00" & x"5a6" => data <= x"38"; when "00" & x"5a7" => data <= x"bd"; when "00" & x"5a8" => data <= x"24"; when "00" & x"5a9" => data <= x"03"; when "00" & x"5aa" => data <= x"e9"; when "00" & x"5ab" => data <= x"08"; when "00" & x"5ac" => data <= x"9d"; when "00" & x"5ad" => data <= x"24"; when "00" & x"5ae" => data <= x"03"; when "00" & x"5af" => data <= x"b0"; when "00" & x"5b0" => data <= x"03"; when "00" & x"5b1" => data <= x"de"; when "00" & x"5b2" => data <= x"25"; when "00" & x"5b3" => data <= x"03"; when "00" & x"5b4" => data <= x"a5"; when "00" & x"5b5" => data <= x"d8"; when "00" & x"5b6" => data <= x"d0"; when "00" & x"5b7" => data <= x"1e"; when "00" & x"5b8" => data <= x"20"; when "00" & x"5b9" => data <= x"1e"; when "00" & x"5ba" => data <= x"d0"; when "00" & x"5bb" => data <= x"f0"; when "00" & x"5bc" => data <= x"19"; when "00" & x"5bd" => data <= x"a6"; when "00" & x"5be" => data <= x"d9"; when "00" & x"5bf" => data <= x"bd"; when "00" & x"5c0" => data <= x"04"; when "00" & x"5c1" => data <= x"03"; when "00" & x"5c2" => data <= x"e0"; when "00" & x"5c3" => data <= x"01"; when "00" & x"5c4" => data <= x"b0"; when "00" & x"5c5" => data <= x"02"; when "00" & x"5c6" => data <= x"e9"; when "00" & x"5c7" => data <= x"06"; when "00" & x"5c8" => data <= x"9d"; when "00" & x"5c9" => data <= x"24"; when "00" & x"5ca" => data <= x"03"; when "00" & x"5cb" => data <= x"bd"; when "00" & x"5cc" => data <= x"05"; when "00" & x"5cd" => data <= x"03"; when "00" & x"5ce" => data <= x"e9"; when "00" & x"5cf" => data <= x"00"; when "00" & x"5d0" => data <= x"9d"; when "00" & x"5d1" => data <= x"25"; when "00" & x"5d2" => data <= x"03"; when "00" & x"5d3" => data <= x"8a"; when "00" & x"5d4" => data <= x"f0"; when "00" & x"5d5" => data <= x"08"; when "00" & x"5d6" => data <= x"4c"; when "00" & x"5d7" => data <= x"c9"; when "00" & x"5d8" => data <= x"d0"; when "00" & x"5d9" => data <= x"20"; when "00" & x"5da" => data <= x"05"; when "00" & x"5db" => data <= x"c5"; when "00" & x"5dc" => data <= x"f0"; when "00" & x"5dd" => data <= x"94"; when "00" & x"5de" => data <= x"a2"; when "00" & x"5df" => data <= x"02"; when "00" & x"5e0" => data <= x"d0"; when "00" & x"5e1" => data <= x"4e"; when "00" & x"5e2" => data <= x"a5"; when "00" & x"5e3" => data <= x"d0"; when "00" & x"5e4" => data <= x"29"; when "00" & x"5e5" => data <= x"20"; when "00" & x"5e6" => data <= x"d0"; when "00" & x"5e7" => data <= x"46"; when "00" & x"5e8" => data <= x"ae"; when "00" & x"5e9" => data <= x"18"; when "00" & x"5ea" => data <= x"03"; when "00" & x"5eb" => data <= x"ec"; when "00" & x"5ec" => data <= x"0a"; when "00" & x"5ed" => data <= x"03"; when "00" & x"5ee" => data <= x"b0"; when "00" & x"5ef" => data <= x"10"; when "00" & x"5f0" => data <= x"ee"; when "00" & x"5f1" => data <= x"18"; when "00" & x"5f2" => data <= x"03"; when "00" & x"5f3" => data <= x"a5"; when "00" & x"5f4" => data <= x"d6"; when "00" & x"5f5" => data <= x"6d"; when "00" & x"5f6" => data <= x"4f"; when "00" & x"5f7" => data <= x"03"; when "00" & x"5f8" => data <= x"aa"; when "00" & x"5f9" => data <= x"a5"; when "00" & x"5fa" => data <= x"d7"; when "00" & x"5fb" => data <= x"69"; when "00" & x"5fc" => data <= x"00"; when "00" & x"5fd" => data <= x"4c"; when "00" & x"5fe" => data <= x"61"; when "00" & x"5ff" => data <= x"c5"; when "00" & x"600" => data <= x"ad"; when "00" & x"601" => data <= x"08"; when "00" & x"602" => data <= x"03"; when "00" & x"603" => data <= x"8d"; when "00" & x"604" => data <= x"18"; when "00" & x"605" => data <= x"03"; when "00" & x"606" => data <= x"18"; when "00" & x"607" => data <= x"20"; when "00" & x"608" => data <= x"d8"; when "00" & x"609" => data <= x"ca"; when "00" & x"60a" => data <= x"ae"; when "00" & x"60b" => data <= x"19"; when "00" & x"60c" => data <= x"03"; when "00" & x"60d" => data <= x"ec"; when "00" & x"60e" => data <= x"09"; when "00" & x"60f" => data <= x"03"; when "00" & x"610" => data <= x"b0"; when "00" & x"611" => data <= x"05"; when "00" & x"612" => data <= x"ee"; when "00" & x"613" => data <= x"19"; when "00" & x"614" => data <= x"03"; when "00" & x"615" => data <= x"90"; when "00" & x"616" => data <= x"14"; when "00" & x"617" => data <= x"20"; when "00" & x"618" => data <= x"96"; when "00" & x"619" => data <= x"cc"; when "00" & x"61a" => data <= x"a9"; when "00" & x"61b" => data <= x"08"; when "00" & x"61c" => data <= x"24"; when "00" & x"61d" => data <= x"d0"; when "00" & x"61e" => data <= x"d0"; when "00" & x"61f" => data <= x"05"; when "00" & x"620" => data <= x"20"; when "00" & x"621" => data <= x"e1"; when "00" & x"622" => data <= x"c9"; when "00" & x"623" => data <= x"d0"; when "00" & x"624" => data <= x"03"; when "00" & x"625" => data <= x"20"; when "00" & x"626" => data <= x"3b"; when "00" & x"627" => data <= x"cd"; when "00" & x"628" => data <= x"20"; when "00" & x"629" => data <= x"e8"; when "00" & x"62a" => data <= x"cd"; when "00" & x"62b" => data <= x"4c"; when "00" & x"62c" => data <= x"42"; when "00" & x"62d" => data <= x"ce"; when "00" & x"62e" => data <= x"a2"; when "00" & x"62f" => data <= x"00"; when "00" & x"630" => data <= x"86"; when "00" & x"631" => data <= x"d9"; when "00" & x"632" => data <= x"20"; when "00" & x"633" => data <= x"1e"; when "00" & x"634" => data <= x"d0"; when "00" & x"635" => data <= x"a6"; when "00" & x"636" => data <= x"d9"; when "00" & x"637" => data <= x"18"; when "00" & x"638" => data <= x"bd"; when "00" & x"639" => data <= x"24"; when "00" & x"63a" => data <= x"03"; when "00" & x"63b" => data <= x"69"; when "00" & x"63c" => data <= x"08"; when "00" & x"63d" => data <= x"9d"; when "00" & x"63e" => data <= x"24"; when "00" & x"63f" => data <= x"03"; when "00" & x"640" => data <= x"90"; when "00" & x"641" => data <= x"03"; when "00" & x"642" => data <= x"fe"; when "00" & x"643" => data <= x"25"; when "00" & x"644" => data <= x"03"; when "00" & x"645" => data <= x"a5"; when "00" & x"646" => data <= x"d8"; when "00" & x"647" => data <= x"d0"; when "00" & x"648" => data <= x"1e"; when "00" & x"649" => data <= x"20"; when "00" & x"64a" => data <= x"1e"; when "00" & x"64b" => data <= x"d0"; when "00" & x"64c" => data <= x"f0"; when "00" & x"64d" => data <= x"19"; when "00" & x"64e" => data <= x"a6"; when "00" & x"64f" => data <= x"d9"; when "00" & x"650" => data <= x"bd"; when "00" & x"651" => data <= x"00"; when "00" & x"652" => data <= x"03"; when "00" & x"653" => data <= x"e0"; when "00" & x"654" => data <= x"01"; when "00" & x"655" => data <= x"90"; when "00" & x"656" => data <= x"02"; when "00" & x"657" => data <= x"69"; when "00" & x"658" => data <= x"06"; when "00" & x"659" => data <= x"9d"; when "00" & x"65a" => data <= x"24"; when "00" & x"65b" => data <= x"03"; when "00" & x"65c" => data <= x"bd"; when "00" & x"65d" => data <= x"01"; when "00" & x"65e" => data <= x"03"; when "00" & x"65f" => data <= x"69"; when "00" & x"660" => data <= x"00"; when "00" & x"661" => data <= x"9d"; when "00" & x"662" => data <= x"25"; when "00" & x"663" => data <= x"03"; when "00" & x"664" => data <= x"8a"; when "00" & x"665" => data <= x"f0"; when "00" & x"666" => data <= x"08"; when "00" & x"667" => data <= x"4c"; when "00" & x"668" => data <= x"c9"; when "00" & x"669" => data <= x"d0"; when "00" & x"66a" => data <= x"20"; when "00" & x"66b" => data <= x"05"; when "00" & x"66c" => data <= x"c5"; when "00" & x"66d" => data <= x"f0"; when "00" & x"66e" => data <= x"97"; when "00" & x"66f" => data <= x"a2"; when "00" & x"670" => data <= x"02"; when "00" & x"671" => data <= x"4c"; when "00" & x"672" => data <= x"9f"; when "00" & x"673" => data <= x"c5"; when "00" & x"674" => data <= x"ae"; when "00" & x"675" => data <= x"55"; when "00" & x"676" => data <= x"03"; when "00" & x"677" => data <= x"ad"; when "00" & x"678" => data <= x"21"; when "00" & x"679" => data <= x"03"; when "00" & x"67a" => data <= x"cd"; when "00" & x"67b" => data <= x"23"; when "00" & x"67c" => data <= x"03"; when "00" & x"67d" => data <= x"90"; when "00" & x"67e" => data <= x"76"; when "00" & x"67f" => data <= x"dd"; when "00" & x"680" => data <= x"ad"; when "00" & x"681" => data <= x"c3"; when "00" & x"682" => data <= x"f0"; when "00" & x"683" => data <= x"02"; when "00" & x"684" => data <= x"b0"; when "00" & x"685" => data <= x"6f"; when "00" & x"686" => data <= x"ad"; when "00" & x"687" => data <= x"22"; when "00" & x"688" => data <= x"03"; when "00" & x"689" => data <= x"a8"; when "00" & x"68a" => data <= x"dd"; when "00" & x"68b" => data <= x"b4"; when "00" & x"68c" => data <= x"c3"; when "00" & x"68d" => data <= x"f0"; when "00" & x"68e" => data <= x"02"; when "00" & x"68f" => data <= x"b0"; when "00" & x"690" => data <= x"64"; when "00" & x"691" => data <= x"38"; when "00" & x"692" => data <= x"ed"; when "00" & x"693" => data <= x"20"; when "00" & x"694" => data <= x"03"; when "00" & x"695" => data <= x"30"; when "00" & x"696" => data <= x"5e"; when "00" & x"697" => data <= x"a8"; when "00" & x"698" => data <= x"20"; when "00" & x"699" => data <= x"87"; when "00" & x"69a" => data <= x"ca"; when "00" & x"69b" => data <= x"a9"; when "00" & x"69c" => data <= x"08"; when "00" & x"69d" => data <= x"20"; when "00" & x"69e" => data <= x"23"; when "00" & x"69f" => data <= x"c5"; when "00" & x"6a0" => data <= x"a2"; when "00" & x"6a1" => data <= x"20"; when "00" & x"6a2" => data <= x"a0"; when "00" & x"6a3" => data <= x"08"; when "00" & x"6a4" => data <= x"20"; when "00" & x"6a5" => data <= x"a1"; when "00" & x"6a6" => data <= x"d3"; when "00" & x"6a7" => data <= x"20"; when "00" & x"6a8" => data <= x"24"; when "00" & x"6a9" => data <= x"ce"; when "00" & x"6aa" => data <= x"b0"; when "00" & x"6ab" => data <= x"6f"; when "00" & x"6ac" => data <= x"60"; when "00" & x"6ad" => data <= x"a0"; when "00" & x"6ae" => data <= x"03"; when "00" & x"6af" => data <= x"b1"; when "00" & x"6b0" => data <= x"f0"; when "00" & x"6b1" => data <= x"99"; when "00" & x"6b2" => data <= x"28"; when "00" & x"6b3" => data <= x"03"; when "00" & x"6b4" => data <= x"88"; when "00" & x"6b5" => data <= x"10"; when "00" & x"6b6" => data <= x"f8"; when "00" & x"6b7" => data <= x"20"; when "00" & x"6b8" => data <= x"de"; when "00" & x"6b9" => data <= x"d6"; when "00" & x"6ba" => data <= x"a2"; when "00" & x"6bb" => data <= x"28"; when "00" & x"6bc" => data <= x"20"; when "00" & x"6bd" => data <= x"5a"; when "00" & x"6be" => data <= x"d0"; when "00" & x"6bf" => data <= x"a2"; when "00" & x"6c0" => data <= x"28"; when "00" & x"6c1" => data <= x"20"; when "00" & x"6c2" => data <= x"75"; when "00" & x"6c3" => data <= x"d7"; when "00" & x"6c4" => data <= x"d0"; when "00" & x"6c5" => data <= x"1b"; when "00" & x"6c6" => data <= x"b1"; when "00" & x"6c7" => data <= x"d4"; when "00" & x"6c8" => data <= x"0a"; when "00" & x"6c9" => data <= x"26"; when "00" & x"6ca" => data <= x"d8"; when "00" & x"6cb" => data <= x"06"; when "00" & x"6cc" => data <= x"d1"; when "00" & x"6cd" => data <= x"08"; when "00" & x"6ce" => data <= x"b0"; when "00" & x"6cf" => data <= x"02"; when "00" & x"6d0" => data <= x"46"; when "00" & x"6d1" => data <= x"d8"; when "00" & x"6d2" => data <= x"28"; when "00" & x"6d3" => data <= x"d0"; when "00" & x"6d4" => data <= x"f3"; when "00" & x"6d5" => data <= x"a5"; when "00" & x"6d6" => data <= x"d8"; when "00" & x"6d7" => data <= x"2d"; when "00" & x"6d8" => data <= x"60"; when "00" & x"6d9" => data <= x"03"; when "00" & x"6da" => data <= x"20"; when "00" & x"6db" => data <= x"de"; when "00" & x"6dc" => data <= x"d6"; when "00" & x"6dd" => data <= x"a0"; when "00" & x"6de" => data <= x"04"; when "00" & x"6df" => data <= x"d0"; when "00" & x"6e0" => data <= x"0c"; when "00" & x"6e1" => data <= x"a9"; when "00" & x"6e2" => data <= x"ff"; when "00" & x"6e3" => data <= x"d0"; when "00" & x"6e4" => data <= x"f5"; when "00" & x"6e5" => data <= x"2d"; when "00" & x"6e6" => data <= x"60"; when "00" & x"6e7" => data <= x"03"; when "00" & x"6e8" => data <= x"aa"; when "00" & x"6e9" => data <= x"bd"; when "00" & x"6ea" => data <= x"6f"; when "00" & x"6eb" => data <= x"03"; when "00" & x"6ec" => data <= x"c8"; when "00" & x"6ed" => data <= x"91"; when "00" & x"6ee" => data <= x"f0"; when "00" & x"6ef" => data <= x"a9"; when "00" & x"6f0" => data <= x"00"; when "00" & x"6f1" => data <= x"c0"; when "00" & x"6f2" => data <= x"04"; when "00" & x"6f3" => data <= x"d0"; when "00" & x"6f4" => data <= x"f7"; when "00" & x"6f5" => data <= x"60"; when "00" & x"6f6" => data <= x"20"; when "00" & x"6f7" => data <= x"05"; when "00" & x"6f8" => data <= x"c5"; when "00" & x"6f9" => data <= x"d0"; when "00" & x"6fa" => data <= x"6e"; when "00" & x"6fb" => data <= x"a5"; when "00" & x"6fc" => data <= x"d0"; when "00" & x"6fd" => data <= x"29"; when "00" & x"6fe" => data <= x"08"; when "00" & x"6ff" => data <= x"d0"; when "00" & x"700" => data <= x"03"; when "00" & x"701" => data <= x"4c"; when "00" & x"702" => data <= x"9d"; when "00" & x"703" => data <= x"cb"; when "00" & x"704" => data <= x"ae"; when "00" & x"705" => data <= x"0b"; when "00" & x"706" => data <= x"03"; when "00" & x"707" => data <= x"8e"; when "00" & x"708" => data <= x"19"; when "00" & x"709" => data <= x"03"; when "00" & x"70a" => data <= x"20"; when "00" & x"70b" => data <= x"e8"; when "00" & x"70c" => data <= x"cd"; when "00" & x"70d" => data <= x"ae"; when "00" & x"70e" => data <= x"19"; when "00" & x"70f" => data <= x"03"; when "00" & x"710" => data <= x"ec"; when "00" & x"711" => data <= x"09"; when "00" & x"712" => data <= x"03"; when "00" & x"713" => data <= x"e8"; when "00" & x"714" => data <= x"90"; when "00" & x"715" => data <= x"f1"; when "00" & x"716" => data <= x"20"; when "00" & x"717" => data <= x"05"; when "00" & x"718" => data <= x"c5"; when "00" & x"719" => data <= x"d0"; when "00" & x"71a" => data <= x"32"; when "00" & x"71b" => data <= x"a9"; when "00" & x"71c" => data <= x"00"; when "00" & x"71d" => data <= x"8d"; when "00" & x"71e" => data <= x"23"; when "00" & x"71f" => data <= x"03"; when "00" & x"720" => data <= x"8d"; when "00" & x"721" => data <= x"22"; when "00" & x"722" => data <= x"03"; when "00" & x"723" => data <= x"f0"; when "00" & x"724" => data <= x"05"; when "00" & x"725" => data <= x"20"; when "00" & x"726" => data <= x"05"; when "00" & x"727" => data <= x"c5"; when "00" & x"728" => data <= x"d0"; when "00" & x"729" => data <= x"cb"; when "00" & x"72a" => data <= x"20"; when "00" & x"72b" => data <= x"46"; when "00" & x"72c" => data <= x"c7"; when "00" & x"72d" => data <= x"18"; when "00" & x"72e" => data <= x"ad"; when "00" & x"72f" => data <= x"22"; when "00" & x"730" => data <= x"03"; when "00" & x"731" => data <= x"6d"; when "00" & x"732" => data <= x"08"; when "00" & x"733" => data <= x"03"; when "00" & x"734" => data <= x"8d"; when "00" & x"735" => data <= x"18"; when "00" & x"736" => data <= x"03"; when "00" & x"737" => data <= x"ad"; when "00" & x"738" => data <= x"23"; when "00" & x"739" => data <= x"03"; when "00" & x"73a" => data <= x"18"; when "00" & x"73b" => data <= x"6d"; when "00" & x"73c" => data <= x"0b"; when "00" & x"73d" => data <= x"03"; when "00" & x"73e" => data <= x"8d"; when "00" & x"73f" => data <= x"19"; when "00" & x"740" => data <= x"03"; when "00" & x"741" => data <= x"20"; when "00" & x"742" => data <= x"24"; when "00" & x"743" => data <= x"ce"; when "00" & x"744" => data <= x"90"; when "00" & x"745" => data <= x"af"; when "00" & x"746" => data <= x"a2"; when "00" & x"747" => data <= x"18"; when "00" & x"748" => data <= x"a0"; when "00" & x"749" => data <= x"28"; when "00" & x"74a" => data <= x"4c"; when "00" & x"74b" => data <= x"1a"; when "00" & x"74c" => data <= x"cd"; when "00" & x"74d" => data <= x"a2"; when "00" & x"74e" => data <= x"06"; when "00" & x"74f" => data <= x"a0"; when "00" & x"750" => data <= x"26"; when "00" & x"751" => data <= x"20"; when "00" & x"752" => data <= x"99"; when "00" & x"753" => data <= x"d3"; when "00" & x"754" => data <= x"a2"; when "00" & x"755" => data <= x"00"; when "00" & x"756" => data <= x"a0"; when "00" & x"757" => data <= x"24"; when "00" & x"758" => data <= x"20"; when "00" & x"759" => data <= x"99"; when "00" & x"75a" => data <= x"d3"; when "00" & x"75b" => data <= x"4c"; when "00" & x"75c" => data <= x"c9"; when "00" & x"75d" => data <= x"d0"; when "00" & x"75e" => data <= x"20"; when "00" & x"75f" => data <= x"05"; when "00" & x"760" => data <= x"c5"; when "00" & x"761" => data <= x"d0"; when "00" & x"762" => data <= x"f1"; when "00" & x"763" => data <= x"20"; when "00" & x"764" => data <= x"aa"; when "00" & x"765" => data <= x"cd"; when "00" & x"766" => data <= x"4c"; when "00" & x"767" => data <= x"42"; when "00" & x"768" => data <= x"ce"; when "00" & x"769" => data <= x"20"; when "00" & x"76a" => data <= x"4d"; when "00" & x"76b" => data <= x"c7"; when "00" & x"76c" => data <= x"ad"; when "00" & x"76d" => data <= x"61"; when "00" & x"76e" => data <= x"03"; when "00" & x"76f" => data <= x"f0"; when "00" & x"770" => data <= x"33"; when "00" & x"771" => data <= x"ae"; when "00" & x"772" => data <= x"5a"; when "00" & x"773" => data <= x"03"; when "00" & x"774" => data <= x"ac"; when "00" & x"775" => data <= x"5c"; when "00" & x"776" => data <= x"03"; when "00" & x"777" => data <= x"20"; when "00" & x"778" => data <= x"c4"; when "00" & x"779" => data <= x"cf"; when "00" & x"77a" => data <= x"a2"; when "00" & x"77b" => data <= x"00"; when "00" & x"77c" => data <= x"a0"; when "00" & x"77d" => data <= x"28"; when "00" & x"77e" => data <= x"20"; when "00" & x"77f" => data <= x"93"; when "00" & x"780" => data <= x"d3"; when "00" & x"781" => data <= x"38"; when "00" & x"782" => data <= x"ad"; when "00" & x"783" => data <= x"06"; when "00" & x"784" => data <= x"03"; when "00" & x"785" => data <= x"ed"; when "00" & x"786" => data <= x"02"; when "00" & x"787" => data <= x"03"; when "00" & x"788" => data <= x"a8"; when "00" & x"789" => data <= x"c8"; when "00" & x"78a" => data <= x"8c"; when "00" & x"78b" => data <= x"30"; when "00" & x"78c" => data <= x"03"; when "00" & x"78d" => data <= x"a2"; when "00" & x"78e" => data <= x"2c"; when "00" & x"78f" => data <= x"a0"; when "00" & x"790" => data <= x"28"; when "00" & x"791" => data <= x"20"; when "00" & x"792" => data <= x"bc"; when "00" & x"793" => data <= x"d5"; when "00" & x"794" => data <= x"ad"; when "00" & x"795" => data <= x"2e"; when "00" & x"796" => data <= x"03"; when "00" & x"797" => data <= x"d0"; when "00" & x"798" => data <= x"03"; when "00" & x"799" => data <= x"ce"; when "00" & x"79a" => data <= x"2f"; when "00" & x"79b" => data <= x"03"; when "00" & x"79c" => data <= x"ce"; when "00" & x"79d" => data <= x"2e"; when "00" & x"79e" => data <= x"03"; when "00" & x"79f" => data <= x"ce"; when "00" & x"7a0" => data <= x"30"; when "00" & x"7a1" => data <= x"03"; when "00" & x"7a2" => data <= x"d0"; when "00" & x"7a3" => data <= x"e9"; when "00" & x"7a4" => data <= x"60"; when "00" & x"7a5" => data <= x"a8"; when "00" & x"7a6" => data <= x"10"; when "00" & x"7a7" => data <= x"13"; when "00" & x"7a8" => data <= x"2d"; when "00" & x"7a9" => data <= x"60"; when "00" & x"7aa" => data <= x"03"; when "00" & x"7ab" => data <= x"08"; when "00" & x"7ac" => data <= x"78"; when "00" & x"7ad" => data <= x"85"; when "00" & x"7ae" => data <= x"d8"; when "00" & x"7af" => data <= x"ad"; when "00" & x"7b0" => data <= x"4b"; when "00" & x"7b1" => data <= x"03"; when "00" & x"7b2" => data <= x"29"; when "00" & x"7b3" => data <= x"f0"; when "00" & x"7b4" => data <= x"05"; when "00" & x"7b5" => data <= x"d8"; when "00" & x"7b6" => data <= x"8d"; when "00" & x"7b7" => data <= x"4b"; when "00" & x"7b8" => data <= x"03"; when "00" & x"7b9" => data <= x"28"; when "00" & x"7ba" => data <= x"98"; when "00" & x"7bb" => data <= x"a0"; when "00" & x"7bc" => data <= x"00"; when "00" & x"7bd" => data <= x"f0"; when "00" & x"7be" => data <= x"07"; when "00" & x"7bf" => data <= x"48"; when "00" & x"7c0" => data <= x"20"; when "00" & x"7c1" => data <= x"a5"; when "00" & x"7c2" => data <= x"c7"; when "00" & x"7c3" => data <= x"68"; when "00" & x"7c4" => data <= x"a0"; when "00" & x"7c5" => data <= x"02"; when "00" & x"7c6" => data <= x"aa"; when "00" & x"7c7" => data <= x"10"; when "00" & x"7c8" => data <= x"01"; when "00" & x"7c9" => data <= x"c8"; when "00" & x"7ca" => data <= x"2d"; when "00" & x"7cb" => data <= x"60"; when "00" & x"7cc" => data <= x"03"; when "00" & x"7cd" => data <= x"85"; when "00" & x"7ce" => data <= x"d8"; when "00" & x"7cf" => data <= x"ad"; when "00" & x"7d0" => data <= x"60"; when "00" & x"7d1" => data <= x"03"; when "00" & x"7d2" => data <= x"29"; when "00" & x"7d3" => data <= x"07"; when "00" & x"7d4" => data <= x"18"; when "00" & x"7d5" => data <= x"65"; when "00" & x"7d6" => data <= x"d8"; when "00" & x"7d7" => data <= x"aa"; when "00" & x"7d8" => data <= x"bd"; when "00" & x"7d9" => data <= x"de"; when "00" & x"7da" => data <= x"c3"; when "00" & x"7db" => data <= x"99"; when "00" & x"7dc" => data <= x"57"; when "00" & x"7dd" => data <= x"03"; when "00" & x"7de" => data <= x"c0"; when "00" & x"7df" => data <= x"02"; when "00" & x"7e0" => data <= x"b0"; when "00" & x"7e1" => data <= x"0d"; when "00" & x"7e2" => data <= x"ad"; when "00" & x"7e3" => data <= x"57"; when "00" & x"7e4" => data <= x"03"; when "00" & x"7e5" => data <= x"49"; when "00" & x"7e6" => data <= x"ff"; when "00" & x"7e7" => data <= x"85"; when "00" & x"7e8" => data <= x"d3"; when "00" & x"7e9" => data <= x"4d"; when "00" & x"7ea" => data <= x"58"; when "00" & x"7eb" => data <= x"03"; when "00" & x"7ec" => data <= x"85"; when "00" & x"7ed" => data <= x"d2"; when "00" & x"7ee" => data <= x"60"; when "00" & x"7ef" => data <= x"ad"; when "00" & x"7f0" => data <= x"22"; when "00" & x"7f1" => data <= x"03"; when "00" & x"7f2" => data <= x"99"; when "00" & x"7f3" => data <= x"59"; when "00" & x"7f4" => data <= x"03"; when "00" & x"7f5" => data <= x"60"; when "00" & x"7f6" => data <= x"a9"; when "00" & x"7f7" => data <= x"00"; when "00" & x"7f8" => data <= x"8d"; when "00" & x"7f9" => data <= x"22"; when "00" & x"7fa" => data <= x"03"; when "00" & x"7fb" => data <= x"ad"; when "00" & x"7fc" => data <= x"60"; when "00" & x"7fd" => data <= x"03"; when "00" & x"7fe" => data <= x"29"; when "00" & x"7ff" => data <= x"07"; when "00" & x"800" => data <= x"20"; when "00" & x"801" => data <= x"bf"; when "00" & x"802" => data <= x"c7"; when "00" & x"803" => data <= x"a9"; when "00" & x"804" => data <= x"80"; when "00" & x"805" => data <= x"20"; when "00" & x"806" => data <= x"bf"; when "00" & x"807" => data <= x"c7"; when "00" & x"808" => data <= x"ae"; when "00" & x"809" => data <= x"60"; when "00" & x"80a" => data <= x"03"; when "00" & x"80b" => data <= x"8e"; when "00" & x"80c" => data <= x"1f"; when "00" & x"80d" => data <= x"03"; when "00" & x"80e" => data <= x"e0"; when "00" & x"80f" => data <= x"03"; when "00" & x"810" => data <= x"f0"; when "00" & x"811" => data <= x"11"; when "00" & x"812" => data <= x"90"; when "00" & x"813" => data <= x"20"; when "00" & x"814" => data <= x"8e"; when "00" & x"815" => data <= x"20"; when "00" & x"816" => data <= x"03"; when "00" & x"817" => data <= x"20"; when "00" & x"818" => data <= x"41"; when "00" & x"819" => data <= x"c8"; when "00" & x"81a" => data <= x"ce"; when "00" & x"81b" => data <= x"20"; when "00" & x"81c" => data <= x"03"; when "00" & x"81d" => data <= x"ce"; when "00" & x"81e" => data <= x"1f"; when "00" & x"81f" => data <= x"03"; when "00" & x"820" => data <= x"10"; when "00" & x"821" => data <= x"f5"; when "00" & x"822" => data <= x"60"; when "00" & x"823" => data <= x"a2"; when "00" & x"824" => data <= x"07"; when "00" & x"825" => data <= x"8e"; when "00" & x"826" => data <= x"20"; when "00" & x"827" => data <= x"03"; when "00" & x"828" => data <= x"20"; when "00" & x"829" => data <= x"41"; when "00" & x"82a" => data <= x"c8"; when "00" & x"82b" => data <= x"4e"; when "00" & x"82c" => data <= x"20"; when "00" & x"82d" => data <= x"03"; when "00" & x"82e" => data <= x"ce"; when "00" & x"82f" => data <= x"1f"; when "00" & x"830" => data <= x"03"; when "00" & x"831" => data <= x"10"; when "00" & x"832" => data <= x"f5"; when "00" & x"833" => data <= x"60"; when "00" & x"834" => data <= x"a2"; when "00" & x"835" => data <= x"07"; when "00" & x"836" => data <= x"20"; when "00" & x"837" => data <= x"3e"; when "00" & x"838" => data <= x"c8"; when "00" & x"839" => data <= x"a2"; when "00" & x"83a" => data <= x"00"; when "00" & x"83b" => data <= x"8e"; when "00" & x"83c" => data <= x"1f"; when "00" & x"83d" => data <= x"03"; when "00" & x"83e" => data <= x"8e"; when "00" & x"83f" => data <= x"20"; when "00" & x"840" => data <= x"03"; when "00" & x"841" => data <= x"ad"; when "00" & x"842" => data <= x"20"; when "00" & x"843" => data <= x"03"; when "00" & x"844" => data <= x"ae"; when "00" & x"845" => data <= x"1f"; when "00" & x"846" => data <= x"03"; when "00" & x"847" => data <= x"18"; when "00" & x"848" => data <= x"08"; when "00" & x"849" => data <= x"78"; when "00" & x"84a" => data <= x"29"; when "00" & x"84b" => data <= x"0f"; when "00" & x"84c" => data <= x"85"; when "00" & x"84d" => data <= x"fb"; when "00" & x"84e" => data <= x"8a"; when "00" & x"84f" => data <= x"2d"; when "00" & x"850" => data <= x"60"; when "00" & x"851" => data <= x"03"; when "00" & x"852" => data <= x"a8"; when "00" & x"853" => data <= x"a5"; when "00" & x"854" => data <= x"fb"; when "00" & x"855" => data <= x"b0"; when "00" & x"856" => data <= x"03"; when "00" & x"857" => data <= x"99"; when "00" & x"858" => data <= x"6f"; when "00" & x"859" => data <= x"03"; when "00" & x"85a" => data <= x"c9"; when "00" & x"85b" => data <= x"08"; when "00" & x"85c" => data <= x"90"; when "00" & x"85d" => data <= x"05"; when "00" & x"85e" => data <= x"4d"; when "00" & x"85f" => data <= x"48"; when "00" & x"860" => data <= x"02"; when "00" & x"861" => data <= x"85"; when "00" & x"862" => data <= x"fb"; when "00" & x"863" => data <= x"98"; when "00" & x"864" => data <= x"ae"; when "00" & x"865" => data <= x"60"; when "00" & x"866" => data <= x"03"; when "00" & x"867" => data <= x"e0"; when "00" & x"868" => data <= x"03"; when "00" & x"869" => data <= x"f0"; when "00" & x"86a" => data <= x"1f"; when "00" & x"86b" => data <= x"90"; when "00" & x"86c" => data <= x"1c"; when "00" & x"86d" => data <= x"4a"; when "00" & x"86e" => data <= x"4a"; when "00" & x"86f" => data <= x"08"; when "00" & x"870" => data <= x"4a"; when "00" & x"871" => data <= x"28"; when "00" & x"872" => data <= x"2a"; when "00" & x"873" => data <= x"aa"; when "00" & x"874" => data <= x"98"; when "00" & x"875" => data <= x"2c"; when "00" & x"876" => data <= x"cd"; when "00" & x"877" => data <= x"c3"; when "00" & x"878" => data <= x"f0"; when "00" & x"879" => data <= x"01"; when "00" & x"87a" => data <= x"38"; when "00" & x"87b" => data <= x"2a"; when "00" & x"87c" => data <= x"0a"; when "00" & x"87d" => data <= x"29"; when "00" & x"87e" => data <= x"06"; when "00" & x"87f" => data <= x"a8"; when "00" & x"880" => data <= x"c9"; when "00" & x"881" => data <= x"04"; when "00" & x"882" => data <= x"90"; when "00" & x"883" => data <= x"09"; when "00" & x"884" => data <= x"49"; when "00" & x"885" => data <= x"02"; when "00" & x"886" => data <= x"a8"; when "00" & x"887" => data <= x"b0"; when "00" & x"888" => data <= x"04"; when "00" & x"889" => data <= x"0a"; when "00" & x"88a" => data <= x"aa"; when "00" & x"88b" => data <= x"a0"; when "00" & x"88c" => data <= x"00"; when "00" & x"88d" => data <= x"86"; when "00" & x"88e" => data <= x"fa"; when "00" & x"88f" => data <= x"a5"; when "00" & x"890" => data <= x"fb"; when "00" & x"891" => data <= x"4a"; when "00" & x"892" => data <= x"20"; when "00" & x"893" => data <= x"c5"; when "00" & x"894" => data <= x"c8"; when "00" & x"895" => data <= x"a5"; when "00" & x"896" => data <= x"fb"; when "00" & x"897" => data <= x"c8"; when "00" & x"898" => data <= x"20"; when "00" & x"899" => data <= x"c5"; when "00" & x"89a" => data <= x"c8"; when "00" & x"89b" => data <= x"28"; when "00" & x"89c" => data <= x"60"; when "00" & x"89d" => data <= x"aa"; when "00" & x"89e" => data <= x"c8"; when "00" & x"89f" => data <= x"b1"; when "00" & x"8a0" => data <= x"f0"; when "00" & x"8a1" => data <= x"b0"; when "00" & x"8a2" => data <= x"a4"; when "00" & x"8a3" => data <= x"a5"; when "00" & x"8a4" => data <= x"d8"; when "00" & x"8a5" => data <= x"48"; when "00" & x"8a6" => data <= x"ae"; when "00" & x"8a7" => data <= x"60"; when "00" & x"8a8" => data <= x"03"; when "00" & x"8a9" => data <= x"bc"; when "00" & x"8aa" => data <= x"6f"; when "00" & x"8ab" => data <= x"03"; when "00" & x"8ac" => data <= x"c0"; when "00" & x"8ad" => data <= x"08"; when "00" & x"8ae" => data <= x"90"; when "00" & x"8af" => data <= x"0e"; when "00" & x"8b0" => data <= x"8a"; when "00" & x"8b1" => data <= x"48"; when "00" & x"8b2" => data <= x"98"; when "00" & x"8b3" => data <= x"29"; when "00" & x"8b4" => data <= x"07"; when "00" & x"8b5" => data <= x"4d"; when "00" & x"8b6" => data <= x"48"; when "00" & x"8b7" => data <= x"02"; when "00" & x"8b8" => data <= x"38"; when "00" & x"8b9" => data <= x"20"; when "00" & x"8ba" => data <= x"48"; when "00" & x"8bb" => data <= x"c8"; when "00" & x"8bc" => data <= x"68"; when "00" & x"8bd" => data <= x"aa"; when "00" & x"8be" => data <= x"ca"; when "00" & x"8bf" => data <= x"10"; when "00" & x"8c0" => data <= x"e8"; when "00" & x"8c1" => data <= x"68"; when "00" & x"8c2" => data <= x"85"; when "00" & x"8c3" => data <= x"d8"; when "00" & x"8c4" => data <= x"60"; when "00" & x"8c5" => data <= x"29"; when "00" & x"8c6" => data <= x"03"; when "00" & x"8c7" => data <= x"aa"; when "00" & x"8c8" => data <= x"bd"; when "00" & x"8c9" => data <= x"23"; when "00" & x"8ca" => data <= x"c4"; when "00" & x"8cb" => data <= x"a6"; when "00" & x"8cc" => data <= x"fa"; when "00" & x"8cd" => data <= x"3d"; when "00" & x"8ce" => data <= x"27"; when "00" & x"8cf" => data <= x"c4"; when "00" & x"8d0" => data <= x"85"; when "00" & x"8d1" => data <= x"fc"; when "00" & x"8d2" => data <= x"bd"; when "00" & x"8d3" => data <= x"27"; when "00" & x"8d4" => data <= x"c4"; when "00" & x"8d5" => data <= x"49"; when "00" & x"8d6" => data <= x"ff"; when "00" & x"8d7" => data <= x"39"; when "00" & x"8d8" => data <= x"04"; when "00" & x"8d9" => data <= x"08"; when "00" & x"8da" => data <= x"05"; when "00" & x"8db" => data <= x"fc"; when "00" & x"8dc" => data <= x"99"; when "00" & x"8dd" => data <= x"04"; when "00" & x"8de" => data <= x"08"; when "00" & x"8df" => data <= x"aa"; when "00" & x"8e0" => data <= x"ad"; when "00" & x"8e1" => data <= x"4b"; when "00" & x"8e2" => data <= x"03"; when "00" & x"8e3" => data <= x"29"; when "00" & x"8e4" => data <= x"30"; when "00" & x"8e5" => data <= x"d0"; when "00" & x"8e6" => data <= x"04"; when "00" & x"8e7" => data <= x"8a"; when "00" & x"8e8" => data <= x"99"; when "00" & x"8e9" => data <= x"08"; when "00" & x"8ea" => data <= x"fe"; when "00" & x"8eb" => data <= x"60"; when "00" & x"8ec" => data <= x"ad"; when "00" & x"8ed" => data <= x"23"; when "00" & x"8ee" => data <= x"03"; when "00" & x"8ef" => data <= x"4c"; when "00" & x"8f0" => data <= x"30"; when "00" & x"8f1" => data <= x"cb"; when "00" & x"8f2" => data <= x"ad"; when "00" & x"8f3" => data <= x"1b"; when "00" & x"8f4" => data <= x"03"; when "00" & x"8f5" => data <= x"c9"; when "00" & x"8f6" => data <= x"20"; when "00" & x"8f7" => data <= x"90"; when "00" & x"8f8" => data <= x"45"; when "00" & x"8f9" => data <= x"48"; when "00" & x"8fa" => data <= x"4a"; when "00" & x"8fb" => data <= x"4a"; when "00" & x"8fc" => data <= x"4a"; when "00" & x"8fd" => data <= x"4a"; when "00" & x"8fe" => data <= x"4a"; when "00" & x"8ff" => data <= x"aa"; when "00" & x"900" => data <= x"bd"; when "00" & x"901" => data <= x"c8"; when "00" & x"902" => data <= x"c3"; when "00" & x"903" => data <= x"2c"; when "00" & x"904" => data <= x"67"; when "00" & x"905" => data <= x"03"; when "00" & x"906" => data <= x"d0"; when "00" & x"907" => data <= x"20"; when "00" & x"908" => data <= x"0d"; when "00" & x"909" => data <= x"67"; when "00" & x"90a" => data <= x"03"; when "00" & x"90b" => data <= x"8d"; when "00" & x"90c" => data <= x"67"; when "00" & x"90d" => data <= x"03"; when "00" & x"90e" => data <= x"8a"; when "00" & x"90f" => data <= x"29"; when "00" & x"910" => data <= x"03"; when "00" & x"911" => data <= x"18"; when "00" & x"912" => data <= x"69"; when "00" & x"913" => data <= x"bf"; when "00" & x"914" => data <= x"85"; when "00" & x"915" => data <= x"dd"; when "00" & x"916" => data <= x"bd"; when "00" & x"917" => data <= x"67"; when "00" & x"918" => data <= x"03"; when "00" & x"919" => data <= x"85"; when "00" & x"91a" => data <= x"db"; when "00" & x"91b" => data <= x"a0"; when "00" & x"91c" => data <= x"00"; when "00" & x"91d" => data <= x"84"; when "00" & x"91e" => data <= x"da"; when "00" & x"91f" => data <= x"84"; when "00" & x"920" => data <= x"dc"; when "00" & x"921" => data <= x"b1"; when "00" & x"922" => data <= x"dc"; when "00" & x"923" => data <= x"91"; when "00" & x"924" => data <= x"da"; when "00" & x"925" => data <= x"88"; when "00" & x"926" => data <= x"d0"; when "00" & x"927" => data <= x"f9"; when "00" & x"928" => data <= x"68"; when "00" & x"929" => data <= x"20"; when "00" & x"92a" => data <= x"4f"; when "00" & x"92b" => data <= x"cf"; when "00" & x"92c" => data <= x"a0"; when "00" & x"92d" => data <= x"07"; when "00" & x"92e" => data <= x"b9"; when "00" & x"92f" => data <= x"1c"; when "00" & x"930" => data <= x"03"; when "00" & x"931" => data <= x"91"; when "00" & x"932" => data <= x"dc"; when "00" & x"933" => data <= x"88"; when "00" & x"934" => data <= x"10"; when "00" & x"935" => data <= x"f8"; when "00" & x"936" => data <= x"60"; when "00" & x"937" => data <= x"ad"; when "00" & x"938" => data <= x"1f"; when "00" & x"939" => data <= x"03"; when "00" & x"93a" => data <= x"18"; when "00" & x"93b" => data <= x"6c"; when "00" & x"93c" => data <= x"26"; when "00" & x"93d" => data <= x"02"; when "00" & x"93e" => data <= x"c9"; when "00" & x"93f" => data <= x"01"; when "00" & x"940" => data <= x"f0"; when "00" & x"941" => data <= x"29"; when "00" & x"942" => data <= x"b0"; when "00" & x"943" => data <= x"f7"; when "00" & x"944" => data <= x"38"; when "00" & x"945" => data <= x"ad"; when "00" & x"946" => data <= x"1c"; when "00" & x"947" => data <= x"03"; when "00" & x"948" => data <= x"49"; when "00" & x"949" => data <= x"0a"; when "00" & x"94a" => data <= x"d0"; when "00" & x"94b" => data <= x"ef"; when "00" & x"94c" => data <= x"ad"; when "00" & x"94d" => data <= x"1d"; when "00" & x"94e" => data <= x"03"; when "00" & x"94f" => data <= x"49"; when "00" & x"950" => data <= x"20"; when "00" & x"951" => data <= x"29"; when "00" & x"952" => data <= x"60"; when "00" & x"953" => data <= x"f0"; when "00" & x"954" => data <= x"08"; when "00" & x"955" => data <= x"20"; when "00" & x"956" => data <= x"7a"; when "00" & x"957" => data <= x"c9"; when "00" & x"958" => data <= x"a9"; when "00" & x"959" => data <= x"ef"; when "00" & x"95a" => data <= x"4c"; when "00" & x"95b" => data <= x"30"; when "00" & x"95c" => data <= x"c5"; when "00" & x"95d" => data <= x"a9"; when "00" & x"95e" => data <= x"10"; when "00" & x"95f" => data <= x"4c"; when "00" & x"960" => data <= x"23"; when "00" & x"961" => data <= x"c5"; when "00" & x"962" => data <= x"20"; when "00" & x"963" => data <= x"7a"; when "00" & x"964" => data <= x"c9"; when "00" & x"965" => data <= x"a0"; when "00" & x"966" => data <= x"00"; when "00" & x"967" => data <= x"8c"; when "00" & x"968" => data <= x"5f"; when "00" & x"969" => data <= x"03"; when "00" & x"96a" => data <= x"60"; when "00" & x"96b" => data <= x"ac"; when "00" & x"96c" => data <= x"1c"; when "00" & x"96d" => data <= x"03"; when "00" & x"96e" => data <= x"f0"; when "00" & x"96f" => data <= x"ed"; when "00" & x"970" => data <= x"c0"; when "00" & x"971" => data <= x"02"; when "00" & x"972" => data <= x"f0"; when "00" & x"973" => data <= x"ee"; when "00" & x"974" => data <= x"90"; when "00" & x"975" => data <= x"df"; when "00" & x"976" => data <= x"a0"; when "00" & x"977" => data <= x"0a"; when "00" & x"978" => data <= x"d0"; when "00" & x"979" => data <= x"ed"; when "00" & x"97a" => data <= x"08"; when "00" & x"97b" => data <= x"78"; when "00" & x"97c" => data <= x"ad"; when "00" & x"97d" => data <= x"4b"; when "00" & x"97e" => data <= x"03"; when "00" & x"97f" => data <= x"09"; when "00" & x"980" => data <= x"40"; when "00" & x"981" => data <= x"8d"; when "00" & x"982" => data <= x"4b"; when "00" & x"983" => data <= x"03"; when "00" & x"984" => data <= x"28"; when "00" & x"985" => data <= x"60"; when "00" & x"986" => data <= x"ae"; when "00" & x"987" => data <= x"61"; when "00" & x"988" => data <= x"03"; when "00" & x"989" => data <= x"f0"; when "00" & x"98a" => data <= x"ac"; when "00" & x"98b" => data <= x"4c"; when "00" & x"98c" => data <= x"71"; when "00" & x"98d" => data <= x"cf"; when "00" & x"98e" => data <= x"18"; when "00" & x"98f" => data <= x"ad"; when "00" & x"990" => data <= x"5f"; when "00" & x"991" => data <= x"03"; when "00" & x"992" => data <= x"6d"; when "00" & x"993" => data <= x"4a"; when "00" & x"994" => data <= x"03"; when "00" & x"995" => data <= x"8d"; when "00" & x"996" => data <= x"4a"; when "00" & x"997" => data <= x"03"; when "00" & x"998" => data <= x"ad"; when "00" & x"999" => data <= x"4b"; when "00" & x"99a" => data <= x"03"; when "00" & x"99b" => data <= x"30"; when "00" & x"99c" => data <= x"33"; when "00" & x"99d" => data <= x"90"; when "00" & x"99e" => data <= x"05"; when "00" & x"99f" => data <= x"49"; when "00" & x"9a0" => data <= x"40"; when "00" & x"9a1" => data <= x"8d"; when "00" & x"9a2" => data <= x"4b"; when "00" & x"9a3" => data <= x"03"; when "00" & x"9a4" => data <= x"29"; when "00" & x"9a5" => data <= x"40"; when "00" & x"9a6" => data <= x"f0"; when "00" & x"9a7" => data <= x"07"; when "00" & x"9a8" => data <= x"ad"; when "00" & x"9a9" => data <= x"60"; when "00" & x"9aa" => data <= x"03"; when "00" & x"9ab" => data <= x"e9"; when "00" & x"9ac" => data <= x"04"; when "00" & x"9ad" => data <= x"09"; when "00" & x"9ae" => data <= x"3f"; when "00" & x"9af" => data <= x"85"; when "00" & x"9b0" => data <= x"fb"; when "00" & x"9b1" => data <= x"a5"; when "00" & x"9b2" => data <= x"d0"; when "00" & x"9b3" => data <= x"29"; when "00" & x"9b4" => data <= x"30"; when "00" & x"9b5" => data <= x"d0"; when "00" & x"9b6" => data <= x"19"; when "00" & x"9b7" => data <= x"aa"; when "00" & x"9b8" => data <= x"ad"; when "00" & x"9b9" => data <= x"60"; when "00" & x"9ba" => data <= x"03"; when "00" & x"9bb" => data <= x"85"; when "00" & x"9bc" => data <= x"fa"; when "00" & x"9bd" => data <= x"a0"; when "00" & x"9be" => data <= x"07"; when "00" & x"9bf" => data <= x"38"; when "00" & x"9c0" => data <= x"bd"; when "00" & x"9c1" => data <= x"0c"; when "00" & x"9c2" => data <= x"08"; when "00" & x"9c3" => data <= x"45"; when "00" & x"9c4" => data <= x"fb"; when "00" & x"9c5" => data <= x"91"; when "00" & x"9c6" => data <= x"d6"; when "00" & x"9c7" => data <= x"98"; when "00" & x"9c8" => data <= x"69"; when "00" & x"9c9" => data <= x"07"; when "00" & x"9ca" => data <= x"a8"; when "00" & x"9cb" => data <= x"e8"; when "00" & x"9cc" => data <= x"46"; when "00" & x"9cd" => data <= x"fa"; when "00" & x"9ce" => data <= x"d0"; when "00" & x"9cf" => data <= x"f0"; when "00" & x"9d0" => data <= x"60"; when "00" & x"9d1" => data <= x"ae"; when "00" & x"9d2" => data <= x"50"; when "00" & x"9d3" => data <= x"03"; when "00" & x"9d4" => data <= x"ad"; when "00" & x"9d5" => data <= x"51"; when "00" & x"9d6" => data <= x"03"; when "00" & x"9d7" => data <= x"20"; when "00" & x"9d8" => data <= x"4f"; when "00" & x"9d9" => data <= x"cc"; when "00" & x"9da" => data <= x"b0"; when "00" & x"9db" => data <= x"14"; when "00" & x"9dc" => data <= x"6d"; when "00" & x"9dd" => data <= x"54"; when "00" & x"9de" => data <= x"03"; when "00" & x"9df" => data <= x"90"; when "00" & x"9e0" => data <= x"0f"; when "00" & x"9e1" => data <= x"ae"; when "00" & x"9e2" => data <= x"50"; when "00" & x"9e3" => data <= x"03"; when "00" & x"9e4" => data <= x"ad"; when "00" & x"9e5" => data <= x"51"; when "00" & x"9e6" => data <= x"03"; when "00" & x"9e7" => data <= x"20"; when "00" & x"9e8" => data <= x"c9"; when "00" & x"9e9" => data <= x"ca"; when "00" & x"9ea" => data <= x"10"; when "00" & x"9eb" => data <= x"04"; when "00" & x"9ec" => data <= x"38"; when "00" & x"9ed" => data <= x"ed"; when "00" & x"9ee" => data <= x"54"; when "00" & x"9ef" => data <= x"03"; when "00" & x"9f0" => data <= x"8d"; when "00" & x"9f1" => data <= x"51"; when "00" & x"9f2" => data <= x"03"; when "00" & x"9f3" => data <= x"8e"; when "00" & x"9f4" => data <= x"50"; when "00" & x"9f5" => data <= x"03"; when "00" & x"9f6" => data <= x"48"; when "00" & x"9f7" => data <= x"4a"; when "00" & x"9f8" => data <= x"8d"; when "00" & x"9f9" => data <= x"03"; when "00" & x"9fa" => data <= x"fe"; when "00" & x"9fb" => data <= x"8a"; when "00" & x"9fc" => data <= x"6a"; when "00" & x"9fd" => data <= x"8d"; when "00" & x"9fe" => data <= x"02"; when "00" & x"9ff" => data <= x"fe"; when "00" & x"a00" => data <= x"68"; when "00" & x"a01" => data <= x"60"; when "00" & x"a02" => data <= x"a9"; when "00" & x"a03" => data <= x"00"; when "00" & x"a04" => data <= x"a2"; when "00" & x"a05" => data <= x"2c"; when "00" & x"a06" => data <= x"9d"; when "00" & x"a07" => data <= x"00"; when "00" & x"a08" => data <= x"03"; when "00" & x"a09" => data <= x"ca"; when "00" & x"a0a" => data <= x"10"; when "00" & x"a0b" => data <= x"fa"; when "00" & x"a0c" => data <= x"ae"; when "00" & x"a0d" => data <= x"55"; when "00" & x"a0e" => data <= x"03"; when "00" & x"a0f" => data <= x"bc"; when "00" & x"a10" => data <= x"b4"; when "00" & x"a11" => data <= x"c3"; when "00" & x"a12" => data <= x"8c"; when "00" & x"a13" => data <= x"0a"; when "00" & x"a14" => data <= x"03"; when "00" & x"a15" => data <= x"20"; when "00" & x"a16" => data <= x"87"; when "00" & x"a17" => data <= x"ca"; when "00" & x"a18" => data <= x"bc"; when "00" & x"a19" => data <= x"ad"; when "00" & x"a1a" => data <= x"c3"; when "00" & x"a1b" => data <= x"8c"; when "00" & x"a1c" => data <= x"09"; when "00" & x"a1d" => data <= x"03"; when "00" & x"a1e" => data <= x"a0"; when "00" & x"a1f" => data <= x"03"; when "00" & x"a20" => data <= x"8c"; when "00" & x"a21" => data <= x"23"; when "00" & x"a22" => data <= x"03"; when "00" & x"a23" => data <= x"c8"; when "00" & x"a24" => data <= x"8c"; when "00" & x"a25" => data <= x"21"; when "00" & x"a26" => data <= x"03"; when "00" & x"a27" => data <= x"ce"; when "00" & x"a28" => data <= x"22"; when "00" & x"a29" => data <= x"03"; when "00" & x"a2a" => data <= x"ce"; when "00" & x"a2b" => data <= x"20"; when "00" & x"a2c" => data <= x"03"; when "00" & x"a2d" => data <= x"20"; when "00" & x"a2e" => data <= x"42"; when "00" & x"a2f" => data <= x"ce"; when "00" & x"a30" => data <= x"20"; when "00" & x"a31" => data <= x"38"; when "00" & x"a32" => data <= x"ca"; when "00" & x"a33" => data <= x"a9"; when "00" & x"a34" => data <= x"f7"; when "00" & x"a35" => data <= x"4c"; when "00" & x"a36" => data <= x"30"; when "00" & x"a37" => data <= x"c5"; when "00" & x"a38" => data <= x"20"; when "00" & x"a39" => data <= x"80"; when "00" & x"a3a" => data <= x"ca"; when "00" & x"a3b" => data <= x"a2"; when "00" & x"a3c" => data <= x"1c"; when "00" & x"a3d" => data <= x"a0"; when "00" & x"a3e" => data <= x"2c"; when "00" & x"a3f" => data <= x"20"; when "00" & x"a40" => data <= x"28"; when "00" & x"a41" => data <= x"d3"; when "00" & x"a42" => data <= x"0d"; when "00" & x"a43" => data <= x"2d"; when "00" & x"a44" => data <= x"03"; when "00" & x"a45" => data <= x"30"; when "00" & x"a46" => data <= x"39"; when "00" & x"a47" => data <= x"a2"; when "00" & x"a48" => data <= x"20"; when "00" & x"a49" => data <= x"20"; when "00" & x"a4a" => data <= x"5a"; when "00" & x"a4b" => data <= x"d0"; when "00" & x"a4c" => data <= x"a2"; when "00" & x"a4d" => data <= x"1c"; when "00" & x"a4e" => data <= x"20"; when "00" & x"a4f" => data <= x"5a"; when "00" & x"a50" => data <= x"d0"; when "00" & x"a51" => data <= x"ad"; when "00" & x"a52" => data <= x"1f"; when "00" & x"a53" => data <= x"03"; when "00" & x"a54" => data <= x"0d"; when "00" & x"a55" => data <= x"1d"; when "00" & x"a56" => data <= x"03"; when "00" & x"a57" => data <= x"30"; when "00" & x"a58" => data <= x"27"; when "00" & x"a59" => data <= x"ad"; when "00" & x"a5a" => data <= x"23"; when "00" & x"a5b" => data <= x"03"; when "00" & x"a5c" => data <= x"d0"; when "00" & x"a5d" => data <= x"22"; when "00" & x"a5e" => data <= x"ae"; when "00" & x"a5f" => data <= x"55"; when "00" & x"a60" => data <= x"03"; when "00" & x"a61" => data <= x"ad"; when "00" & x"a62" => data <= x"21"; when "00" & x"a63" => data <= x"03"; when "00" & x"a64" => data <= x"85"; when "00" & x"a65" => data <= x"d8"; when "00" & x"a66" => data <= x"ad"; when "00" & x"a67" => data <= x"20"; when "00" & x"a68" => data <= x"03"; when "00" & x"a69" => data <= x"46"; when "00" & x"a6a" => data <= x"d8"; when "00" & x"a6b" => data <= x"6a"; when "00" & x"a6c" => data <= x"46"; when "00" & x"a6d" => data <= x"d8"; when "00" & x"a6e" => data <= x"d0"; when "00" & x"a6f" => data <= x"10"; when "00" & x"a70" => data <= x"6a"; when "00" & x"a71" => data <= x"4a"; when "00" & x"a72" => data <= x"dd"; when "00" & x"a73" => data <= x"b4"; when "00" & x"a74" => data <= x"c3"; when "00" & x"a75" => data <= x"f0"; when "00" & x"a76" => data <= x"02"; when "00" & x"a77" => data <= x"10"; when "00" & x"a78" => data <= x"07"; when "00" & x"a79" => data <= x"a0"; when "00" & x"a7a" => data <= x"00"; when "00" & x"a7b" => data <= x"a2"; when "00" & x"a7c" => data <= x"1c"; when "00" & x"a7d" => data <= x"20"; when "00" & x"a7e" => data <= x"93"; when "00" & x"a7f" => data <= x"d3"; when "00" & x"a80" => data <= x"a2"; when "00" & x"a81" => data <= x"10"; when "00" & x"a82" => data <= x"a0"; when "00" & x"a83" => data <= x"28"; when "00" & x"a84" => data <= x"4c"; when "00" & x"a85" => data <= x"22"; when "00" & x"a86" => data <= x"cd"; when "00" & x"a87" => data <= x"c8"; when "00" & x"a88" => data <= x"98"; when "00" & x"a89" => data <= x"a0"; when "00" & x"a8a" => data <= x"00"; when "00" & x"a8b" => data <= x"8c"; when "00" & x"a8c" => data <= x"4d"; when "00" & x"a8d" => data <= x"03"; when "00" & x"a8e" => data <= x"8d"; when "00" & x"a8f" => data <= x"4c"; when "00" & x"a90" => data <= x"03"; when "00" & x"a91" => data <= x"ad"; when "00" & x"a92" => data <= x"4f"; when "00" & x"a93" => data <= x"03"; when "00" & x"a94" => data <= x"4a"; when "00" & x"a95" => data <= x"f0"; when "00" & x"a96" => data <= x"09"; when "00" & x"a97" => data <= x"0e"; when "00" & x"a98" => data <= x"4c"; when "00" & x"a99" => data <= x"03"; when "00" & x"a9a" => data <= x"2e"; when "00" & x"a9b" => data <= x"4d"; when "00" & x"a9c" => data <= x"03"; when "00" & x"a9d" => data <= x"4a"; when "00" & x"a9e" => data <= x"90"; when "00" & x"a9f" => data <= x"f7"; when "00" & x"aa0" => data <= x"60"; when "00" & x"aa1" => data <= x"a2"; when "00" & x"aa2" => data <= x"20"; when "00" & x"aa3" => data <= x"a0"; when "00" & x"aa4" => data <= x"0c"; when "00" & x"aa5" => data <= x"20"; when "00" & x"aa6" => data <= x"a1"; when "00" & x"aa7" => data <= x"d3"; when "00" & x"aa8" => data <= x"4c"; when "00" & x"aa9" => data <= x"c9"; when "00" & x"aaa" => data <= x"d0"; when "00" & x"aab" => data <= x"20"; when "00" & x"aac" => data <= x"3e"; when "00" & x"aad" => data <= x"c5"; when "00" & x"aae" => data <= x"20"; when "00" & x"aaf" => data <= x"05"; when "00" & x"ab0" => data <= x"c5"; when "00" & x"ab1" => data <= x"d0"; when "00" & x"ab2" => data <= x"09"; when "00" & x"ab3" => data <= x"85"; when "00" & x"ab4" => data <= x"dc"; when "00" & x"ab5" => data <= x"a9"; when "00" & x"ab6" => data <= x"c0"; when "00" & x"ab7" => data <= x"85"; when "00" & x"ab8" => data <= x"dd"; when "00" & x"ab9" => data <= x"4c"; when "00" & x"aba" => data <= x"e2"; when "00" & x"abb" => data <= x"ce"; when "00" & x"abc" => data <= x"a9"; when "00" & x"abd" => data <= x"7f"; when "00" & x"abe" => data <= x"20"; when "00" & x"abf" => data <= x"4f"; when "00" & x"ac0" => data <= x"cf"; when "00" & x"ac1" => data <= x"ae"; when "00" & x"ac2" => data <= x"5a"; when "00" & x"ac3" => data <= x"03"; when "00" & x"ac4" => data <= x"a0"; when "00" & x"ac5" => data <= x"00"; when "00" & x"ac6" => data <= x"4c"; when "00" & x"ac7" => data <= x"94"; when "00" & x"ac8" => data <= x"ce"; when "00" & x"ac9" => data <= x"48"; when "00" & x"aca" => data <= x"8a"; when "00" & x"acb" => data <= x"18"; when "00" & x"acc" => data <= x"6d"; when "00" & x"acd" => data <= x"52"; when "00" & x"ace" => data <= x"03"; when "00" & x"acf" => data <= x"aa"; when "00" & x"ad0" => data <= x"68"; when "00" & x"ad1" => data <= x"6d"; when "00" & x"ad2" => data <= x"53"; when "00" & x"ad3" => data <= x"03"; when "00" & x"ad4" => data <= x"60"; when "00" & x"ad5" => data <= x"20"; when "00" & x"ad6" => data <= x"09"; when "00" & x"ad7" => data <= x"cb"; when "00" & x"ad8" => data <= x"20"; when "00" & x"ad9" => data <= x"d1"; when "00" & x"ada" => data <= x"e7"; when "00" & x"adb" => data <= x"90"; when "00" & x"adc" => data <= x"02"; when "00" & x"add" => data <= x"30"; when "00" & x"ade" => data <= x"f6"; when "00" & x"adf" => data <= x"a5"; when "00" & x"ae0" => data <= x"d0"; when "00" & x"ae1" => data <= x"49"; when "00" & x"ae2" => data <= x"04"; when "00" & x"ae3" => data <= x"29"; when "00" & x"ae4" => data <= x"46"; when "00" & x"ae5" => data <= x"d0"; when "00" & x"ae6" => data <= x"2a"; when "00" & x"ae7" => data <= x"ad"; when "00" & x"ae8" => data <= x"69"; when "00" & x"ae9" => data <= x"02"; when "00" & x"aea" => data <= x"30"; when "00" & x"aeb" => data <= x"22"; when "00" & x"aec" => data <= x"ad"; when "00" & x"aed" => data <= x"19"; when "00" & x"aee" => data <= x"03"; when "00" & x"aef" => data <= x"cd"; when "00" & x"af0" => data <= x"09"; when "00" & x"af1" => data <= x"03"; when "00" & x"af2" => data <= x"90"; when "00" & x"af3" => data <= x"1a"; when "00" & x"af4" => data <= x"4a"; when "00" & x"af5" => data <= x"4a"; when "00" & x"af6" => data <= x"38"; when "00" & x"af7" => data <= x"6d"; when "00" & x"af8" => data <= x"69"; when "00" & x"af9" => data <= x"02"; when "00" & x"afa" => data <= x"6d"; when "00" & x"afb" => data <= x"0b"; when "00" & x"afc" => data <= x"03"; when "00" & x"afd" => data <= x"cd"; when "00" & x"afe" => data <= x"09"; when "00" & x"aff" => data <= x"03"; when "00" & x"b00" => data <= x"90"; when "00" & x"b01" => data <= x"0c"; when "00" & x"b02" => data <= x"18"; when "00" & x"b03" => data <= x"20"; when "00" & x"b04" => data <= x"d1"; when "00" & x"b05" => data <= x"e7"; when "00" & x"b06" => data <= x"38"; when "00" & x"b07" => data <= x"10"; when "00" & x"b08" => data <= x"fa"; when "00" & x"b09" => data <= x"a9"; when "00" & x"b0a" => data <= x"ff"; when "00" & x"b0b" => data <= x"8d"; when "00" & x"b0c" => data <= x"69"; when "00" & x"b0d" => data <= x"02"; when "00" & x"b0e" => data <= x"ee"; when "00" & x"b0f" => data <= x"69"; when "00" & x"b10" => data <= x"02"; when "00" & x"b11" => data <= x"60"; when "00" & x"b12" => data <= x"48"; when "00" & x"b13" => data <= x"a2"; when "00" & x"b14" => data <= x"7f"; when "00" & x"b15" => data <= x"a9"; when "00" & x"b16" => data <= x"00"; when "00" & x"b17" => data <= x"85"; when "00" & x"b18" => data <= x"d0"; when "00" & x"b19" => data <= x"9d"; when "00" & x"b1a" => data <= x"ff"; when "00" & x"b1b" => data <= x"02"; when "00" & x"b1c" => data <= x"ca"; when "00" & x"b1d" => data <= x"d0"; when "00" & x"b1e" => data <= x"fa"; when "00" & x"b1f" => data <= x"a2"; when "00" & x"b20" => data <= x"04"; when "00" & x"b21" => data <= x"9d"; when "00" & x"b22" => data <= x"0b"; when "00" & x"b23" => data <= x"08"; when "00" & x"b24" => data <= x"ca"; when "00" & x"b25" => data <= x"d0"; when "00" & x"b26" => data <= x"fa"; when "00" & x"b27" => data <= x"20"; when "00" & x"b28" => data <= x"5e"; when "00" & x"b29" => data <= x"cc"; when "00" & x"b2a" => data <= x"68"; when "00" & x"b2b" => data <= x"a2"; when "00" & x"b2c" => data <= x"7f"; when "00" & x"b2d" => data <= x"8e"; when "00" & x"b2e" => data <= x"66"; when "00" & x"b2f" => data <= x"03"; when "00" & x"b30" => data <= x"20"; when "00" & x"b31" => data <= x"da"; when "00" & x"b32" => data <= x"da"; when "00" & x"b33" => data <= x"8e"; when "00" & x"b34" => data <= x"55"; when "00" & x"b35" => data <= x"03"; when "00" & x"b36" => data <= x"08"; when "00" & x"b37" => data <= x"78"; when "00" & x"b38" => data <= x"8d"; when "00" & x"b39" => data <= x"f4"; when "00" & x"b3a" => data <= x"02"; when "00" & x"b3b" => data <= x"ad"; when "00" & x"b3c" => data <= x"82"; when "00" & x"b3d" => data <= x"02"; when "00" & x"b3e" => data <= x"29"; when "00" & x"b3f" => data <= x"c7"; when "00" & x"b40" => data <= x"0d"; when "00" & x"b41" => data <= x"f4"; when "00" & x"b42" => data <= x"02"; when "00" & x"b43" => data <= x"8d"; when "00" & x"b44" => data <= x"82"; when "00" & x"b45" => data <= x"02"; when "00" & x"b46" => data <= x"8d"; when "00" & x"b47" => data <= x"07"; when "00" & x"b48" => data <= x"fe"; when "00" & x"b49" => data <= x"28"; when "00" & x"b4a" => data <= x"bd"; when "00" & x"b4b" => data <= x"cf"; when "00" & x"b4c" => data <= x"c3"; when "00" & x"b4d" => data <= x"8d"; when "00" & x"b4e" => data <= x"60"; when "00" & x"b4f" => data <= x"03"; when "00" & x"b50" => data <= x"bd"; when "00" & x"b51" => data <= x"bb"; when "00" & x"b52" => data <= x"c3"; when "00" & x"b53" => data <= x"8d"; when "00" & x"b54" => data <= x"4f"; when "00" & x"b55" => data <= x"03"; when "00" & x"b56" => data <= x"bd"; when "00" & x"b57" => data <= x"f5"; when "00" & x"b58" => data <= x"c3"; when "00" & x"b59" => data <= x"8d"; when "00" & x"b5a" => data <= x"61"; when "00" & x"b5b" => data <= x"03"; when "00" & x"b5c" => data <= x"d0"; when "00" & x"b5d" => data <= x"02"; when "00" & x"b5e" => data <= x"a9"; when "00" & x"b5f" => data <= x"07"; when "00" & x"b60" => data <= x"0a"; when "00" & x"b61" => data <= x"a8"; when "00" & x"b62" => data <= x"b9"; when "00" & x"b63" => data <= x"c1"; when "00" & x"b64" => data <= x"c3"; when "00" & x"b65" => data <= x"8d"; when "00" & x"b66" => data <= x"63"; when "00" & x"b67" => data <= x"03"; when "00" & x"b68" => data <= x"0a"; when "00" & x"b69" => data <= x"10"; when "00" & x"b6a" => data <= x"fd"; when "00" & x"b6b" => data <= x"8d"; when "00" & x"b6c" => data <= x"62"; when "00" & x"b6d" => data <= x"03"; when "00" & x"b6e" => data <= x"bc"; when "00" & x"b6f" => data <= x"fb"; when "00" & x"b70" => data <= x"c3"; when "00" & x"b71" => data <= x"8c"; when "00" & x"b72" => data <= x"56"; when "00" & x"b73" => data <= x"03"; when "00" & x"b74" => data <= x"b9"; when "00" & x"b75" => data <= x"07"; when "00" & x"b76" => data <= x"c4"; when "00" & x"b77" => data <= x"8d"; when "00" & x"b78" => data <= x"54"; when "00" & x"b79" => data <= x"03"; when "00" & x"b7a" => data <= x"b9"; when "00" & x"b7b" => data <= x"0b"; when "00" & x"b7c" => data <= x"c4"; when "00" & x"b7d" => data <= x"8d"; when "00" & x"b7e" => data <= x"4e"; when "00" & x"b7f" => data <= x"03"; when "00" & x"b80" => data <= x"98"; when "00" & x"b81" => data <= x"4a"; when "00" & x"b82" => data <= x"49"; when "00" & x"b83" => data <= x"01"; when "00" & x"b84" => data <= x"aa"; when "00" & x"b85" => data <= x"bd"; when "00" & x"b86" => data <= x"0f"; when "00" & x"b87" => data <= x"c4"; when "00" & x"b88" => data <= x"8d"; when "00" & x"b89" => data <= x"52"; when "00" & x"b8a" => data <= x"03"; when "00" & x"b8b" => data <= x"e8"; when "00" & x"b8c" => data <= x"8e"; when "00" & x"b8d" => data <= x"53"; when "00" & x"b8e" => data <= x"03"; when "00" & x"b8f" => data <= x"a9"; when "00" & x"b90" => data <= x"43"; when "00" & x"b91" => data <= x"20"; when "00" & x"b92" => data <= x"30"; when "00" & x"b93" => data <= x"c5"; when "00" & x"b94" => data <= x"20"; when "00" & x"b95" => data <= x"f6"; when "00" & x"b96" => data <= x"c7"; when "00" & x"b97" => data <= x"20"; when "00" & x"b98" => data <= x"02"; when "00" & x"b99" => data <= x"ca"; when "00" & x"b9a" => data <= x"20"; when "00" & x"b9b" => data <= x"76"; when "00" & x"b9c" => data <= x"c9"; when "00" & x"b9d" => data <= x"a2"; when "00" & x"b9e" => data <= x"00"; when "00" & x"b9f" => data <= x"ad"; when "00" & x"ba0" => data <= x"4e"; when "00" & x"ba1" => data <= x"03"; when "00" & x"ba2" => data <= x"20"; when "00" & x"ba3" => data <= x"f0"; when "00" & x"ba4" => data <= x"c9"; when "00" & x"ba5" => data <= x"20"; when "00" & x"ba6" => data <= x"61"; when "00" & x"ba7" => data <= x"c5"; when "00" & x"ba8" => data <= x"20"; when "00" & x"ba9" => data <= x"03"; when "00" & x"baa" => data <= x"cc"; when "00" & x"bab" => data <= x"a9"; when "00" & x"bac" => data <= x"00"; when "00" & x"bad" => data <= x"8d"; when "00" & x"bae" => data <= x"69"; when "00" & x"baf" => data <= x"02"; when "00" & x"bb0" => data <= x"8d"; when "00" & x"bb1" => data <= x"18"; when "00" & x"bb2" => data <= x"03"; when "00" & x"bb3" => data <= x"8d"; when "00" & x"bb4" => data <= x"19"; when "00" & x"bb5" => data <= x"03"; when "00" & x"bb6" => data <= x"a2"; when "00" & x"bb7" => data <= x"06"; when "00" & x"bb8" => data <= x"ac"; when "00" & x"bb9" => data <= x"4e"; when "00" & x"bba" => data <= x"03"; when "00" & x"bbb" => data <= x"94"; when "00" & x"bbc" => data <= x"d9"; when "00" & x"bbd" => data <= x"95"; when "00" & x"bbe" => data <= x"d8"; when "00" & x"bbf" => data <= x"c8"; when "00" & x"bc0" => data <= x"ca"; when "00" & x"bc1" => data <= x"ca"; when "00" & x"bc2" => data <= x"10"; when "00" & x"bc3" => data <= x"f7"; when "00" & x"bc4" => data <= x"a8"; when "00" & x"bc5" => data <= x"ad"; when "00" & x"bc6" => data <= x"58"; when "00" & x"bc7" => data <= x"03"; when "00" & x"bc8" => data <= x"91"; when "00" & x"bc9" => data <= x"d8"; when "00" & x"bca" => data <= x"91"; when "00" & x"bcb" => data <= x"da"; when "00" & x"bcc" => data <= x"91"; when "00" & x"bcd" => data <= x"dc"; when "00" & x"bce" => data <= x"91"; when "00" & x"bcf" => data <= x"de"; when "00" & x"bd0" => data <= x"88"; when "00" & x"bd1" => data <= x"d0"; when "00" & x"bd2" => data <= x"f5"; when "00" & x"bd3" => data <= x"a2"; when "00" & x"bd4" => data <= x"06"; when "00" & x"bd5" => data <= x"18"; when "00" & x"bd6" => data <= x"b5"; when "00" & x"bd7" => data <= x"d9"; when "00" & x"bd8" => data <= x"69"; when "00" & x"bd9" => data <= x"04"; when "00" & x"bda" => data <= x"95"; when "00" & x"bdb" => data <= x"d9"; when "00" & x"bdc" => data <= x"30"; when "00" & x"bdd" => data <= x"06"; when "00" & x"bde" => data <= x"ca"; when "00" & x"bdf" => data <= x"ca"; when "00" & x"be0" => data <= x"10"; when "00" & x"be1" => data <= x"f4"; when "00" & x"be2" => data <= x"30"; when "00" & x"be3" => data <= x"e1"; when "00" & x"be4" => data <= x"a9"; when "00" & x"be5" => data <= x"df"; when "00" & x"be6" => data <= x"d0"; when "00" & x"be7" => data <= x"02"; when "00" & x"be8" => data <= x"a9"; when "00" & x"be9" => data <= x"ef"; when "00" & x"bea" => data <= x"08"; when "00" & x"beb" => data <= x"78"; when "00" & x"bec" => data <= x"2d"; when "00" & x"bed" => data <= x"4b"; when "00" & x"bee" => data <= x"03"; when "00" & x"bef" => data <= x"8d"; when "00" & x"bf0" => data <= x"4b"; when "00" & x"bf1" => data <= x"03"; when "00" & x"bf2" => data <= x"29"; when "00" & x"bf3" => data <= x"30"; when "00" & x"bf4" => data <= x"d0"; when "00" & x"bf5" => data <= x"0b"; when "00" & x"bf6" => data <= x"a0"; when "00" & x"bf7" => data <= x"07"; when "00" & x"bf8" => data <= x"b9"; when "00" & x"bf9" => data <= x"04"; when "00" & x"bfa" => data <= x"08"; when "00" & x"bfb" => data <= x"99"; when "00" & x"bfc" => data <= x"08"; when "00" & x"bfd" => data <= x"fe"; when "00" & x"bfe" => data <= x"88"; when "00" & x"bff" => data <= x"10"; when "00" & x"c00" => data <= x"f7"; when "00" & x"c01" => data <= x"28"; when "00" & x"c02" => data <= x"60"; when "00" & x"c03" => data <= x"a9"; when "00" & x"c04" => data <= x"20"; when "00" & x"c05" => data <= x"d0"; when "00" & x"c06" => data <= x"0b"; when "00" & x"c07" => data <= x"f0"; when "00" & x"c08" => data <= x"df"; when "00" & x"c09" => data <= x"ad"; when "00" & x"c0a" => data <= x"55"; when "00" & x"c0b" => data <= x"03"; when "00" & x"c0c" => data <= x"29"; when "00" & x"c0d" => data <= x"04"; when "00" & x"c0e" => data <= x"d0"; when "00" & x"c0f" => data <= x"2f"; when "00" & x"c10" => data <= x"a9"; when "00" & x"c11" => data <= x"10"; when "00" & x"c12" => data <= x"08"; when "00" & x"c13" => data <= x"78"; when "00" & x"c14" => data <= x"0d"; when "00" & x"c15" => data <= x"4b"; when "00" & x"c16" => data <= x"03"; when "00" & x"c17" => data <= x"8d"; when "00" & x"c18" => data <= x"4b"; when "00" & x"c19" => data <= x"03"; when "00" & x"c1a" => data <= x"28"; when "00" & x"c1b" => data <= x"29"; when "00" & x"c1c" => data <= x"0f"; when "00" & x"c1d" => data <= x"aa"; when "00" & x"c1e" => data <= x"bd"; when "00" & x"c1f" => data <= x"6f"; when "00" & x"c20" => data <= x"03"; when "00" & x"c21" => data <= x"c9"; when "00" & x"c22" => data <= x"08"; when "00" & x"c23" => data <= x"90"; when "00" & x"c24" => data <= x"03"; when "00" & x"c25" => data <= x"4d"; when "00" & x"c26" => data <= x"48"; when "00" & x"c27" => data <= x"02"; when "00" & x"c28" => data <= x"48"; when "00" & x"c29" => data <= x"a0"; when "00" & x"c2a" => data <= x"07"; when "00" & x"c2b" => data <= x"20"; when "00" & x"c2c" => data <= x"32"; when "00" & x"c2d" => data <= x"cc"; when "00" & x"c2e" => data <= x"68"; when "00" & x"c2f" => data <= x"4a"; when "00" & x"c30" => data <= x"a0"; when "00" & x"c31" => data <= x"06"; when "00" & x"c32" => data <= x"29"; when "00" & x"c33" => data <= x"03"; when "00" & x"c34" => data <= x"aa"; when "00" & x"c35" => data <= x"bd"; when "00" & x"c36" => data <= x"23"; when "00" & x"c37" => data <= x"c4"; when "00" & x"c38" => data <= x"99"; when "00" & x"c39" => data <= x"08"; when "00" & x"c3a" => data <= x"fe"; when "00" & x"c3b" => data <= x"88"; when "00" & x"c3c" => data <= x"88"; when "00" & x"c3d" => data <= x"10"; when "00" & x"c3e" => data <= x"f9"; when "00" & x"c3f" => data <= x"60"; when "00" & x"c40" => data <= x"20"; when "00" & x"c41" => data <= x"4f"; when "00" & x"c42" => data <= x"cf"; when "00" & x"c43" => data <= x"a0"; when "00" & x"c44" => data <= x"00"; when "00" & x"c45" => data <= x"b1"; when "00" & x"c46" => data <= x"dc"; when "00" & x"c47" => data <= x"c8"; when "00" & x"c48" => data <= x"91"; when "00" & x"c49" => data <= x"f0"; when "00" & x"c4a" => data <= x"c0"; when "00" & x"c4b" => data <= x"08"; when "00" & x"c4c" => data <= x"d0"; when "00" & x"c4d" => data <= x"f7"; when "00" & x"c4e" => data <= x"60"; when "00" & x"c4f" => data <= x"48"; when "00" & x"c50" => data <= x"8a"; when "00" & x"c51" => data <= x"38"; when "00" & x"c52" => data <= x"ed"; when "00" & x"c53" => data <= x"52"; when "00" & x"c54" => data <= x"03"; when "00" & x"c55" => data <= x"aa"; when "00" & x"c56" => data <= x"68"; when "00" & x"c57" => data <= x"ed"; when "00" & x"c58" => data <= x"53"; when "00" & x"c59" => data <= x"03"; when "00" & x"c5a" => data <= x"cd"; when "00" & x"c5b" => data <= x"4e"; when "00" & x"c5c" => data <= x"03"; when "00" & x"c5d" => data <= x"60"; when "00" & x"c5e" => data <= x"a9"; when "00" & x"c5f" => data <= x"0f"; when "00" & x"c60" => data <= x"8d"; when "00" & x"c61" => data <= x"67"; when "00" & x"c62" => data <= x"03"; when "00" & x"c63" => data <= x"a9"; when "00" & x"c64" => data <= x"0c"; when "00" & x"c65" => data <= x"a0"; when "00" & x"c66" => data <= x"06"; when "00" & x"c67" => data <= x"99"; when "00" & x"c68" => data <= x"68"; when "00" & x"c69" => data <= x"03"; when "00" & x"c6a" => data <= x"88"; when "00" & x"c6b" => data <= x"10"; when "00" & x"c6c" => data <= x"fa"; when "00" & x"c6d" => data <= x"e0"; when "00" & x"c6e" => data <= x"07"; when "00" & x"c6f" => data <= x"90"; when "00" & x"c70" => data <= x"02"; when "00" & x"c71" => data <= x"a2"; when "00" & x"c72" => data <= x"06"; when "00" & x"c73" => data <= x"8e"; when "00" & x"c74" => data <= x"46"; when "00" & x"c75" => data <= x"02"; when "00" & x"c76" => data <= x"ad"; when "00" & x"c77" => data <= x"43"; when "00" & x"c78" => data <= x"02"; when "00" & x"c79" => data <= x"a2"; when "00" & x"c7a" => data <= x"00"; when "00" & x"c7b" => data <= x"ec"; when "00" & x"c7c" => data <= x"46"; when "00" & x"c7d" => data <= x"02"; when "00" & x"c7e" => data <= x"b0"; when "00" & x"c7f" => data <= x"0b"; when "00" & x"c80" => data <= x"bc"; when "00" & x"c81" => data <= x"1d"; when "00" & x"c82" => data <= x"c4"; when "00" & x"c83" => data <= x"99"; when "00" & x"c84" => data <= x"68"; when "00" & x"c85" => data <= x"03"; when "00" & x"c86" => data <= x"69"; when "00" & x"c87" => data <= x"01"; when "00" & x"c88" => data <= x"e8"; when "00" & x"c89" => data <= x"d0"; when "00" & x"c8a" => data <= x"f0"; when "00" & x"c8b" => data <= x"8d"; when "00" & x"c8c" => data <= x"44"; when "00" & x"c8d" => data <= x"02"; when "00" & x"c8e" => data <= x"a8"; when "00" & x"c8f" => data <= x"f0"; when "00" & x"c90" => data <= x"cc"; when "00" & x"c91" => data <= x"a2"; when "00" & x"c92" => data <= x"11"; when "00" & x"c93" => data <= x"4c"; when "00" & x"c94" => data <= x"a8"; when "00" & x"c95" => data <= x"f0"; when "00" & x"c96" => data <= x"a9"; when "00" & x"c97" => data <= x"02"; when "00" & x"c98" => data <= x"24"; when "00" & x"c99" => data <= x"d0"; when "00" & x"c9a" => data <= x"d0"; when "00" & x"c9b" => data <= x"02"; when "00" & x"c9c" => data <= x"50"; when "00" & x"c9d" => data <= x"3e"; when "00" & x"c9e" => data <= x"ad"; when "00" & x"c9f" => data <= x"09"; when "00" & x"ca0" => data <= x"03"; when "00" & x"ca1" => data <= x"90"; when "00" & x"ca2" => data <= x"03"; when "00" & x"ca3" => data <= x"ad"; when "00" & x"ca4" => data <= x"0b"; when "00" & x"ca5" => data <= x"03"; when "00" & x"ca6" => data <= x"70"; when "00" & x"ca7" => data <= x"08"; when "00" & x"ca8" => data <= x"8d"; when "00" & x"ca9" => data <= x"19"; when "00" & x"caa" => data <= x"03"; when "00" & x"cab" => data <= x"68"; when "00" & x"cac" => data <= x"68"; when "00" & x"cad" => data <= x"4c"; when "00" & x"cae" => data <= x"2b"; when "00" & x"caf" => data <= x"c6"; when "00" & x"cb0" => data <= x"08"; when "00" & x"cb1" => data <= x"cd"; when "00" & x"cb2" => data <= x"65"; when "00" & x"cb3" => data <= x"03"; when "00" & x"cb4" => data <= x"f0"; when "00" & x"cb5" => data <= x"25"; when "00" & x"cb6" => data <= x"28"; when "00" & x"cb7" => data <= x"90"; when "00" & x"cb8" => data <= x"04"; when "00" & x"cb9" => data <= x"ce"; when "00" & x"cba" => data <= x"65"; when "00" & x"cbb" => data <= x"03"; when "00" & x"cbc" => data <= x"60"; when "00" & x"cbd" => data <= x"ee"; when "00" & x"cbe" => data <= x"65"; when "00" & x"cbf" => data <= x"03"; when "00" & x"cc0" => data <= x"60"; when "00" & x"cc1" => data <= x"08"; when "00" & x"cc2" => data <= x"48"; when "00" & x"cc3" => data <= x"ac"; when "00" & x"cc4" => data <= x"4f"; when "00" & x"cc5" => data <= x"03"; when "00" & x"cc6" => data <= x"88"; when "00" & x"cc7" => data <= x"a9"; when "00" & x"cc8" => data <= x"ff"; when "00" & x"cc9" => data <= x"c0"; when "00" & x"cca" => data <= x"1f"; when "00" & x"ccb" => data <= x"d0"; when "00" & x"ccc" => data <= x"02"; when "00" & x"ccd" => data <= x"a9"; when "00" & x"cce" => data <= x"3f"; when "00" & x"ccf" => data <= x"85"; when "00" & x"cd0" => data <= x"d8"; when "00" & x"cd1" => data <= x"b1"; when "00" & x"cd2" => data <= x"d6"; when "00" & x"cd3" => data <= x"45"; when "00" & x"cd4" => data <= x"d8"; when "00" & x"cd5" => data <= x"91"; when "00" & x"cd6" => data <= x"d6"; when "00" & x"cd7" => data <= x"88"; when "00" & x"cd8" => data <= x"10"; when "00" & x"cd9" => data <= x"f7"; when "00" & x"cda" => data <= x"68"; when "00" & x"cdb" => data <= x"28"; when "00" & x"cdc" => data <= x"60"; when "00" & x"cdd" => data <= x"20"; when "00" & x"cde" => data <= x"97"; when "00" & x"cdf" => data <= x"cd"; when "00" & x"ce0" => data <= x"ad"; when "00" & x"ce1" => data <= x"09"; when "00" & x"ce2" => data <= x"03"; when "00" & x"ce3" => data <= x"8d"; when "00" & x"ce4" => data <= x"19"; when "00" & x"ce5" => data <= x"03"; when "00" & x"ce6" => data <= x"20"; when "00" & x"ce7" => data <= x"42"; when "00" & x"ce8" => data <= x"ce"; when "00" & x"ce9" => data <= x"20"; when "00" & x"cea" => data <= x"4f"; when "00" & x"ceb" => data <= x"cc"; when "00" & x"cec" => data <= x"b0"; when "00" & x"ced" => data <= x"03"; when "00" & x"cee" => data <= x"6d"; when "00" & x"cef" => data <= x"54"; when "00" & x"cf0" => data <= x"03"; when "00" & x"cf1" => data <= x"85"; when "00" & x"cf2" => data <= x"d9"; when "00" & x"cf3" => data <= x"86"; when "00" & x"cf4" => data <= x"d8"; when "00" & x"cf5" => data <= x"85"; when "00" & x"cf6" => data <= x"da"; when "00" & x"cf7" => data <= x"b0"; when "00" & x"cf8" => data <= x"06"; when "00" & x"cf9" => data <= x"20"; when "00" & x"cfa" => data <= x"af"; when "00" & x"cfb" => data <= x"cd"; when "00" & x"cfc" => data <= x"4c"; when "00" & x"cfd" => data <= x"0a"; when "00" & x"cfe" => data <= x"cd"; when "00" & x"cff" => data <= x"20"; when "00" & x"d00" => data <= x"c9"; when "00" & x"d01" => data <= x"ca"; when "00" & x"d02" => data <= x"20"; when "00" & x"d03" => data <= x"c9"; when "00" & x"d04" => data <= x"ca"; when "00" & x"d05" => data <= x"30"; when "00" & x"d06" => data <= x"f2"; when "00" & x"d07" => data <= x"20"; when "00" & x"d08" => data <= x"74"; when "00" & x"d09" => data <= x"cd"; when "00" & x"d0a" => data <= x"a5"; when "00" & x"d0b" => data <= x"da"; when "00" & x"d0c" => data <= x"a6"; when "00" & x"d0d" => data <= x"d8"; when "00" & x"d0e" => data <= x"85"; when "00" & x"d0f" => data <= x"d7"; when "00" & x"d10" => data <= x"86"; when "00" & x"d11" => data <= x"d6"; when "00" & x"d12" => data <= x"c6"; when "00" & x"d13" => data <= x"dc"; when "00" & x"d14" => data <= x"d0"; when "00" & x"d15" => data <= x"d3"; when "00" & x"d16" => data <= x"a2"; when "00" & x"d17" => data <= x"28"; when "00" & x"d18" => data <= x"a0"; when "00" & x"d19" => data <= x"18"; when "00" & x"d1a" => data <= x"a9"; when "00" & x"d1b" => data <= x"02"; when "00" & x"d1c" => data <= x"d0"; when "00" & x"d1d" => data <= x"06"; when "00" & x"d1e" => data <= x"a2"; when "00" & x"d1f" => data <= x"24"; when "00" & x"d20" => data <= x"a0"; when "00" & x"d21" => data <= x"14"; when "00" & x"d22" => data <= x"a9"; when "00" & x"d23" => data <= x"04"; when "00" & x"d24" => data <= x"85"; when "00" & x"d25" => data <= x"d8"; when "00" & x"d26" => data <= x"bd"; when "00" & x"d27" => data <= x"00"; when "00" & x"d28" => data <= x"03"; when "00" & x"d29" => data <= x"48"; when "00" & x"d2a" => data <= x"b9"; when "00" & x"d2b" => data <= x"00"; when "00" & x"d2c" => data <= x"03"; when "00" & x"d2d" => data <= x"9d"; when "00" & x"d2e" => data <= x"00"; when "00" & x"d2f" => data <= x"03"; when "00" & x"d30" => data <= x"68"; when "00" & x"d31" => data <= x"99"; when "00" & x"d32" => data <= x"00"; when "00" & x"d33" => data <= x"03"; when "00" & x"d34" => data <= x"e8"; when "00" & x"d35" => data <= x"c8"; when "00" & x"d36" => data <= x"c6"; when "00" & x"d37" => data <= x"d8"; when "00" & x"d38" => data <= x"d0"; when "00" & x"d39" => data <= x"ec"; when "00" & x"d3a" => data <= x"60"; when "00" & x"d3b" => data <= x"20"; when "00" & x"d3c" => data <= x"97"; when "00" & x"d3d" => data <= x"cd"; when "00" & x"d3e" => data <= x"ac"; when "00" & x"d3f" => data <= x"0b"; when "00" & x"d40" => data <= x"03"; when "00" & x"d41" => data <= x"8c"; when "00" & x"d42" => data <= x"19"; when "00" & x"d43" => data <= x"03"; when "00" & x"d44" => data <= x"20"; when "00" & x"d45" => data <= x"42"; when "00" & x"d46" => data <= x"ce"; when "00" & x"d47" => data <= x"20"; when "00" & x"d48" => data <= x"c9"; when "00" & x"d49" => data <= x"ca"; when "00" & x"d4a" => data <= x"10"; when "00" & x"d4b" => data <= x"04"; when "00" & x"d4c" => data <= x"38"; when "00" & x"d4d" => data <= x"ed"; when "00" & x"d4e" => data <= x"54"; when "00" & x"d4f" => data <= x"03"; when "00" & x"d50" => data <= x"85"; when "00" & x"d51" => data <= x"d9"; when "00" & x"d52" => data <= x"86"; when "00" & x"d53" => data <= x"d8"; when "00" & x"d54" => data <= x"85"; when "00" & x"d55" => data <= x"da"; when "00" & x"d56" => data <= x"90"; when "00" & x"d57" => data <= x"06"; when "00" & x"d58" => data <= x"20"; when "00" & x"d59" => data <= x"af"; when "00" & x"d5a" => data <= x"cd"; when "00" & x"d5b" => data <= x"4c"; when "00" & x"d5c" => data <= x"66"; when "00" & x"d5d" => data <= x"cd"; when "00" & x"d5e" => data <= x"20"; when "00" & x"d5f" => data <= x"c9"; when "00" & x"d60" => data <= x"ca"; when "00" & x"d61" => data <= x"30"; when "00" & x"d62" => data <= x"f5"; when "00" & x"d63" => data <= x"20"; when "00" & x"d64" => data <= x"74"; when "00" & x"d65" => data <= x"cd"; when "00" & x"d66" => data <= x"a5"; when "00" & x"d67" => data <= x"da"; when "00" & x"d68" => data <= x"a6"; when "00" & x"d69" => data <= x"d8"; when "00" & x"d6a" => data <= x"85"; when "00" & x"d6b" => data <= x"d7"; when "00" & x"d6c" => data <= x"86"; when "00" & x"d6d" => data <= x"d6"; when "00" & x"d6e" => data <= x"c6"; when "00" & x"d6f" => data <= x"dc"; when "00" & x"d70" => data <= x"d0"; when "00" & x"d71" => data <= x"d5"; when "00" & x"d72" => data <= x"f0"; when "00" & x"d73" => data <= x"a2"; when "00" & x"d74" => data <= x"ae"; when "00" & x"d75" => data <= x"4d"; when "00" & x"d76" => data <= x"03"; when "00" & x"d77" => data <= x"f0"; when "00" & x"d78" => data <= x"10"; when "00" & x"d79" => data <= x"a0"; when "00" & x"d7a" => data <= x"00"; when "00" & x"d7b" => data <= x"b1"; when "00" & x"d7c" => data <= x"d8"; when "00" & x"d7d" => data <= x"91"; when "00" & x"d7e" => data <= x"d6"; when "00" & x"d7f" => data <= x"c8"; when "00" & x"d80" => data <= x"d0"; when "00" & x"d81" => data <= x"f9"; when "00" & x"d82" => data <= x"e6"; when "00" & x"d83" => data <= x"d7"; when "00" & x"d84" => data <= x"e6"; when "00" & x"d85" => data <= x"d9"; when "00" & x"d86" => data <= x"ca"; when "00" & x"d87" => data <= x"d0"; when "00" & x"d88" => data <= x"f2"; when "00" & x"d89" => data <= x"ac"; when "00" & x"d8a" => data <= x"4c"; when "00" & x"d8b" => data <= x"03"; when "00" & x"d8c" => data <= x"f0"; when "00" & x"d8d" => data <= x"08"; when "00" & x"d8e" => data <= x"88"; when "00" & x"d8f" => data <= x"b1"; when "00" & x"d90" => data <= x"d8"; when "00" & x"d91" => data <= x"91"; when "00" & x"d92" => data <= x"d6"; when "00" & x"d93" => data <= x"98"; when "00" & x"d94" => data <= x"d0"; when "00" & x"d95" => data <= x"f8"; when "00" & x"d96" => data <= x"60"; when "00" & x"d97" => data <= x"20"; when "00" & x"d98" => data <= x"16"; when "00" & x"d99" => data <= x"cd"; when "00" & x"d9a" => data <= x"38"; when "00" & x"d9b" => data <= x"ad"; when "00" & x"d9c" => data <= x"09"; when "00" & x"d9d" => data <= x"03"; when "00" & x"d9e" => data <= x"ed"; when "00" & x"d9f" => data <= x"0b"; when "00" & x"da0" => data <= x"03"; when "00" & x"da1" => data <= x"85"; when "00" & x"da2" => data <= x"dc"; when "00" & x"da3" => data <= x"d0"; when "00" & x"da4" => data <= x"05"; when "00" & x"da5" => data <= x"68"; when "00" & x"da6" => data <= x"68"; when "00" & x"da7" => data <= x"4c"; when "00" & x"da8" => data <= x"16"; when "00" & x"da9" => data <= x"cd"; when "00" & x"daa" => data <= x"ad"; when "00" & x"dab" => data <= x"08"; when "00" & x"dac" => data <= x"03"; when "00" & x"dad" => data <= x"10"; when "00" & x"dae" => data <= x"70"; when "00" & x"daf" => data <= x"a5"; when "00" & x"db0" => data <= x"d8"; when "00" & x"db1" => data <= x"48"; when "00" & x"db2" => data <= x"38"; when "00" & x"db3" => data <= x"ad"; when "00" & x"db4" => data <= x"0a"; when "00" & x"db5" => data <= x"03"; when "00" & x"db6" => data <= x"ed"; when "00" & x"db7" => data <= x"08"; when "00" & x"db8" => data <= x"03"; when "00" & x"db9" => data <= x"85"; when "00" & x"dba" => data <= x"dd"; when "00" & x"dbb" => data <= x"ac"; when "00" & x"dbc" => data <= x"4f"; when "00" & x"dbd" => data <= x"03"; when "00" & x"dbe" => data <= x"88"; when "00" & x"dbf" => data <= x"b1"; when "00" & x"dc0" => data <= x"d8"; when "00" & x"dc1" => data <= x"91"; when "00" & x"dc2" => data <= x"d6"; when "00" & x"dc3" => data <= x"88"; when "00" & x"dc4" => data <= x"10"; when "00" & x"dc5" => data <= x"f9"; when "00" & x"dc6" => data <= x"a2"; when "00" & x"dc7" => data <= x"02"; when "00" & x"dc8" => data <= x"18"; when "00" & x"dc9" => data <= x"b5"; when "00" & x"dca" => data <= x"d6"; when "00" & x"dcb" => data <= x"6d"; when "00" & x"dcc" => data <= x"4f"; when "00" & x"dcd" => data <= x"03"; when "00" & x"dce" => data <= x"95"; when "00" & x"dcf" => data <= x"d6"; when "00" & x"dd0" => data <= x"b5"; when "00" & x"dd1" => data <= x"d7"; when "00" & x"dd2" => data <= x"69"; when "00" & x"dd3" => data <= x"00"; when "00" & x"dd4" => data <= x"10"; when "00" & x"dd5" => data <= x"04"; when "00" & x"dd6" => data <= x"38"; when "00" & x"dd7" => data <= x"ed"; when "00" & x"dd8" => data <= x"54"; when "00" & x"dd9" => data <= x"03"; when "00" & x"dda" => data <= x"95"; when "00" & x"ddb" => data <= x"d7"; when "00" & x"ddc" => data <= x"ca"; when "00" & x"ddd" => data <= x"ca"; when "00" & x"dde" => data <= x"f0"; when "00" & x"ddf" => data <= x"e8"; when "00" & x"de0" => data <= x"c6"; when "00" & x"de1" => data <= x"dd"; when "00" & x"de2" => data <= x"10"; when "00" & x"de3" => data <= x"d7"; when "00" & x"de4" => data <= x"68"; when "00" & x"de5" => data <= x"85"; when "00" & x"de6" => data <= x"d8"; when "00" & x"de7" => data <= x"60"; when "00" & x"de8" => data <= x"ad"; when "00" & x"de9" => data <= x"18"; when "00" & x"dea" => data <= x"03"; when "00" & x"deb" => data <= x"48"; when "00" & x"dec" => data <= x"20"; when "00" & x"ded" => data <= x"aa"; when "00" & x"dee" => data <= x"cd"; when "00" & x"def" => data <= x"20"; when "00" & x"df0" => data <= x"42"; when "00" & x"df1" => data <= x"ce"; when "00" & x"df2" => data <= x"38"; when "00" & x"df3" => data <= x"ad"; when "00" & x"df4" => data <= x"0a"; when "00" & x"df5" => data <= x"03"; when "00" & x"df6" => data <= x"ed"; when "00" & x"df7" => data <= x"08"; when "00" & x"df8" => data <= x"03"; when "00" & x"df9" => data <= x"85"; when "00" & x"dfa" => data <= x"da"; when "00" & x"dfb" => data <= x"ad"; when "00" & x"dfc" => data <= x"58"; when "00" & x"dfd" => data <= x"03"; when "00" & x"dfe" => data <= x"ac"; when "00" & x"dff" => data <= x"4f"; when "00" & x"e00" => data <= x"03"; when "00" & x"e01" => data <= x"88"; when "00" & x"e02" => data <= x"91"; when "00" & x"e03" => data <= x"d6"; when "00" & x"e04" => data <= x"d0"; when "00" & x"e05" => data <= x"fb"; when "00" & x"e06" => data <= x"8a"; when "00" & x"e07" => data <= x"18"; when "00" & x"e08" => data <= x"6d"; when "00" & x"e09" => data <= x"4f"; when "00" & x"e0a" => data <= x"03"; when "00" & x"e0b" => data <= x"aa"; when "00" & x"e0c" => data <= x"a5"; when "00" & x"e0d" => data <= x"d7"; when "00" & x"e0e" => data <= x"69"; when "00" & x"e0f" => data <= x"00"; when "00" & x"e10" => data <= x"10"; when "00" & x"e11" => data <= x"04"; when "00" & x"e12" => data <= x"38"; when "00" & x"e13" => data <= x"ed"; when "00" & x"e14" => data <= x"54"; when "00" & x"e15" => data <= x"03"; when "00" & x"e16" => data <= x"86"; when "00" & x"e17" => data <= x"d6"; when "00" & x"e18" => data <= x"85"; when "00" & x"e19" => data <= x"d7"; when "00" & x"e1a" => data <= x"c6"; when "00" & x"e1b" => data <= x"da"; when "00" & x"e1c" => data <= x"10"; when "00" & x"e1d" => data <= x"dd"; when "00" & x"e1e" => data <= x"68"; when "00" & x"e1f" => data <= x"8d"; when "00" & x"e20" => data <= x"18"; when "00" & x"e21" => data <= x"03"; when "00" & x"e22" => data <= x"38"; when "00" & x"e23" => data <= x"60"; when "00" & x"e24" => data <= x"ae"; when "00" & x"e25" => data <= x"18"; when "00" & x"e26" => data <= x"03"; when "00" & x"e27" => data <= x"ec"; when "00" & x"e28" => data <= x"08"; when "00" & x"e29" => data <= x"03"; when "00" & x"e2a" => data <= x"30"; when "00" & x"e2b" => data <= x"f6"; when "00" & x"e2c" => data <= x"ec"; when "00" & x"e2d" => data <= x"0a"; when "00" & x"e2e" => data <= x"03"; when "00" & x"e2f" => data <= x"f0"; when "00" & x"e30" => data <= x"02"; when "00" & x"e31" => data <= x"10"; when "00" & x"e32" => data <= x"ef"; when "00" & x"e33" => data <= x"ae"; when "00" & x"e34" => data <= x"19"; when "00" & x"e35" => data <= x"03"; when "00" & x"e36" => data <= x"ec"; when "00" & x"e37" => data <= x"0b"; when "00" & x"e38" => data <= x"03"; when "00" & x"e39" => data <= x"30"; when "00" & x"e3a" => data <= x"e7"; when "00" & x"e3b" => data <= x"ec"; when "00" & x"e3c" => data <= x"09"; when "00" & x"e3d" => data <= x"03"; when "00" & x"e3e" => data <= x"f0"; when "00" & x"e3f" => data <= x"02"; when "00" & x"e40" => data <= x"10"; when "00" & x"e41" => data <= x"e0"; when "00" & x"e42" => data <= x"ad"; when "00" & x"e43" => data <= x"19"; when "00" & x"e44" => data <= x"03"; when "00" & x"e45" => data <= x"0a"; when "00" & x"e46" => data <= x"a8"; when "00" & x"e47" => data <= x"b9"; when "00" & x"e48" => data <= x"6d"; when "00" & x"e49" => data <= x"c3"; when "00" & x"e4a" => data <= x"85"; when "00" & x"e4b" => data <= x"d7"; when "00" & x"e4c" => data <= x"b9"; when "00" & x"e4d" => data <= x"6e"; when "00" & x"e4e" => data <= x"c3"; when "00" & x"e4f" => data <= x"ac"; when "00" & x"e50" => data <= x"53"; when "00" & x"e51" => data <= x"03"; when "00" & x"e52" => data <= x"88"; when "00" & x"e53" => data <= x"d0"; when "00" & x"e54" => data <= x"03"; when "00" & x"e55" => data <= x"46"; when "00" & x"e56" => data <= x"d7"; when "00" & x"e57" => data <= x"6a"; when "00" & x"e58" => data <= x"6d"; when "00" & x"e59" => data <= x"50"; when "00" & x"e5a" => data <= x"03"; when "00" & x"e5b" => data <= x"85"; when "00" & x"e5c" => data <= x"d6"; when "00" & x"e5d" => data <= x"a5"; when "00" & x"e5e" => data <= x"d7"; when "00" & x"e5f" => data <= x"6d"; when "00" & x"e60" => data <= x"51"; when "00" & x"e61" => data <= x"03"; when "00" & x"e62" => data <= x"a8"; when "00" & x"e63" => data <= x"ad"; when "00" & x"e64" => data <= x"18"; when "00" & x"e65" => data <= x"03"; when "00" & x"e66" => data <= x"ae"; when "00" & x"e67" => data <= x"4f"; when "00" & x"e68" => data <= x"03"; when "00" & x"e69" => data <= x"e0"; when "00" & x"e6a" => data <= x"10"; when "00" & x"e6b" => data <= x"f0"; when "00" & x"e6c" => data <= x"03"; when "00" & x"e6d" => data <= x"90"; when "00" & x"e6e" => data <= x"02"; when "00" & x"e6f" => data <= x"0a"; when "00" & x"e70" => data <= x"0a"; when "00" & x"e71" => data <= x"0a"; when "00" & x"e72" => data <= x"0a"; when "00" & x"e73" => data <= x"90"; when "00" & x"e74" => data <= x"02"; when "00" & x"e75" => data <= x"c8"; when "00" & x"e76" => data <= x"c8"; when "00" & x"e77" => data <= x"0a"; when "00" & x"e78" => data <= x"90"; when "00" & x"e79" => data <= x"02"; when "00" & x"e7a" => data <= x"c8"; when "00" & x"e7b" => data <= x"18"; when "00" & x"e7c" => data <= x"65"; when "00" & x"e7d" => data <= x"d6"; when "00" & x"e7e" => data <= x"85"; when "00" & x"e7f" => data <= x"d6"; when "00" & x"e80" => data <= x"aa"; when "00" & x"e81" => data <= x"98"; when "00" & x"e82" => data <= x"69"; when "00" & x"e83" => data <= x"00"; when "00" & x"e84" => data <= x"10"; when "00" & x"e85" => data <= x"04"; when "00" & x"e86" => data <= x"38"; when "00" & x"e87" => data <= x"ed"; when "00" & x"e88" => data <= x"54"; when "00" & x"e89" => data <= x"03"; when "00" & x"e8a" => data <= x"85"; when "00" & x"e8b" => data <= x"d7"; when "00" & x"e8c" => data <= x"18"; when "00" & x"e8d" => data <= x"60"; when "00" & x"e8e" => data <= x"ae"; when "00" & x"e8f" => data <= x"59"; when "00" & x"e90" => data <= x"03"; when "00" & x"e91" => data <= x"ac"; when "00" & x"e92" => data <= x"5b"; when "00" & x"e93" => data <= x"03"; when "00" & x"e94" => data <= x"20"; when "00" & x"e95" => data <= x"c4"; when "00" & x"e96" => data <= x"cf"; when "00" & x"e97" => data <= x"20"; when "00" & x"e98" => data <= x"9d"; when "00" & x"e99" => data <= x"d3"; when "00" & x"e9a" => data <= x"a0"; when "00" & x"e9b" => data <= x"00"; when "00" & x"e9c" => data <= x"84"; when "00" & x"e9d" => data <= x"da"; when "00" & x"e9e" => data <= x"b1"; when "00" & x"e9f" => data <= x"dc"; when "00" & x"ea0" => data <= x"f0"; when "00" & x"ea1" => data <= x"13"; when "00" & x"ea2" => data <= x"85"; when "00" & x"ea3" => data <= x"db"; when "00" & x"ea4" => data <= x"10"; when "00" & x"ea5" => data <= x"03"; when "00" & x"ea6" => data <= x"20"; when "00" & x"ea7" => data <= x"f4"; when "00" & x"ea8" => data <= x"cf"; when "00" & x"ea9" => data <= x"ee"; when "00" & x"eaa" => data <= x"24"; when "00" & x"eab" => data <= x"03"; when "00" & x"eac" => data <= x"d0"; when "00" & x"ead" => data <= x"03"; when "00" & x"eae" => data <= x"ee"; when "00" & x"eaf" => data <= x"25"; when "00" & x"eb0" => data <= x"03"; when "00" & x"eb1" => data <= x"06"; when "00" & x"eb2" => data <= x"db"; when "00" & x"eb3" => data <= x"d0"; when "00" & x"eb4" => data <= x"ef"; when "00" & x"eb5" => data <= x"a2"; when "00" & x"eb6" => data <= x"28"; when "00" & x"eb7" => data <= x"a0"; when "00" & x"eb8" => data <= x"24"; when "00" & x"eb9" => data <= x"20"; when "00" & x"eba" => data <= x"99"; when "00" & x"ebb" => data <= x"d3"; when "00" & x"ebc" => data <= x"ac"; when "00" & x"ebd" => data <= x"26"; when "00" & x"ebe" => data <= x"03"; when "00" & x"ebf" => data <= x"d0"; when "00" & x"ec0" => data <= x"03"; when "00" & x"ec1" => data <= x"ce"; when "00" & x"ec2" => data <= x"27"; when "00" & x"ec3" => data <= x"03"; when "00" & x"ec4" => data <= x"ce"; when "00" & x"ec5" => data <= x"26"; when "00" & x"ec6" => data <= x"03"; when "00" & x"ec7" => data <= x"a4"; when "00" & x"ec8" => data <= x"da"; when "00" & x"ec9" => data <= x"c8"; when "00" & x"eca" => data <= x"c0"; when "00" & x"ecb" => data <= x"08"; when "00" & x"ecc" => data <= x"d0"; when "00" & x"ecd" => data <= x"ce"; when "00" & x"ece" => data <= x"a2"; when "00" & x"ecf" => data <= x"28"; when "00" & x"ed0" => data <= x"a0"; when "00" & x"ed1" => data <= x"24"; when "00" & x"ed2" => data <= x"4c"; when "00" & x"ed3" => data <= x"a1"; when "00" & x"ed4" => data <= x"d3"; when "00" & x"ed5" => data <= x"a2"; when "00" & x"ed6" => data <= x"2b"; when "00" & x"ed7" => data <= x"86"; when "00" & x"ed8" => data <= x"dc"; when "00" & x"ed9" => data <= x"a2"; when "00" & x"eda" => data <= x"c4"; when "00" & x"edb" => data <= x"86"; when "00" & x"edc" => data <= x"dd"; when "00" & x"edd" => data <= x"d0"; when "00" & x"ede" => data <= x"03"; when "00" & x"edf" => data <= x"20"; when "00" & x"ee0" => data <= x"4f"; when "00" & x"ee1" => data <= x"cf"; when "00" & x"ee2" => data <= x"ae"; when "00" & x"ee3" => data <= x"60"; when "00" & x"ee4" => data <= x"03"; when "00" & x"ee5" => data <= x"a5"; when "00" & x"ee6" => data <= x"d0"; when "00" & x"ee7" => data <= x"29"; when "00" & x"ee8" => data <= x"20"; when "00" & x"ee9" => data <= x"d0"; when "00" & x"eea" => data <= x"a3"; when "00" & x"eeb" => data <= x"a0"; when "00" & x"eec" => data <= x"07"; when "00" & x"eed" => data <= x"e0"; when "00" & x"eee" => data <= x"03"; when "00" & x"eef" => data <= x"f0"; when "00" & x"ef0" => data <= x"0e"; when "00" & x"ef1" => data <= x"b0"; when "00" & x"ef2" => data <= x"3c"; when "00" & x"ef3" => data <= x"b1"; when "00" & x"ef4" => data <= x"dc"; when "00" & x"ef5" => data <= x"05"; when "00" & x"ef6" => data <= x"d2"; when "00" & x"ef7" => data <= x"45"; when "00" & x"ef8" => data <= x"d3"; when "00" & x"ef9" => data <= x"91"; when "00" & x"efa" => data <= x"d6"; when "00" & x"efb" => data <= x"88"; when "00" & x"efc" => data <= x"10"; when "00" & x"efd" => data <= x"f5"; when "00" & x"efe" => data <= x"60"; when "00" & x"eff" => data <= x"b1"; when "00" & x"f00" => data <= x"dc"; when "00" & x"f01" => data <= x"48"; when "00" & x"f02" => data <= x"4a"; when "00" & x"f03" => data <= x"4a"; when "00" & x"f04" => data <= x"4a"; when "00" & x"f05" => data <= x"4a"; when "00" & x"f06" => data <= x"aa"; when "00" & x"f07" => data <= x"bd"; when "00" & x"f08" => data <= x"17"; when "00" & x"f09" => data <= x"c3"; when "00" & x"f0a" => data <= x"05"; when "00" & x"f0b" => data <= x"d2"; when "00" & x"f0c" => data <= x"45"; when "00" & x"f0d" => data <= x"d3"; when "00" & x"f0e" => data <= x"91"; when "00" & x"f0f" => data <= x"d6"; when "00" & x"f10" => data <= x"98"; when "00" & x"f11" => data <= x"18"; when "00" & x"f12" => data <= x"69"; when "00" & x"f13" => data <= x"08"; when "00" & x"f14" => data <= x"a8"; when "00" & x"f15" => data <= x"68"; when "00" & x"f16" => data <= x"29"; when "00" & x"f17" => data <= x"0f"; when "00" & x"f18" => data <= x"aa"; when "00" & x"f19" => data <= x"bd"; when "00" & x"f1a" => data <= x"17"; when "00" & x"f1b" => data <= x"c3"; when "00" & x"f1c" => data <= x"05"; when "00" & x"f1d" => data <= x"d2"; when "00" & x"f1e" => data <= x"45"; when "00" & x"f1f" => data <= x"d3"; when "00" & x"f20" => data <= x"91"; when "00" & x"f21" => data <= x"d6"; when "00" & x"f22" => data <= x"98"; when "00" & x"f23" => data <= x"e9"; when "00" & x"f24" => data <= x"08"; when "00" & x"f25" => data <= x"a8"; when "00" & x"f26" => data <= x"10"; when "00" & x"f27" => data <= x"d7"; when "00" & x"f28" => data <= x"60"; when "00" & x"f29" => data <= x"98"; when "00" & x"f2a" => data <= x"e9"; when "00" & x"f2b" => data <= x"21"; when "00" & x"f2c" => data <= x"30"; when "00" & x"f2d" => data <= x"fa"; when "00" & x"f2e" => data <= x"a8"; when "00" & x"f2f" => data <= x"b1"; when "00" & x"f30" => data <= x"dc"; when "00" & x"f31" => data <= x"85"; when "00" & x"f32" => data <= x"da"; when "00" & x"f33" => data <= x"38"; when "00" & x"f34" => data <= x"a9"; when "00" & x"f35" => data <= x"00"; when "00" & x"f36" => data <= x"26"; when "00" & x"f37" => data <= x"da"; when "00" & x"f38" => data <= x"f0"; when "00" & x"f39" => data <= x"ef"; when "00" & x"f3a" => data <= x"2a"; when "00" & x"f3b" => data <= x"06"; when "00" & x"f3c" => data <= x"da"; when "00" & x"f3d" => data <= x"2a"; when "00" & x"f3e" => data <= x"aa"; when "00" & x"f3f" => data <= x"bd"; when "00" & x"f40" => data <= x"27"; when "00" & x"f41" => data <= x"c3"; when "00" & x"f42" => data <= x"05"; when "00" & x"f43" => data <= x"d2"; when "00" & x"f44" => data <= x"45"; when "00" & x"f45" => data <= x"d3"; when "00" & x"f46" => data <= x"91"; when "00" & x"f47" => data <= x"d6"; when "00" & x"f48" => data <= x"18"; when "00" & x"f49" => data <= x"98"; when "00" & x"f4a" => data <= x"69"; when "00" & x"f4b" => data <= x"08"; when "00" & x"f4c" => data <= x"a8"; when "00" & x"f4d" => data <= x"90"; when "00" & x"f4e" => data <= x"e5"; when "00" & x"f4f" => data <= x"0a"; when "00" & x"f50" => data <= x"2a"; when "00" & x"f51" => data <= x"2a"; when "00" & x"f52" => data <= x"85"; when "00" & x"f53" => data <= x"dc"; when "00" & x"f54" => data <= x"29"; when "00" & x"f55" => data <= x"03"; when "00" & x"f56" => data <= x"2a"; when "00" & x"f57" => data <= x"aa"; when "00" & x"f58" => data <= x"29"; when "00" & x"f59" => data <= x"03"; when "00" & x"f5a" => data <= x"69"; when "00" & x"f5b" => data <= x"bf"; when "00" & x"f5c" => data <= x"a8"; when "00" & x"f5d" => data <= x"bd"; when "00" & x"f5e" => data <= x"c8"; when "00" & x"f5f" => data <= x"c3"; when "00" & x"f60" => data <= x"2c"; when "00" & x"f61" => data <= x"67"; when "00" & x"f62" => data <= x"03"; when "00" & x"f63" => data <= x"f0"; when "00" & x"f64" => data <= x"03"; when "00" & x"f65" => data <= x"bc"; when "00" & x"f66" => data <= x"67"; when "00" & x"f67" => data <= x"03"; when "00" & x"f68" => data <= x"84"; when "00" & x"f69" => data <= x"dd"; when "00" & x"f6a" => data <= x"a5"; when "00" & x"f6b" => data <= x"dc"; when "00" & x"f6c" => data <= x"29"; when "00" & x"f6d" => data <= x"f8"; when "00" & x"f6e" => data <= x"85"; when "00" & x"f6f" => data <= x"dc"; when "00" & x"f70" => data <= x"60"; when "00" & x"f71" => data <= x"a2"; when "00" & x"f72" => data <= x"20"; when "00" & x"f73" => data <= x"20"; when "00" & x"f74" => data <= x"5e"; when "00" & x"f75" => data <= x"d0"; when "00" & x"f76" => data <= x"ad"; when "00" & x"f77" => data <= x"1f"; when "00" & x"f78" => data <= x"03"; when "00" & x"f79" => data <= x"c9"; when "00" & x"f7a" => data <= x"04"; when "00" & x"f7b" => data <= x"f0"; when "00" & x"f7c" => data <= x"6d"; when "00" & x"f7d" => data <= x"a0"; when "00" & x"f7e" => data <= x"05"; when "00" & x"f7f" => data <= x"29"; when "00" & x"f80" => data <= x"03"; when "00" & x"f81" => data <= x"f0"; when "00" & x"f82" => data <= x"0e"; when "00" & x"f83" => data <= x"4a"; when "00" & x"f84" => data <= x"b0"; when "00" & x"f85" => data <= x"03"; when "00" & x"f86" => data <= x"88"; when "00" & x"f87" => data <= x"d0"; when "00" & x"f88" => data <= x"08"; when "00" & x"f89" => data <= x"aa"; when "00" & x"f8a" => data <= x"bc"; when "00" & x"f8b" => data <= x"5b"; when "00" & x"f8c" => data <= x"03"; when "00" & x"f8d" => data <= x"bd"; when "00" & x"f8e" => data <= x"59"; when "00" & x"f8f" => data <= x"03"; when "00" & x"f90" => data <= x"aa"; when "00" & x"f91" => data <= x"20"; when "00" & x"f92" => data <= x"c4"; when "00" & x"f93" => data <= x"cf"; when "00" & x"f94" => data <= x"ad"; when "00" & x"f95" => data <= x"1f"; when "00" & x"f96" => data <= x"03"; when "00" & x"f97" => data <= x"30"; when "00" & x"f98" => data <= x"23"; when "00" & x"f99" => data <= x"0a"; when "00" & x"f9a" => data <= x"10"; when "00" & x"f9b" => data <= x"3b"; when "00" & x"f9c" => data <= x"29"; when "00" & x"f9d" => data <= x"f0"; when "00" & x"f9e" => data <= x"0a"; when "00" & x"f9f" => data <= x"f0"; when "00" & x"fa0" => data <= x"46"; when "00" & x"fa1" => data <= x"49"; when "00" & x"fa2" => data <= x"40"; when "00" & x"fa3" => data <= x"f0"; when "00" & x"fa4" => data <= x"14"; when "00" & x"fa5" => data <= x"48"; when "00" & x"fa6" => data <= x"20"; when "00" & x"fa7" => data <= x"ed"; when "00" & x"fa8" => data <= x"cf"; when "00" & x"fa9" => data <= x"68"; when "00" & x"faa" => data <= x"49"; when "00" & x"fab" => data <= x"60"; when "00" & x"fac" => data <= x"f0"; when "00" & x"fad" => data <= x"11"; when "00" & x"fae" => data <= x"c9"; when "00" & x"faf" => data <= x"40"; when "00" & x"fb0" => data <= x"d0"; when "00" & x"fb1" => data <= x"0a"; when "00" & x"fb2" => data <= x"a9"; when "00" & x"fb3" => data <= x"02"; when "00" & x"fb4" => data <= x"85"; when "00" & x"fb5" => data <= x"da"; when "00" & x"fb6" => data <= x"4c"; when "00" & x"fb7" => data <= x"1d"; when "00" & x"fb8" => data <= x"d4"; when "00" & x"fb9" => data <= x"4c"; when "00" & x"fba" => data <= x"00"; when "00" & x"fbb" => data <= x"d5"; when "00" & x"fbc" => data <= x"4c"; when "00" & x"fbd" => data <= x"37"; when "00" & x"fbe" => data <= x"c9"; when "00" & x"fbf" => data <= x"85"; when "00" & x"fc0" => data <= x"da"; when "00" & x"fc1" => data <= x"4c"; when "00" & x"fc2" => data <= x"d6"; when "00" & x"fc3" => data <= x"d3"; when "00" & x"fc4" => data <= x"8a"; when "00" & x"fc5" => data <= x"19"; when "00" & x"fc6" => data <= x"d7"; when "00" & x"fc7" => data <= x"c3"; when "00" & x"fc8" => data <= x"59"; when "00" & x"fc9" => data <= x"d8"; when "00" & x"fca" => data <= x"c3"; when "00" & x"fcb" => data <= x"85"; when "00" & x"fcc" => data <= x"de"; when "00" & x"fcd" => data <= x"8a"; when "00" & x"fce" => data <= x"19"; when "00" & x"fcf" => data <= x"d6"; when "00" & x"fd0" => data <= x"c3"; when "00" & x"fd1" => data <= x"59"; when "00" & x"fd2" => data <= x"db"; when "00" & x"fd3" => data <= x"c3"; when "00" & x"fd4" => data <= x"85"; when "00" & x"fd5" => data <= x"df"; when "00" & x"fd6" => data <= x"60"; when "00" & x"fd7" => data <= x"0a"; when "00" & x"fd8" => data <= x"30"; when "00" & x"fd9" => data <= x"e2"; when "00" & x"fda" => data <= x"0a"; when "00" & x"fdb" => data <= x"0a"; when "00" & x"fdc" => data <= x"10"; when "00" & x"fdd" => data <= x"03"; when "00" & x"fde" => data <= x"20"; when "00" & x"fdf" => data <= x"fc"; when "00" & x"fe0" => data <= x"cf"; when "00" & x"fe1" => data <= x"20"; when "00" & x"fe2" => data <= x"fe"; when "00" & x"fe3" => data <= x"d0"; when "00" & x"fe4" => data <= x"4c"; when "00" & x"fe5" => data <= x"ea"; when "00" & x"fe6" => data <= x"cf"; when "00" & x"fe7" => data <= x"20"; when "00" & x"fe8" => data <= x"fc"; when "00" & x"fe9" => data <= x"cf"; when "00" & x"fea" => data <= x"20"; when "00" & x"feb" => data <= x"1e"; when "00" & x"fec" => data <= x"cd"; when "00" & x"fed" => data <= x"a0"; when "00" & x"fee" => data <= x"24"; when "00" & x"fef" => data <= x"a2"; when "00" & x"ff0" => data <= x"20"; when "00" & x"ff1" => data <= x"4c"; when "00" & x"ff2" => data <= x"a1"; when "00" & x"ff3" => data <= x"d3"; when "00" & x"ff4" => data <= x"a2"; when "00" & x"ff5" => data <= x"24"; when "00" & x"ff6" => data <= x"20"; when "00" & x"ff7" => data <= x"75"; when "00" & x"ff8" => data <= x"d7"; when "00" & x"ff9" => data <= x"f0"; when "00" & x"ffa" => data <= x"06"; when "00" & x"ffb" => data <= x"60"; when "00" & x"ffc" => data <= x"20"; when "00" & x"ffd" => data <= x"73"; when "00" & x"ffe" => data <= x"d7"; when "00" & x"fff" => data <= x"d0"; when "01" & x"000" => data <= x"13"; when "01" & x"001" => data <= x"ac"; when "01" & x"002" => data <= x"1a"; when "01" & x"003" => data <= x"03"; when "01" & x"004" => data <= x"a5"; when "01" & x"005" => data <= x"d1"; when "01" & x"006" => data <= x"25"; when "01" & x"007" => data <= x"de"; when "01" & x"008" => data <= x"11"; when "01" & x"009" => data <= x"d4"; when "01" & x"00a" => data <= x"85"; when "01" & x"00b" => data <= x"d8"; when "01" & x"00c" => data <= x"a5"; when "01" & x"00d" => data <= x"df"; when "01" & x"00e" => data <= x"25"; when "01" & x"00f" => data <= x"d1"; when "01" & x"010" => data <= x"45"; when "01" & x"011" => data <= x"d8"; when "01" & x"012" => data <= x"91"; when "01" & x"013" => data <= x"d4"; when "01" & x"014" => data <= x"60"; when "01" & x"015" => data <= x"b1"; when "01" & x"016" => data <= x"d4"; when "01" & x"017" => data <= x"05"; when "01" & x"018" => data <= x"de"; when "01" & x"019" => data <= x"45"; when "01" & x"01a" => data <= x"df"; when "01" & x"01b" => data <= x"91"; when "01" & x"01c" => data <= x"d4"; when "01" & x"01d" => data <= x"60"; when "01" & x"01e" => data <= x"a2"; when "01" & x"01f" => data <= x"24"; when "01" & x"020" => data <= x"a0"; when "01" & x"021" => data <= x"00"; when "01" & x"022" => data <= x"84"; when "01" & x"023" => data <= x"d8"; when "01" & x"024" => data <= x"a0"; when "01" & x"025" => data <= x"02"; when "01" & x"026" => data <= x"20"; when "01" & x"027" => data <= x"39"; when "01" & x"028" => data <= x"d0"; when "01" & x"029" => data <= x"06"; when "01" & x"02a" => data <= x"d8"; when "01" & x"02b" => data <= x"06"; when "01" & x"02c" => data <= x"d8"; when "01" & x"02d" => data <= x"ca"; when "01" & x"02e" => data <= x"ca"; when "01" & x"02f" => data <= x"a0"; when "01" & x"030" => data <= x"00"; when "01" & x"031" => data <= x"20"; when "01" & x"032" => data <= x"39"; when "01" & x"033" => data <= x"d0"; when "01" & x"034" => data <= x"e8"; when "01" & x"035" => data <= x"e8"; when "01" & x"036" => data <= x"a5"; when "01" & x"037" => data <= x"d8"; when "01" & x"038" => data <= x"60"; when "01" & x"039" => data <= x"bd"; when "01" & x"03a" => data <= x"02"; when "01" & x"03b" => data <= x"03"; when "01" & x"03c" => data <= x"d9"; when "01" & x"03d" => data <= x"00"; when "01" & x"03e" => data <= x"03"; when "01" & x"03f" => data <= x"bd"; when "01" & x"040" => data <= x"03"; when "01" & x"041" => data <= x"03"; when "01" & x"042" => data <= x"f9"; when "01" & x"043" => data <= x"01"; when "01" & x"044" => data <= x"03"; when "01" & x"045" => data <= x"30"; when "01" & x"046" => data <= x"10"; when "01" & x"047" => data <= x"b9"; when "01" & x"048" => data <= x"04"; when "01" & x"049" => data <= x"03"; when "01" & x"04a" => data <= x"dd"; when "01" & x"04b" => data <= x"02"; when "01" & x"04c" => data <= x"03"; when "01" & x"04d" => data <= x"b9"; when "01" & x"04e" => data <= x"05"; when "01" & x"04f" => data <= x"03"; when "01" & x"050" => data <= x"fd"; when "01" & x"051" => data <= x"03"; when "01" & x"052" => data <= x"03"; when "01" & x"053" => data <= x"10"; when "01" & x"054" => data <= x"04"; when "01" & x"055" => data <= x"e6"; when "01" & x"056" => data <= x"d8"; when "01" & x"057" => data <= x"e6"; when "01" & x"058" => data <= x"d8"; when "01" & x"059" => data <= x"60"; when "01" & x"05a" => data <= x"a9"; when "01" & x"05b" => data <= x"ff"; when "01" & x"05c" => data <= x"d0"; when "01" & x"05d" => data <= x"03"; when "01" & x"05e" => data <= x"ad"; when "01" & x"05f" => data <= x"1f"; when "01" & x"060" => data <= x"03"; when "01" & x"061" => data <= x"85"; when "01" & x"062" => data <= x"d8"; when "01" & x"063" => data <= x"a0"; when "01" & x"064" => data <= x"02"; when "01" & x"065" => data <= x"20"; when "01" & x"066" => data <= x"87"; when "01" & x"067" => data <= x"d0"; when "01" & x"068" => data <= x"20"; when "01" & x"069" => data <= x"be"; when "01" & x"06a" => data <= x"d0"; when "01" & x"06b" => data <= x"a0"; when "01" & x"06c" => data <= x"00"; when "01" & x"06d" => data <= x"ca"; when "01" & x"06e" => data <= x"ca"; when "01" & x"06f" => data <= x"20"; when "01" & x"070" => data <= x"87"; when "01" & x"071" => data <= x"d0"; when "01" & x"072" => data <= x"ac"; when "01" & x"073" => data <= x"61"; when "01" & x"074" => data <= x"03"; when "01" & x"075" => data <= x"c0"; when "01" & x"076" => data <= x"03"; when "01" & x"077" => data <= x"f0"; when "01" & x"078" => data <= x"05"; when "01" & x"079" => data <= x"b0"; when "01" & x"07a" => data <= x"06"; when "01" & x"07b" => data <= x"20"; when "01" & x"07c" => data <= x"be"; when "01" & x"07d" => data <= x"d0"; when "01" & x"07e" => data <= x"20"; when "01" & x"07f" => data <= x"be"; when "01" & x"080" => data <= x"d0"; when "01" & x"081" => data <= x"ad"; when "01" & x"082" => data <= x"56"; when "01" & x"083" => data <= x"03"; when "01" & x"084" => data <= x"d0"; when "01" & x"085" => data <= x"38"; when "01" & x"086" => data <= x"60"; when "01" & x"087" => data <= x"18"; when "01" & x"088" => data <= x"a5"; when "01" & x"089" => data <= x"d8"; when "01" & x"08a" => data <= x"29"; when "01" & x"08b" => data <= x"04"; when "01" & x"08c" => data <= x"f0"; when "01" & x"08d" => data <= x"09"; when "01" & x"08e" => data <= x"bd"; when "01" & x"08f" => data <= x"02"; when "01" & x"090" => data <= x"03"; when "01" & x"091" => data <= x"48"; when "01" & x"092" => data <= x"bd"; when "01" & x"093" => data <= x"03"; when "01" & x"094" => data <= x"03"; when "01" & x"095" => data <= x"90"; when "01" & x"096" => data <= x"0e"; when "01" & x"097" => data <= x"bd"; when "01" & x"098" => data <= x"02"; when "01" & x"099" => data <= x"03"; when "01" & x"09a" => data <= x"79"; when "01" & x"09b" => data <= x"10"; when "01" & x"09c" => data <= x"03"; when "01" & x"09d" => data <= x"48"; when "01" & x"09e" => data <= x"bd"; when "01" & x"09f" => data <= x"03"; when "01" & x"0a0" => data <= x"03"; when "01" & x"0a1" => data <= x"79"; when "01" & x"0a2" => data <= x"11"; when "01" & x"0a3" => data <= x"03"; when "01" & x"0a4" => data <= x"18"; when "01" & x"0a5" => data <= x"99"; when "01" & x"0a6" => data <= x"11"; when "01" & x"0a7" => data <= x"03"; when "01" & x"0a8" => data <= x"79"; when "01" & x"0a9" => data <= x"0d"; when "01" & x"0aa" => data <= x"03"; when "01" & x"0ab" => data <= x"9d"; when "01" & x"0ac" => data <= x"03"; when "01" & x"0ad" => data <= x"03"; when "01" & x"0ae" => data <= x"68"; when "01" & x"0af" => data <= x"99"; when "01" & x"0b0" => data <= x"10"; when "01" & x"0b1" => data <= x"03"; when "01" & x"0b2" => data <= x"18"; when "01" & x"0b3" => data <= x"79"; when "01" & x"0b4" => data <= x"0c"; when "01" & x"0b5" => data <= x"03"; when "01" & x"0b6" => data <= x"9d"; when "01" & x"0b7" => data <= x"02"; when "01" & x"0b8" => data <= x"03"; when "01" & x"0b9" => data <= x"90"; when "01" & x"0ba" => data <= x"03"; when "01" & x"0bb" => data <= x"fe"; when "01" & x"0bc" => data <= x"03"; when "01" & x"0bd" => data <= x"03"; when "01" & x"0be" => data <= x"bd"; when "01" & x"0bf" => data <= x"03"; when "01" & x"0c0" => data <= x"03"; when "01" & x"0c1" => data <= x"0a"; when "01" & x"0c2" => data <= x"7e"; when "01" & x"0c3" => data <= x"03"; when "01" & x"0c4" => data <= x"03"; when "01" & x"0c5" => data <= x"7e"; when "01" & x"0c6" => data <= x"02"; when "01" & x"0c7" => data <= x"03"; when "01" & x"0c8" => data <= x"60"; when "01" & x"0c9" => data <= x"a0"; when "01" & x"0ca" => data <= x"10"; when "01" & x"0cb" => data <= x"20"; when "01" & x"0cc" => data <= x"9f"; when "01" & x"0cd" => data <= x"d3"; when "01" & x"0ce" => data <= x"a2"; when "01" & x"0cf" => data <= x"02"; when "01" & x"0d0" => data <= x"a0"; when "01" & x"0d1" => data <= x"02"; when "01" & x"0d2" => data <= x"20"; when "01" & x"0d3" => data <= x"e6"; when "01" & x"0d4" => data <= x"d0"; when "01" & x"0d5" => data <= x"a2"; when "01" & x"0d6" => data <= x"00"; when "01" & x"0d7" => data <= x"a0"; when "01" & x"0d8" => data <= x"04"; when "01" & x"0d9" => data <= x"ad"; when "01" & x"0da" => data <= x"61"; when "01" & x"0db" => data <= x"03"; when "01" & x"0dc" => data <= x"88"; when "01" & x"0dd" => data <= x"4a"; when "01" & x"0de" => data <= x"d0"; when "01" & x"0df" => data <= x"fc"; when "01" & x"0e0" => data <= x"ad"; when "01" & x"0e1" => data <= x"56"; when "01" & x"0e2" => data <= x"03"; when "01" & x"0e3" => data <= x"f0"; when "01" & x"0e4" => data <= x"01"; when "01" & x"0e5" => data <= x"c8"; when "01" & x"0e6" => data <= x"1e"; when "01" & x"0e7" => data <= x"10"; when "01" & x"0e8" => data <= x"03"; when "01" & x"0e9" => data <= x"3e"; when "01" & x"0ea" => data <= x"11"; when "01" & x"0eb" => data <= x"03"; when "01" & x"0ec" => data <= x"88"; when "01" & x"0ed" => data <= x"d0"; when "01" & x"0ee" => data <= x"f7"; when "01" & x"0ef" => data <= x"38"; when "01" & x"0f0" => data <= x"20"; when "01" & x"0f1" => data <= x"f4"; when "01" & x"0f2" => data <= x"d0"; when "01" & x"0f3" => data <= x"e8"; when "01" & x"0f4" => data <= x"bd"; when "01" & x"0f5" => data <= x"10"; when "01" & x"0f6" => data <= x"03"; when "01" & x"0f7" => data <= x"fd"; when "01" & x"0f8" => data <= x"0c"; when "01" & x"0f9" => data <= x"03"; when "01" & x"0fa" => data <= x"9d"; when "01" & x"0fb" => data <= x"10"; when "01" & x"0fc" => data <= x"03"; when "01" & x"0fd" => data <= x"60"; when "01" & x"0fe" => data <= x"20"; when "01" & x"0ff" => data <= x"24"; when "01" & x"100" => data <= x"d3"; when "01" & x"101" => data <= x"ad"; when "01" & x"102" => data <= x"2b"; when "01" & x"103" => data <= x"03"; when "01" & x"104" => data <= x"4d"; when "01" & x"105" => data <= x"29"; when "01" & x"106" => data <= x"03"; when "01" & x"107" => data <= x"30"; when "01" & x"108" => data <= x"0f"; when "01" & x"109" => data <= x"ad"; when "01" & x"10a" => data <= x"2a"; when "01" & x"10b" => data <= x"03"; when "01" & x"10c" => data <= x"cd"; when "01" & x"10d" => data <= x"28"; when "01" & x"10e" => data <= x"03"; when "01" & x"10f" => data <= x"ad"; when "01" & x"110" => data <= x"2b"; when "01" & x"111" => data <= x"03"; when "01" & x"112" => data <= x"ed"; when "01" & x"113" => data <= x"29"; when "01" & x"114" => data <= x"03"; when "01" & x"115" => data <= x"4c"; when "01" & x"116" => data <= x"2b"; when "01" & x"117" => data <= x"d1"; when "01" & x"118" => data <= x"ad"; when "01" & x"119" => data <= x"28"; when "01" & x"11a" => data <= x"03"; when "01" & x"11b" => data <= x"18"; when "01" & x"11c" => data <= x"6d"; when "01" & x"11d" => data <= x"2a"; when "01" & x"11e" => data <= x"03"; when "01" & x"11f" => data <= x"aa"; when "01" & x"120" => data <= x"ad"; when "01" & x"121" => data <= x"29"; when "01" & x"122" => data <= x"03"; when "01" & x"123" => data <= x"6d"; when "01" & x"124" => data <= x"2b"; when "01" & x"125" => data <= x"03"; when "01" & x"126" => data <= x"d0"; when "01" & x"127" => data <= x"03"; when "01" & x"128" => data <= x"8a"; when "01" & x"129" => data <= x"f0"; when "01" & x"12a" => data <= x"0a"; when "01" & x"12b" => data <= x"6a"; when "01" & x"12c" => data <= x"a2"; when "01" & x"12d" => data <= x"00"; when "01" & x"12e" => data <= x"4d"; when "01" & x"12f" => data <= x"2b"; when "01" & x"130" => data <= x"03"; when "01" & x"131" => data <= x"10"; when "01" & x"132" => data <= x"02"; when "01" & x"133" => data <= x"a2"; when "01" & x"134" => data <= x"02"; when "01" & x"135" => data <= x"86"; when "01" & x"136" => data <= x"dc"; when "01" & x"137" => data <= x"bd"; when "01" & x"138" => data <= x"11"; when "01" & x"139" => data <= x"c4"; when "01" & x"13a" => data <= x"8d"; when "01" & x"13b" => data <= x"5d"; when "01" & x"13c" => data <= x"03"; when "01" & x"13d" => data <= x"bd"; when "01" & x"13e" => data <= x"12"; when "01" & x"13f" => data <= x"c4"; when "01" & x"140" => data <= x"8d"; when "01" & x"141" => data <= x"5e"; when "01" & x"142" => data <= x"03"; when "01" & x"143" => data <= x"bd"; when "01" & x"144" => data <= x"29"; when "01" & x"145" => data <= x"03"; when "01" & x"146" => data <= x"10"; when "01" & x"147" => data <= x"04"; when "01" & x"148" => data <= x"a2"; when "01" & x"149" => data <= x"24"; when "01" & x"14a" => data <= x"d0"; when "01" & x"14b" => data <= x"02"; when "01" & x"14c" => data <= x"a2"; when "01" & x"14d" => data <= x"20"; when "01" & x"14e" => data <= x"86"; when "01" & x"14f" => data <= x"dd"; when "01" & x"150" => data <= x"a0"; when "01" & x"151" => data <= x"2c"; when "01" & x"152" => data <= x"20"; when "01" & x"153" => data <= x"a1"; when "01" & x"154" => data <= x"d3"; when "01" & x"155" => data <= x"a5"; when "01" & x"156" => data <= x"dd"; when "01" & x"157" => data <= x"49"; when "01" & x"158" => data <= x"04"; when "01" & x"159" => data <= x"85"; when "01" & x"15a" => data <= x"db"; when "01" & x"15b" => data <= x"05"; when "01" & x"15c" => data <= x"dc"; when "01" & x"15d" => data <= x"aa"; when "01" & x"15e" => data <= x"20"; when "01" & x"15f" => data <= x"97"; when "01" & x"160" => data <= x"d3"; when "01" & x"161" => data <= x"ad"; when "01" & x"162" => data <= x"1f"; when "01" & x"163" => data <= x"03"; when "01" & x"164" => data <= x"29"; when "01" & x"165" => data <= x"10"; when "01" & x"166" => data <= x"0a"; when "01" & x"167" => data <= x"0a"; when "01" & x"168" => data <= x"0a"; when "01" & x"169" => data <= x"85"; when "01" & x"16a" => data <= x"d9"; when "01" & x"16b" => data <= x"a2"; when "01" & x"16c" => data <= x"2c"; when "01" & x"16d" => data <= x"20"; when "01" & x"16e" => data <= x"20"; when "01" & x"16f" => data <= x"d0"; when "01" & x"170" => data <= x"85"; when "01" & x"171" => data <= x"da"; when "01" & x"172" => data <= x"f0"; when "01" & x"173" => data <= x"06"; when "01" & x"174" => data <= x"a9"; when "01" & x"175" => data <= x"40"; when "01" & x"176" => data <= x"05"; when "01" & x"177" => data <= x"d9"; when "01" & x"178" => data <= x"85"; when "01" & x"179" => data <= x"d9"; when "01" & x"17a" => data <= x"a6"; when "01" & x"17b" => data <= x"db"; when "01" & x"17c" => data <= x"20"; when "01" & x"17d" => data <= x"20"; when "01" & x"17e" => data <= x"d0"; when "01" & x"17f" => data <= x"24"; when "01" & x"180" => data <= x"da"; when "01" & x"181" => data <= x"f0"; when "01" & x"182" => data <= x"01"; when "01" & x"183" => data <= x"60"; when "01" & x"184" => data <= x"a6"; when "01" & x"185" => data <= x"dc"; when "01" & x"186" => data <= x"f0"; when "01" & x"187" => data <= x"02"; when "01" & x"188" => data <= x"4a"; when "01" & x"189" => data <= x"4a"; when "01" & x"18a" => data <= x"29"; when "01" & x"18b" => data <= x"02"; when "01" & x"18c" => data <= x"f0"; when "01" & x"18d" => data <= x"07"; when "01" & x"18e" => data <= x"8a"; when "01" & x"18f" => data <= x"09"; when "01" & x"190" => data <= x"04"; when "01" & x"191" => data <= x"aa"; when "01" & x"192" => data <= x"20"; when "01" & x"193" => data <= x"97"; when "01" & x"194" => data <= x"d3"; when "01" & x"195" => data <= x"20"; when "01" & x"196" => data <= x"43"; when "01" & x"197" => data <= x"d3"; when "01" & x"198" => data <= x"a5"; when "01" & x"199" => data <= x"dc"; when "01" & x"19a" => data <= x"49"; when "01" & x"19b" => data <= x"02"; when "01" & x"19c" => data <= x"aa"; when "01" & x"19d" => data <= x"a8"; when "01" & x"19e" => data <= x"ad"; when "01" & x"19f" => data <= x"29"; when "01" & x"1a0" => data <= x"03"; when "01" & x"1a1" => data <= x"4d"; when "01" & x"1a2" => data <= x"2b"; when "01" & x"1a3" => data <= x"03"; when "01" & x"1a4" => data <= x"10"; when "01" & x"1a5" => data <= x"01"; when "01" & x"1a6" => data <= x"e8"; when "01" & x"1a7" => data <= x"bd"; when "01" & x"1a8" => data <= x"15"; when "01" & x"1a9" => data <= x"c4"; when "01" & x"1aa" => data <= x"8d"; when "01" & x"1ab" => data <= x"32"; when "01" & x"1ac" => data <= x"03"; when "01" & x"1ad" => data <= x"bd"; when "01" & x"1ae" => data <= x"19"; when "01" & x"1af" => data <= x"c4"; when "01" & x"1b0" => data <= x"8d"; when "01" & x"1b1" => data <= x"33"; when "01" & x"1b2" => data <= x"03"; when "01" & x"1b3" => data <= x"a9"; when "01" & x"1b4" => data <= x"7f"; when "01" & x"1b5" => data <= x"8d"; when "01" & x"1b6" => data <= x"34"; when "01" & x"1b7" => data <= x"03"; when "01" & x"1b8" => data <= x"24"; when "01" & x"1b9" => data <= x"d9"; when "01" & x"1ba" => data <= x"70"; when "01" & x"1bb" => data <= x"29"; when "01" & x"1bc" => data <= x"bd"; when "01" & x"1bd" => data <= x"03"; when "01" & x"1be" => data <= x"c4"; when "01" & x"1bf" => data <= x"aa"; when "01" & x"1c0" => data <= x"38"; when "01" & x"1c1" => data <= x"bd"; when "01" & x"1c2" => data <= x"00"; when "01" & x"1c3" => data <= x"03"; when "01" & x"1c4" => data <= x"f9"; when "01" & x"1c5" => data <= x"2c"; when "01" & x"1c6" => data <= x"03"; when "01" & x"1c7" => data <= x"85"; when "01" & x"1c8" => data <= x"d8"; when "01" & x"1c9" => data <= x"bd"; when "01" & x"1ca" => data <= x"01"; when "01" & x"1cb" => data <= x"03"; when "01" & x"1cc" => data <= x"f9"; when "01" & x"1cd" => data <= x"2d"; when "01" & x"1ce" => data <= x"03"; when "01" & x"1cf" => data <= x"a4"; when "01" & x"1d0" => data <= x"d8"; when "01" & x"1d1" => data <= x"aa"; when "01" & x"1d2" => data <= x"10"; when "01" & x"1d3" => data <= x"03"; when "01" & x"1d4" => data <= x"20"; when "01" & x"1d5" => data <= x"b2"; when "01" & x"1d6" => data <= x"d3"; when "01" & x"1d7" => data <= x"aa"; when "01" & x"1d8" => data <= x"c8"; when "01" & x"1d9" => data <= x"d0"; when "01" & x"1da" => data <= x"01"; when "01" & x"1db" => data <= x"e8"; when "01" & x"1dc" => data <= x"8a"; when "01" & x"1dd" => data <= x"f0"; when "01" & x"1de" => data <= x"02"; when "01" & x"1df" => data <= x"a0"; when "01" & x"1e0" => data <= x"00"; when "01" & x"1e1" => data <= x"84"; when "01" & x"1e2" => data <= x"dd"; when "01" & x"1e3" => data <= x"f0"; when "01" & x"1e4" => data <= x"09"; when "01" & x"1e5" => data <= x"8a"; when "01" & x"1e6" => data <= x"4a"; when "01" & x"1e7" => data <= x"6a"; when "01" & x"1e8" => data <= x"09"; when "01" & x"1e9" => data <= x"02"; when "01" & x"1ea" => data <= x"45"; when "01" & x"1eb" => data <= x"dc"; when "01" & x"1ec" => data <= x"85"; when "01" & x"1ed" => data <= x"dc"; when "01" & x"1ee" => data <= x"a2"; when "01" & x"1ef" => data <= x"2c"; when "01" & x"1f0" => data <= x"20"; when "01" & x"1f1" => data <= x"7a"; when "01" & x"1f2" => data <= x"d7"; when "01" & x"1f3" => data <= x"a6"; when "01" & x"1f4" => data <= x"da"; when "01" & x"1f5" => data <= x"d0"; when "01" & x"1f6" => data <= x"02"; when "01" & x"1f7" => data <= x"c6"; when "01" & x"1f8" => data <= x"db"; when "01" & x"1f9" => data <= x"ca"; when "01" & x"1fa" => data <= x"a5"; when "01" & x"1fb" => data <= x"d9"; when "01" & x"1fc" => data <= x"f0"; when "01" & x"1fd" => data <= x"1f"; when "01" & x"1fe" => data <= x"10"; when "01" & x"1ff" => data <= x"10"; when "01" & x"200" => data <= x"2c"; when "01" & x"201" => data <= x"34"; when "01" & x"202" => data <= x"03"; when "01" & x"203" => data <= x"10"; when "01" & x"204" => data <= x"05"; when "01" & x"205" => data <= x"ce"; when "01" & x"206" => data <= x"34"; when "01" & x"207" => data <= x"03"; when "01" & x"208" => data <= x"d0"; when "01" & x"209" => data <= x"23"; when "01" & x"20a" => data <= x"ee"; when "01" & x"20b" => data <= x"34"; when "01" & x"20c" => data <= x"03"; when "01" & x"20d" => data <= x"0a"; when "01" & x"20e" => data <= x"10"; when "01" & x"20f" => data <= x"0d"; when "01" & x"210" => data <= x"86"; when "01" & x"211" => data <= x"da"; when "01" & x"212" => data <= x"a2"; when "01" & x"213" => data <= x"2c"; when "01" & x"214" => data <= x"20"; when "01" & x"215" => data <= x"75"; when "01" & x"216" => data <= x"d7"; when "01" & x"217" => data <= x"a6"; when "01" & x"218" => data <= x"da"; when "01" & x"219" => data <= x"09"; when "01" & x"21a" => data <= x"00"; when "01" & x"21b" => data <= x"d0"; when "01" & x"21c" => data <= x"10"; when "01" & x"21d" => data <= x"a5"; when "01" & x"21e" => data <= x"d1"; when "01" & x"21f" => data <= x"25"; when "01" & x"220" => data <= x"de"; when "01" & x"221" => data <= x"11"; when "01" & x"222" => data <= x"d4"; when "01" & x"223" => data <= x"85"; when "01" & x"224" => data <= x"d8"; when "01" & x"225" => data <= x"a5"; when "01" & x"226" => data <= x"df"; when "01" & x"227" => data <= x"25"; when "01" & x"228" => data <= x"d1"; when "01" & x"229" => data <= x"45"; when "01" & x"22a" => data <= x"d8"; when "01" & x"22b" => data <= x"91"; when "01" & x"22c" => data <= x"d4"; when "01" & x"22d" => data <= x"38"; when "01" & x"22e" => data <= x"ad"; when "01" & x"22f" => data <= x"35"; when "01" & x"230" => data <= x"03"; when "01" & x"231" => data <= x"ed"; when "01" & x"232" => data <= x"37"; when "01" & x"233" => data <= x"03"; when "01" & x"234" => data <= x"8d"; when "01" & x"235" => data <= x"35"; when "01" & x"236" => data <= x"03"; when "01" & x"237" => data <= x"ad"; when "01" & x"238" => data <= x"36"; when "01" & x"239" => data <= x"03"; when "01" & x"23a" => data <= x"ed"; when "01" & x"23b" => data <= x"38"; when "01" & x"23c" => data <= x"03"; when "01" & x"23d" => data <= x"b0"; when "01" & x"23e" => data <= x"11"; when "01" & x"23f" => data <= x"85"; when "01" & x"240" => data <= x"d8"; when "01" & x"241" => data <= x"ad"; when "01" & x"242" => data <= x"35"; when "01" & x"243" => data <= x"03"; when "01" & x"244" => data <= x"6d"; when "01" & x"245" => data <= x"39"; when "01" & x"246" => data <= x"03"; when "01" & x"247" => data <= x"8d"; when "01" & x"248" => data <= x"35"; when "01" & x"249" => data <= x"03"; when "01" & x"24a" => data <= x"a5"; when "01" & x"24b" => data <= x"d8"; when "01" & x"24c" => data <= x"6d"; when "01" & x"24d" => data <= x"3a"; when "01" & x"24e" => data <= x"03"; when "01" & x"24f" => data <= x"18"; when "01" & x"250" => data <= x"8d"; when "01" & x"251" => data <= x"36"; when "01" & x"252" => data <= x"03"; when "01" & x"253" => data <= x"08"; when "01" & x"254" => data <= x"b0"; when "01" & x"255" => data <= x"09"; when "01" & x"256" => data <= x"6c"; when "01" & x"257" => data <= x"32"; when "01" & x"258" => data <= x"03"; when "01" & x"259" => data <= x"88"; when "01" & x"25a" => data <= x"10"; when "01" & x"25b" => data <= x"03"; when "01" & x"25c" => data <= x"20"; when "01" & x"25d" => data <= x"ea"; when "01" & x"25e" => data <= x"d2"; when "01" & x"25f" => data <= x"6c"; when "01" & x"260" => data <= x"5d"; when "01" & x"261" => data <= x"03"; when "01" & x"262" => data <= x"c8"; when "01" & x"263" => data <= x"c0"; when "01" & x"264" => data <= x"08"; when "01" & x"265" => data <= x"d0"; when "01" & x"266" => data <= x"f8"; when "01" & x"267" => data <= x"18"; when "01" & x"268" => data <= x"a5"; when "01" & x"269" => data <= x"d4"; when "01" & x"26a" => data <= x"6d"; when "01" & x"26b" => data <= x"52"; when "01" & x"26c" => data <= x"03"; when "01" & x"26d" => data <= x"85"; when "01" & x"26e" => data <= x"d4"; when "01" & x"26f" => data <= x"a5"; when "01" & x"270" => data <= x"d5"; when "01" & x"271" => data <= x"6d"; when "01" & x"272" => data <= x"53"; when "01" & x"273" => data <= x"03"; when "01" & x"274" => data <= x"10"; when "01" & x"275" => data <= x"04"; when "01" & x"276" => data <= x"38"; when "01" & x"277" => data <= x"ed"; when "01" & x"278" => data <= x"54"; when "01" & x"279" => data <= x"03"; when "01" & x"27a" => data <= x"85"; when "01" & x"27b" => data <= x"d5"; when "01" & x"27c" => data <= x"a0"; when "01" & x"27d" => data <= x"00"; when "01" & x"27e" => data <= x"6c"; when "01" & x"27f" => data <= x"5d"; when "01" & x"280" => data <= x"03"; when "01" & x"281" => data <= x"46"; when "01" & x"282" => data <= x"d1"; when "01" & x"283" => data <= x"90"; when "01" & x"284" => data <= x"da"; when "01" & x"285" => data <= x"20"; when "01" & x"286" => data <= x"04"; when "01" & x"287" => data <= x"d3"; when "01" & x"288" => data <= x"6c"; when "01" & x"289" => data <= x"5d"; when "01" & x"28a" => data <= x"03"; when "01" & x"28b" => data <= x"06"; when "01" & x"28c" => data <= x"d1"; when "01" & x"28d" => data <= x"90"; when "01" & x"28e" => data <= x"d0"; when "01" & x"28f" => data <= x"20"; when "01" & x"290" => data <= x"14"; when "01" & x"291" => data <= x"d3"; when "01" & x"292" => data <= x"6c"; when "01" & x"293" => data <= x"5d"; when "01" & x"294" => data <= x"03"; when "01" & x"295" => data <= x"88"; when "01" & x"296" => data <= x"10"; when "01" & x"297" => data <= x"0c"; when "01" & x"298" => data <= x"20"; when "01" & x"299" => data <= x"ea"; when "01" & x"29a" => data <= x"d2"; when "01" & x"29b" => data <= x"d0"; when "01" & x"29c" => data <= x"07"; when "01" & x"29d" => data <= x"46"; when "01" & x"29e" => data <= x"d1"; when "01" & x"29f" => data <= x"90"; when "01" & x"2a0" => data <= x"03"; when "01" & x"2a1" => data <= x"20"; when "01" & x"2a2" => data <= x"04"; when "01" & x"2a3" => data <= x"d3"; when "01" & x"2a4" => data <= x"28"; when "01" & x"2a5" => data <= x"e8"; when "01" & x"2a6" => data <= x"d0"; when "01" & x"2a7" => data <= x"04"; when "01" & x"2a8" => data <= x"e6"; when "01" & x"2a9" => data <= x"db"; when "01" & x"2aa" => data <= x"f0"; when "01" & x"2ab" => data <= x"0a"; when "01" & x"2ac" => data <= x"24"; when "01" & x"2ad" => data <= x"d9"; when "01" & x"2ae" => data <= x"70"; when "01" & x"2af" => data <= x"07"; when "01" & x"2b0" => data <= x"b0"; when "01" & x"2b1" => data <= x"35"; when "01" & x"2b2" => data <= x"c6"; when "01" & x"2b3" => data <= x"dd"; when "01" & x"2b4" => data <= x"d0"; when "01" & x"2b5" => data <= x"31"; when "01" & x"2b6" => data <= x"60"; when "01" & x"2b7" => data <= x"a5"; when "01" & x"2b8" => data <= x"dc"; when "01" & x"2b9" => data <= x"86"; when "01" & x"2ba" => data <= x"da"; when "01" & x"2bb" => data <= x"29"; when "01" & x"2bc" => data <= x"02"; when "01" & x"2bd" => data <= x"aa"; when "01" & x"2be" => data <= x"b0"; when "01" & x"2bf" => data <= x"19"; when "01" & x"2c0" => data <= x"24"; when "01" & x"2c1" => data <= x"dc"; when "01" & x"2c2" => data <= x"30"; when "01" & x"2c3" => data <= x"0a"; when "01" & x"2c4" => data <= x"fe"; when "01" & x"2c5" => data <= x"2c"; when "01" & x"2c6" => data <= x"03"; when "01" & x"2c7" => data <= x"d0"; when "01" & x"2c8" => data <= x"10"; when "01" & x"2c9" => data <= x"fe"; when "01" & x"2ca" => data <= x"2d"; when "01" & x"2cb" => data <= x"03"; when "01" & x"2cc" => data <= x"90"; when "01" & x"2cd" => data <= x"0b"; when "01" & x"2ce" => data <= x"bd"; when "01" & x"2cf" => data <= x"2c"; when "01" & x"2d0" => data <= x"03"; when "01" & x"2d1" => data <= x"d0"; when "01" & x"2d2" => data <= x"03"; when "01" & x"2d3" => data <= x"de"; when "01" & x"2d4" => data <= x"2d"; when "01" & x"2d5" => data <= x"03"; when "01" & x"2d6" => data <= x"de"; when "01" & x"2d7" => data <= x"2c"; when "01" & x"2d8" => data <= x"03"; when "01" & x"2d9" => data <= x"8a"; when "01" & x"2da" => data <= x"49"; when "01" & x"2db" => data <= x"02"; when "01" & x"2dc" => data <= x"aa"; when "01" & x"2dd" => data <= x"fe"; when "01" & x"2de" => data <= x"2c"; when "01" & x"2df" => data <= x"03"; when "01" & x"2e0" => data <= x"d0"; when "01" & x"2e1" => data <= x"03"; when "01" & x"2e2" => data <= x"fe"; when "01" & x"2e3" => data <= x"2d"; when "01" & x"2e4" => data <= x"03"; when "01" & x"2e5" => data <= x"a6"; when "01" & x"2e6" => data <= x"da"; when "01" & x"2e7" => data <= x"4c"; when "01" & x"2e8" => data <= x"fa"; when "01" & x"2e9" => data <= x"d1"; when "01" & x"2ea" => data <= x"38"; when "01" & x"2eb" => data <= x"a5"; when "01" & x"2ec" => data <= x"d4"; when "01" & x"2ed" => data <= x"ed"; when "01" & x"2ee" => data <= x"52"; when "01" & x"2ef" => data <= x"03"; when "01" & x"2f0" => data <= x"85"; when "01" & x"2f1" => data <= x"d4"; when "01" & x"2f2" => data <= x"a5"; when "01" & x"2f3" => data <= x"d5"; when "01" & x"2f4" => data <= x"ed"; when "01" & x"2f5" => data <= x"53"; when "01" & x"2f6" => data <= x"03"; when "01" & x"2f7" => data <= x"cd"; when "01" & x"2f8" => data <= x"4e"; when "01" & x"2f9" => data <= x"03"; when "01" & x"2fa" => data <= x"b0"; when "01" & x"2fb" => data <= x"03"; when "01" & x"2fc" => data <= x"6d"; when "01" & x"2fd" => data <= x"54"; when "01" & x"2fe" => data <= x"03"; when "01" & x"2ff" => data <= x"85"; when "01" & x"300" => data <= x"d5"; when "01" & x"301" => data <= x"a0"; when "01" & x"302" => data <= x"07"; when "01" & x"303" => data <= x"60"; when "01" & x"304" => data <= x"ad"; when "01" & x"305" => data <= x"62"; when "01" & x"306" => data <= x"03"; when "01" & x"307" => data <= x"85"; when "01" & x"308" => data <= x"d1"; when "01" & x"309" => data <= x"a5"; when "01" & x"30a" => data <= x"d4"; when "01" & x"30b" => data <= x"69"; when "01" & x"30c" => data <= x"07"; when "01" & x"30d" => data <= x"85"; when "01" & x"30e" => data <= x"d4"; when "01" & x"30f" => data <= x"90"; when "01" & x"310" => data <= x"02"; when "01" & x"311" => data <= x"e6"; when "01" & x"312" => data <= x"d5"; when "01" & x"313" => data <= x"60"; when "01" & x"314" => data <= x"ad"; when "01" & x"315" => data <= x"63"; when "01" & x"316" => data <= x"03"; when "01" & x"317" => data <= x"85"; when "01" & x"318" => data <= x"d1"; when "01" & x"319" => data <= x"a5"; when "01" & x"31a" => data <= x"d4"; when "01" & x"31b" => data <= x"d0"; when "01" & x"31c" => data <= x"02"; when "01" & x"31d" => data <= x"c6"; when "01" & x"31e" => data <= x"d5"; when "01" & x"31f" => data <= x"e9"; when "01" & x"320" => data <= x"08"; when "01" & x"321" => data <= x"85"; when "01" & x"322" => data <= x"d4"; when "01" & x"323" => data <= x"60"; when "01" & x"324" => data <= x"a0"; when "01" & x"325" => data <= x"28"; when "01" & x"326" => data <= x"a2"; when "01" & x"327" => data <= x"20"; when "01" & x"328" => data <= x"20"; when "01" & x"329" => data <= x"2f"; when "01" & x"32a" => data <= x"d3"; when "01" & x"32b" => data <= x"e8"; when "01" & x"32c" => data <= x"e8"; when "01" & x"32d" => data <= x"c8"; when "01" & x"32e" => data <= x"c8"; when "01" & x"32f" => data <= x"38"; when "01" & x"330" => data <= x"bd"; when "01" & x"331" => data <= x"04"; when "01" & x"332" => data <= x"03"; when "01" & x"333" => data <= x"fd"; when "01" & x"334" => data <= x"00"; when "01" & x"335" => data <= x"03"; when "01" & x"336" => data <= x"99"; when "01" & x"337" => data <= x"00"; when "01" & x"338" => data <= x"03"; when "01" & x"339" => data <= x"bd"; when "01" & x"33a" => data <= x"05"; when "01" & x"33b" => data <= x"03"; when "01" & x"33c" => data <= x"fd"; when "01" & x"33d" => data <= x"01"; when "01" & x"33e" => data <= x"03"; when "01" & x"33f" => data <= x"99"; when "01" & x"340" => data <= x"01"; when "01" & x"341" => data <= x"03"; when "01" & x"342" => data <= x"60"; when "01" & x"343" => data <= x"a5"; when "01" & x"344" => data <= x"dc"; when "01" & x"345" => data <= x"d0"; when "01" & x"346" => data <= x"07"; when "01" & x"347" => data <= x"a2"; when "01" & x"348" => data <= x"28"; when "01" & x"349" => data <= x"a0"; when "01" & x"34a" => data <= x"2a"; when "01" & x"34b" => data <= x"20"; when "01" & x"34c" => data <= x"1a"; when "01" & x"34d" => data <= x"cd"; when "01" & x"34e" => data <= x"a2"; when "01" & x"34f" => data <= x"28"; when "01" & x"350" => data <= x"a0"; when "01" & x"351" => data <= x"37"; when "01" & x"352" => data <= x"20"; when "01" & x"353" => data <= x"a1"; when "01" & x"354" => data <= x"d3"; when "01" & x"355" => data <= x"38"; when "01" & x"356" => data <= x"a6"; when "01" & x"357" => data <= x"dc"; when "01" & x"358" => data <= x"ad"; when "01" & x"359" => data <= x"30"; when "01" & x"35a" => data <= x"03"; when "01" & x"35b" => data <= x"fd"; when "01" & x"35c" => data <= x"2c"; when "01" & x"35d" => data <= x"03"; when "01" & x"35e" => data <= x"a8"; when "01" & x"35f" => data <= x"ad"; when "01" & x"360" => data <= x"31"; when "01" & x"361" => data <= x"03"; when "01" & x"362" => data <= x"fd"; when "01" & x"363" => data <= x"2d"; when "01" & x"364" => data <= x"03"; when "01" & x"365" => data <= x"30"; when "01" & x"366" => data <= x"03"; when "01" & x"367" => data <= x"20"; when "01" & x"368" => data <= x"b2"; when "01" & x"369" => data <= x"d3"; when "01" & x"36a" => data <= x"85"; when "01" & x"36b" => data <= x"db"; when "01" & x"36c" => data <= x"84"; when "01" & x"36d" => data <= x"da"; when "01" & x"36e" => data <= x"a2"; when "01" & x"36f" => data <= x"35"; when "01" & x"370" => data <= x"20"; when "01" & x"371" => data <= x"7e"; when "01" & x"372" => data <= x"d3"; when "01" & x"373" => data <= x"4a"; when "01" & x"374" => data <= x"9d"; when "01" & x"375" => data <= x"01"; when "01" & x"376" => data <= x"03"; when "01" & x"377" => data <= x"98"; when "01" & x"378" => data <= x"6a"; when "01" & x"379" => data <= x"9d"; when "01" & x"37a" => data <= x"00"; when "01" & x"37b" => data <= x"03"; when "01" & x"37c" => data <= x"ca"; when "01" & x"37d" => data <= x"ca"; when "01" & x"37e" => data <= x"bc"; when "01" & x"37f" => data <= x"04"; when "01" & x"380" => data <= x"03"; when "01" & x"381" => data <= x"bd"; when "01" & x"382" => data <= x"05"; when "01" & x"383" => data <= x"03"; when "01" & x"384" => data <= x"10"; when "01" & x"385" => data <= x"0c"; when "01" & x"386" => data <= x"20"; when "01" & x"387" => data <= x"b2"; when "01" & x"388" => data <= x"d3"; when "01" & x"389" => data <= x"9d"; when "01" & x"38a" => data <= x"05"; when "01" & x"38b" => data <= x"03"; when "01" & x"38c" => data <= x"48"; when "01" & x"38d" => data <= x"98"; when "01" & x"38e" => data <= x"9d"; when "01" & x"38f" => data <= x"04"; when "01" & x"390" => data <= x"03"; when "01" & x"391" => data <= x"68"; when "01" & x"392" => data <= x"60"; when "01" & x"393" => data <= x"a9"; when "01" & x"394" => data <= x"08"; when "01" & x"395" => data <= x"d0"; when "01" & x"396" => data <= x"0c"; when "01" & x"397" => data <= x"a0"; when "01" & x"398" => data <= x"30"; when "01" & x"399" => data <= x"a9"; when "01" & x"39a" => data <= x"02"; when "01" & x"39b" => data <= x"d0"; when "01" & x"39c" => data <= x"06"; when "01" & x"39d" => data <= x"a0"; when "01" & x"39e" => data <= x"28"; when "01" & x"39f" => data <= x"a2"; when "01" & x"3a0" => data <= x"24"; when "01" & x"3a1" => data <= x"a9"; when "01" & x"3a2" => data <= x"04"; when "01" & x"3a3" => data <= x"85"; when "01" & x"3a4" => data <= x"d8"; when "01" & x"3a5" => data <= x"bd"; when "01" & x"3a6" => data <= x"00"; when "01" & x"3a7" => data <= x"03"; when "01" & x"3a8" => data <= x"99"; when "01" & x"3a9" => data <= x"00"; when "01" & x"3aa" => data <= x"03"; when "01" & x"3ab" => data <= x"e8"; when "01" & x"3ac" => data <= x"c8"; when "01" & x"3ad" => data <= x"c6"; when "01" & x"3ae" => data <= x"d8"; when "01" & x"3af" => data <= x"d0"; when "01" & x"3b0" => data <= x"f4"; when "01" & x"3b1" => data <= x"60"; when "01" & x"3b2" => data <= x"48"; when "01" & x"3b3" => data <= x"98"; when "01" & x"3b4" => data <= x"49"; when "01" & x"3b5" => data <= x"ff"; when "01" & x"3b6" => data <= x"a8"; when "01" & x"3b7" => data <= x"68"; when "01" & x"3b8" => data <= x"49"; when "01" & x"3b9" => data <= x"ff"; when "01" & x"3ba" => data <= x"c8"; when "01" & x"3bb" => data <= x"d0"; when "01" & x"3bc" => data <= x"03"; when "01" & x"3bd" => data <= x"18"; when "01" & x"3be" => data <= x"69"; when "01" & x"3bf" => data <= x"01"; when "01" & x"3c0" => data <= x"60"; when "01" & x"3c1" => data <= x"20"; when "01" & x"3c2" => data <= x"73"; when "01" & x"3c3" => data <= x"d7"; when "01" & x"3c4" => data <= x"d0"; when "01" & x"3c5" => data <= x"08"; when "01" & x"3c6" => data <= x"b1"; when "01" & x"3c7" => data <= x"d4"; when "01" & x"3c8" => data <= x"4d"; when "01" & x"3c9" => data <= x"5a"; when "01" & x"3ca" => data <= x"03"; when "01" & x"3cb" => data <= x"85"; when "01" & x"3cc" => data <= x"d8"; when "01" & x"3cd" => data <= x"60"; when "01" & x"3ce" => data <= x"68"; when "01" & x"3cf" => data <= x"68"; when "01" & x"3d0" => data <= x"ee"; when "01" & x"3d1" => data <= x"26"; when "01" & x"3d2" => data <= x"03"; when "01" & x"3d3" => data <= x"4c"; when "01" & x"3d4" => data <= x"5c"; when "01" & x"3d5" => data <= x"d4"; when "01" & x"3d6" => data <= x"20"; when "01" & x"3d7" => data <= x"c1"; when "01" & x"3d8" => data <= x"d3"; when "01" & x"3d9" => data <= x"25"; when "01" & x"3da" => data <= x"d1"; when "01" & x"3db" => data <= x"d0"; when "01" & x"3dc" => data <= x"f3"; when "01" & x"3dd" => data <= x"a2"; when "01" & x"3de" => data <= x"00"; when "01" & x"3df" => data <= x"20"; when "01" & x"3e0" => data <= x"a8"; when "01" & x"3e1" => data <= x"d4"; when "01" & x"3e2" => data <= x"f0"; when "01" & x"3e3" => data <= x"2d"; when "01" & x"3e4" => data <= x"ac"; when "01" & x"3e5" => data <= x"1a"; when "01" & x"3e6" => data <= x"03"; when "01" & x"3e7" => data <= x"06"; when "01" & x"3e8" => data <= x"d1"; when "01" & x"3e9" => data <= x"b0"; when "01" & x"3ea" => data <= x"05"; when "01" & x"3eb" => data <= x"20"; when "01" & x"3ec" => data <= x"8a"; when "01" & x"3ed" => data <= x"d4"; when "01" & x"3ee" => data <= x"90"; when "01" & x"3ef" => data <= x"21"; when "01" & x"3f0" => data <= x"20"; when "01" & x"3f1" => data <= x"14"; when "01" & x"3f2" => data <= x"d3"; when "01" & x"3f3" => data <= x"b1"; when "01" & x"3f4" => data <= x"d4"; when "01" & x"3f5" => data <= x"4d"; when "01" & x"3f6" => data <= x"5a"; when "01" & x"3f7" => data <= x"03"; when "01" & x"3f8" => data <= x"85"; when "01" & x"3f9" => data <= x"d8"; when "01" & x"3fa" => data <= x"d0"; when "01" & x"3fb" => data <= x"12"; when "01" & x"3fc" => data <= x"38"; when "01" & x"3fd" => data <= x"8a"; when "01" & x"3fe" => data <= x"6d"; when "01" & x"3ff" => data <= x"61"; when "01" & x"400" => data <= x"03"; when "01" & x"401" => data <= x"90"; when "01" & x"402" => data <= x"04"; when "01" & x"403" => data <= x"e6"; when "01" & x"404" => data <= x"d9"; when "01" & x"405" => data <= x"10"; when "01" & x"406" => data <= x"07"; when "01" & x"407" => data <= x"aa"; when "01" & x"408" => data <= x"20"; when "01" & x"409" => data <= x"15"; when "01" & x"40a" => data <= x"d0"; when "01" & x"40b" => data <= x"38"; when "01" & x"40c" => data <= x"b0"; when "01" & x"40d" => data <= x"e2"; when "01" & x"40e" => data <= x"20"; when "01" & x"40f" => data <= x"8a"; when "01" & x"410" => data <= x"d4"; when "01" & x"411" => data <= x"a0"; when "01" & x"412" => data <= x"00"; when "01" & x"413" => data <= x"20"; when "01" & x"414" => data <= x"c2"; when "01" & x"415" => data <= x"d4"; when "01" & x"416" => data <= x"a0"; when "01" & x"417" => data <= x"20"; when "01" & x"418" => data <= x"a2"; when "01" & x"419" => data <= x"24"; when "01" & x"41a" => data <= x"20"; when "01" & x"41b" => data <= x"22"; when "01" & x"41c" => data <= x"cd"; when "01" & x"41d" => data <= x"20"; when "01" & x"41e" => data <= x"c1"; when "01" & x"41f" => data <= x"d3"; when "01" & x"420" => data <= x"a2"; when "01" & x"421" => data <= x"04"; when "01" & x"422" => data <= x"20"; when "01" & x"423" => data <= x"a8"; when "01" & x"424" => data <= x"d4"; when "01" & x"425" => data <= x"8a"; when "01" & x"426" => data <= x"d0"; when "01" & x"427" => data <= x"02"; when "01" & x"428" => data <= x"c6"; when "01" & x"429" => data <= x"d9"; when "01" & x"42a" => data <= x"ca"; when "01" & x"42b" => data <= x"20"; when "01" & x"42c" => data <= x"62"; when "01" & x"42d" => data <= x"d4"; when "01" & x"42e" => data <= x"90"; when "01" & x"42f" => data <= x"27"; when "01" & x"430" => data <= x"20"; when "01" & x"431" => data <= x"04"; when "01" & x"432" => data <= x"d3"; when "01" & x"433" => data <= x"b1"; when "01" & x"434" => data <= x"d4"; when "01" & x"435" => data <= x"4d"; when "01" & x"436" => data <= x"5a"; when "01" & x"437" => data <= x"03"; when "01" & x"438" => data <= x"85"; when "01" & x"439" => data <= x"d8"; when "01" & x"43a" => data <= x"a5"; when "01" & x"43b" => data <= x"da"; when "01" & x"43c" => data <= x"d0"; when "01" & x"43d" => data <= x"ed"; when "01" & x"43e" => data <= x"a5"; when "01" & x"43f" => data <= x"d8"; when "01" & x"440" => data <= x"d0"; when "01" & x"441" => data <= x"12"; when "01" & x"442" => data <= x"38"; when "01" & x"443" => data <= x"8a"; when "01" & x"444" => data <= x"6d"; when "01" & x"445" => data <= x"61"; when "01" & x"446" => data <= x"03"; when "01" & x"447" => data <= x"90"; when "01" & x"448" => data <= x"04"; when "01" & x"449" => data <= x"e6"; when "01" & x"44a" => data <= x"d9"; when "01" & x"44b" => data <= x"10"; when "01" & x"44c" => data <= x"07"; when "01" & x"44d" => data <= x"aa"; when "01" & x"44e" => data <= x"20"; when "01" & x"44f" => data <= x"15"; when "01" & x"450" => data <= x"d0"; when "01" & x"451" => data <= x"38"; when "01" & x"452" => data <= x"b0"; when "01" & x"453" => data <= x"dc"; when "01" & x"454" => data <= x"20"; when "01" & x"455" => data <= x"62"; when "01" & x"456" => data <= x"d4"; when "01" & x"457" => data <= x"a0"; when "01" & x"458" => data <= x"04"; when "01" & x"459" => data <= x"20"; when "01" & x"45a" => data <= x"c2"; when "01" & x"45b" => data <= x"d4"; when "01" & x"45c" => data <= x"20"; when "01" & x"45d" => data <= x"ea"; when "01" & x"45e" => data <= x"cf"; when "01" & x"45f" => data <= x"4c"; when "01" & x"460" => data <= x"c9"; when "01" & x"461" => data <= x"d0"; when "01" & x"462" => data <= x"a5"; when "01" & x"463" => data <= x"d1"; when "01" & x"464" => data <= x"18"; when "01" & x"465" => data <= x"90"; when "01" & x"466" => data <= x"0e"; when "01" & x"467" => data <= x"68"; when "01" & x"468" => data <= x"e8"; when "01" & x"469" => data <= x"d0"; when "01" & x"46a" => data <= x"04"; when "01" & x"46b" => data <= x"e6"; when "01" & x"46c" => data <= x"d9"; when "01" & x"46d" => data <= x"10"; when "01" & x"46e" => data <= x"16"; when "01" & x"46f" => data <= x"46"; when "01" & x"470" => data <= x"d1"; when "01" & x"471" => data <= x"b0"; when "01" & x"472" => data <= x"12"; when "01" & x"473" => data <= x"05"; when "01" & x"474" => data <= x"d1"; when "01" & x"475" => data <= x"48"; when "01" & x"476" => data <= x"a5"; when "01" & x"477" => data <= x"d1"; when "01" & x"478" => data <= x"24"; when "01" & x"479" => data <= x"d8"; when "01" & x"47a" => data <= x"08"; when "01" & x"47b" => data <= x"68"; when "01" & x"47c" => data <= x"45"; when "01" & x"47d" => data <= x"da"; when "01" & x"47e" => data <= x"48"; when "01" & x"47f" => data <= x"28"; when "01" & x"480" => data <= x"f0"; when "01" & x"481" => data <= x"e5"; when "01" & x"482" => data <= x"68"; when "01" & x"483" => data <= x"45"; when "01" & x"484" => data <= x"d1"; when "01" & x"485" => data <= x"85"; when "01" & x"486" => data <= x"d1"; when "01" & x"487" => data <= x"4c"; when "01" & x"488" => data <= x"01"; when "01" & x"489" => data <= x"d0"; when "01" & x"48a" => data <= x"a9"; when "01" & x"48b" => data <= x"00"; when "01" & x"48c" => data <= x"18"; when "01" & x"48d" => data <= x"90"; when "01" & x"48e" => data <= x"0a"; when "01" & x"48f" => data <= x"e8"; when "01" & x"490" => data <= x"d0"; when "01" & x"491" => data <= x"04"; when "01" & x"492" => data <= x"e6"; when "01" & x"493" => data <= x"d9"; when "01" & x"494" => data <= x"10"; when "01" & x"495" => data <= x"ef"; when "01" & x"496" => data <= x"0a"; when "01" & x"497" => data <= x"b0"; when "01" & x"498" => data <= x"0b"; when "01" & x"499" => data <= x"05"; when "01" & x"49a" => data <= x"d1"; when "01" & x"49b" => data <= x"24"; when "01" & x"49c" => data <= x"d8"; when "01" & x"49d" => data <= x"f0"; when "01" & x"49e" => data <= x"f0"; when "01" & x"49f" => data <= x"45"; when "01" & x"4a0" => data <= x"d1"; when "01" & x"4a1" => data <= x"4a"; when "01" & x"4a2" => data <= x"90"; when "01" & x"4a3" => data <= x"e1"; when "01" & x"4a4" => data <= x"6a"; when "01" & x"4a5" => data <= x"38"; when "01" & x"4a6" => data <= x"b0"; when "01" & x"4a7" => data <= x"dd"; when "01" & x"4a8" => data <= x"bd"; when "01" & x"4a9" => data <= x"00"; when "01" & x"4aa" => data <= x"03"; when "01" & x"4ab" => data <= x"38"; when "01" & x"4ac" => data <= x"ed"; when "01" & x"4ad" => data <= x"20"; when "01" & x"4ae" => data <= x"03"; when "01" & x"4af" => data <= x"a8"; when "01" & x"4b0" => data <= x"bd"; when "01" & x"4b1" => data <= x"01"; when "01" & x"4b2" => data <= x"03"; when "01" & x"4b3" => data <= x"ed"; when "01" & x"4b4" => data <= x"21"; when "01" & x"4b5" => data <= x"03"; when "01" & x"4b6" => data <= x"30"; when "01" & x"4b7" => data <= x"03"; when "01" & x"4b8" => data <= x"20"; when "01" & x"4b9" => data <= x"b2"; when "01" & x"4ba" => data <= x"d3"; when "01" & x"4bb" => data <= x"85"; when "01" & x"4bc" => data <= x"d9"; when "01" & x"4bd" => data <= x"98"; when "01" & x"4be" => data <= x"aa"; when "01" & x"4bf" => data <= x"05"; when "01" & x"4c0" => data <= x"d9"; when "01" & x"4c1" => data <= x"60"; when "01" & x"4c2" => data <= x"84"; when "01" & x"4c3" => data <= x"d8"; when "01" & x"4c4" => data <= x"8a"; when "01" & x"4c5" => data <= x"a8"; when "01" & x"4c6" => data <= x"a5"; when "01" & x"4c7" => data <= x"d9"; when "01" & x"4c8" => data <= x"30"; when "01" & x"4c9" => data <= x"02"; when "01" & x"4ca" => data <= x"a9"; when "01" & x"4cb" => data <= x"00"; when "01" & x"4cc" => data <= x"a6"; when "01" & x"4cd" => data <= x"d8"; when "01" & x"4ce" => data <= x"d0"; when "01" & x"4cf" => data <= x"03"; when "01" & x"4d0" => data <= x"20"; when "01" & x"4d1" => data <= x"b2"; when "01" & x"4d2" => data <= x"d3"; when "01" & x"4d3" => data <= x"48"; when "01" & x"4d4" => data <= x"18"; when "01" & x"4d5" => data <= x"98"; when "01" & x"4d6" => data <= x"7d"; when "01" & x"4d7" => data <= x"00"; when "01" & x"4d8" => data <= x"03"; when "01" & x"4d9" => data <= x"8d"; when "01" & x"4da" => data <= x"20"; when "01" & x"4db" => data <= x"03"; when "01" & x"4dc" => data <= x"68"; when "01" & x"4dd" => data <= x"7d"; when "01" & x"4de" => data <= x"01"; when "01" & x"4df" => data <= x"03"; when "01" & x"4e0" => data <= x"8d"; when "01" & x"4e1" => data <= x"21"; when "01" & x"4e2" => data <= x"03"; when "01" & x"4e3" => data <= x"60"; when "01" & x"4e4" => data <= x"a9"; when "01" & x"4e5" => data <= x"03"; when "01" & x"4e6" => data <= x"20"; when "01" & x"4e7" => data <= x"eb"; when "01" & x"4e8" => data <= x"d4"; when "01" & x"4e9" => data <= x"a9"; when "01" & x"4ea" => data <= x"07"; when "01" & x"4eb" => data <= x"48"; when "01" & x"4ec" => data <= x"20"; when "01" & x"4ed" => data <= x"1e"; when "01" & x"4ee" => data <= x"cd"; when "01" & x"4ef" => data <= x"20"; when "01" & x"4f0" => data <= x"c9"; when "01" & x"4f1" => data <= x"d0"; when "01" & x"4f2" => data <= x"a2"; when "01" & x"4f3" => data <= x"03"; when "01" & x"4f4" => data <= x"68"; when "01" & x"4f5" => data <= x"a8"; when "01" & x"4f6" => data <= x"bd"; when "01" & x"4f7" => data <= x"10"; when "01" & x"4f8" => data <= x"03"; when "01" & x"4f9" => data <= x"91"; when "01" & x"4fa" => data <= x"f0"; when "01" & x"4fb" => data <= x"88"; when "01" & x"4fc" => data <= x"ca"; when "01" & x"4fd" => data <= x"10"; when "01" & x"4fe" => data <= x"f7"; when "01" & x"4ff" => data <= x"60"; when "01" & x"500" => data <= x"a2"; when "01" & x"501" => data <= x"20"; when "01" & x"502" => data <= x"a0"; when "01" & x"503" => data <= x"3e"; when "01" & x"504" => data <= x"20"; when "01" & x"505" => data <= x"93"; when "01" & x"506" => data <= x"d3"; when "01" & x"507" => data <= x"20"; when "01" & x"508" => data <= x"48"; when "01" & x"509" => data <= x"d5"; when "01" & x"50a" => data <= x"a2"; when "01" & x"50b" => data <= x"14"; when "01" & x"50c" => data <= x"a0"; when "01" & x"50d" => data <= x"24"; when "01" & x"50e" => data <= x"20"; when "01" & x"50f" => data <= x"4c"; when "01" & x"510" => data <= x"d5"; when "01" & x"511" => data <= x"20"; when "01" & x"512" => data <= x"48"; when "01" & x"513" => data <= x"d5"; when "01" & x"514" => data <= x"a2"; when "01" & x"515" => data <= x"20"; when "01" & x"516" => data <= x"a0"; when "01" & x"517" => data <= x"2a"; when "01" & x"518" => data <= x"20"; when "01" & x"519" => data <= x"28"; when "01" & x"51a" => data <= x"d3"; when "01" & x"51b" => data <= x"ad"; when "01" & x"51c" => data <= x"2b"; when "01" & x"51d" => data <= x"03"; when "01" & x"51e" => data <= x"8d"; when "01" & x"51f" => data <= x"32"; when "01" & x"520" => data <= x"03"; when "01" & x"521" => data <= x"a2"; when "01" & x"522" => data <= x"28"; when "01" & x"523" => data <= x"20"; when "01" & x"524" => data <= x"70"; when "01" & x"525" => data <= x"d3"; when "01" & x"526" => data <= x"a0"; when "01" & x"527" => data <= x"2e"; when "01" & x"528" => data <= x"20"; when "01" & x"529" => data <= x"ef"; when "01" & x"52a" => data <= x"cf"; when "01" & x"52b" => data <= x"20"; when "01" & x"52c" => data <= x"1e"; when "01" & x"52d" => data <= x"cd"; when "01" & x"52e" => data <= x"18"; when "01" & x"52f" => data <= x"20"; when "01" & x"530" => data <= x"6e"; when "01" & x"531" => data <= x"d5"; when "01" & x"532" => data <= x"20"; when "01" & x"533" => data <= x"1e"; when "01" & x"534" => data <= x"cd"; when "01" & x"535" => data <= x"a2"; when "01" & x"536" => data <= x"20"; when "01" & x"537" => data <= x"20"; when "01" & x"538" => data <= x"20"; when "01" & x"539" => data <= x"cd"; when "01" & x"53a" => data <= x"38"; when "01" & x"53b" => data <= x"20"; when "01" & x"53c" => data <= x"6e"; when "01" & x"53d" => data <= x"d5"; when "01" & x"53e" => data <= x"a2"; when "01" & x"53f" => data <= x"3e"; when "01" & x"540" => data <= x"a0"; when "01" & x"541" => data <= x"20"; when "01" & x"542" => data <= x"20"; when "01" & x"543" => data <= x"93"; when "01" & x"544" => data <= x"d3"; when "01" & x"545" => data <= x"4c"; when "01" & x"546" => data <= x"ea"; when "01" & x"547" => data <= x"cf"; when "01" & x"548" => data <= x"a2"; when "01" & x"549" => data <= x"20"; when "01" & x"54a" => data <= x"a0"; when "01" & x"54b" => data <= x"14"; when "01" & x"54c" => data <= x"bd"; when "01" & x"54d" => data <= x"02"; when "01" & x"54e" => data <= x"03"; when "01" & x"54f" => data <= x"d9"; when "01" & x"550" => data <= x"02"; when "01" & x"551" => data <= x"03"; when "01" & x"552" => data <= x"bd"; when "01" & x"553" => data <= x"03"; when "01" & x"554" => data <= x"03"; when "01" & x"555" => data <= x"f9"; when "01" & x"556" => data <= x"03"; when "01" & x"557" => data <= x"03"; when "01" & x"558" => data <= x"30"; when "01" & x"559" => data <= x"13"; when "01" & x"55a" => data <= x"4c"; when "01" & x"55b" => data <= x"22"; when "01" & x"55c" => data <= x"cd"; when "01" & x"55d" => data <= x"ad"; when "01" & x"55e" => data <= x"18"; when "01" & x"55f" => data <= x"03"; when "01" & x"560" => data <= x"38"; when "01" & x"561" => data <= x"ed"; when "01" & x"562" => data <= x"08"; when "01" & x"563" => data <= x"03"; when "01" & x"564" => data <= x"aa"; when "01" & x"565" => data <= x"ad"; when "01" & x"566" => data <= x"19"; when "01" & x"567" => data <= x"03"; when "01" & x"568" => data <= x"38"; when "01" & x"569" => data <= x"ed"; when "01" & x"56a" => data <= x"0b"; when "01" & x"56b" => data <= x"03"; when "01" & x"56c" => data <= x"a8"; when "01" & x"56d" => data <= x"60"; when "01" & x"56e" => data <= x"08"; when "01" & x"56f" => data <= x"a2"; when "01" & x"570" => data <= x"20"; when "01" & x"571" => data <= x"a0"; when "01" & x"572" => data <= x"35"; when "01" & x"573" => data <= x"20"; when "01" & x"574" => data <= x"28"; when "01" & x"575" => data <= x"d3"; when "01" & x"576" => data <= x"ad"; when "01" & x"577" => data <= x"36"; when "01" & x"578" => data <= x"03"; when "01" & x"579" => data <= x"8d"; when "01" & x"57a" => data <= x"3d"; when "01" & x"57b" => data <= x"03"; when "01" & x"57c" => data <= x"a2"; when "01" & x"57d" => data <= x"33"; when "01" & x"57e" => data <= x"20"; when "01" & x"57f" => data <= x"70"; when "01" & x"580" => data <= x"d3"; when "01" & x"581" => data <= x"a0"; when "01" & x"582" => data <= x"39"; when "01" & x"583" => data <= x"20"; when "01" & x"584" => data <= x"ef"; when "01" & x"585" => data <= x"cf"; when "01" & x"586" => data <= x"38"; when "01" & x"587" => data <= x"ad"; when "01" & x"588" => data <= x"22"; when "01" & x"589" => data <= x"03"; when "01" & x"58a" => data <= x"ed"; when "01" & x"58b" => data <= x"26"; when "01" & x"58c" => data <= x"03"; when "01" & x"58d" => data <= x"8d"; when "01" & x"58e" => data <= x"1b"; when "01" & x"58f" => data <= x"03"; when "01" & x"590" => data <= x"ad"; when "01" & x"591" => data <= x"23"; when "01" & x"592" => data <= x"03"; when "01" & x"593" => data <= x"ed"; when "01" & x"594" => data <= x"27"; when "01" & x"595" => data <= x"03"; when "01" & x"596" => data <= x"8d"; when "01" & x"597" => data <= x"1c"; when "01" & x"598" => data <= x"03"; when "01" & x"599" => data <= x"0d"; when "01" & x"59a" => data <= x"1b"; when "01" & x"59b" => data <= x"03"; when "01" & x"59c" => data <= x"f0"; when "01" & x"59d" => data <= x"17"; when "01" & x"59e" => data <= x"20"; when "01" & x"59f" => data <= x"b8"; when "01" & x"5a0" => data <= x"d5"; when "01" & x"5a1" => data <= x"a2"; when "01" & x"5a2" => data <= x"33"; when "01" & x"5a3" => data <= x"20"; when "01" & x"5a4" => data <= x"8a"; when "01" & x"5a5" => data <= x"d6"; when "01" & x"5a6" => data <= x"a2"; when "01" & x"5a7" => data <= x"28"; when "01" & x"5a8" => data <= x"20"; when "01" & x"5a9" => data <= x"8a"; when "01" & x"5aa" => data <= x"d6"; when "01" & x"5ab" => data <= x"ee"; when "01" & x"5ac" => data <= x"1b"; when "01" & x"5ad" => data <= x"03"; when "01" & x"5ae" => data <= x"d0"; when "01" & x"5af" => data <= x"ee"; when "01" & x"5b0" => data <= x"ee"; when "01" & x"5b1" => data <= x"1c"; when "01" & x"5b2" => data <= x"03"; when "01" & x"5b3" => data <= x"d0"; when "01" & x"5b4" => data <= x"e9"; when "01" & x"5b5" => data <= x"28"; when "01" & x"5b6" => data <= x"90"; when "01" & x"5b7" => data <= x"b5"; when "01" & x"5b8" => data <= x"a2"; when "01" & x"5b9" => data <= x"39"; when "01" & x"5ba" => data <= x"a0"; when "01" & x"5bb" => data <= x"2e"; when "01" & x"5bc" => data <= x"86"; when "01" & x"5bd" => data <= x"dc"; when "01" & x"5be" => data <= x"bd"; when "01" & x"5bf" => data <= x"00"; when "01" & x"5c0" => data <= x"03"; when "01" & x"5c1" => data <= x"d9"; when "01" & x"5c2" => data <= x"00"; when "01" & x"5c3" => data <= x"03"; when "01" & x"5c4" => data <= x"bd"; when "01" & x"5c5" => data <= x"01"; when "01" & x"5c6" => data <= x"03"; when "01" & x"5c7" => data <= x"f9"; when "01" & x"5c8" => data <= x"01"; when "01" & x"5c9" => data <= x"03"; when "01" & x"5ca" => data <= x"30"; when "01" & x"5cb" => data <= x"06"; when "01" & x"5cc" => data <= x"98"; when "01" & x"5cd" => data <= x"a4"; when "01" & x"5ce" => data <= x"dc"; when "01" & x"5cf" => data <= x"aa"; when "01" & x"5d0" => data <= x"86"; when "01" & x"5d1" => data <= x"dc"; when "01" & x"5d2" => data <= x"84"; when "01" & x"5d3" => data <= x"dd"; when "01" & x"5d4" => data <= x"b9"; when "01" & x"5d5" => data <= x"00"; when "01" & x"5d6" => data <= x"03"; when "01" & x"5d7" => data <= x"48"; when "01" & x"5d8" => data <= x"b9"; when "01" & x"5d9" => data <= x"01"; when "01" & x"5da" => data <= x"03"; when "01" & x"5db" => data <= x"48"; when "01" & x"5dc" => data <= x"a6"; when "01" & x"5dd" => data <= x"dd"; when "01" & x"5de" => data <= x"20"; when "01" & x"5df" => data <= x"20"; when "01" & x"5e0" => data <= x"d0"; when "01" & x"5e1" => data <= x"f0"; when "01" & x"5e2" => data <= x"0d"; when "01" & x"5e3" => data <= x"c9"; when "01" & x"5e4" => data <= x"02"; when "01" & x"5e5" => data <= x"d0"; when "01" & x"5e6" => data <= x"3d"; when "01" & x"5e7" => data <= x"a2"; when "01" & x"5e8" => data <= x"04"; when "01" & x"5e9" => data <= x"a4"; when "01" & x"5ea" => data <= x"dd"; when "01" & x"5eb" => data <= x"20"; when "01" & x"5ec" => data <= x"99"; when "01" & x"5ed" => data <= x"d3"; when "01" & x"5ee" => data <= x"a6"; when "01" & x"5ef" => data <= x"dd"; when "01" & x"5f0" => data <= x"20"; when "01" & x"5f1" => data <= x"7a"; when "01" & x"5f2" => data <= x"d7"; when "01" & x"5f3" => data <= x"a6"; when "01" & x"5f4" => data <= x"dc"; when "01" & x"5f5" => data <= x"20"; when "01" & x"5f6" => data <= x"20"; when "01" & x"5f7" => data <= x"d0"; when "01" & x"5f8" => data <= x"4a"; when "01" & x"5f9" => data <= x"d0"; when "01" & x"5fa" => data <= x"29"; when "01" & x"5fb" => data <= x"90"; when "01" & x"5fc" => data <= x"02"; when "01" & x"5fd" => data <= x"a2"; when "01" & x"5fe" => data <= x"00"; when "01" & x"5ff" => data <= x"a4"; when "01" & x"600" => data <= x"dd"; when "01" & x"601" => data <= x"38"; when "01" & x"602" => data <= x"b9"; when "01" & x"603" => data <= x"00"; when "01" & x"604" => data <= x"03"; when "01" & x"605" => data <= x"fd"; when "01" & x"606" => data <= x"00"; when "01" & x"607" => data <= x"03"; when "01" & x"608" => data <= x"85"; when "01" & x"609" => data <= x"da"; when "01" & x"60a" => data <= x"b9"; when "01" & x"60b" => data <= x"01"; when "01" & x"60c" => data <= x"03"; when "01" & x"60d" => data <= x"fd"; when "01" & x"60e" => data <= x"01"; when "01" & x"60f" => data <= x"03"; when "01" & x"610" => data <= x"85"; when "01" & x"611" => data <= x"db"; when "01" & x"612" => data <= x"a9"; when "01" & x"613" => data <= x"00"; when "01" & x"614" => data <= x"0a"; when "01" & x"615" => data <= x"05"; when "01" & x"616" => data <= x"d1"; when "01" & x"617" => data <= x"a4"; when "01" & x"618" => data <= x"da"; when "01" & x"619" => data <= x"d0"; when "01" & x"61a" => data <= x"14"; when "01" & x"61b" => data <= x"c6"; when "01" & x"61c" => data <= x"db"; when "01" & x"61d" => data <= x"10"; when "01" & x"61e" => data <= x"10"; when "01" & x"61f" => data <= x"85"; when "01" & x"620" => data <= x"d1"; when "01" & x"621" => data <= x"20"; when "01" & x"622" => data <= x"01"; when "01" & x"623" => data <= x"d0"; when "01" & x"624" => data <= x"a6"; when "01" & x"625" => data <= x"dd"; when "01" & x"626" => data <= x"68"; when "01" & x"627" => data <= x"9d"; when "01" & x"628" => data <= x"01"; when "01" & x"629" => data <= x"03"; when "01" & x"62a" => data <= x"68"; when "01" & x"62b" => data <= x"9d"; when "01" & x"62c" => data <= x"00"; when "01" & x"62d" => data <= x"03"; when "01" & x"62e" => data <= x"60"; when "01" & x"62f" => data <= x"c6"; when "01" & x"630" => data <= x"da"; when "01" & x"631" => data <= x"aa"; when "01" & x"632" => data <= x"10"; when "01" & x"633" => data <= x"e0"; when "01" & x"634" => data <= x"85"; when "01" & x"635" => data <= x"d1"; when "01" & x"636" => data <= x"20"; when "01" & x"637" => data <= x"01"; when "01" & x"638" => data <= x"d0"; when "01" & x"639" => data <= x"a6"; when "01" & x"63a" => data <= x"da"; when "01" & x"63b" => data <= x"e8"; when "01" & x"63c" => data <= x"d0"; when "01" & x"63d" => data <= x"02"; when "01" & x"63e" => data <= x"e6"; when "01" & x"63f" => data <= x"db"; when "01" & x"640" => data <= x"8a"; when "01" & x"641" => data <= x"48"; when "01" & x"642" => data <= x"46"; when "01" & x"643" => data <= x"db"; when "01" & x"644" => data <= x"6a"; when "01" & x"645" => data <= x"ac"; when "01" & x"646" => data <= x"61"; when "01" & x"647" => data <= x"03"; when "01" & x"648" => data <= x"c0"; when "01" & x"649" => data <= x"03"; when "01" & x"64a" => data <= x"f0"; when "01" & x"64b" => data <= x"05"; when "01" & x"64c" => data <= x"90"; when "01" & x"64d" => data <= x"06"; when "01" & x"64e" => data <= x"46"; when "01" & x"64f" => data <= x"db"; when "01" & x"650" => data <= x"6a"; when "01" & x"651" => data <= x"46"; when "01" & x"652" => data <= x"db"; when "01" & x"653" => data <= x"4a"; when "01" & x"654" => data <= x"ac"; when "01" & x"655" => data <= x"1a"; when "01" & x"656" => data <= x"03"; when "01" & x"657" => data <= x"aa"; when "01" & x"658" => data <= x"f0"; when "01" & x"659" => data <= x"0f"; when "01" & x"65a" => data <= x"98"; when "01" & x"65b" => data <= x"38"; when "01" & x"65c" => data <= x"e9"; when "01" & x"65d" => data <= x"08"; when "01" & x"65e" => data <= x"a8"; when "01" & x"65f" => data <= x"b0"; when "01" & x"660" => data <= x"02"; when "01" & x"661" => data <= x"c6"; when "01" & x"662" => data <= x"d5"; when "01" & x"663" => data <= x"20"; when "01" & x"664" => data <= x"15"; when "01" & x"665" => data <= x"d0"; when "01" & x"666" => data <= x"ca"; when "01" & x"667" => data <= x"d0"; when "01" & x"668" => data <= x"f1"; when "01" & x"669" => data <= x"68"; when "01" & x"66a" => data <= x"2d"; when "01" & x"66b" => data <= x"61"; when "01" & x"66c" => data <= x"03"; when "01" & x"66d" => data <= x"f0"; when "01" & x"66e" => data <= x"b5"; when "01" & x"66f" => data <= x"aa"; when "01" & x"670" => data <= x"a9"; when "01" & x"671" => data <= x"00"; when "01" & x"672" => data <= x"0a"; when "01" & x"673" => data <= x"0d"; when "01" & x"674" => data <= x"63"; when "01" & x"675" => data <= x"03"; when "01" & x"676" => data <= x"ca"; when "01" & x"677" => data <= x"d0"; when "01" & x"678" => data <= x"f9"; when "01" & x"679" => data <= x"85"; when "01" & x"67a" => data <= x"d1"; when "01" & x"67b" => data <= x"98"; when "01" & x"67c" => data <= x"38"; when "01" & x"67d" => data <= x"e9"; when "01" & x"67e" => data <= x"08"; when "01" & x"67f" => data <= x"a8"; when "01" & x"680" => data <= x"b0"; when "01" & x"681" => data <= x"02"; when "01" & x"682" => data <= x"c6"; when "01" & x"683" => data <= x"d5"; when "01" & x"684" => data <= x"20"; when "01" & x"685" => data <= x"04"; when "01" & x"686" => data <= x"d0"; when "01" & x"687" => data <= x"4c"; when "01" & x"688" => data <= x"24"; when "01" & x"689" => data <= x"d6"; when "01" & x"68a" => data <= x"fe"; when "01" & x"68b" => data <= x"08"; when "01" & x"68c" => data <= x"03"; when "01" & x"68d" => data <= x"d0"; when "01" & x"68e" => data <= x"03"; when "01" & x"68f" => data <= x"fe"; when "01" & x"690" => data <= x"09"; when "01" & x"691" => data <= x"03"; when "01" & x"692" => data <= x"38"; when "01" & x"693" => data <= x"bd"; when "01" & x"694" => data <= x"00"; when "01" & x"695" => data <= x"03"; when "01" & x"696" => data <= x"fd"; when "01" & x"697" => data <= x"02"; when "01" & x"698" => data <= x"03"; when "01" & x"699" => data <= x"9d"; when "01" & x"69a" => data <= x"00"; when "01" & x"69b" => data <= x"03"; when "01" & x"69c" => data <= x"bd"; when "01" & x"69d" => data <= x"01"; when "01" & x"69e" => data <= x"03"; when "01" & x"69f" => data <= x"fd"; when "01" & x"6a0" => data <= x"03"; when "01" & x"6a1" => data <= x"03"; when "01" & x"6a2" => data <= x"9d"; when "01" & x"6a3" => data <= x"01"; when "01" & x"6a4" => data <= x"03"; when "01" & x"6a5" => data <= x"10"; when "01" & x"6a6" => data <= x"30"; when "01" & x"6a7" => data <= x"bd"; when "01" & x"6a8" => data <= x"0a"; when "01" & x"6a9" => data <= x"03"; when "01" & x"6aa" => data <= x"30"; when "01" & x"6ab" => data <= x"0b"; when "01" & x"6ac" => data <= x"fe"; when "01" & x"6ad" => data <= x"06"; when "01" & x"6ae" => data <= x"03"; when "01" & x"6af" => data <= x"d0"; when "01" & x"6b0" => data <= x"11"; when "01" & x"6b1" => data <= x"fe"; when "01" & x"6b2" => data <= x"07"; when "01" & x"6b3" => data <= x"03"; when "01" & x"6b4" => data <= x"4c"; when "01" & x"6b5" => data <= x"c2"; when "01" & x"6b6" => data <= x"d6"; when "01" & x"6b7" => data <= x"bd"; when "01" & x"6b8" => data <= x"06"; when "01" & x"6b9" => data <= x"03"; when "01" & x"6ba" => data <= x"d0"; when "01" & x"6bb" => data <= x"03"; when "01" & x"6bc" => data <= x"de"; when "01" & x"6bd" => data <= x"07"; when "01" & x"6be" => data <= x"03"; when "01" & x"6bf" => data <= x"de"; when "01" & x"6c0" => data <= x"06"; when "01" & x"6c1" => data <= x"03"; when "01" & x"6c2" => data <= x"18"; when "01" & x"6c3" => data <= x"bd"; when "01" & x"6c4" => data <= x"00"; when "01" & x"6c5" => data <= x"03"; when "01" & x"6c6" => data <= x"7d"; when "01" & x"6c7" => data <= x"04"; when "01" & x"6c8" => data <= x"03"; when "01" & x"6c9" => data <= x"9d"; when "01" & x"6ca" => data <= x"00"; when "01" & x"6cb" => data <= x"03"; when "01" & x"6cc" => data <= x"bd"; when "01" & x"6cd" => data <= x"01"; when "01" & x"6ce" => data <= x"03"; when "01" & x"6cf" => data <= x"7d"; when "01" & x"6d0" => data <= x"05"; when "01" & x"6d1" => data <= x"03"; when "01" & x"6d2" => data <= x"9d"; when "01" & x"6d3" => data <= x"01"; when "01" & x"6d4" => data <= x"03"; when "01" & x"6d5" => data <= x"30"; when "01" & x"6d6" => data <= x"d0"; when "01" & x"6d7" => data <= x"60"; when "01" & x"6d8" => data <= x"20"; when "01" & x"6d9" => data <= x"de"; when "01" & x"6da" => data <= x"d6"; when "01" & x"6db" => data <= x"20"; when "01" & x"6dc" => data <= x"33"; when "01" & x"6dd" => data <= x"c4"; when "01" & x"6de" => data <= x"08"; when "01" & x"6df" => data <= x"78"; when "01" & x"6e0" => data <= x"48"; when "01" & x"6e1" => data <= x"a5"; when "01" & x"6e2" => data <= x"d0"; when "01" & x"6e3" => data <= x"29"; when "01" & x"6e4" => data <= x"30"; when "01" & x"6e5" => data <= x"d0"; when "01" & x"6e6" => data <= x"27"; when "01" & x"6e7" => data <= x"ad"; when "01" & x"6e8" => data <= x"60"; when "01" & x"6e9" => data <= x"03"; when "01" & x"6ea" => data <= x"85"; when "01" & x"6eb" => data <= x"d8"; when "01" & x"6ec" => data <= x"ad"; when "01" & x"6ed" => data <= x"4b"; when "01" & x"6ee" => data <= x"03"; when "01" & x"6ef" => data <= x"49"; when "01" & x"6f0" => data <= x"80"; when "01" & x"6f1" => data <= x"8d"; when "01" & x"6f2" => data <= x"4b"; when "01" & x"6f3" => data <= x"03"; when "01" & x"6f4" => data <= x"a2"; when "01" & x"6f5" => data <= x"00"; when "01" & x"6f6" => data <= x"a0"; when "01" & x"6f7" => data <= x"07"; when "01" & x"6f8" => data <= x"38"; when "01" & x"6f9" => data <= x"b1"; when "01" & x"6fa" => data <= x"d6"; when "01" & x"6fb" => data <= x"48"; when "01" & x"6fc" => data <= x"bd"; when "01" & x"6fd" => data <= x"0c"; when "01" & x"6fe" => data <= x"08"; when "01" & x"6ff" => data <= x"91"; when "01" & x"700" => data <= x"d6"; when "01" & x"701" => data <= x"68"; when "01" & x"702" => data <= x"9d"; when "01" & x"703" => data <= x"0c"; when "01" & x"704" => data <= x"08"; when "01" & x"705" => data <= x"e8"; when "01" & x"706" => data <= x"98"; when "01" & x"707" => data <= x"69"; when "01" & x"708" => data <= x"07"; when "01" & x"709" => data <= x"a8"; when "01" & x"70a" => data <= x"46"; when "01" & x"70b" => data <= x"d8"; when "01" & x"70c" => data <= x"d0"; when "01" & x"70d" => data <= x"eb"; when "01" & x"70e" => data <= x"68"; when "01" & x"70f" => data <= x"28"; when "01" & x"710" => data <= x"60"; when "01" & x"711" => data <= x"20"; when "01" & x"712" => data <= x"de"; when "01" & x"713" => data <= x"d6"; when "01" & x"714" => data <= x"a0"; when "01" & x"715" => data <= x"07"; when "01" & x"716" => data <= x"84"; when "01" & x"717" => data <= x"d8"; when "01" & x"718" => data <= x"a9"; when "01" & x"719" => data <= x"01"; when "01" & x"71a" => data <= x"85"; when "01" & x"71b" => data <= x"d9"; when "01" & x"71c" => data <= x"ad"; when "01" & x"71d" => data <= x"62"; when "01" & x"71e" => data <= x"03"; when "01" & x"71f" => data <= x"85"; when "01" & x"720" => data <= x"da"; when "01" & x"721" => data <= x"b1"; when "01" & x"722" => data <= x"d6"; when "01" & x"723" => data <= x"4d"; when "01" & x"724" => data <= x"58"; when "01" & x"725" => data <= x"03"; when "01" & x"726" => data <= x"18"; when "01" & x"727" => data <= x"24"; when "01" & x"728" => data <= x"da"; when "01" & x"729" => data <= x"f0"; when "01" & x"72a" => data <= x"01"; when "01" & x"72b" => data <= x"38"; when "01" & x"72c" => data <= x"26"; when "01" & x"72d" => data <= x"d9"; when "01" & x"72e" => data <= x"b0"; when "01" & x"72f" => data <= x"0a"; when "01" & x"730" => data <= x"46"; when "01" & x"731" => data <= x"da"; when "01" & x"732" => data <= x"90"; when "01" & x"733" => data <= x"f3"; when "01" & x"734" => data <= x"98"; when "01" & x"735" => data <= x"69"; when "01" & x"736" => data <= x"07"; when "01" & x"737" => data <= x"a8"; when "01" & x"738" => data <= x"90"; when "01" & x"739" => data <= x"e2"; when "01" & x"73a" => data <= x"a4"; when "01" & x"73b" => data <= x"d8"; when "01" & x"73c" => data <= x"a5"; when "01" & x"73d" => data <= x"d9"; when "01" & x"73e" => data <= x"99"; when "01" & x"73f" => data <= x"28"; when "01" & x"740" => data <= x"03"; when "01" & x"741" => data <= x"88"; when "01" & x"742" => data <= x"10"; when "01" & x"743" => data <= x"d2"; when "01" & x"744" => data <= x"a2"; when "01" & x"745" => data <= x"20"; when "01" & x"746" => data <= x"8a"; when "01" & x"747" => data <= x"48"; when "01" & x"748" => data <= x"20"; when "01" & x"749" => data <= x"4f"; when "01" & x"74a" => data <= x"cf"; when "01" & x"74b" => data <= x"68"; when "01" & x"74c" => data <= x"aa"; when "01" & x"74d" => data <= x"a0"; when "01" & x"74e" => data <= x"07"; when "01" & x"74f" => data <= x"b9"; when "01" & x"750" => data <= x"28"; when "01" & x"751" => data <= x"03"; when "01" & x"752" => data <= x"d1"; when "01" & x"753" => data <= x"dc"; when "01" & x"754" => data <= x"d0"; when "01" & x"755" => data <= x"08"; when "01" & x"756" => data <= x"88"; when "01" & x"757" => data <= x"10"; when "01" & x"758" => data <= x"f6"; when "01" & x"759" => data <= x"8a"; when "01" & x"75a" => data <= x"e0"; when "01" & x"75b" => data <= x"7f"; when "01" & x"75c" => data <= x"d0"; when "01" & x"75d" => data <= x"0d"; when "01" & x"75e" => data <= x"e8"; when "01" & x"75f" => data <= x"a5"; when "01" & x"760" => data <= x"dc"; when "01" & x"761" => data <= x"18"; when "01" & x"762" => data <= x"69"; when "01" & x"763" => data <= x"08"; when "01" & x"764" => data <= x"85"; when "01" & x"765" => data <= x"dc"; when "01" & x"766" => data <= x"d0"; when "01" & x"767" => data <= x"e5"; when "01" & x"768" => data <= x"8a"; when "01" & x"769" => data <= x"d0"; when "01" & x"76a" => data <= x"db"; when "01" & x"76b" => data <= x"20"; when "01" & x"76c" => data <= x"de"; when "01" & x"76d" => data <= x"d6"; when "01" & x"76e" => data <= x"ac"; when "01" & x"76f" => data <= x"55"; when "01" & x"770" => data <= x"03"; when "01" & x"771" => data <= x"aa"; when "01" & x"772" => data <= x"60"; when "01" & x"773" => data <= x"a2"; when "01" & x"774" => data <= x"20"; when "01" & x"775" => data <= x"20"; when "01" & x"776" => data <= x"20"; when "01" & x"777" => data <= x"d0"; when "01" & x"778" => data <= x"d0"; when "01" & x"779" => data <= x"6a"; when "01" & x"77a" => data <= x"bd"; when "01" & x"77b" => data <= x"02"; when "01" & x"77c" => data <= x"03"; when "01" & x"77d" => data <= x"49"; when "01" & x"77e" => data <= x"ff"; when "01" & x"77f" => data <= x"a8"; when "01" & x"780" => data <= x"29"; when "01" & x"781" => data <= x"07"; when "01" & x"782" => data <= x"8d"; when "01" & x"783" => data <= x"1a"; when "01" & x"784" => data <= x"03"; when "01" & x"785" => data <= x"98"; when "01" & x"786" => data <= x"4a"; when "01" & x"787" => data <= x"4a"; when "01" & x"788" => data <= x"4a"; when "01" & x"789" => data <= x"0a"; when "01" & x"78a" => data <= x"a8"; when "01" & x"78b" => data <= x"b9"; when "01" & x"78c" => data <= x"6d"; when "01" & x"78d" => data <= x"c3"; when "01" & x"78e" => data <= x"85"; when "01" & x"78f" => data <= x"d8"; when "01" & x"790" => data <= x"b9"; when "01" & x"791" => data <= x"6e"; when "01" & x"792" => data <= x"c3"; when "01" & x"793" => data <= x"ac"; when "01" & x"794" => data <= x"56"; when "01" & x"795" => data <= x"03"; when "01" & x"796" => data <= x"f0"; when "01" & x"797" => data <= x"03"; when "01" & x"798" => data <= x"46"; when "01" & x"799" => data <= x"d8"; when "01" & x"79a" => data <= x"6a"; when "01" & x"79b" => data <= x"6d"; when "01" & x"79c" => data <= x"50"; when "01" & x"79d" => data <= x"03"; when "01" & x"79e" => data <= x"85"; when "01" & x"79f" => data <= x"d4"; when "01" & x"7a0" => data <= x"a5"; when "01" & x"7a1" => data <= x"d8"; when "01" & x"7a2" => data <= x"6d"; when "01" & x"7a3" => data <= x"51"; when "01" & x"7a4" => data <= x"03"; when "01" & x"7a5" => data <= x"85"; when "01" & x"7a6" => data <= x"d5"; when "01" & x"7a7" => data <= x"bd"; when "01" & x"7a8" => data <= x"01"; when "01" & x"7a9" => data <= x"03"; when "01" & x"7aa" => data <= x"85"; when "01" & x"7ab" => data <= x"d8"; when "01" & x"7ac" => data <= x"bd"; when "01" & x"7ad" => data <= x"00"; when "01" & x"7ae" => data <= x"03"; when "01" & x"7af" => data <= x"48"; when "01" & x"7b0" => data <= x"2d"; when "01" & x"7b1" => data <= x"61"; when "01" & x"7b2" => data <= x"03"; when "01" & x"7b3" => data <= x"6d"; when "01" & x"7b4" => data <= x"61"; when "01" & x"7b5" => data <= x"03"; when "01" & x"7b6" => data <= x"a8"; when "01" & x"7b7" => data <= x"b9"; when "01" & x"7b8" => data <= x"c1"; when "01" & x"7b9" => data <= x"c3"; when "01" & x"7ba" => data <= x"85"; when "01" & x"7bb" => data <= x"d1"; when "01" & x"7bc" => data <= x"68"; when "01" & x"7bd" => data <= x"ac"; when "01" & x"7be" => data <= x"61"; when "01" & x"7bf" => data <= x"03"; when "01" & x"7c0" => data <= x"c0"; when "01" & x"7c1" => data <= x"03"; when "01" & x"7c2" => data <= x"f0"; when "01" & x"7c3" => data <= x"05"; when "01" & x"7c4" => data <= x"b0"; when "01" & x"7c5" => data <= x"06"; when "01" & x"7c6" => data <= x"0a"; when "01" & x"7c7" => data <= x"26"; when "01" & x"7c8" => data <= x"d8"; when "01" & x"7c9" => data <= x"0a"; when "01" & x"7ca" => data <= x"26"; when "01" & x"7cb" => data <= x"d8"; when "01" & x"7cc" => data <= x"29"; when "01" & x"7cd" => data <= x"f8"; when "01" & x"7ce" => data <= x"18"; when "01" & x"7cf" => data <= x"65"; when "01" & x"7d0" => data <= x"d4"; when "01" & x"7d1" => data <= x"85"; when "01" & x"7d2" => data <= x"d4"; when "01" & x"7d3" => data <= x"a5"; when "01" & x"7d4" => data <= x"d8"; when "01" & x"7d5" => data <= x"65"; when "01" & x"7d6" => data <= x"d5"; when "01" & x"7d7" => data <= x"10"; when "01" & x"7d8" => data <= x"04"; when "01" & x"7d9" => data <= x"38"; when "01" & x"7da" => data <= x"ed"; when "01" & x"7db" => data <= x"54"; when "01" & x"7dc" => data <= x"03"; when "01" & x"7dd" => data <= x"85"; when "01" & x"7de" => data <= x"d5"; when "01" & x"7df" => data <= x"ac"; when "01" & x"7e0" => data <= x"1a"; when "01" & x"7e1" => data <= x"03"; when "01" & x"7e2" => data <= x"a9"; when "01" & x"7e3" => data <= x"00"; when "01" & x"7e4" => data <= x"60"; when "01" & x"7e5" => data <= x"48"; when "01" & x"7e6" => data <= x"a9"; when "01" & x"7e7" => data <= x"a0"; when "01" & x"7e8" => data <= x"ae"; when "01" & x"7e9" => data <= x"6a"; when "01" & x"7ea" => data <= x"02"; when "01" & x"7eb" => data <= x"d0"; when "01" & x"7ec" => data <= x"45"; when "01" & x"7ed" => data <= x"24"; when "01" & x"7ee" => data <= x"d0"; when "01" & x"7ef" => data <= x"d0"; when "01" & x"7f0" => data <= x"41"; when "01" & x"7f1" => data <= x"20"; when "01" & x"7f2" => data <= x"de"; when "01" & x"7f3" => data <= x"d6"; when "01" & x"7f4" => data <= x"70"; when "01" & x"7f5" => data <= x"12"; when "01" & x"7f6" => data <= x"a2"; when "01" & x"7f7" => data <= x"18"; when "01" & x"7f8" => data <= x"a0"; when "01" & x"7f9" => data <= x"64"; when "01" & x"7fa" => data <= x"20"; when "01" & x"7fb" => data <= x"99"; when "01" & x"7fc" => data <= x"d3"; when "01" & x"7fd" => data <= x"20"; when "01" & x"7fe" => data <= x"c1"; when "01" & x"7ff" => data <= x"cc"; when "01" & x"800" => data <= x"a9"; when "01" & x"801" => data <= x"02"; when "01" & x"802" => data <= x"20"; when "01" & x"803" => data <= x"23"; when "01" & x"804" => data <= x"c5"; when "01" & x"805" => data <= x"0e"; when "01" & x"806" => data <= x"5f"; when "01" & x"807" => data <= x"03"; when "01" & x"808" => data <= x"a9"; when "01" & x"809" => data <= x"bf"; when "01" & x"80a" => data <= x"20"; when "01" & x"80b" => data <= x"30"; when "01" & x"80c" => data <= x"c5"; when "01" & x"80d" => data <= x"68"; when "01" & x"80e" => data <= x"29"; when "01" & x"80f" => data <= x"7f"; when "01" & x"810" => data <= x"20"; when "01" & x"811" => data <= x"33"; when "01" & x"812" => data <= x"c4"; when "01" & x"813" => data <= x"a9"; when "01" & x"814" => data <= x"40"; when "01" & x"815" => data <= x"20"; when "01" & x"816" => data <= x"23"; when "01" & x"817" => data <= x"c5"; when "01" & x"818" => data <= x"4c"; when "01" & x"819" => data <= x"de"; when "01" & x"81a" => data <= x"d6"; when "01" & x"81b" => data <= x"a9"; when "01" & x"81c" => data <= x"20"; when "01" & x"81d" => data <= x"24"; when "01" & x"81e" => data <= x"d0"; when "01" & x"81f" => data <= x"50"; when "01" & x"820" => data <= x"c1"; when "01" & x"821" => data <= x"d0"; when "01" & x"822" => data <= x"bf"; when "01" & x"823" => data <= x"20"; when "01" & x"824" => data <= x"11"; when "01" & x"825" => data <= x"d7"; when "01" & x"826" => data <= x"f0"; when "01" & x"827" => data <= x"0b"; when "01" & x"828" => data <= x"48"; when "01" & x"829" => data <= x"20"; when "01" & x"82a" => data <= x"de"; when "01" & x"82b" => data <= x"d6"; when "01" & x"82c" => data <= x"20"; when "01" & x"82d" => data <= x"e2"; when "01" & x"82e" => data <= x"c5"; when "01" & x"82f" => data <= x"20"; when "01" & x"830" => data <= x"de"; when "01" & x"831" => data <= x"d6"; when "01" & x"832" => data <= x"68"; when "01" & x"833" => data <= x"60"; when "01" & x"834" => data <= x"ae"; when "01" & x"835" => data <= x"55"; when "01" & x"836" => data <= x"03"; when "01" & x"837" => data <= x"8a"; when "01" & x"838" => data <= x"29"; when "01" & x"839" => data <= x"07"; when "01" & x"83a" => data <= x"a8"; when "01" & x"83b" => data <= x"be"; when "01" & x"83c" => data <= x"fb"; when "01" & x"83d" => data <= x"c3"; when "01" & x"83e" => data <= x"bd"; when "01" & x"83f" => data <= x"0b"; when "01" & x"840" => data <= x"c4"; when "01" & x"841" => data <= x"a2"; when "01" & x"842" => data <= x"00"; when "01" & x"843" => data <= x"a8"; when "01" & x"844" => data <= x"60"; when "01" & x"845" => data <= x"7e"; when "01" & x"846" => data <= x"e0"; when "01" & x"847" => data <= x"33"; when "01" & x"848" => data <= x"db"; when "01" & x"849" => data <= x"47"; when "01" & x"84a" => data <= x"db"; when "01" & x"84b" => data <= x"89"; when "01" & x"84c" => data <= x"db"; when "01" & x"84d" => data <= x"14"; when "01" & x"84e" => data <= x"dd"; when "01" & x"84f" => data <= x"44"; when "01" & x"850" => data <= x"e5"; when "01" & x"851" => data <= x"bc"; when "01" & x"852" => data <= x"e5"; when "01" & x"853" => data <= x"2d"; when "01" & x"854" => data <= x"de"; when "01" & x"855" => data <= x"50"; when "01" & x"856" => data <= x"dc"; when "01" & x"857" => data <= x"d6"; when "01" & x"858" => data <= x"f1"; when "01" & x"859" => data <= x"cc"; when "01" & x"85a" => data <= x"f0"; when "01" & x"85b" => data <= x"20"; when "01" & x"85c" => data <= x"f4"; when "01" & x"85d" => data <= x"80"; when "01" & x"85e" => data <= x"f4"; when "01" & x"85f" => data <= x"a2"; when "01" & x"860" => data <= x"ff"; when "01" & x"861" => data <= x"20"; when "01" & x"862" => data <= x"f3"; when "01" & x"863" => data <= x"e8"; when "01" & x"864" => data <= x"f0"; when "01" & x"865" => data <= x"a2"; when "01" & x"866" => data <= x"ff"; when "01" & x"867" => data <= x"a2"; when "01" & x"868" => data <= x"ff"; when "01" & x"869" => data <= x"a2"; when "01" & x"86a" => data <= x"ff"; when "01" & x"86b" => data <= x"a2"; when "01" & x"86c" => data <= x"ff"; when "01" & x"86d" => data <= x"df"; when "01" & x"86e" => data <= x"ea"; when "01" & x"86f" => data <= x"21"; when "01" & x"870" => data <= x"e2"; when "01" & x"871" => data <= x"d2"; when "01" & x"872" => data <= x"e1"; when "01" & x"873" => data <= x"41"; when "01" & x"874" => data <= x"df"; when "01" & x"875" => data <= x"a2"; when "01" & x"876" => data <= x"ff"; when "01" & x"877" => data <= x"a2"; when "01" & x"878" => data <= x"ff"; when "01" & x"879" => data <= x"a2"; when "01" & x"87a" => data <= x"ff"; when "01" & x"87b" => data <= x"90"; when "01" & x"87c" => data <= x"01"; when "01" & x"87d" => data <= x"9f"; when "01" & x"87e" => data <= x"0d"; when "01" & x"87f" => data <= x"a0"; when "01" & x"880" => data <= x"02"; when "01" & x"881" => data <= x"d3"; when "01" & x"882" => data <= x"ed"; when "01" & x"883" => data <= x"00"; when "01" & x"884" => data <= x"03"; when "01" & x"885" => data <= x"00"; when "01" & x"886" => data <= x"00"; when "01" & x"887" => data <= x"ff"; when "01" & x"888" => data <= x"00"; when "01" & x"889" => data <= x"00"; when "01" & x"88a" => data <= x"00"; when "01" & x"88b" => data <= x"00"; when "01" & x"88c" => data <= x"00"; when "01" & x"88d" => data <= x"00"; when "01" & x"88e" => data <= x"00"; when "01" & x"88f" => data <= x"00"; when "01" & x"890" => data <= x"ff"; when "01" & x"891" => data <= x"04"; when "01" & x"892" => data <= x"04"; when "01" & x"893" => data <= x"00"; when "01" & x"894" => data <= x"ff"; when "01" & x"895" => data <= x"00"; when "01" & x"896" => data <= x"19"; when "01" & x"897" => data <= x"19"; when "01" & x"898" => data <= x"19"; when "01" & x"899" => data <= x"32"; when "01" & x"89a" => data <= x"08"; when "01" & x"89b" => data <= x"00"; when "01" & x"89c" => data <= x"00"; when "01" & x"89d" => data <= x"00"; when "01" & x"89e" => data <= x"00"; when "01" & x"89f" => data <= x"00"; when "01" & x"8a0" => data <= x"ff"; when "01" & x"8a1" => data <= x"00"; when "01" & x"8a2" => data <= x"00"; when "01" & x"8a3" => data <= x"00"; when "01" & x"8a4" => data <= x"00"; when "01" & x"8a5" => data <= x"00"; when "01" & x"8a6" => data <= x"50"; when "01" & x"8a7" => data <= x"00"; when "01" & x"8a8" => data <= x"03"; when "01" & x"8a9" => data <= x"90"; when "01" & x"8aa" => data <= x"64"; when "01" & x"8ab" => data <= x"06"; when "01" & x"8ac" => data <= x"81"; when "01" & x"8ad" => data <= x"00"; when "01" & x"8ae" => data <= x"00"; when "01" & x"8af" => data <= x"00"; when "01" & x"8b0" => data <= x"00"; when "01" & x"8b1" => data <= x"1b"; when "01" & x"8b2" => data <= x"01"; when "01" & x"8b3" => data <= x"d0"; when "01" & x"8b4" => data <= x"e0"; when "01" & x"8b5" => data <= x"f0"; when "01" & x"8b6" => data <= x"01"; when "01" & x"8b7" => data <= x"01"; when "01" & x"8b8" => data <= x"01"; when "01" & x"8b9" => data <= x"00"; when "01" & x"8ba" => data <= x"00"; when "01" & x"8bb" => data <= x"00"; when "01" & x"8bc" => data <= x"ff"; when "01" & x"8bd" => data <= x"ff"; when "01" & x"8be" => data <= x"ff"; when "01" & x"8bf" => data <= x"00"; when "01" & x"8c0" => data <= x"00"; when "01" & x"8c1" => data <= x"00"; when "01" & x"8c2" => data <= x"00"; when "01" & x"8c3" => data <= x"00"; when "01" & x"8c4" => data <= x"00"; when "01" & x"8c5" => data <= x"00"; when "01" & x"8c6" => data <= x"00"; when "01" & x"8c7" => data <= x"00"; when "01" & x"8c8" => data <= x"05"; when "01" & x"8c9" => data <= x"ff"; when "01" & x"8ca" => data <= x"00"; when "01" & x"8cb" => data <= x"0a"; when "01" & x"8cc" => data <= x"00"; when "01" & x"8cd" => data <= x"00"; when "01" & x"8ce" => data <= x"00"; when "01" & x"8cf" => data <= x"00"; when "01" & x"8d0" => data <= x"00"; when "01" & x"8d1" => data <= x"ff"; when "01" & x"8d2" => data <= x"a9"; when "01" & x"8d3" => data <= x"40"; when "01" & x"8d4" => data <= x"8d"; when "01" & x"8d5" => data <= x"00"; when "01" & x"8d6" => data <= x"0d"; when "01" & x"8d7" => data <= x"78"; when "01" & x"8d8" => data <= x"d8"; when "01" & x"8d9" => data <= x"a2"; when "01" & x"8da" => data <= x"ff"; when "01" & x"8db" => data <= x"9a"; when "01" & x"8dc" => data <= x"e8"; when "01" & x"8dd" => data <= x"8e"; when "01" & x"8de" => data <= x"00"; when "01" & x"8df" => data <= x"fe"; when "01" & x"8e0" => data <= x"8e"; when "01" & x"8e1" => data <= x"8d"; when "01" & x"8e2" => data <= x"02"; when "01" & x"8e3" => data <= x"a9"; when "01" & x"8e4" => data <= x"f8"; when "01" & x"8e5" => data <= x"8d"; when "01" & x"8e6" => data <= x"05"; when "01" & x"8e7" => data <= x"fe"; when "01" & x"8e8" => data <= x"ad"; when "01" & x"8e9" => data <= x"00"; when "01" & x"8ea" => data <= x"fe"; when "01" & x"8eb" => data <= x"29"; when "01" & x"8ec" => data <= x"02"; when "01" & x"8ed" => data <= x"49"; when "01" & x"8ee" => data <= x"02"; when "01" & x"8ef" => data <= x"48"; when "01" & x"8f0" => data <= x"f0"; when "01" & x"8f1" => data <= x"09"; when "01" & x"8f2" => data <= x"ad"; when "01" & x"8f3" => data <= x"58"; when "01" & x"8f4" => data <= x"02"; when "01" & x"8f5" => data <= x"4a"; when "01" & x"8f6" => data <= x"c9"; when "01" & x"8f7" => data <= x"01"; when "01" & x"8f8" => data <= x"d0"; when "01" & x"8f9" => data <= x"18"; when "01" & x"8fa" => data <= x"4a"; when "01" & x"8fb" => data <= x"a2"; when "01" & x"8fc" => data <= x"04"; when "01" & x"8fd" => data <= x"86"; when "01" & x"8fe" => data <= x"01"; when "01" & x"8ff" => data <= x"85"; when "01" & x"900" => data <= x"00"; when "01" & x"901" => data <= x"a8"; when "01" & x"902" => data <= x"91"; when "01" & x"903" => data <= x"00"; when "01" & x"904" => data <= x"c8"; when "01" & x"905" => data <= x"d0"; when "01" & x"906" => data <= x"fb"; when "01" & x"907" => data <= x"c8"; when "01" & x"908" => data <= x"e6"; when "01" & x"909" => data <= x"01"; when "01" & x"90a" => data <= x"10"; when "01" & x"90b" => data <= x"f6"; when "01" & x"90c" => data <= x"8d"; when "01" & x"90d" => data <= x"8e"; when "01" & x"90e" => data <= x"02"; when "01" & x"90f" => data <= x"8e"; when "01" & x"910" => data <= x"84"; when "01" & x"911" => data <= x"02"; when "01" & x"912" => data <= x"a2"; when "01" & x"913" => data <= x"3a"; when "01" & x"914" => data <= x"20"; when "01" & x"915" => data <= x"1e"; when "01" & x"916" => data <= x"ec"; when "01" & x"917" => data <= x"08"; when "01" & x"918" => data <= x"68"; when "01" & x"919" => data <= x"0a"; when "01" & x"91a" => data <= x"a2"; when "01" & x"91b" => data <= x"9b"; when "01" & x"91c" => data <= x"a0"; when "01" & x"91d" => data <= x"8d"; when "01" & x"91e" => data <= x"68"; when "01" & x"91f" => data <= x"f0"; when "01" & x"920" => data <= x"09"; when "01" & x"921" => data <= x"a0"; when "01" & x"922" => data <= x"7e"; when "01" & x"923" => data <= x"90"; when "01" & x"924" => data <= x"0f"; when "01" & x"925" => data <= x"a0"; when "01" & x"926" => data <= x"87"; when "01" & x"927" => data <= x"ee"; when "01" & x"928" => data <= x"8d"; when "01" & x"929" => data <= x"02"; when "01" & x"92a" => data <= x"ee"; when "01" & x"92b" => data <= x"8d"; when "01" & x"92c" => data <= x"02"; when "01" & x"92d" => data <= x"a9"; when "01" & x"92e" => data <= x"ff"; when "01" & x"92f" => data <= x"8d"; when "01" & x"930" => data <= x"8f"; when "01" & x"931" => data <= x"02"; when "01" & x"932" => data <= x"a2"; when "01" & x"933" => data <= x"90"; when "01" & x"934" => data <= x"a9"; when "01" & x"935" => data <= x"00"; when "01" & x"936" => data <= x"e0"; when "01" & x"937" => data <= x"c3"; when "01" & x"938" => data <= x"90"; when "01" & x"939" => data <= x"02"; when "01" & x"93a" => data <= x"a9"; when "01" & x"93b" => data <= x"ff"; when "01" & x"93c" => data <= x"9d"; when "01" & x"93d" => data <= x"00"; when "01" & x"93e" => data <= x"02"; when "01" & x"93f" => data <= x"e8"; when "01" & x"940" => data <= x"d0"; when "01" & x"941" => data <= x"f4"; when "01" & x"942" => data <= x"8e"; when "01" & x"943" => data <= x"f7"; when "01" & x"944" => data <= x"02"; when "01" & x"945" => data <= x"8a"; when "01" & x"946" => data <= x"a2"; when "01" & x"947" => data <= x"e2"; when "01" & x"948" => data <= x"95"; when "01" & x"949" => data <= x"00"; when "01" & x"94a" => data <= x"e8"; when "01" & x"94b" => data <= x"d0"; when "01" & x"94c" => data <= x"fb"; when "01" & x"94d" => data <= x"b9"; when "01" & x"94e" => data <= x"44"; when "01" & x"94f" => data <= x"d8"; when "01" & x"950" => data <= x"99"; when "01" & x"951" => data <= x"ff"; when "01" & x"952" => data <= x"01"; when "01" & x"953" => data <= x"88"; when "01" & x"954" => data <= x"d0"; when "01" & x"955" => data <= x"f7"; when "01" & x"956" => data <= x"a9"; when "01" & x"957" => data <= x"07"; when "01" & x"958" => data <= x"85"; when "01" & x"959" => data <= x"ed"; when "01" & x"95a" => data <= x"84"; when "01" & x"95b" => data <= x"fc"; when "01" & x"95c" => data <= x"58"; when "01" & x"95d" => data <= x"78"; when "01" & x"95e" => data <= x"a5"; when "01" & x"95f" => data <= x"fc"; when "01" & x"960" => data <= x"f0"; when "01" & x"961" => data <= x"03"; when "01" & x"962" => data <= x"20"; when "01" & x"963" => data <= x"c2"; when "01" & x"964" => data <= x"ee"; when "01" & x"965" => data <= x"ad"; when "01" & x"966" => data <= x"8f"; when "01" & x"967" => data <= x"02"; when "01" & x"968" => data <= x"20"; when "01" & x"969" => data <= x"da"; when "01" & x"96a" => data <= x"da"; when "01" & x"96b" => data <= x"09"; when "01" & x"96c" => data <= x"84"; when "01" & x"96d" => data <= x"8d"; when "01" & x"96e" => data <= x"82"; when "01" & x"96f" => data <= x"02"; when "01" & x"970" => data <= x"8d"; when "01" & x"971" => data <= x"07"; when "01" & x"972" => data <= x"fe"; when "01" & x"973" => data <= x"8d"; when "01" & x"974" => data <= x"04"; when "01" & x"975" => data <= x"fe"; when "01" & x"976" => data <= x"a2"; when "01" & x"977" => data <= x"0c"; when "01" & x"978" => data <= x"8e"; when "01" & x"979" => data <= x"5b"; when "01" & x"97a" => data <= x"02"; when "01" & x"97b" => data <= x"8e"; when "01" & x"97c" => data <= x"00"; when "01" & x"97d" => data <= x"fe"; when "01" & x"97e" => data <= x"20"; when "01" & x"97f" => data <= x"76"; when "01" & x"980" => data <= x"e9"; when "01" & x"981" => data <= x"ae"; when "01" & x"982" => data <= x"84"; when "01" & x"983" => data <= x"02"; when "01" & x"984" => data <= x"f0"; when "01" & x"985" => data <= x"03"; when "01" & x"986" => data <= x"20"; when "01" & x"987" => data <= x"c0"; when "01" & x"988" => data <= x"e7"; when "01" & x"989" => data <= x"a2"; when "01" & x"98a" => data <= x"00"; when "01" & x"98b" => data <= x"20"; when "01" & x"98c" => data <= x"9f"; when "01" & x"98d" => data <= x"e3"; when "01" & x"98e" => data <= x"a2"; when "01" & x"98f" => data <= x"03"; when "01" & x"990" => data <= x"ac"; when "01" & x"991" => data <= x"07"; when "01" & x"992" => data <= x"80"; when "01" & x"993" => data <= x"b9"; when "01" & x"994" => data <= x"00"; when "01" & x"995" => data <= x"80"; when "01" & x"996" => data <= x"dd"; when "01" & x"997" => data <= x"97"; when "01" & x"998" => data <= x"dc"; when "01" & x"999" => data <= x"d0"; when "01" & x"99a" => data <= x"34"; when "01" & x"99b" => data <= x"c8"; when "01" & x"99c" => data <= x"ca"; when "01" & x"99d" => data <= x"10"; when "01" & x"99e" => data <= x"f4"; when "01" & x"99f" => data <= x"a6"; when "01" & x"9a0" => data <= x"f4"; when "01" & x"9a1" => data <= x"8a"; when "01" & x"9a2" => data <= x"a8"; when "01" & x"9a3" => data <= x"c8"; when "01" & x"9a4" => data <= x"c0"; when "01" & x"9a5" => data <= x"10"; when "01" & x"9a6" => data <= x"b0"; when "01" & x"9a7" => data <= x"2b"; when "01" & x"9a8" => data <= x"98"; when "01" & x"9a9" => data <= x"49"; when "01" & x"9aa" => data <= x"ff"; when "01" & x"9ab" => data <= x"85"; when "01" & x"9ac" => data <= x"fa"; when "01" & x"9ad" => data <= x"a9"; when "01" & x"9ae" => data <= x"7f"; when "01" & x"9af" => data <= x"85"; when "01" & x"9b0" => data <= x"fb"; when "01" & x"9b1" => data <= x"20"; when "01" & x"9b2" => data <= x"a9"; when "01" & x"9b3" => data <= x"e3"; when "01" & x"9b4" => data <= x"8c"; when "01" & x"9b5" => data <= x"05"; when "01" & x"9b6" => data <= x"fe"; when "01" & x"9b7" => data <= x"b1"; when "01" & x"9b8" => data <= x"fa"; when "01" & x"9b9" => data <= x"20"; when "01" & x"9ba" => data <= x"a9"; when "01" & x"9bb" => data <= x"e3"; when "01" & x"9bc" => data <= x"8e"; when "01" & x"9bd" => data <= x"05"; when "01" & x"9be" => data <= x"fe"; when "01" & x"9bf" => data <= x"d1"; when "01" & x"9c0" => data <= x"fa"; when "01" & x"9c1" => data <= x"d0"; when "01" & x"9c2" => data <= x"e0"; when "01" & x"9c3" => data <= x"e6"; when "01" & x"9c4" => data <= x"fa"; when "01" & x"9c5" => data <= x"d0"; when "01" & x"9c6" => data <= x"ea"; when "01" & x"9c7" => data <= x"e6"; when "01" & x"9c8" => data <= x"fb"; when "01" & x"9c9" => data <= x"a5"; when "01" & x"9ca" => data <= x"fb"; when "01" & x"9cb" => data <= x"c9"; when "01" & x"9cc" => data <= x"84"; when "01" & x"9cd" => data <= x"90"; when "01" & x"9ce" => data <= x"e2"; when "01" & x"9cf" => data <= x"a6"; when "01" & x"9d0" => data <= x"f4"; when "01" & x"9d1" => data <= x"10"; when "01" & x"9d2" => data <= x"0d"; when "01" & x"9d3" => data <= x"ad"; when "01" & x"9d4" => data <= x"06"; when "01" & x"9d5" => data <= x"80"; when "01" & x"9d6" => data <= x"9d"; when "01" & x"9d7" => data <= x"a0"; when "01" & x"9d8" => data <= x"02"; when "01" & x"9d9" => data <= x"29"; when "01" & x"9da" => data <= x"8f"; when "01" & x"9db" => data <= x"d0"; when "01" & x"9dc" => data <= x"03"; when "01" & x"9dd" => data <= x"8e"; when "01" & x"9de" => data <= x"4b"; when "01" & x"9df" => data <= x"02"; when "01" & x"9e0" => data <= x"e8"; when "01" & x"9e1" => data <= x"e0"; when "01" & x"9e2" => data <= x"10"; when "01" & x"9e3" => data <= x"90"; when "01" & x"9e4" => data <= x"a6"; when "01" & x"9e5" => data <= x"ad"; when "01" & x"9e6" => data <= x"8f"; when "01" & x"9e7" => data <= x"02"; when "01" & x"9e8" => data <= x"20"; when "01" & x"9e9" => data <= x"00"; when "01" & x"9ea" => data <= x"c3"; when "01" & x"9eb" => data <= x"a0"; when "01" & x"9ec" => data <= x"ca"; when "01" & x"9ed" => data <= x"20"; when "01" & x"9ee" => data <= x"5f"; when "01" & x"9ef" => data <= x"e2"; when "01" & x"9f0" => data <= x"20"; when "01" & x"9f1" => data <= x"7b"; when "01" & x"9f2" => data <= x"e8"; when "01" & x"9f3" => data <= x"20"; when "01" & x"9f4" => data <= x"8b"; when "01" & x"9f5" => data <= x"f0"; when "01" & x"9f6" => data <= x"a9"; when "01" & x"9f7" => data <= x"81"; when "01" & x"9f8" => data <= x"8d"; when "01" & x"9f9" => data <= x"e0"; when "01" & x"9fa" => data <= x"fc"; when "01" & x"9fb" => data <= x"ad"; when "01" & x"9fc" => data <= x"e0"; when "01" & x"9fd" => data <= x"fc"; when "01" & x"9fe" => data <= x"6a"; when "01" & x"9ff" => data <= x"90"; when "01" & x"a00" => data <= x"15"; when "01" & x"a01" => data <= x"a9"; when "01" & x"a02" => data <= x"01"; when "01" & x"a03" => data <= x"8d"; when "01" & x"a04" => data <= x"e0"; when "01" & x"a05" => data <= x"fc"; when "01" & x"a06" => data <= x"ad"; when "01" & x"a07" => data <= x"e0"; when "01" & x"a08" => data <= x"fc"; when "01" & x"a09" => data <= x"6a"; when "01" & x"a0a" => data <= x"b0"; when "01" & x"a0b" => data <= x"0a"; when "01" & x"a0c" => data <= x"a2"; when "01" & x"a0d" => data <= x"ff"; when "01" & x"a0e" => data <= x"20"; when "01" & x"a0f" => data <= x"a8"; when "01" & x"a10" => data <= x"f0"; when "01" & x"a11" => data <= x"d0"; when "01" & x"a12" => data <= x"03"; when "01" & x"a13" => data <= x"ce"; when "01" & x"a14" => data <= x"7a"; when "01" & x"a15" => data <= x"02"; when "01" & x"a16" => data <= x"a0"; when "01" & x"a17" => data <= x"0e"; when "01" & x"a18" => data <= x"a2"; when "01" & x"a19" => data <= x"01"; when "01" & x"a1a" => data <= x"20"; when "01" & x"a1b" => data <= x"a8"; when "01" & x"a1c" => data <= x"f0"; when "01" & x"a1d" => data <= x"a2"; when "01" & x"a1e" => data <= x"02"; when "01" & x"a1f" => data <= x"20"; when "01" & x"a20" => data <= x"a8"; when "01" & x"a21" => data <= x"f0"; when "01" & x"a22" => data <= x"8c"; when "01" & x"a23" => data <= x"43"; when "01" & x"a24" => data <= x"02"; when "01" & x"a25" => data <= x"8c"; when "01" & x"a26" => data <= x"44"; when "01" & x"a27" => data <= x"02"; when "01" & x"a28" => data <= x"a2"; when "01" & x"a29" => data <= x"fe"; when "01" & x"a2a" => data <= x"ac"; when "01" & x"a2b" => data <= x"7a"; when "01" & x"a2c" => data <= x"02"; when "01" & x"a2d" => data <= x"20"; when "01" & x"a2e" => data <= x"a8"; when "01" & x"a2f" => data <= x"f0"; when "01" & x"a30" => data <= x"2d"; when "01" & x"a31" => data <= x"67"; when "01" & x"a32" => data <= x"02"; when "01" & x"a33" => data <= x"10"; when "01" & x"a34" => data <= x"1d"; when "01" & x"a35" => data <= x"a0"; when "01" & x"a36" => data <= x"02"; when "01" & x"a37" => data <= x"20"; when "01" & x"a38" => data <= x"34"; when "01" & x"a39" => data <= x"dc"; when "01" & x"a3a" => data <= x"ad"; when "01" & x"a3b" => data <= x"8d"; when "01" & x"a3c" => data <= x"02"; when "01" & x"a3d" => data <= x"f0"; when "01" & x"a3e" => data <= x"0e"; when "01" & x"a3f" => data <= x"a9"; when "01" & x"a40" => data <= x"07"; when "01" & x"a41" => data <= x"20"; when "01" & x"a42" => data <= x"ee"; when "01" & x"a43" => data <= x"ff"; when "01" & x"a44" => data <= x"20"; when "01" & x"a45" => data <= x"de"; when "01" & x"a46" => data <= x"d6"; when "01" & x"a47" => data <= x"20"; when "01" & x"a48" => data <= x"d5"; when "01" & x"a49" => data <= x"ce"; when "01" & x"a4a" => data <= x"20"; when "01" & x"a4b" => data <= x"de"; when "01" & x"a4c" => data <= x"d6"; when "01" & x"a4d" => data <= x"a0"; when "01" & x"a4e" => data <= x"13"; when "01" & x"a4f" => data <= x"20"; when "01" & x"a50" => data <= x"34"; when "01" & x"a51" => data <= x"dc"; when "01" & x"a52" => data <= x"38"; when "01" & x"a53" => data <= x"20"; when "01" & x"a54" => data <= x"7b"; when "01" & x"a55" => data <= x"e8"; when "01" & x"a56" => data <= x"20"; when "01" & x"a57" => data <= x"d1"; when "01" & x"a58" => data <= x"e7"; when "01" & x"a59" => data <= x"08"; when "01" & x"a5a" => data <= x"68"; when "01" & x"a5b" => data <= x"4a"; when "01" & x"a5c" => data <= x"4a"; when "01" & x"a5d" => data <= x"4a"; when "01" & x"a5e" => data <= x"4a"; when "01" & x"a5f" => data <= x"4d"; when "01" & x"a60" => data <= x"8f"; when "01" & x"a61" => data <= x"02"; when "01" & x"a62" => data <= x"29"; when "01" & x"a63" => data <= x"08"; when "01" & x"a64" => data <= x"a8"; when "01" & x"a65" => data <= x"a2"; when "01" & x"a66" => data <= x"03"; when "01" & x"a67" => data <= x"20"; when "01" & x"a68" => data <= x"a8"; when "01" & x"a69" => data <= x"f0"; when "01" & x"a6a" => data <= x"f0"; when "01" & x"a6b" => data <= x"1c"; when "01" & x"a6c" => data <= x"98"; when "01" & x"a6d" => data <= x"d0"; when "01" & x"a6e" => data <= x"14"; when "01" & x"a6f" => data <= x"a9"; when "01" & x"a70" => data <= x"8d"; when "01" & x"a71" => data <= x"20"; when "01" & x"a72" => data <= x"a5"; when "01" & x"a73" => data <= x"e7"; when "01" & x"a74" => data <= x"a2"; when "01" & x"a75" => data <= x"09"; when "01" & x"a76" => data <= x"a0"; when "01" & x"a77" => data <= x"f0"; when "01" & x"a78" => data <= x"ce"; when "01" & x"a79" => data <= x"67"; when "01" & x"a7a" => data <= x"02"; when "01" & x"a7b" => data <= x"20"; when "01" & x"a7c" => data <= x"f7"; when "01" & x"a7d" => data <= x"ff"; when "01" & x"a7e" => data <= x"ee"; when "01" & x"a7f" => data <= x"67"; when "01" & x"a80" => data <= x"02"; when "01" & x"a81" => data <= x"d0"; when "01" & x"a82" => data <= x"05"; when "01" & x"a83" => data <= x"a9"; when "01" & x"a84" => data <= x"00"; when "01" & x"a85" => data <= x"20"; when "01" & x"a86" => data <= x"a7"; when "01" & x"a87" => data <= x"e7"; when "01" & x"a88" => data <= x"ad"; when "01" & x"a89" => data <= x"8d"; when "01" & x"a8a" => data <= x"02"; when "01" & x"a8b" => data <= x"d0"; when "01" & x"a8c" => data <= x"05"; when "01" & x"a8d" => data <= x"ae"; when "01" & x"a8e" => data <= x"8c"; when "01" & x"a8f" => data <= x"02"; when "01" & x"a90" => data <= x"10"; when "01" & x"a91" => data <= x"1e"; when "01" & x"a92" => data <= x"a2"; when "01" & x"a93" => data <= x"0f"; when "01" & x"a94" => data <= x"bd"; when "01" & x"a95" => data <= x"a0"; when "01" & x"a96" => data <= x"02"; when "01" & x"a97" => data <= x"2a"; when "01" & x"a98" => data <= x"30"; when "01" & x"a99" => data <= x"16"; when "01" & x"a9a" => data <= x"ca"; when "01" & x"a9b" => data <= x"10"; when "01" & x"a9c" => data <= x"f7"; when "01" & x"a9d" => data <= x"a9"; when "01" & x"a9e" => data <= x"00"; when "01" & x"a9f" => data <= x"2c"; when "01" & x"aa0" => data <= x"7a"; when "01" & x"aa1" => data <= x"02"; when "01" & x"aa2" => data <= x"30"; when "01" & x"aa3" => data <= x"33"; when "01" & x"aa4" => data <= x"00"; when "01" & x"aa5" => data <= x"f9"; when "01" & x"aa6" => data <= x"4c"; when "01" & x"aa7" => data <= x"61"; when "01" & x"aa8" => data <= x"6e"; when "01" & x"aa9" => data <= x"67"; when "01" & x"aaa" => data <= x"75"; when "01" & x"aab" => data <= x"61"; when "01" & x"aac" => data <= x"67"; when "01" & x"aad" => data <= x"65"; when "01" & x"aae" => data <= x"3f"; when "01" & x"aaf" => data <= x"00"; when "01" & x"ab0" => data <= x"18"; when "01" & x"ab1" => data <= x"08"; when "01" & x"ab2" => data <= x"8e"; when "01" & x"ab3" => data <= x"8c"; when "01" & x"ab4" => data <= x"02"; when "01" & x"ab5" => data <= x"20"; when "01" & x"ab6" => data <= x"9f"; when "01" & x"ab7" => data <= x"e3"; when "01" & x"ab8" => data <= x"a9"; when "01" & x"ab9" => data <= x"80"; when "01" & x"aba" => data <= x"a0"; when "01" & x"abb" => data <= x"08"; when "01" & x"abc" => data <= x"20"; when "01" & x"abd" => data <= x"36"; when "01" & x"abe" => data <= x"dc"; when "01" & x"abf" => data <= x"84"; when "01" & x"ac0" => data <= x"fd"; when "01" & x"ac1" => data <= x"20"; when "01" & x"ac2" => data <= x"e7"; when "01" & x"ac3" => data <= x"ff"; when "01" & x"ac4" => data <= x"20"; when "01" & x"ac5" => data <= x"e7"; when "01" & x"ac6" => data <= x"ff"; when "01" & x"ac7" => data <= x"a9"; when "01" & x"ac8" => data <= x"00"; when "01" & x"ac9" => data <= x"8d"; when "01" & x"aca" => data <= x"5d"; when "01" & x"acb" => data <= x"02"; when "01" & x"acc" => data <= x"28"; when "01" & x"acd" => data <= x"a9"; when "01" & x"ace" => data <= x"01"; when "01" & x"acf" => data <= x"2c"; when "01" & x"ad0" => data <= x"7a"; when "01" & x"ad1" => data <= x"02"; when "01" & x"ad2" => data <= x"30"; when "01" & x"ad3" => data <= x"03"; when "01" & x"ad4" => data <= x"4c"; when "01" & x"ad5" => data <= x"00"; when "01" & x"ad6" => data <= x"80"; when "01" & x"ad7" => data <= x"4c"; when "01" & x"ad8" => data <= x"00"; when "01" & x"ad9" => data <= x"04"; when "01" & x"ada" => data <= x"29"; when "01" & x"adb" => data <= x"07"; when "01" & x"adc" => data <= x"c9"; when "01" & x"add" => data <= x"07"; when "01" & x"ade" => data <= x"90"; when "01" & x"adf" => data <= x"02"; when "01" & x"ae0" => data <= x"a9"; when "01" & x"ae1" => data <= x"06"; when "01" & x"ae2" => data <= x"aa"; when "01" & x"ae3" => data <= x"0a"; when "01" & x"ae4" => data <= x"0a"; when "01" & x"ae5" => data <= x"0a"; when "01" & x"ae6" => data <= x"60"; when "01" & x"ae7" => data <= x"85"; when "01" & x"ae8" => data <= x"fc"; when "01" & x"ae9" => data <= x"68"; when "01" & x"aea" => data <= x"48"; when "01" & x"aeb" => data <= x"29"; when "01" & x"aec" => data <= x"10"; when "01" & x"aed" => data <= x"d0"; when "01" & x"aee" => data <= x"17"; when "01" & x"aef" => data <= x"6c"; when "01" & x"af0" => data <= x"04"; when "01" & x"af1" => data <= x"02"; when "01" & x"af2" => data <= x"a6"; when "01" & x"af3" => data <= x"f4"; when "01" & x"af4" => data <= x"84"; when "01" & x"af5" => data <= x"f4"; when "01" & x"af6" => data <= x"20"; when "01" & x"af7" => data <= x"a9"; when "01" & x"af8" => data <= x"e3"; when "01" & x"af9" => data <= x"8c"; when "01" & x"afa" => data <= x"05"; when "01" & x"afb" => data <= x"fe"; when "01" & x"afc" => data <= x"a0"; when "01" & x"afd" => data <= x"00"; when "01" & x"afe" => data <= x"b1"; when "01" & x"aff" => data <= x"f6"; when "01" & x"b00" => data <= x"48"; when "01" & x"b01" => data <= x"20"; when "01" & x"b02" => data <= x"9f"; when "01" & x"b03" => data <= x"e3"; when "01" & x"b04" => data <= x"68"; when "01" & x"b05" => data <= x"60"; when "01" & x"b06" => data <= x"8a"; when "01" & x"b07" => data <= x"48"; when "01" & x"b08" => data <= x"ba"; when "01" & x"b09" => data <= x"bd"; when "01" & x"b0a" => data <= x"03"; when "01" & x"b0b" => data <= x"01"; when "01" & x"b0c" => data <= x"d8"; when "01" & x"b0d" => data <= x"38"; when "01" & x"b0e" => data <= x"e9"; when "01" & x"b0f" => data <= x"01"; when "01" & x"b10" => data <= x"85"; when "01" & x"b11" => data <= x"fd"; when "01" & x"b12" => data <= x"bd"; when "01" & x"b13" => data <= x"04"; when "01" & x"b14" => data <= x"01"; when "01" & x"b15" => data <= x"e9"; when "01" & x"b16" => data <= x"00"; when "01" & x"b17" => data <= x"85"; when "01" & x"b18" => data <= x"fe"; when "01" & x"b19" => data <= x"86"; when "01" & x"b1a" => data <= x"f0"; when "01" & x"b1b" => data <= x"a2"; when "01" & x"b1c" => data <= x"06"; when "01" & x"b1d" => data <= x"20"; when "01" & x"b1e" => data <= x"a8"; when "01" & x"b1f" => data <= x"f0"; when "01" & x"b20" => data <= x"a5"; when "01" & x"b21" => data <= x"f4"; when "01" & x"b22" => data <= x"8d"; when "01" & x"b23" => data <= x"4a"; when "01" & x"b24" => data <= x"02"; when "01" & x"b25" => data <= x"ae"; when "01" & x"b26" => data <= x"8c"; when "01" & x"b27" => data <= x"02"; when "01" & x"b28" => data <= x"20"; when "01" & x"b29" => data <= x"00"; when "01" & x"b2a" => data <= x"db"; when "01" & x"b2b" => data <= x"68"; when "01" & x"b2c" => data <= x"aa"; when "01" & x"b2d" => data <= x"a5"; when "01" & x"b2e" => data <= x"fc"; when "01" & x"b2f" => data <= x"58"; when "01" & x"b30" => data <= x"6c"; when "01" & x"b31" => data <= x"02"; when "01" & x"b32" => data <= x"02"; when "01" & x"b33" => data <= x"a0"; when "01" & x"b34" => data <= x"00"; when "01" & x"b35" => data <= x"20"; when "01" & x"b36" => data <= x"3c"; when "01" & x"b37" => data <= x"dc"; when "01" & x"b38" => data <= x"ad"; when "01" & x"b39" => data <= x"67"; when "01" & x"b3a" => data <= x"02"; when "01" & x"b3b" => data <= x"6a"; when "01" & x"b3c" => data <= x"b0"; when "01" & x"b3d" => data <= x"fe"; when "01" & x"b3e" => data <= x"20"; when "01" & x"b3f" => data <= x"e7"; when "01" & x"b40" => data <= x"ff"; when "01" & x"b41" => data <= x"20"; when "01" & x"b42" => data <= x"e7"; when "01" & x"b43" => data <= x"ff"; when "01" & x"b44" => data <= x"4c"; when "01" & x"b45" => data <= x"83"; when "01" & x"b46" => data <= x"da"; when "01" & x"b47" => data <= x"d8"; when "01" & x"b48" => data <= x"a5"; when "01" & x"b49" => data <= x"fc"; when "01" & x"b4a" => data <= x"48"; when "01" & x"b4b" => data <= x"8a"; when "01" & x"b4c" => data <= x"48"; when "01" & x"b4d" => data <= x"98"; when "01" & x"b4e" => data <= x"48"; when "01" & x"b4f" => data <= x"a9"; when "01" & x"b50" => data <= x"db"; when "01" & x"b51" => data <= x"48"; when "01" & x"b52" => data <= x"a9"; when "01" & x"b53" => data <= x"81"; when "01" & x"b54" => data <= x"48"; when "01" & x"b55" => data <= x"ac"; when "01" & x"b56" => data <= x"00"; when "01" & x"b57" => data <= x"fe"; when "01" & x"b58" => data <= x"98"; when "01" & x"b59" => data <= x"4a"; when "01" & x"b5a" => data <= x"90"; when "01" & x"b5b" => data <= x"13"; when "01" & x"b5c" => data <= x"98"; when "01" & x"b5d" => data <= x"2d"; when "01" & x"b5e" => data <= x"5b"; when "01" & x"b5f" => data <= x"02"; when "01" & x"b60" => data <= x"29"; when "01" & x"b61" => data <= x"fe"; when "01" & x"b62" => data <= x"aa"; when "01" & x"b63" => data <= x"29"; when "01" & x"b64" => data <= x"0c"; when "01" & x"b65" => data <= x"24"; when "01" & x"b66" => data <= x"25"; when "01" & x"b67" => data <= x"8a"; when "01" & x"b68" => data <= x"29"; when "01" & x"b69" => data <= x"70"; when "01" & x"b6a" => data <= x"f0"; when "01" & x"b6b" => data <= x"20"; when "01" & x"b6c" => data <= x"4c"; when "01" & x"b6d" => data <= x"df"; when "01" & x"b6e" => data <= x"f4"; when "01" & x"b6f" => data <= x"a2"; when "01" & x"b70" => data <= x"05"; when "01" & x"b71" => data <= x"20"; when "01" & x"b72" => data <= x"a8"; when "01" & x"b73" => data <= x"f0"; when "01" & x"b74" => data <= x"f0"; when "01" & x"b75" => data <= x"8f"; when "01" & x"b76" => data <= x"68"; when "01" & x"b77" => data <= x"68"; when "01" & x"b78" => data <= x"68"; when "01" & x"b79" => data <= x"a8"; when "01" & x"b7a" => data <= x"68"; when "01" & x"b7b" => data <= x"aa"; when "01" & x"b7c" => data <= x"68"; when "01" & x"b7d" => data <= x"85"; when "01" & x"b7e" => data <= x"fc"; when "01" & x"b7f" => data <= x"6c"; when "01" & x"b80" => data <= x"06"; when "01" & x"b81" => data <= x"02"; when "01" & x"b82" => data <= x"68"; when "01" & x"b83" => data <= x"a8"; when "01" & x"b84" => data <= x"68"; when "01" & x"b85" => data <= x"aa"; when "01" & x"b86" => data <= x"68"; when "01" & x"b87" => data <= x"85"; when "01" & x"b88" => data <= x"fc"; when "01" & x"b89" => data <= x"a5"; when "01" & x"b8a" => data <= x"fc"; when "01" & x"b8b" => data <= x"40"; when "01" & x"b8c" => data <= x"8a"; when "01" & x"b8d" => data <= x"a0"; when "01" & x"b8e" => data <= x"20"; when "01" & x"b8f" => data <= x"29"; when "01" & x"b90" => data <= x"08"; when "01" & x"b91" => data <= x"d0"; when "01" & x"b92" => data <= x"32"; when "01" & x"b93" => data <= x"8a"; when "01" & x"b94" => data <= x"29"; when "01" & x"b95" => data <= x"04"; when "01" & x"b96" => data <= x"f0"; when "01" & x"b97" => data <= x"d7"; when "01" & x"b98" => data <= x"20"; when "01" & x"b99" => data <= x"8e"; when "01" & x"b9a" => data <= x"c9"; when "01" & x"b9b" => data <= x"ad"; when "01" & x"b9c" => data <= x"51"; when "01" & x"b9d" => data <= x"02"; when "01" & x"b9e" => data <= x"f0"; when "01" & x"b9f" => data <= x"1b"; when "01" & x"ba0" => data <= x"ce"; when "01" & x"ba1" => data <= x"51"; when "01" & x"ba2" => data <= x"02"; when "01" & x"ba3" => data <= x"d0"; when "01" & x"ba4" => data <= x"16"; when "01" & x"ba5" => data <= x"ae"; when "01" & x"ba6" => data <= x"52"; when "01" & x"ba7" => data <= x"02"; when "01" & x"ba8" => data <= x"ad"; when "01" & x"ba9" => data <= x"48"; when "01" & x"baa" => data <= x"02"; when "01" & x"bab" => data <= x"f0"; when "01" & x"bac" => data <= x"03"; when "01" & x"bad" => data <= x"ae"; when "01" & x"bae" => data <= x"53"; when "01" & x"baf" => data <= x"02"; when "01" & x"bb0" => data <= x"49"; when "01" & x"bb1" => data <= x"07"; when "01" & x"bb2" => data <= x"8d"; when "01" & x"bb3" => data <= x"48"; when "01" & x"bb4" => data <= x"02"; when "01" & x"bb5" => data <= x"8e"; when "01" & x"bb6" => data <= x"51"; when "01" & x"bb7" => data <= x"02"; when "01" & x"bb8" => data <= x"20"; when "01" & x"bb9" => data <= x"a3"; when "01" & x"bba" => data <= x"c8"; when "01" & x"bbb" => data <= x"a0"; when "01" & x"bbc" => data <= x"04"; when "01" & x"bbd" => data <= x"20"; when "01" & x"bbe" => data <= x"02"; when "01" & x"bbf" => data <= x"e2"; when "01" & x"bc0" => data <= x"ce"; when "01" & x"bc1" => data <= x"40"; when "01" & x"bc2" => data <= x"02"; when "01" & x"bc3" => data <= x"a0"; when "01" & x"bc4" => data <= x"10"; when "01" & x"bc5" => data <= x"8c"; when "01" & x"bc6" => data <= x"05"; when "01" & x"bc7" => data <= x"fe"; when "01" & x"bc8" => data <= x"ad"; when "01" & x"bc9" => data <= x"83"; when "01" & x"bca" => data <= x"02"; when "01" & x"bcb" => data <= x"aa"; when "01" & x"bcc" => data <= x"49"; when "01" & x"bcd" => data <= x"0f"; when "01" & x"bce" => data <= x"48"; when "01" & x"bcf" => data <= x"a8"; when "01" & x"bd0" => data <= x"38"; when "01" & x"bd1" => data <= x"bd"; when "01" & x"bd2" => data <= x"90"; when "01" & x"bd3" => data <= x"02"; when "01" & x"bd4" => data <= x"69"; when "01" & x"bd5" => data <= x"00"; when "01" & x"bd6" => data <= x"99"; when "01" & x"bd7" => data <= x"90"; when "01" & x"bd8" => data <= x"02"; when "01" & x"bd9" => data <= x"ca"; when "01" & x"bda" => data <= x"f0"; when "01" & x"bdb" => data <= x"03"; when "01" & x"bdc" => data <= x"88"; when "01" & x"bdd" => data <= x"d0"; when "01" & x"bde" => data <= x"f2"; when "01" & x"bdf" => data <= x"68"; when "01" & x"be0" => data <= x"8d"; when "01" & x"be1" => data <= x"83"; when "01" & x"be2" => data <= x"02"; when "01" & x"be3" => data <= x"a2"; when "01" & x"be4" => data <= x"05"; when "01" & x"be5" => data <= x"fe"; when "01" & x"be6" => data <= x"9a"; when "01" & x"be7" => data <= x"02"; when "01" & x"be8" => data <= x"d0"; when "01" & x"be9" => data <= x"08"; when "01" & x"bea" => data <= x"ca"; when "01" & x"beb" => data <= x"d0"; when "01" & x"bec" => data <= x"f8"; when "01" & x"bed" => data <= x"a0"; when "01" & x"bee" => data <= x"05"; when "01" & x"bef" => data <= x"20"; when "01" & x"bf0" => data <= x"02"; when "01" & x"bf1" => data <= x"e2"; when "01" & x"bf2" => data <= x"ad"; when "01" & x"bf3" => data <= x"b0"; when "01" & x"bf4" => data <= x"02"; when "01" & x"bf5" => data <= x"d0"; when "01" & x"bf6" => data <= x"08"; when "01" & x"bf7" => data <= x"ad"; when "01" & x"bf8" => data <= x"b1"; when "01" & x"bf9" => data <= x"02"; when "01" & x"bfa" => data <= x"f0"; when "01" & x"bfb" => data <= x"06"; when "01" & x"bfc" => data <= x"ce"; when "01" & x"bfd" => data <= x"b1"; when "01" & x"bfe" => data <= x"02"; when "01" & x"bff" => data <= x"ce"; when "01" & x"c00" => data <= x"b0"; when "01" & x"c01" => data <= x"02"; when "01" & x"c02" => data <= x"2c"; when "01" & x"c03" => data <= x"78"; when "01" & x"c04" => data <= x"02"; when "01" & x"c05" => data <= x"10"; when "01" & x"c06" => data <= x"0b"; when "01" & x"c07" => data <= x"ee"; when "01" & x"c08" => data <= x"78"; when "01" & x"c09" => data <= x"02"; when "01" & x"c0a" => data <= x"58"; when "01" & x"c0b" => data <= x"20"; when "01" & x"c0c" => data <= x"93"; when "01" & x"c0d" => data <= x"e8"; when "01" & x"c0e" => data <= x"78"; when "01" & x"c0f" => data <= x"ce"; when "01" & x"c10" => data <= x"78"; when "01" & x"c11" => data <= x"02"; when "01" & x"c12" => data <= x"ad"; when "01" & x"c13" => data <= x"42"; when "01" & x"c14" => data <= x"02"; when "01" & x"c15" => data <= x"f0"; when "01" & x"c16" => data <= x"0b"; when "01" & x"c17" => data <= x"a5"; when "01" & x"c18" => data <= x"ec"; when "01" & x"c19" => data <= x"05"; when "01" & x"c1a" => data <= x"ed"; when "01" & x"c1b" => data <= x"18"; when "01" & x"c1c" => data <= x"f0"; when "01" & x"c1d" => data <= x"01"; when "01" & x"c1e" => data <= x"38"; when "01" & x"c1f" => data <= x"20"; when "01" & x"c20" => data <= x"49"; when "01" & x"c21" => data <= x"ec"; when "01" & x"c22" => data <= x"ac"; when "01" & x"c23" => data <= x"49"; when "01" & x"c24" => data <= x"02"; when "01" & x"c25" => data <= x"f0"; when "01" & x"c26" => data <= x"05"; when "01" & x"c27" => data <= x"a2"; when "01" & x"c28" => data <= x"15"; when "01" & x"c29" => data <= x"20"; when "01" & x"c2a" => data <= x"a8"; when "01" & x"c2b" => data <= x"f0"; when "01" & x"c2c" => data <= x"2c"; when "01" & x"c2d" => data <= x"c6"; when "01" & x"c2e" => data <= x"02"; when "01" & x"c2f" => data <= x"30"; when "01" & x"c30" => data <= x"14"; when "01" & x"c31" => data <= x"4c"; when "01" & x"c32" => data <= x"f9"; when "01" & x"c33" => data <= x"de"; when "01" & x"c34" => data <= x"a9"; when "01" & x"c35" => data <= x"c3"; when "01" & x"c36" => data <= x"85"; when "01" & x"c37" => data <= x"fe"; when "01" & x"c38" => data <= x"a9"; when "01" & x"c39" => data <= x"00"; when "01" & x"c3a" => data <= x"85"; when "01" & x"c3b" => data <= x"fd"; when "01" & x"c3c" => data <= x"c8"; when "01" & x"c3d" => data <= x"b1"; when "01" & x"c3e" => data <= x"fd"; when "01" & x"c3f" => data <= x"20"; when "01" & x"c40" => data <= x"e3"; when "01" & x"c41" => data <= x"ff"; when "01" & x"c42" => data <= x"aa"; when "01" & x"c43" => data <= x"d0"; when "01" & x"c44" => data <= x"f7"; when "01" & x"c45" => data <= x"60"; when "01" & x"c46" => data <= x"8e"; when "01" & x"c47" => data <= x"b0"; when "01" & x"c48" => data <= x"02"; when "01" & x"c49" => data <= x"8c"; when "01" & x"c4a" => data <= x"b1"; when "01" & x"c4b" => data <= x"02"; when "01" & x"c4c" => data <= x"a9"; when "01" & x"c4d" => data <= x"ff"; when "01" & x"c4e" => data <= x"d0"; when "01" & x"c4f" => data <= x"02"; when "01" & x"c50" => data <= x"a9"; when "01" & x"c51" => data <= x"00"; when "01" & x"c52" => data <= x"85"; when "01" & x"c53" => data <= x"e6"; when "01" & x"c54" => data <= x"8a"; when "01" & x"c55" => data <= x"48"; when "01" & x"c56" => data <= x"98"; when "01" & x"c57" => data <= x"48"; when "01" & x"c58" => data <= x"ac"; when "01" & x"c59" => data <= x"56"; when "01" & x"c5a" => data <= x"02"; when "01" & x"c5b" => data <= x"f0"; when "01" & x"c5c" => data <= x"14"; when "01" & x"c5d" => data <= x"38"; when "01" & x"c5e" => data <= x"66"; when "01" & x"c5f" => data <= x"eb"; when "01" & x"c60" => data <= x"20"; when "01" & x"c61" => data <= x"d7"; when "01" & x"c62" => data <= x"ff"; when "01" & x"c63" => data <= x"08"; when "01" & x"c64" => data <= x"46"; when "01" & x"c65" => data <= x"eb"; when "01" & x"c66" => data <= x"28"; when "01" & x"c67" => data <= x"90"; when "01" & x"c68" => data <= x"25"; when "01" & x"c69" => data <= x"a9"; when "01" & x"c6a" => data <= x"00"; when "01" & x"c6b" => data <= x"8d"; when "01" & x"c6c" => data <= x"56"; when "01" & x"c6d" => data <= x"02"; when "01" & x"c6e" => data <= x"20"; when "01" & x"c6f" => data <= x"ce"; when "01" & x"c70" => data <= x"ff"; when "01" & x"c71" => data <= x"24"; when "01" & x"c72" => data <= x"ff"; when "01" & x"c73" => data <= x"30"; when "01" & x"c74" => data <= x"16"; when "01" & x"c75" => data <= x"ae"; when "01" & x"c76" => data <= x"41"; when "01" & x"c77" => data <= x"02"; when "01" & x"c78" => data <= x"20"; when "01" & x"c79" => data <= x"2e"; when "01" & x"c7a" => data <= x"e3"; when "01" & x"c7b" => data <= x"90"; when "01" & x"c7c" => data <= x"11"; when "01" & x"c7d" => data <= x"24"; when "01" & x"c7e" => data <= x"e6"; when "01" & x"c7f" => data <= x"50"; when "01" & x"c80" => data <= x"f0"; when "01" & x"c81" => data <= x"ad"; when "01" & x"c82" => data <= x"b0"; when "01" & x"c83" => data <= x"02"; when "01" & x"c84" => data <= x"0d"; when "01" & x"c85" => data <= x"b1"; when "01" & x"c86" => data <= x"02"; when "01" & x"c87" => data <= x"d0"; when "01" & x"c88" => data <= x"e8"; when "01" & x"c89" => data <= x"b0"; when "01" & x"c8a" => data <= x"05"; when "01" & x"c8b" => data <= x"38"; when "01" & x"c8c" => data <= x"a9"; when "01" & x"c8d" => data <= x"1b"; when "01" & x"c8e" => data <= x"85"; when "01" & x"c8f" => data <= x"e6"; when "01" & x"c90" => data <= x"68"; when "01" & x"c91" => data <= x"a8"; when "01" & x"c92" => data <= x"68"; when "01" & x"c93" => data <= x"aa"; when "01" & x"c94" => data <= x"a5"; when "01" & x"c95" => data <= x"e6"; when "01" & x"c96" => data <= x"60"; when "01" & x"c97" => data <= x"29"; when "01" & x"c98" => data <= x"43"; when "01" & x"c99" => data <= x"28"; when "01" & x"c9a" => data <= x"00"; when "01" & x"c9b" => data <= x"2e"; when "01" & x"c9c" => data <= x"dd"; when "01" & x"c9d" => data <= x"ba"; when "01" & x"c9e" => data <= x"05"; when "01" & x"c9f" => data <= x"46"; when "01" & x"ca0" => data <= x"58"; when "01" & x"ca1" => data <= x"e0"; when "01" & x"ca2" => data <= x"b0"; when "01" & x"ca3" => data <= x"ff"; when "01" & x"ca4" => data <= x"42"; when "01" & x"ca5" => data <= x"41"; when "01" & x"ca6" => data <= x"53"; when "01" & x"ca7" => data <= x"49"; when "01" & x"ca8" => data <= x"43"; when "01" & x"ca9" => data <= x"dd"; when "01" & x"caa" => data <= x"a1"; when "01" & x"cab" => data <= x"00"; when "01" & x"cac" => data <= x"43"; when "01" & x"cad" => data <= x"41"; when "01" & x"cae" => data <= x"54"; when "01" & x"caf" => data <= x"dd"; when "01" & x"cb0" => data <= x"ba"; when "01" & x"cb1" => data <= x"05"; when "01" & x"cb2" => data <= x"43"; when "01" & x"cb3" => data <= x"4f"; when "01" & x"cb4" => data <= x"44"; when "01" & x"cb5" => data <= x"45"; when "01" & x"cb6" => data <= x"e0"; when "01" & x"cb7" => data <= x"b6"; when "01" & x"cb8" => data <= x"88"; when "01" & x"cb9" => data <= x"45"; when "01" & x"cba" => data <= x"58"; when "01" & x"cbb" => data <= x"45"; when "01" & x"cbc" => data <= x"43"; when "01" & x"cbd" => data <= x"f5"; when "01" & x"cbe" => data <= x"ea"; when "01" & x"cbf" => data <= x"00"; when "01" & x"cc0" => data <= x"48"; when "01" & x"cc1" => data <= x"45"; when "01" & x"cc2" => data <= x"4c"; when "01" & x"cc3" => data <= x"50"; when "01" & x"cc4" => data <= x"ee"; when "01" & x"cc5" => data <= x"d5"; when "01" & x"cc6" => data <= x"ff"; when "01" & x"cc7" => data <= x"4b"; when "01" & x"cc8" => data <= x"45"; when "01" & x"cc9" => data <= x"59"; when "01" & x"cca" => data <= x"e0"; when "01" & x"ccb" => data <= x"95"; when "01" & x"ccc" => data <= x"ff"; when "01" & x"ccd" => data <= x"4c"; when "01" & x"cce" => data <= x"4f"; when "01" & x"ccf" => data <= x"41"; when "01" & x"cd0" => data <= x"44"; when "01" & x"cd1" => data <= x"df"; when "01" & x"cd2" => data <= x"aa"; when "01" & x"cd3" => data <= x"00"; when "01" & x"cd4" => data <= x"4c"; when "01" & x"cd5" => data <= x"49"; when "01" & x"cd6" => data <= x"4e"; when "01" & x"cd7" => data <= x"45"; when "01" & x"cd8" => data <= x"e4"; when "01" & x"cd9" => data <= x"61"; when "01" & x"cda" => data <= x"01"; when "01" & x"cdb" => data <= x"4d"; when "01" & x"cdc" => data <= x"4f"; when "01" & x"cdd" => data <= x"54"; when "01" & x"cde" => data <= x"4f"; when "01" & x"cdf" => data <= x"52"; when "01" & x"ce0" => data <= x"e0"; when "01" & x"ce1" => data <= x"b6"; when "01" & x"ce2" => data <= x"89"; when "01" & x"ce3" => data <= x"4f"; when "01" & x"ce4" => data <= x"50"; when "01" & x"ce5" => data <= x"54"; when "01" & x"ce6" => data <= x"e0"; when "01" & x"ce7" => data <= x"b6"; when "01" & x"ce8" => data <= x"8b"; when "01" & x"ce9" => data <= x"52"; when "01" & x"cea" => data <= x"55"; when "01" & x"ceb" => data <= x"4e"; when "01" & x"cec" => data <= x"dd"; when "01" & x"ced" => data <= x"ba"; when "01" & x"cee" => data <= x"04"; when "01" & x"cef" => data <= x"52"; when "01" & x"cf0" => data <= x"4f"; when "01" & x"cf1" => data <= x"4d"; when "01" & x"cf2" => data <= x"e0"; when "01" & x"cf3" => data <= x"b6"; when "01" & x"cf4" => data <= x"8d"; when "01" & x"cf5" => data <= x"53"; when "01" & x"cf6" => data <= x"41"; when "01" & x"cf7" => data <= x"56"; when "01" & x"cf8" => data <= x"45"; when "01" & x"cf9" => data <= x"df"; when "01" & x"cfa" => data <= x"ac"; when "01" & x"cfb" => data <= x"00"; when "01" & x"cfc" => data <= x"53"; when "01" & x"cfd" => data <= x"50"; when "01" & x"cfe" => data <= x"4f"; when "01" & x"cff" => data <= x"4f"; when "01" & x"d00" => data <= x"4c"; when "01" & x"d01" => data <= x"df"; when "01" & x"d02" => data <= x"ef"; when "01" & x"d03" => data <= x"00"; when "01" & x"d04" => data <= x"54"; when "01" & x"d05" => data <= x"41"; when "01" & x"d06" => data <= x"50"; when "01" & x"d07" => data <= x"45"; when "01" & x"d08" => data <= x"e0"; when "01" & x"d09" => data <= x"b6"; when "01" & x"d0a" => data <= x"8c"; when "01" & x"d0b" => data <= x"54"; when "01" & x"d0c" => data <= x"56"; when "01" & x"d0d" => data <= x"e0"; when "01" & x"d0e" => data <= x"b6"; when "01" & x"d0f" => data <= x"90"; when "01" & x"d10" => data <= x"dd"; when "01" & x"d11" => data <= x"ba"; when "01" & x"d12" => data <= x"03"; when "01" & x"d13" => data <= x"00"; when "01" & x"d14" => data <= x"86"; when "01" & x"d15" => data <= x"f2"; when "01" & x"d16" => data <= x"84"; when "01" & x"d17" => data <= x"f3"; when "01" & x"d18" => data <= x"a9"; when "01" & x"d19" => data <= x"08"; when "01" & x"d1a" => data <= x"20"; when "01" & x"d1b" => data <= x"ba"; when "01" & x"d1c" => data <= x"dd"; when "01" & x"d1d" => data <= x"a0"; when "01" & x"d1e" => data <= x"00"; when "01" & x"d1f" => data <= x"b1"; when "01" & x"d20" => data <= x"f2"; when "01" & x"d21" => data <= x"c9"; when "01" & x"d22" => data <= x"0d"; when "01" & x"d23" => data <= x"f0"; when "01" & x"d24" => data <= x"04"; when "01" & x"d25" => data <= x"c8"; when "01" & x"d26" => data <= x"d0"; when "01" & x"d27" => data <= x"f7"; when "01" & x"d28" => data <= x"60"; when "01" & x"d29" => data <= x"a0"; when "01" & x"d2a" => data <= x"ff"; when "01" & x"d2b" => data <= x"20"; when "01" & x"d2c" => data <= x"c2"; when "01" & x"d2d" => data <= x"dd"; when "01" & x"d2e" => data <= x"f0"; when "01" & x"d2f" => data <= x"70"; when "01" & x"d30" => data <= x"c9"; when "01" & x"d31" => data <= x"2a"; when "01" & x"d32" => data <= x"f0"; when "01" & x"d33" => data <= x"f7"; when "01" & x"d34" => data <= x"20"; when "01" & x"d35" => data <= x"c3"; when "01" & x"d36" => data <= x"dd"; when "01" & x"d37" => data <= x"f0"; when "01" & x"d38" => data <= x"67"; when "01" & x"d39" => data <= x"c9"; when "01" & x"d3a" => data <= x"7c"; when "01" & x"d3b" => data <= x"f0"; when "01" & x"d3c" => data <= x"63"; when "01" & x"d3d" => data <= x"c9"; when "01" & x"d3e" => data <= x"2f"; when "01" & x"d3f" => data <= x"d0"; when "01" & x"d40" => data <= x"08"; when "01" & x"d41" => data <= x"c8"; when "01" & x"d42" => data <= x"20"; when "01" & x"d43" => data <= x"92"; when "01" & x"d44" => data <= x"dd"; when "01" & x"d45" => data <= x"a9"; when "01" & x"d46" => data <= x"02"; when "01" & x"d47" => data <= x"d0"; when "01" & x"d48" => data <= x"71"; when "01" & x"d49" => data <= x"84"; when "01" & x"d4a" => data <= x"e6"; when "01" & x"d4b" => data <= x"a2"; when "01" & x"d4c" => data <= x"00"; when "01" & x"d4d" => data <= x"f0"; when "01" & x"d4e" => data <= x"13"; when "01" & x"d4f" => data <= x"5d"; when "01" & x"d50" => data <= x"9b"; when "01" & x"d51" => data <= x"dc"; when "01" & x"d52" => data <= x"29"; when "01" & x"d53" => data <= x"df"; when "01" & x"d54" => data <= x"d0"; when "01" & x"d55" => data <= x"17"; when "01" & x"d56" => data <= x"c8"; when "01" & x"d57" => data <= x"18"; when "01" & x"d58" => data <= x"b0"; when "01" & x"d59" => data <= x"23"; when "01" & x"d5a" => data <= x"e8"; when "01" & x"d5b" => data <= x"b1"; when "01" & x"d5c" => data <= x"f2"; when "01" & x"d5d" => data <= x"20"; when "01" & x"d5e" => data <= x"51"; when "01" & x"d5f" => data <= x"e2"; when "01" & x"d60" => data <= x"90"; when "01" & x"d61" => data <= x"ed"; when "01" & x"d62" => data <= x"bd"; when "01" & x"d63" => data <= x"9b"; when "01" & x"d64" => data <= x"dc"; when "01" & x"d65" => data <= x"30"; when "01" & x"d66" => data <= x"18"; when "01" & x"d67" => data <= x"b1"; when "01" & x"d68" => data <= x"f2"; when "01" & x"d69" => data <= x"c9"; when "01" & x"d6a" => data <= x"2e"; when "01" & x"d6b" => data <= x"f0"; when "01" & x"d6c" => data <= x"04"; when "01" & x"d6d" => data <= x"18"; when "01" & x"d6e" => data <= x"a4"; when "01" & x"d6f" => data <= x"e6"; when "01" & x"d70" => data <= x"88"; when "01" & x"d71" => data <= x"c8"; when "01" & x"d72" => data <= x"e8"; when "01" & x"d73" => data <= x"e8"; when "01" & x"d74" => data <= x"bd"; when "01" & x"d75" => data <= x"99"; when "01" & x"d76" => data <= x"dc"; when "01" & x"d77" => data <= x"f0"; when "01" & x"d78" => data <= x"31"; when "01" & x"d79" => data <= x"10"; when "01" & x"d7a" => data <= x"f8"; when "01" & x"d7b" => data <= x"30"; when "01" & x"d7c" => data <= x"db"; when "01" & x"d7d" => data <= x"ca"; when "01" & x"d7e" => data <= x"ca"; when "01" & x"d7f" => data <= x"48"; when "01" & x"d80" => data <= x"bd"; when "01" & x"d81" => data <= x"9c"; when "01" & x"d82" => data <= x"dc"; when "01" & x"d83" => data <= x"48"; when "01" & x"d84" => data <= x"20"; when "01" & x"d85" => data <= x"c3"; when "01" & x"d86" => data <= x"dd"; when "01" & x"d87" => data <= x"18"; when "01" & x"d88" => data <= x"08"; when "01" & x"d89" => data <= x"20"; when "01" & x"d8a" => data <= x"8d"; when "01" & x"d8b" => data <= x"dd"; when "01" & x"d8c" => data <= x"40"; when "01" & x"d8d" => data <= x"bd"; when "01" & x"d8e" => data <= x"9d"; when "01" & x"d8f" => data <= x"dc"; when "01" & x"d90" => data <= x"30"; when "01" & x"d91" => data <= x"0e"; when "01" & x"d92" => data <= x"98"; when "01" & x"d93" => data <= x"bc"; when "01" & x"d94" => data <= x"9d"; when "01" & x"d95" => data <= x"dc"; when "01" & x"d96" => data <= x"18"; when "01" & x"d97" => data <= x"65"; when "01" & x"d98" => data <= x"f2"; when "01" & x"d99" => data <= x"aa"; when "01" & x"d9a" => data <= x"98"; when "01" & x"d9b" => data <= x"a4"; when "01" & x"d9c" => data <= x"f3"; when "01" & x"d9d" => data <= x"90"; when "01" & x"d9e" => data <= x"01"; when "01" & x"d9f" => data <= x"c8"; when "01" & x"da0" => data <= x"60"; when "01" & x"da1" => data <= x"ae"; when "01" & x"da2" => data <= x"4b"; when "01" & x"da3" => data <= x"02"; when "01" & x"da4" => data <= x"30"; when "01" & x"da5" => data <= x"04"; when "01" & x"da6" => data <= x"38"; when "01" & x"da7" => data <= x"4c"; when "01" & x"da8" => data <= x"b1"; when "01" & x"da9" => data <= x"da"; when "01" & x"daa" => data <= x"a4"; when "01" & x"dab" => data <= x"e6"; when "01" & x"dac" => data <= x"a2"; when "01" & x"dad" => data <= x"04"; when "01" & x"dae" => data <= x"20"; when "01" & x"daf" => data <= x"a8"; when "01" & x"db0" => data <= x"f0"; when "01" & x"db1" => data <= x"f0"; when "01" & x"db2" => data <= x"ed"; when "01" & x"db3" => data <= x"a5"; when "01" & x"db4" => data <= x"e6"; when "01" & x"db5" => data <= x"20"; when "01" & x"db6" => data <= x"96"; when "01" & x"db7" => data <= x"dd"; when "01" & x"db8" => data <= x"a9"; when "01" & x"db9" => data <= x"03"; when "01" & x"dba" => data <= x"6c"; when "01" & x"dbb" => data <= x"1e"; when "01" & x"dbc" => data <= x"02"; when "01" & x"dbd" => data <= x"0a"; when "01" & x"dbe" => data <= x"29"; when "01" & x"dbf" => data <= x"01"; when "01" & x"dc0" => data <= x"10"; when "01" & x"dc1" => data <= x"f8"; when "01" & x"dc2" => data <= x"c8"; when "01" & x"dc3" => data <= x"b1"; when "01" & x"dc4" => data <= x"f2"; when "01" & x"dc5" => data <= x"c9"; when "01" & x"dc6" => data <= x"20"; when "01" & x"dc7" => data <= x"f0"; when "01" & x"dc8" => data <= x"f9"; when "01" & x"dc9" => data <= x"c9"; when "01" & x"dca" => data <= x"0d"; when "01" & x"dcb" => data <= x"60"; when "01" & x"dcc" => data <= x"90"; when "01" & x"dcd" => data <= x"f5"; when "01" & x"dce" => data <= x"20"; when "01" & x"dcf" => data <= x"c3"; when "01" & x"dd0" => data <= x"dd"; when "01" & x"dd1" => data <= x"c9"; when "01" & x"dd2" => data <= x"2c"; when "01" & x"dd3" => data <= x"d0"; when "01" & x"dd4" => data <= x"f4"; when "01" & x"dd5" => data <= x"c8"; when "01" & x"dd6" => data <= x"60"; when "01" & x"dd7" => data <= x"20"; when "01" & x"dd8" => data <= x"c3"; when "01" & x"dd9" => data <= x"dd"; when "01" & x"dda" => data <= x"20"; when "01" & x"ddb" => data <= x"06"; when "01" & x"ddc" => data <= x"de"; when "01" & x"ddd" => data <= x"90"; when "01" & x"dde" => data <= x"37"; when "01" & x"ddf" => data <= x"85"; when "01" & x"de0" => data <= x"e6"; when "01" & x"de1" => data <= x"20"; when "01" & x"de2" => data <= x"05"; when "01" & x"de3" => data <= x"de"; when "01" & x"de4" => data <= x"90"; when "01" & x"de5" => data <= x"19"; when "01" & x"de6" => data <= x"aa"; when "01" & x"de7" => data <= x"a5"; when "01" & x"de8" => data <= x"e6"; when "01" & x"de9" => data <= x"0a"; when "01" & x"dea" => data <= x"b0"; when "01" & x"deb" => data <= x"2a"; when "01" & x"dec" => data <= x"0a"; when "01" & x"ded" => data <= x"b0"; when "01" & x"dee" => data <= x"27"; when "01" & x"def" => data <= x"65"; when "01" & x"df0" => data <= x"e6"; when "01" & x"df1" => data <= x"b0"; when "01" & x"df2" => data <= x"23"; when "01" & x"df3" => data <= x"0a"; when "01" & x"df4" => data <= x"b0"; when "01" & x"df5" => data <= x"20"; when "01" & x"df6" => data <= x"85"; when "01" & x"df7" => data <= x"e6"; when "01" & x"df8" => data <= x"8a"; when "01" & x"df9" => data <= x"65"; when "01" & x"dfa" => data <= x"e6"; when "01" & x"dfb" => data <= x"b0"; when "01" & x"dfc" => data <= x"19"; when "01" & x"dfd" => data <= x"90"; when "01" & x"dfe" => data <= x"e0"; when "01" & x"dff" => data <= x"a6"; when "01" & x"e00" => data <= x"e6"; when "01" & x"e01" => data <= x"c9"; when "01" & x"e02" => data <= x"0d"; when "01" & x"e03" => data <= x"38"; when "01" & x"e04" => data <= x"60"; when "01" & x"e05" => data <= x"c8"; when "01" & x"e06" => data <= x"b1"; when "01" & x"e07" => data <= x"f2"; when "01" & x"e08" => data <= x"c9"; when "01" & x"e09" => data <= x"3a"; when "01" & x"e0a" => data <= x"b0"; when "01" & x"e0b" => data <= x"0a"; when "01" & x"e0c" => data <= x"c9"; when "01" & x"e0d" => data <= x"30"; when "01" & x"e0e" => data <= x"90"; when "01" & x"e0f" => data <= x"06"; when "01" & x"e10" => data <= x"29"; when "01" & x"e11" => data <= x"0f"; when "01" & x"e12" => data <= x"60"; when "01" & x"e13" => data <= x"20"; when "01" & x"e14" => data <= x"ce"; when "01" & x"e15" => data <= x"dd"; when "01" & x"e16" => data <= x"18"; when "01" & x"e17" => data <= x"60"; when "01" & x"e18" => data <= x"20"; when "01" & x"e19" => data <= x"06"; when "01" & x"e1a" => data <= x"de"; when "01" & x"e1b" => data <= x"b0"; when "01" & x"e1c" => data <= x"0e"; when "01" & x"e1d" => data <= x"29"; when "01" & x"e1e" => data <= x"df"; when "01" & x"e1f" => data <= x"c9"; when "01" & x"e20" => data <= x"47"; when "01" & x"e21" => data <= x"b0"; when "01" & x"e22" => data <= x"f0"; when "01" & x"e23" => data <= x"c9"; when "01" & x"e24" => data <= x"41"; when "01" & x"e25" => data <= x"90"; when "01" & x"e26" => data <= x"ec"; when "01" & x"e27" => data <= x"08"; when "01" & x"e28" => data <= x"e9"; when "01" & x"e29" => data <= x"37"; when "01" & x"e2a" => data <= x"28"; when "01" & x"e2b" => data <= x"c8"; when "01" & x"e2c" => data <= x"60"; when "01" & x"e2d" => data <= x"48"; when "01" & x"e2e" => data <= x"8a"; when "01" & x"e2f" => data <= x"48"; when "01" & x"e30" => data <= x"98"; when "01" & x"e31" => data <= x"48"; when "01" & x"e32" => data <= x"ba"; when "01" & x"e33" => data <= x"bd"; when "01" & x"e34" => data <= x"03"; when "01" & x"e35" => data <= x"01"; when "01" & x"e36" => data <= x"48"; when "01" & x"e37" => data <= x"2c"; when "01" & x"e38" => data <= x"60"; when "01" & x"e39" => data <= x"02"; when "01" & x"e3a" => data <= x"10"; when "01" & x"e3b" => data <= x"08"; when "01" & x"e3c" => data <= x"a8"; when "01" & x"e3d" => data <= x"a9"; when "01" & x"e3e" => data <= x"04"; when "01" & x"e3f" => data <= x"20"; when "01" & x"e40" => data <= x"95"; when "01" & x"e41" => data <= x"e3"; when "01" & x"e42" => data <= x"b0"; when "01" & x"e43" => data <= x"59"; when "01" & x"e44" => data <= x"18"; when "01" & x"e45" => data <= x"a9"; when "01" & x"e46" => data <= x"02"; when "01" & x"e47" => data <= x"2c"; when "01" & x"e48" => data <= x"7c"; when "01" & x"e49" => data <= x"02"; when "01" & x"e4a" => data <= x"d0"; when "01" & x"e4b" => data <= x"05"; when "01" & x"e4c" => data <= x"68"; when "01" & x"e4d" => data <= x"48"; when "01" & x"e4e" => data <= x"20"; when "01" & x"e4f" => data <= x"d8"; when "01" & x"e50" => data <= x"d6"; when "01" & x"e51" => data <= x"a9"; when "01" & x"e52" => data <= x"08"; when "01" & x"e53" => data <= x"2c"; when "01" & x"e54" => data <= x"7c"; when "01" & x"e55" => data <= x"02"; when "01" & x"e56" => data <= x"d0"; when "01" & x"e57" => data <= x"02"; when "01" & x"e58" => data <= x"90"; when "01" & x"e59" => data <= x"05"; when "01" & x"e5a" => data <= x"68"; when "01" & x"e5b" => data <= x"48"; when "01" & x"e5c" => data <= x"20"; when "01" & x"e5d" => data <= x"a4"; when "01" & x"e5e" => data <= x"de"; when "01" & x"e5f" => data <= x"ad"; when "01" & x"e60" => data <= x"7c"; when "01" & x"e61" => data <= x"02"; when "01" & x"e62" => data <= x"6a"; when "01" & x"e63" => data <= x"90"; when "01" & x"e64" => data <= x"22"; when "01" & x"e65" => data <= x"a4"; when "01" & x"e66" => data <= x"ea"; when "01" & x"e67" => data <= x"88"; when "01" & x"e68" => data <= x"10"; when "01" & x"e69" => data <= x"1d"; when "01" & x"e6a" => data <= x"68"; when "01" & x"e6b" => data <= x"48"; when "01" & x"e6c" => data <= x"08"; when "01" & x"e6d" => data <= x"78"; when "01" & x"e6e" => data <= x"2c"; when "01" & x"e6f" => data <= x"4f"; when "01" & x"e70" => data <= x"02"; when "01" & x"e71" => data <= x"08"; when "01" & x"e72" => data <= x"a2"; when "01" & x"e73" => data <= x"02"; when "01" & x"e74" => data <= x"20"; when "01" & x"e75" => data <= x"68"; when "01" & x"e76" => data <= x"df"; when "01" & x"e77" => data <= x"28"; when "01" & x"e78" => data <= x"10"; when "01" & x"e79" => data <= x"0c"; when "01" & x"e7a" => data <= x"a2"; when "01" & x"e7b" => data <= x"13"; when "01" & x"e7c" => data <= x"20"; when "01" & x"e7d" => data <= x"a8"; when "01" & x"e7e" => data <= x"f0"; when "01" & x"e7f" => data <= x"f0"; when "01" & x"e80" => data <= x"05"; when "01" & x"e81" => data <= x"a2"; when "01" & x"e82" => data <= x"02"; when "01" & x"e83" => data <= x"20"; when "01" & x"e84" => data <= x"09"; when "01" & x"e85" => data <= x"df"; when "01" & x"e86" => data <= x"28"; when "01" & x"e87" => data <= x"a9"; when "01" & x"e88" => data <= x"10"; when "01" & x"e89" => data <= x"2c"; when "01" & x"e8a" => data <= x"7c"; when "01" & x"e8b" => data <= x"02"; when "01" & x"e8c" => data <= x"d0"; when "01" & x"e8d" => data <= x"0f"; when "01" & x"e8e" => data <= x"ac"; when "01" & x"e8f" => data <= x"57"; when "01" & x"e90" => data <= x"02"; when "01" & x"e91" => data <= x"f0"; when "01" & x"e92" => data <= x"0a"; when "01" & x"e93" => data <= x"68"; when "01" & x"e94" => data <= x"48"; when "01" & x"e95" => data <= x"38"; when "01" & x"e96" => data <= x"66"; when "01" & x"e97" => data <= x"eb"; when "01" & x"e98" => data <= x"20"; when "01" & x"e99" => data <= x"d4"; when "01" & x"e9a" => data <= x"ff"; when "01" & x"e9b" => data <= x"46"; when "01" & x"e9c" => data <= x"eb"; when "01" & x"e9d" => data <= x"68"; when "01" & x"e9e" => data <= x"68"; when "01" & x"e9f" => data <= x"a8"; when "01" & x"ea0" => data <= x"68"; when "01" & x"ea1" => data <= x"aa"; when "01" & x"ea2" => data <= x"68"; when "01" & x"ea3" => data <= x"60"; when "01" & x"ea4" => data <= x"2c"; when "01" & x"ea5" => data <= x"7c"; when "01" & x"ea6" => data <= x"02"; when "01" & x"ea7" => data <= x"70"; when "01" & x"ea8" => data <= x"20"; when "01" & x"ea9" => data <= x"cd"; when "01" & x"eaa" => data <= x"86"; when "01" & x"eab" => data <= x"02"; when "01" & x"eac" => data <= x"f0"; when "01" & x"ead" => data <= x"1b"; when "01" & x"eae" => data <= x"08"; when "01" & x"eaf" => data <= x"78"; when "01" & x"eb0" => data <= x"aa"; when "01" & x"eb1" => data <= x"a9"; when "01" & x"eb2" => data <= x"04"; when "01" & x"eb3" => data <= x"2c"; when "01" & x"eb4" => data <= x"7c"; when "01" & x"eb5" => data <= x"02"; when "01" & x"eb6" => data <= x"d0"; when "01" & x"eb7" => data <= x"10"; when "01" & x"eb8" => data <= x"8a"; when "01" & x"eb9" => data <= x"a2"; when "01" & x"eba" => data <= x"03"; when "01" & x"ebb" => data <= x"20"; when "01" & x"ebc" => data <= x"68"; when "01" & x"ebd" => data <= x"df"; when "01" & x"ebe" => data <= x"b0"; when "01" & x"ebf" => data <= x"08"; when "01" & x"ec0" => data <= x"2c"; when "01" & x"ec1" => data <= x"c6"; when "01" & x"ec2" => data <= x"02"; when "01" & x"ec3" => data <= x"10"; when "01" & x"ec4" => data <= x"03"; when "01" & x"ec5" => data <= x"20"; when "01" & x"ec6" => data <= x"ca"; when "01" & x"ec7" => data <= x"de"; when "01" & x"ec8" => data <= x"28"; when "01" & x"ec9" => data <= x"60"; when "01" & x"eca" => data <= x"ad"; when "01" & x"ecb" => data <= x"85"; when "01" & x"ecc" => data <= x"02"; when "01" & x"ecd" => data <= x"f0"; when "01" & x"ece" => data <= x"3a"; when "01" & x"ecf" => data <= x"c9"; when "01" & x"ed0" => data <= x"03"; when "01" & x"ed1" => data <= x"b0"; when "01" & x"ed2" => data <= x"0b"; when "01" & x"ed3" => data <= x"a2"; when "01" & x"ed4" => data <= x"14"; when "01" & x"ed5" => data <= x"20"; when "01" & x"ed6" => data <= x"a8"; when "01" & x"ed7" => data <= x"f0"; when "01" & x"ed8" => data <= x"f0"; when "01" & x"ed9" => data <= x"ef"; when "01" & x"eda" => data <= x"a2"; when "01" & x"edb" => data <= x"03"; when "01" & x"edc" => data <= x"d0"; when "01" & x"edd" => data <= x"2b"; when "01" & x"ede" => data <= x"18"; when "01" & x"edf" => data <= x"a9"; when "01" & x"ee0" => data <= x"01"; when "01" & x"ee1" => data <= x"20"; when "01" & x"ee2" => data <= x"fb"; when "01" & x"ee3" => data <= x"de"; when "01" & x"ee4" => data <= x"6e"; when "01" & x"ee5" => data <= x"c6"; when "01" & x"ee6" => data <= x"02"; when "01" & x"ee7" => data <= x"60"; when "01" & x"ee8" => data <= x"d0"; when "01" & x"ee9" => data <= x"1c"; when "01" & x"eea" => data <= x"a2"; when "01" & x"eeb" => data <= x"08"; when "01" & x"eec" => data <= x"58"; when "01" & x"eed" => data <= x"78"; when "01" & x"eee" => data <= x"20"; when "01" & x"eef" => data <= x"f4"; when "01" & x"ef0" => data <= x"de"; when "01" & x"ef1" => data <= x"ca"; when "01" & x"ef2" => data <= x"10"; when "01" & x"ef3" => data <= x"f8"; when "01" & x"ef4" => data <= x"e0"; when "01" & x"ef5" => data <= x"09"; when "01" & x"ef6" => data <= x"90"; when "01" & x"ef7" => data <= x"11"; when "01" & x"ef8" => data <= x"60"; when "01" & x"ef9" => data <= x"a9"; when "01" & x"efa" => data <= x"00"; when "01" & x"efb" => data <= x"a2"; when "01" & x"efc" => data <= x"03"; when "01" & x"efd" => data <= x"ac"; when "01" & x"efe" => data <= x"85"; when "01" & x"eff" => data <= x"02"; when "01" & x"f00" => data <= x"20"; when "01" & x"f01" => data <= x"95"; when "01" & x"f02" => data <= x"e3"; when "01" & x"f03" => data <= x"6c"; when "01" & x"f04" => data <= x"22"; when "01" & x"f05" => data <= x"02"; when "01" & x"f06" => data <= x"ae"; when "01" & x"f07" => data <= x"41"; when "01" & x"f08" => data <= x"02"; when "01" & x"f09" => data <= x"18"; when "01" & x"f0a" => data <= x"48"; when "01" & x"f0b" => data <= x"08"; when "01" & x"f0c" => data <= x"78"; when "01" & x"f0d" => data <= x"b0"; when "01" & x"f0e" => data <= x"19"; when "01" & x"f0f" => data <= x"8a"; when "01" & x"f10" => data <= x"29"; when "01" & x"f11" => data <= x"04"; when "01" & x"f12" => data <= x"f0"; when "01" & x"f13" => data <= x"14"; when "01" & x"f14" => data <= x"ad"; when "01" & x"f15" => data <= x"6b"; when "01" & x"f16" => data <= x"02"; when "01" & x"f17" => data <= x"f0"; when "01" & x"f18" => data <= x"0c"; when "01" & x"f19" => data <= x"8a"; when "01" & x"f1a" => data <= x"48"; when "01" & x"f1b" => data <= x"a8"; when "01" & x"f1c" => data <= x"a2"; when "01" & x"f1d" => data <= x"17"; when "01" & x"f1e" => data <= x"20"; when "01" & x"f1f" => data <= x"a8"; when "01" & x"f20" => data <= x"f0"; when "01" & x"f21" => data <= x"68"; when "01" & x"f22" => data <= x"aa"; when "01" & x"f23" => data <= x"d0"; when "01" & x"f24" => data <= x"03"; when "01" & x"f25" => data <= x"20"; when "01" & x"f26" => data <= x"83"; when "01" & x"f27" => data <= x"e9"; when "01" & x"f28" => data <= x"38"; when "01" & x"f29" => data <= x"7e"; when "01" & x"f2a" => data <= x"c3"; when "01" & x"f2b" => data <= x"02"; when "01" & x"f2c" => data <= x"e0"; when "01" & x"f2d" => data <= x"02"; when "01" & x"f2e" => data <= x"b0"; when "01" & x"f2f" => data <= x"0b"; when "01" & x"f30" => data <= x"a9"; when "01" & x"f31" => data <= x"00"; when "01" & x"f32" => data <= x"8d"; when "01" & x"f33" => data <= x"68"; when "01" & x"f34" => data <= x"02"; when "01" & x"f35" => data <= x"8d"; when "01" & x"f36" => data <= x"5d"; when "01" & x"f37" => data <= x"02"; when "01" & x"f38" => data <= x"8d"; when "01" & x"f39" => data <= x"6a"; when "01" & x"f3a" => data <= x"02"; when "01" & x"f3b" => data <= x"20"; when "01" & x"f3c" => data <= x"0b"; when "01" & x"f3d" => data <= x"e5"; when "01" & x"f3e" => data <= x"28"; when "01" & x"f3f" => data <= x"68"; when "01" & x"f40" => data <= x"60"; when "01" & x"f41" => data <= x"50"; when "01" & x"f42" => data <= x"07"; when "01" & x"f43" => data <= x"bd"; when "01" & x"f44" => data <= x"cc"; when "01" & x"f45" => data <= x"02"; when "01" & x"f46" => data <= x"9d"; when "01" & x"f47" => data <= x"d5"; when "01" & x"f48" => data <= x"02"; when "01" & x"f49" => data <= x"60"; when "01" & x"f4a" => data <= x"08"; when "01" & x"f4b" => data <= x"78"; when "01" & x"f4c" => data <= x"08"; when "01" & x"f4d" => data <= x"38"; when "01" & x"f4e" => data <= x"bd"; when "01" & x"f4f" => data <= x"d5"; when "01" & x"f50" => data <= x"02"; when "01" & x"f51" => data <= x"fd"; when "01" & x"f52" => data <= x"cc"; when "01" & x"f53" => data <= x"02"; when "01" & x"f54" => data <= x"b0"; when "01" & x"f55" => data <= x"04"; when "01" & x"f56" => data <= x"38"; when "01" & x"f57" => data <= x"fd"; when "01" & x"f58" => data <= x"b5"; when "01" & x"f59" => data <= x"e1"; when "01" & x"f5a" => data <= x"28"; when "01" & x"f5b" => data <= x"90"; when "01" & x"f5c" => data <= x"06"; when "01" & x"f5d" => data <= x"18"; when "01" & x"f5e" => data <= x"7d"; when "01" & x"f5f" => data <= x"b5"; when "01" & x"f60" => data <= x"e1"; when "01" & x"f61" => data <= x"49"; when "01" & x"f62" => data <= x"ff"; when "01" & x"f63" => data <= x"a0"; when "01" & x"f64" => data <= x"00"; when "01" & x"f65" => data <= x"aa"; when "01" & x"f66" => data <= x"28"; when "01" & x"f67" => data <= x"60"; when "01" & x"f68" => data <= x"78"; when "01" & x"f69" => data <= x"20"; when "01" & x"f6a" => data <= x"1e"; when "01" & x"f6b" => data <= x"e2"; when "01" & x"f6c" => data <= x"90"; when "01" & x"f6d" => data <= x"0d"; when "01" & x"f6e" => data <= x"20"; when "01" & x"f6f" => data <= x"e5"; when "01" & x"f70" => data <= x"e7"; when "01" & x"f71" => data <= x"30"; when "01" & x"f72" => data <= x"08"; when "01" & x"f73" => data <= x"48"; when "01" & x"f74" => data <= x"20"; when "01" & x"f75" => data <= x"50"; when "01" & x"f76" => data <= x"e9"; when "01" & x"f77" => data <= x"68"; when "01" & x"f78" => data <= x"58"; when "01" & x"f79" => data <= x"b0"; when "01" & x"f7a" => data <= x"ed"; when "01" & x"f7b" => data <= x"60"; when "01" & x"f7c" => data <= x"48"; when "01" & x"f7d" => data <= x"a9"; when "01" & x"f7e" => data <= x"00"; when "01" & x"f7f" => data <= x"9d"; when "01" & x"f80" => data <= x"e2"; when "01" & x"f81" => data <= x"02"; when "01" & x"f82" => data <= x"9d"; when "01" & x"f83" => data <= x"e3"; when "01" & x"f84" => data <= x"02"; when "01" & x"f85" => data <= x"9d"; when "01" & x"f86" => data <= x"e4"; when "01" & x"f87" => data <= x"02"; when "01" & x"f88" => data <= x"9d"; when "01" & x"f89" => data <= x"e5"; when "01" & x"f8a" => data <= x"02"; when "01" & x"f8b" => data <= x"68"; when "01" & x"f8c" => data <= x"60"; when "01" & x"f8d" => data <= x"84"; when "01" & x"f8e" => data <= x"e6"; when "01" & x"f8f" => data <= x"2a"; when "01" & x"f90" => data <= x"2a"; when "01" & x"f91" => data <= x"2a"; when "01" & x"f92" => data <= x"2a"; when "01" & x"f93" => data <= x"a0"; when "01" & x"f94" => data <= x"04"; when "01" & x"f95" => data <= x"2a"; when "01" & x"f96" => data <= x"3e"; when "01" & x"f97" => data <= x"e2"; when "01" & x"f98" => data <= x"02"; when "01" & x"f99" => data <= x"3e"; when "01" & x"f9a" => data <= x"e3"; when "01" & x"f9b" => data <= x"02"; when "01" & x"f9c" => data <= x"3e"; when "01" & x"f9d" => data <= x"e4"; when "01" & x"f9e" => data <= x"02"; when "01" & x"f9f" => data <= x"3e"; when "01" & x"fa0" => data <= x"e5"; when "01" & x"fa1" => data <= x"02"; when "01" & x"fa2" => data <= x"b0"; when "01" & x"fa3" => data <= x"31"; when "01" & x"fa4" => data <= x"88"; when "01" & x"fa5" => data <= x"d0"; when "01" & x"fa6" => data <= x"ee"; when "01" & x"fa7" => data <= x"a4"; when "01" & x"fa8" => data <= x"e6"; when "01" & x"fa9" => data <= x"60"; when "01" & x"faa" => data <= x"a9"; when "01" & x"fab" => data <= x"ff"; when "01" & x"fac" => data <= x"86"; when "01" & x"fad" => data <= x"f2"; when "01" & x"fae" => data <= x"84"; when "01" & x"faf" => data <= x"f3"; when "01" & x"fb0" => data <= x"8e"; when "01" & x"fb1" => data <= x"e2"; when "01" & x"fb2" => data <= x"02"; when "01" & x"fb3" => data <= x"8c"; when "01" & x"fb4" => data <= x"e3"; when "01" & x"fb5" => data <= x"02"; when "01" & x"fb6" => data <= x"48"; when "01" & x"fb7" => data <= x"a2"; when "01" & x"fb8" => data <= x"02"; when "01" & x"fb9" => data <= x"20"; when "01" & x"fba" => data <= x"7c"; when "01" & x"fbb" => data <= x"df"; when "01" & x"fbc" => data <= x"a0"; when "01" & x"fbd" => data <= x"ff"; when "01" & x"fbe" => data <= x"8c"; when "01" & x"fbf" => data <= x"e8"; when "01" & x"fc0" => data <= x"02"; when "01" & x"fc1" => data <= x"c8"; when "01" & x"fc2" => data <= x"20"; when "01" & x"fc3" => data <= x"fc"; when "01" & x"fc4" => data <= x"e7"; when "01" & x"fc5" => data <= x"20"; when "01" & x"fc6" => data <= x"0e"; when "01" & x"fc7" => data <= x"e8"; when "01" & x"fc8" => data <= x"90"; when "01" & x"fc9" => data <= x"fb"; when "01" & x"fca" => data <= x"68"; when "01" & x"fcb" => data <= x"48"; when "01" & x"fcc" => data <= x"f0"; when "01" & x"fcd" => data <= x"62"; when "01" & x"fce" => data <= x"20"; when "01" & x"fcf" => data <= x"1b"; when "01" & x"fd0" => data <= x"e0"; when "01" & x"fd1" => data <= x"b0"; when "01" & x"fd2" => data <= x"3b"; when "01" & x"fd3" => data <= x"f0"; when "01" & x"fd4" => data <= x"3e"; when "01" & x"fd5" => data <= x"00"; when "01" & x"fd6" => data <= x"fc"; when "01" & x"fd7" => data <= x"42"; when "01" & x"fd8" => data <= x"61"; when "01" & x"fd9" => data <= x"64"; when "01" & x"fda" => data <= x"20"; when "01" & x"fdb" => data <= x"61"; when "01" & x"fdc" => data <= x"64"; when "01" & x"fdd" => data <= x"64"; when "01" & x"fde" => data <= x"72"; when "01" & x"fdf" => data <= x"65"; when "01" & x"fe0" => data <= x"73"; when "01" & x"fe1" => data <= x"73"; when "01" & x"fe2" => data <= x"00"; when "01" & x"fe3" => data <= x"a2"; when "01" & x"fe4" => data <= x"10"; when "01" & x"fe5" => data <= x"20"; when "01" & x"fe6" => data <= x"a8"; when "01" & x"fe7" => data <= x"f0"; when "01" & x"fe8" => data <= x"f0"; when "01" & x"fe9" => data <= x"23"; when "01" & x"fea" => data <= x"20"; when "01" & x"feb" => data <= x"e8"; when "01" & x"fec" => data <= x"f5"; when "01" & x"fed" => data <= x"a9"; when "01" & x"fee" => data <= x"00"; when "01" & x"fef" => data <= x"08"; when "01" & x"ff0" => data <= x"84"; when "01" & x"ff1" => data <= x"e6"; when "01" & x"ff2" => data <= x"ac"; when "01" & x"ff3" => data <= x"57"; when "01" & x"ff4" => data <= x"02"; when "01" & x"ff5" => data <= x"8d"; when "01" & x"ff6" => data <= x"57"; when "01" & x"ff7" => data <= x"02"; when "01" & x"ff8" => data <= x"f0"; when "01" & x"ff9" => data <= x"03"; when "01" & x"ffa" => data <= x"20"; when "01" & x"ffb" => data <= x"ce"; when "01" & x"ffc" => data <= x"ff"; when "01" & x"ffd" => data <= x"a4"; when "01" & x"ffe" => data <= x"e6"; when "01" & x"fff" => data <= x"28"; when "10" & x"000" => data <= x"f0"; when "10" & x"001" => data <= x"0b"; when "10" & x"002" => data <= x"a9"; when "10" & x"003" => data <= x"80"; when "10" & x"004" => data <= x"20"; when "10" & x"005" => data <= x"ce"; when "10" & x"006" => data <= x"ff"; when "10" & x"007" => data <= x"a8"; when "10" & x"008" => data <= x"f0"; when "10" & x"009" => data <= x"74"; when "10" & x"00a" => data <= x"8d"; when "10" & x"00b" => data <= x"57"; when "10" & x"00c" => data <= x"02"; when "10" & x"00d" => data <= x"60"; when "10" & x"00e" => data <= x"d0"; when "10" & x"00f" => data <= x"6e"; when "10" & x"010" => data <= x"ee"; when "10" & x"011" => data <= x"e8"; when "10" & x"012" => data <= x"02"; when "10" & x"013" => data <= x"a2"; when "10" & x"014" => data <= x"e2"; when "10" & x"015" => data <= x"a0"; when "10" & x"016" => data <= x"02"; when "10" & x"017" => data <= x"68"; when "10" & x"018" => data <= x"4c"; when "10" & x"019" => data <= x"dd"; when "10" & x"01a" => data <= x"ff"; when "10" & x"01b" => data <= x"20"; when "10" & x"01c" => data <= x"c3"; when "10" & x"01d" => data <= x"dd"; when "10" & x"01e" => data <= x"20"; when "10" & x"01f" => data <= x"18"; when "10" & x"020" => data <= x"de"; when "10" & x"021" => data <= x"90"; when "10" & x"022" => data <= x"0c"; when "10" & x"023" => data <= x"20"; when "10" & x"024" => data <= x"7c"; when "10" & x"025" => data <= x"df"; when "10" & x"026" => data <= x"20"; when "10" & x"027" => data <= x"8d"; when "10" & x"028" => data <= x"df"; when "10" & x"029" => data <= x"20"; when "10" & x"02a" => data <= x"18"; when "10" & x"02b" => data <= x"de"; when "10" & x"02c" => data <= x"b0"; when "10" & x"02d" => data <= x"f8"; when "10" & x"02e" => data <= x"38"; when "10" & x"02f" => data <= x"60"; when "10" & x"030" => data <= x"a2"; when "10" & x"031" => data <= x"0a"; when "10" & x"032" => data <= x"20"; when "10" & x"033" => data <= x"1b"; when "10" & x"034" => data <= x"e0"; when "10" & x"035" => data <= x"90"; when "10" & x"036" => data <= x"47"; when "10" & x"037" => data <= x"b8"; when "10" & x"038" => data <= x"b1"; when "10" & x"039" => data <= x"f2"; when "10" & x"03a" => data <= x"c9"; when "10" & x"03b" => data <= x"2b"; when "10" & x"03c" => data <= x"d0"; when "10" & x"03d" => data <= x"04"; when "10" & x"03e" => data <= x"2c"; when "10" & x"03f" => data <= x"bc"; when "10" & x"040" => data <= x"d8"; when "10" & x"041" => data <= x"c8"; when "10" & x"042" => data <= x"a2"; when "10" & x"043" => data <= x"0e"; when "10" & x"044" => data <= x"20"; when "10" & x"045" => data <= x"1b"; when "10" & x"046" => data <= x"e0"; when "10" & x"047" => data <= x"90"; when "10" & x"048" => data <= x"35"; when "10" & x"049" => data <= x"08"; when "10" & x"04a" => data <= x"50"; when "10" & x"04b" => data <= x"0f"; when "10" & x"04c" => data <= x"a2"; when "10" & x"04d" => data <= x"fc"; when "10" & x"04e" => data <= x"18"; when "10" & x"04f" => data <= x"bd"; when "10" & x"050" => data <= x"f0"; when "10" & x"051" => data <= x"01"; when "10" & x"052" => data <= x"7d"; when "10" & x"053" => data <= x"f4"; when "10" & x"054" => data <= x"01"; when "10" & x"055" => data <= x"9d"; when "10" & x"056" => data <= x"f4"; when "10" & x"057" => data <= x"01"; when "10" & x"058" => data <= x"e8"; when "10" & x"059" => data <= x"d0"; when "10" & x"05a" => data <= x"f4"; when "10" & x"05b" => data <= x"a2"; when "10" & x"05c" => data <= x"03"; when "10" & x"05d" => data <= x"bd"; when "10" & x"05e" => data <= x"ec"; when "10" & x"05f" => data <= x"02"; when "10" & x"060" => data <= x"9d"; when "10" & x"061" => data <= x"e8"; when "10" & x"062" => data <= x"02"; when "10" & x"063" => data <= x"9d"; when "10" & x"064" => data <= x"e4"; when "10" & x"065" => data <= x"02"; when "10" & x"066" => data <= x"ca"; when "10" & x"067" => data <= x"10"; when "10" & x"068" => data <= x"f4"; when "10" & x"069" => data <= x"28"; when "10" & x"06a" => data <= x"f0"; when "10" & x"06b" => data <= x"a7"; when "10" & x"06c" => data <= x"a2"; when "10" & x"06d" => data <= x"06"; when "10" & x"06e" => data <= x"20"; when "10" & x"06f" => data <= x"1b"; when "10" & x"070" => data <= x"e0"; when "10" & x"071" => data <= x"90"; when "10" & x"072" => data <= x"0b"; when "10" & x"073" => data <= x"f0"; when "10" & x"074" => data <= x"9e"; when "10" & x"075" => data <= x"a2"; when "10" & x"076" => data <= x"02"; when "10" & x"077" => data <= x"20"; when "10" & x"078" => data <= x"1b"; when "10" & x"079" => data <= x"e0"; when "10" & x"07a" => data <= x"90"; when "10" & x"07b" => data <= x"02"; when "10" & x"07c" => data <= x"f0"; when "10" & x"07d" => data <= x"95"; when "10" & x"07e" => data <= x"00"; when "10" & x"07f" => data <= x"fe"; when "10" & x"080" => data <= x"42"; when "10" & x"081" => data <= x"61"; when "10" & x"082" => data <= x"64"; when "10" & x"083" => data <= x"20"; when "10" & x"084" => data <= x"63"; when "10" & x"085" => data <= x"6f"; when "10" & x"086" => data <= x"6d"; when "10" & x"087" => data <= x"6d"; when "10" & x"088" => data <= x"61"; when "10" & x"089" => data <= x"6e"; when "10" & x"08a" => data <= x"64"; when "10" & x"08b" => data <= x"00"; when "10" & x"08c" => data <= x"fb"; when "10" & x"08d" => data <= x"42"; when "10" & x"08e" => data <= x"61"; when "10" & x"08f" => data <= x"64"; when "10" & x"090" => data <= x"20"; when "10" & x"091" => data <= x"6b"; when "10" & x"092" => data <= x"65"; when "10" & x"093" => data <= x"79"; when "10" & x"094" => data <= x"00"; when "10" & x"095" => data <= x"20"; when "10" & x"096" => data <= x"d7"; when "10" & x"097" => data <= x"dd"; when "10" & x"098" => data <= x"90"; when "10" & x"099" => data <= x"f1"; when "10" & x"09a" => data <= x"e0"; when "10" & x"09b" => data <= x"10"; when "10" & x"09c" => data <= x"b0"; when "10" & x"09d" => data <= x"ed"; when "10" & x"09e" => data <= x"20"; when "10" & x"09f" => data <= x"ce"; when "10" & x"0a0" => data <= x"dd"; when "10" & x"0a1" => data <= x"08"; when "10" & x"0a2" => data <= x"ae"; when "10" & x"0a3" => data <= x"10"; when "10" & x"0a4" => data <= x"0b"; when "10" & x"0a5" => data <= x"98"; when "10" & x"0a6" => data <= x"48"; when "10" & x"0a7" => data <= x"20"; when "10" & x"0a8" => data <= x"3f"; when "10" & x"0a9" => data <= x"e1"; when "10" & x"0aa" => data <= x"68"; when "10" & x"0ab" => data <= x"a8"; when "10" & x"0ac" => data <= x"28"; when "10" & x"0ad" => data <= x"d0"; when "10" & x"0ae" => data <= x"36"; when "10" & x"0af" => data <= x"60"; when "10" & x"0b0" => data <= x"20"; when "10" & x"0b1" => data <= x"d7"; when "10" & x"0b2" => data <= x"dd"; when "10" & x"0b3" => data <= x"90"; when "10" & x"0b4" => data <= x"c9"; when "10" & x"0b5" => data <= x"8a"; when "10" & x"0b6" => data <= x"48"; when "10" & x"0b7" => data <= x"a9"; when "10" & x"0b8" => data <= x"00"; when "10" & x"0b9" => data <= x"85"; when "10" & x"0ba" => data <= x"e5"; when "10" & x"0bb" => data <= x"85"; when "10" & x"0bc" => data <= x"e4"; when "10" & x"0bd" => data <= x"20"; when "10" & x"0be" => data <= x"cc"; when "10" & x"0bf" => data <= x"dd"; when "10" & x"0c0" => data <= x"f0"; when "10" & x"0c1" => data <= x"18"; when "10" & x"0c2" => data <= x"20"; when "10" & x"0c3" => data <= x"d7"; when "10" & x"0c4" => data <= x"dd"; when "10" & x"0c5" => data <= x"90"; when "10" & x"0c6" => data <= x"b7"; when "10" & x"0c7" => data <= x"86"; when "10" & x"0c8" => data <= x"e5"; when "10" & x"0c9" => data <= x"20"; when "10" & x"0ca" => data <= x"ce"; when "10" & x"0cb" => data <= x"dd"; when "10" & x"0cc" => data <= x"f0"; when "10" & x"0cd" => data <= x"0c"; when "10" & x"0ce" => data <= x"20"; when "10" & x"0cf" => data <= x"d7"; when "10" & x"0d0" => data <= x"dd"; when "10" & x"0d1" => data <= x"90"; when "10" & x"0d2" => data <= x"ab"; when "10" & x"0d3" => data <= x"86"; when "10" & x"0d4" => data <= x"e4"; when "10" & x"0d5" => data <= x"20"; when "10" & x"0d6" => data <= x"c3"; when "10" & x"0d7" => data <= x"dd"; when "10" & x"0d8" => data <= x"d0"; when "10" & x"0d9" => data <= x"a4"; when "10" & x"0da" => data <= x"a4"; when "10" & x"0db" => data <= x"e4"; when "10" & x"0dc" => data <= x"a6"; when "10" & x"0dd" => data <= x"e5"; when "10" & x"0de" => data <= x"68"; when "10" & x"0df" => data <= x"20"; when "10" & x"0e0" => data <= x"f4"; when "10" & x"0e1" => data <= x"ff"; when "10" & x"0e2" => data <= x"70"; when "10" & x"0e3" => data <= x"9a"; when "10" & x"0e4" => data <= x"60"; when "10" & x"0e5" => data <= x"38"; when "10" & x"0e6" => data <= x"20"; when "10" & x"0e7" => data <= x"fd"; when "10" & x"0e8" => data <= x"e7"; when "10" & x"0e9" => data <= x"20"; when "10" & x"0ea" => data <= x"0e"; when "10" & x"0eb" => data <= x"e8"; when "10" & x"0ec" => data <= x"b0"; when "10" & x"0ed" => data <= x"08"; when "10" & x"0ee" => data <= x"e8"; when "10" & x"0ef" => data <= x"f0"; when "10" & x"0f0" => data <= x"9a"; when "10" & x"0f1" => data <= x"9d"; when "10" & x"0f2" => data <= x"00"; when "10" & x"0f3" => data <= x"0b"; when "10" & x"0f4" => data <= x"90"; when "10" & x"0f5" => data <= x"f3"; when "10" & x"0f6" => data <= x"d0"; when "10" & x"0f7" => data <= x"93"; when "10" & x"0f8" => data <= x"08"; when "10" & x"0f9" => data <= x"78"; when "10" & x"0fa" => data <= x"20"; when "10" & x"0fb" => data <= x"3f"; when "10" & x"0fc" => data <= x"e1"; when "10" & x"0fd" => data <= x"a2"; when "10" & x"0fe" => data <= x"10"; when "10" & x"0ff" => data <= x"e4"; when "10" & x"100" => data <= x"e6"; when "10" & x"101" => data <= x"f0"; when "10" & x"102" => data <= x"0e"; when "10" & x"103" => data <= x"bd"; when "10" & x"104" => data <= x"00"; when "10" & x"105" => data <= x"0b"; when "10" & x"106" => data <= x"d9"; when "10" & x"107" => data <= x"00"; when "10" & x"108" => data <= x"0b"; when "10" & x"109" => data <= x"d0"; when "10" & x"10a" => data <= x"06"; when "10" & x"10b" => data <= x"ad"; when "10" & x"10c" => data <= x"10"; when "10" & x"10d" => data <= x"0b"; when "10" & x"10e" => data <= x"9d"; when "10" & x"10f" => data <= x"00"; when "10" & x"110" => data <= x"0b"; when "10" & x"111" => data <= x"ca"; when "10" & x"112" => data <= x"10"; when "10" & x"113" => data <= x"eb"; when "10" & x"114" => data <= x"28"; when "10" & x"115" => data <= x"60"; when "10" & x"116" => data <= x"08"; when "10" & x"117" => data <= x"78"; when "10" & x"118" => data <= x"ad"; when "10" & x"119" => data <= x"10"; when "10" & x"11a" => data <= x"0b"; when "10" & x"11b" => data <= x"38"; when "10" & x"11c" => data <= x"f9"; when "10" & x"11d" => data <= x"00"; when "10" & x"11e" => data <= x"0b"; when "10" & x"11f" => data <= x"85"; when "10" & x"120" => data <= x"fb"; when "10" & x"121" => data <= x"8a"; when "10" & x"122" => data <= x"48"; when "10" & x"123" => data <= x"a2"; when "10" & x"124" => data <= x"10"; when "10" & x"125" => data <= x"bd"; when "10" & x"126" => data <= x"00"; when "10" & x"127" => data <= x"0b"; when "10" & x"128" => data <= x"38"; when "10" & x"129" => data <= x"f9"; when "10" & x"12a" => data <= x"00"; when "10" & x"12b" => data <= x"0b"; when "10" & x"12c" => data <= x"90"; when "10" & x"12d" => data <= x"08"; when "10" & x"12e" => data <= x"f0"; when "10" & x"12f" => data <= x"06"; when "10" & x"130" => data <= x"c5"; when "10" & x"131" => data <= x"fb"; when "10" & x"132" => data <= x"b0"; when "10" & x"133" => data <= x"02"; when "10" & x"134" => data <= x"85"; when "10" & x"135" => data <= x"fb"; when "10" & x"136" => data <= x"ca"; when "10" & x"137" => data <= x"10"; when "10" & x"138" => data <= x"ec"; when "10" & x"139" => data <= x"68"; when "10" & x"13a" => data <= x"aa"; when "10" & x"13b" => data <= x"a5"; when "10" & x"13c" => data <= x"fb"; when "10" & x"13d" => data <= x"28"; when "10" & x"13e" => data <= x"60"; when "10" & x"13f" => data <= x"08"; when "10" & x"140" => data <= x"78"; when "10" & x"141" => data <= x"8a"; when "10" & x"142" => data <= x"48"; when "10" & x"143" => data <= x"a4"; when "10" & x"144" => data <= x"e6"; when "10" & x"145" => data <= x"20"; when "10" & x"146" => data <= x"16"; when "10" & x"147" => data <= x"e1"; when "10" & x"148" => data <= x"b9"; when "10" & x"149" => data <= x"00"; when "10" & x"14a" => data <= x"0b"; when "10" & x"14b" => data <= x"a8"; when "10" & x"14c" => data <= x"18"; when "10" & x"14d" => data <= x"65"; when "10" & x"14e" => data <= x"fb"; when "10" & x"14f" => data <= x"aa"; when "10" & x"150" => data <= x"85"; when "10" & x"151" => data <= x"fa"; when "10" & x"152" => data <= x"ad"; when "10" & x"153" => data <= x"68"; when "10" & x"154" => data <= x"02"; when "10" & x"155" => data <= x"f0"; when "10" & x"156" => data <= x"0d"; when "10" & x"157" => data <= x"00"; when "10" & x"158" => data <= x"fa"; when "10" & x"159" => data <= x"4b"; when "10" & x"15a" => data <= x"65"; when "10" & x"15b" => data <= x"79"; when "10" & x"15c" => data <= x"20"; when "10" & x"15d" => data <= x"69"; when "10" & x"15e" => data <= x"6e"; when "10" & x"15f" => data <= x"20"; when "10" & x"160" => data <= x"75"; when "10" & x"161" => data <= x"73"; when "10" & x"162" => data <= x"65"; when "10" & x"163" => data <= x"00"; when "10" & x"164" => data <= x"ce"; when "10" & x"165" => data <= x"84"; when "10" & x"166" => data <= x"02"; when "10" & x"167" => data <= x"68"; when "10" & x"168" => data <= x"38"; when "10" & x"169" => data <= x"e5"; when "10" & x"16a" => data <= x"fa"; when "10" & x"16b" => data <= x"85"; when "10" & x"16c" => data <= x"fa"; when "10" & x"16d" => data <= x"f0"; when "10" & x"16e" => data <= x"0c"; when "10" & x"16f" => data <= x"bd"; when "10" & x"170" => data <= x"01"; when "10" & x"171" => data <= x"0b"; when "10" & x"172" => data <= x"99"; when "10" & x"173" => data <= x"01"; when "10" & x"174" => data <= x"0b"; when "10" & x"175" => data <= x"c8"; when "10" & x"176" => data <= x"e8"; when "10" & x"177" => data <= x"c6"; when "10" & x"178" => data <= x"fa"; when "10" & x"179" => data <= x"d0"; when "10" & x"17a" => data <= x"f4"; when "10" & x"17b" => data <= x"98"; when "10" & x"17c" => data <= x"48"; when "10" & x"17d" => data <= x"a4"; when "10" & x"17e" => data <= x"e6"; when "10" & x"17f" => data <= x"a2"; when "10" & x"180" => data <= x"10"; when "10" & x"181" => data <= x"bd"; when "10" & x"182" => data <= x"00"; when "10" & x"183" => data <= x"0b"; when "10" & x"184" => data <= x"d9"; when "10" & x"185" => data <= x"00"; when "10" & x"186" => data <= x"0b"; when "10" & x"187" => data <= x"90"; when "10" & x"188" => data <= x"07"; when "10" & x"189" => data <= x"f0"; when "10" & x"18a" => data <= x"05"; when "10" & x"18b" => data <= x"e5"; when "10" & x"18c" => data <= x"fb"; when "10" & x"18d" => data <= x"9d"; when "10" & x"18e" => data <= x"00"; when "10" & x"18f" => data <= x"0b"; when "10" & x"190" => data <= x"ca"; when "10" & x"191" => data <= x"10"; when "10" & x"192" => data <= x"ee"; when "10" & x"193" => data <= x"ad"; when "10" & x"194" => data <= x"10"; when "10" & x"195" => data <= x"0b"; when "10" & x"196" => data <= x"99"; when "10" & x"197" => data <= x"00"; when "10" & x"198" => data <= x"0b"; when "10" & x"199" => data <= x"68"; when "10" & x"19a" => data <= x"8d"; when "10" & x"19b" => data <= x"10"; when "10" & x"19c" => data <= x"0b"; when "10" & x"19d" => data <= x"aa"; when "10" & x"19e" => data <= x"ee"; when "10" & x"19f" => data <= x"84"; when "10" & x"1a0" => data <= x"02"; when "10" & x"1a1" => data <= x"28"; when "10" & x"1a2" => data <= x"60"; when "10" & x"1a3" => data <= x"03"; when "10" & x"1a4" => data <= x"0a"; when "10" & x"1a5" => data <= x"08"; when "10" & x"1a6" => data <= x"07"; when "10" & x"1a7" => data <= x"07"; when "10" & x"1a8" => data <= x"07"; when "10" & x"1a9" => data <= x"07"; when "10" & x"1aa" => data <= x"07"; when "10" & x"1ab" => data <= x"09"; when "10" & x"1ac" => data <= x"00"; when "10" & x"1ad" => data <= x"00"; when "10" & x"1ae" => data <= x"c0"; when "10" & x"1af" => data <= x"c0"; when "10" & x"1b0" => data <= x"50"; when "10" & x"1b1" => data <= x"60"; when "10" & x"1b2" => data <= x"70"; when "10" & x"1b3" => data <= x"80"; when "10" & x"1b4" => data <= x"00"; when "10" & x"1b5" => data <= x"e0"; when "10" & x"1b6" => data <= x"00"; when "10" & x"1b7" => data <= x"40"; when "10" & x"1b8" => data <= x"c0"; when "10" & x"1b9" => data <= x"f0"; when "10" & x"1ba" => data <= x"f0"; when "10" & x"1bb" => data <= x"f0"; when "10" & x"1bc" => data <= x"f0"; when "10" & x"1bd" => data <= x"c0"; when "10" & x"1be" => data <= x"bd"; when "10" & x"1bf" => data <= x"ac"; when "10" & x"1c0" => data <= x"e1"; when "10" & x"1c1" => data <= x"85"; when "10" & x"1c2" => data <= x"fa"; when "10" & x"1c3" => data <= x"bd"; when "10" & x"1c4" => data <= x"a3"; when "10" & x"1c5" => data <= x"e1"; when "10" & x"1c6" => data <= x"85"; when "10" & x"1c7" => data <= x"fb"; when "10" & x"1c8" => data <= x"60"; when "10" & x"1c9" => data <= x"2c"; when "10" & x"1ca" => data <= x"bc"; when "10" & x"1cb" => data <= x"d8"; when "10" & x"1cc" => data <= x"70"; when "10" & x"1cd" => data <= x"01"; when "10" & x"1ce" => data <= x"b8"; when "10" & x"1cf" => data <= x"6c"; when "10" & x"1d0" => data <= x"2c"; when "10" & x"1d1" => data <= x"02"; when "10" & x"1d2" => data <= x"08"; when "10" & x"1d3" => data <= x"78"; when "10" & x"1d4" => data <= x"bd"; when "10" & x"1d5" => data <= x"cc"; when "10" & x"1d6" => data <= x"02"; when "10" & x"1d7" => data <= x"dd"; when "10" & x"1d8" => data <= x"d5"; when "10" & x"1d9" => data <= x"02"; when "10" & x"1da" => data <= x"f0"; when "10" & x"1db" => data <= x"72"; when "10" & x"1dc" => data <= x"a8"; when "10" & x"1dd" => data <= x"20"; when "10" & x"1de" => data <= x"be"; when "10" & x"1df" => data <= x"e1"; when "10" & x"1e0" => data <= x"b1"; when "10" & x"1e1" => data <= x"fa"; when "10" & x"1e2" => data <= x"70"; when "10" & x"1e3" => data <= x"1a"; when "10" & x"1e4" => data <= x"48"; when "10" & x"1e5" => data <= x"c8"; when "10" & x"1e6" => data <= x"98"; when "10" & x"1e7" => data <= x"d0"; when "10" & x"1e8" => data <= x"03"; when "10" & x"1e9" => data <= x"bd"; when "10" & x"1ea" => data <= x"b5"; when "10" & x"1eb" => data <= x"e1"; when "10" & x"1ec" => data <= x"9d"; when "10" & x"1ed" => data <= x"cc"; when "10" & x"1ee" => data <= x"02"; when "10" & x"1ef" => data <= x"e0"; when "10" & x"1f0" => data <= x"02"; when "10" & x"1f1" => data <= x"90"; when "10" & x"1f2" => data <= x"0a"; when "10" & x"1f3" => data <= x"dd"; when "10" & x"1f4" => data <= x"d5"; when "10" & x"1f5" => data <= x"02"; when "10" & x"1f6" => data <= x"d0"; when "10" & x"1f7" => data <= x"05"; when "10" & x"1f8" => data <= x"a0"; when "10" & x"1f9" => data <= x"00"; when "10" & x"1fa" => data <= x"20"; when "10" & x"1fb" => data <= x"02"; when "10" & x"1fc" => data <= x"e2"; when "10" & x"1fd" => data <= x"68"; when "10" & x"1fe" => data <= x"a8"; when "10" & x"1ff" => data <= x"28"; when "10" & x"200" => data <= x"18"; when "10" & x"201" => data <= x"60"; when "10" & x"202" => data <= x"08"; when "10" & x"203" => data <= x"78"; when "10" & x"204" => data <= x"48"; when "10" & x"205" => data <= x"85"; when "10" & x"206" => data <= x"fa"; when "10" & x"207" => data <= x"b9"; when "10" & x"208" => data <= x"b5"; when "10" & x"209" => data <= x"02"; when "10" & x"20a" => data <= x"f0"; when "10" & x"20b" => data <= x"41"; when "10" & x"20c" => data <= x"98"; when "10" & x"20d" => data <= x"a4"; when "10" & x"20e" => data <= x"fa"; when "10" & x"20f" => data <= x"20"; when "10" & x"210" => data <= x"e2"; when "10" & x"211" => data <= x"e7"; when "10" & x"212" => data <= x"68"; when "10" & x"213" => data <= x"28"; when "10" & x"214" => data <= x"18"; when "10" & x"215" => data <= x"60"; when "10" & x"216" => data <= x"98"; when "10" & x"217" => data <= x"a0"; when "10" & x"218" => data <= x"02"; when "10" & x"219" => data <= x"20"; when "10" & x"21a" => data <= x"02"; when "10" & x"21b" => data <= x"e2"; when "10" & x"21c" => data <= x"a8"; when "10" & x"21d" => data <= x"98"; when "10" & x"21e" => data <= x"6c"; when "10" & x"21f" => data <= x"2a"; when "10" & x"220" => data <= x"02"; when "10" & x"221" => data <= x"08"; when "10" & x"222" => data <= x"78"; when "10" & x"223" => data <= x"48"; when "10" & x"224" => data <= x"bc"; when "10" & x"225" => data <= x"d5"; when "10" & x"226" => data <= x"02"; when "10" & x"227" => data <= x"c8"; when "10" & x"228" => data <= x"d0"; when "10" & x"229" => data <= x"03"; when "10" & x"22a" => data <= x"bc"; when "10" & x"22b" => data <= x"b5"; when "10" & x"22c" => data <= x"e1"; when "10" & x"22d" => data <= x"98"; when "10" & x"22e" => data <= x"dd"; when "10" & x"22f" => data <= x"cc"; when "10" & x"230" => data <= x"02"; when "10" & x"231" => data <= x"f0"; when "10" & x"232" => data <= x"0f"; when "10" & x"233" => data <= x"bc"; when "10" & x"234" => data <= x"d5"; when "10" & x"235" => data <= x"02"; when "10" & x"236" => data <= x"9d"; when "10" & x"237" => data <= x"d5"; when "10" & x"238" => data <= x"02"; when "10" & x"239" => data <= x"20"; when "10" & x"23a" => data <= x"be"; when "10" & x"23b" => data <= x"e1"; when "10" & x"23c" => data <= x"68"; when "10" & x"23d" => data <= x"91"; when "10" & x"23e" => data <= x"fa"; when "10" & x"23f" => data <= x"28"; when "10" & x"240" => data <= x"18"; when "10" & x"241" => data <= x"60"; when "10" & x"242" => data <= x"68"; when "10" & x"243" => data <= x"e0"; when "10" & x"244" => data <= x"02"; when "10" & x"245" => data <= x"b0"; when "10" & x"246" => data <= x"07"; when "10" & x"247" => data <= x"a0"; when "10" & x"248" => data <= x"01"; when "10" & x"249" => data <= x"20"; when "10" & x"24a" => data <= x"02"; when "10" & x"24b" => data <= x"e2"; when "10" & x"24c" => data <= x"48"; when "10" & x"24d" => data <= x"68"; when "10" & x"24e" => data <= x"28"; when "10" & x"24f" => data <= x"38"; when "10" & x"250" => data <= x"60"; when "10" & x"251" => data <= x"48"; when "10" & x"252" => data <= x"29"; when "10" & x"253" => data <= x"df"; when "10" & x"254" => data <= x"c9"; when "10" & x"255" => data <= x"41"; when "10" & x"256" => data <= x"90"; when "10" & x"257" => data <= x"04"; when "10" & x"258" => data <= x"c9"; when "10" & x"259" => data <= x"5b"; when "10" & x"25a" => data <= x"90"; when "10" & x"25b" => data <= x"01"; when "10" & x"25c" => data <= x"38"; when "10" & x"25d" => data <= x"68"; when "10" & x"25e" => data <= x"60"; when "10" & x"25f" => data <= x"a2"; when "10" & x"260" => data <= x"00"; when "10" & x"261" => data <= x"8a"; when "10" & x"262" => data <= x"2d"; when "10" & x"263" => data <= x"45"; when "10" & x"264" => data <= x"02"; when "10" & x"265" => data <= x"d0"; when "10" & x"266" => data <= x"b6"; when "10" & x"267" => data <= x"98"; when "10" & x"268" => data <= x"4d"; when "10" & x"269" => data <= x"6c"; when "10" & x"26a" => data <= x"02"; when "10" & x"26b" => data <= x"0d"; when "10" & x"26c" => data <= x"75"; when "10" & x"26d" => data <= x"02"; when "10" & x"26e" => data <= x"d0"; when "10" & x"26f" => data <= x"a6"; when "10" & x"270" => data <= x"ad"; when "10" & x"271" => data <= x"58"; when "10" & x"272" => data <= x"02"; when "10" & x"273" => data <= x"6a"; when "10" & x"274" => data <= x"98"; when "10" & x"275" => data <= x"b0"; when "10" & x"276" => data <= x"0a"; when "10" & x"277" => data <= x"a0"; when "10" & x"278" => data <= x"06"; when "10" & x"279" => data <= x"20"; when "10" & x"27a" => data <= x"02"; when "10" & x"27b" => data <= x"e2"; when "10" & x"27c" => data <= x"90"; when "10" & x"27d" => data <= x"03"; when "10" & x"27e" => data <= x"20"; when "10" & x"27f" => data <= x"80"; when "10" & x"280" => data <= x"e4"; when "10" & x"281" => data <= x"18"; when "10" & x"282" => data <= x"60"; when "10" & x"283" => data <= x"c9"; when "10" & x"284" => data <= x"a0"; when "10" & x"285" => data <= x"90"; when "10" & x"286" => data <= x"05"; when "10" & x"287" => data <= x"ad"; when "10" & x"288" => data <= x"73"; when "10" & x"289" => data <= x"02"; when "10" & x"28a" => data <= x"b0"; when "10" & x"28b" => data <= x"03"; when "10" & x"28c" => data <= x"ad"; when "10" & x"28d" => data <= x"72"; when "10" & x"28e" => data <= x"02"; when "10" & x"28f" => data <= x"f0"; when "10" & x"290" => data <= x"21"; when "10" & x"291" => data <= x"4a"; when "10" & x"292" => data <= x"d0"; when "10" & x"293" => data <= x"31"; when "10" & x"294" => data <= x"a5"; when "10" & x"295" => data <= x"f4"; when "10" & x"296" => data <= x"48"; when "10" & x"297" => data <= x"ad"; when "10" & x"298" => data <= x"8c"; when "10" & x"299" => data <= x"02"; when "10" & x"29a" => data <= x"30"; when "10" & x"29b" => data <= x"19"; when "10" & x"29c" => data <= x"20"; when "10" & x"29d" => data <= x"a0"; when "10" & x"29e" => data <= x"e3"; when "10" & x"29f" => data <= x"ad"; when "10" & x"2a0" => data <= x"06"; when "10" & x"2a1" => data <= x"80"; when "10" & x"2a2" => data <= x"29"; when "10" & x"2a3" => data <= x"10"; when "10" & x"2a4" => data <= x"f0"; when "10" & x"2a5" => data <= x"0f"; when "10" & x"2a6" => data <= x"a9"; when "10" & x"2a7" => data <= x"03"; when "10" & x"2a8" => data <= x"20"; when "10" & x"2a9" => data <= x"00"; when "10" & x"2aa" => data <= x"80"; when "10" & x"2ab" => data <= x"8c"; when "10" & x"2ac" => data <= x"5d"; when "10" & x"2ad" => data <= x"02"; when "10" & x"2ae" => data <= x"68"; when "10" & x"2af" => data <= x"20"; when "10" & x"2b0" => data <= x"a0"; when "10" & x"2b1" => data <= x"e3"; when "10" & x"2b2" => data <= x"4c"; when "10" & x"2b3" => data <= x"33"; when "10" & x"2b4" => data <= x"e3"; when "10" & x"2b5" => data <= x"b9"; when "10" & x"2b6" => data <= x"89"; when "10" & x"2b7" => data <= x"ee"; when "10" & x"2b8" => data <= x"8d"; when "10" & x"2b9" => data <= x"5c"; when "10" & x"2ba" => data <= x"02"; when "10" & x"2bb" => data <= x"b9"; when "10" & x"2bc" => data <= x"a9"; when "10" & x"2bd" => data <= x"ee"; when "10" & x"2be" => data <= x"a8"; when "10" & x"2bf" => data <= x"b0"; when "10" & x"2c0" => data <= x"ea"; when "10" & x"2c1" => data <= x"6a"; when "10" & x"2c2" => data <= x"68"; when "10" & x"2c3" => data <= x"b0"; when "10" & x"2c4" => data <= x"bc"; when "10" & x"2c5" => data <= x"98"; when "10" & x"2c6" => data <= x"48"; when "10" & x"2c7" => data <= x"4a"; when "10" & x"2c8" => data <= x"4a"; when "10" & x"2c9" => data <= x"4a"; when "10" & x"2ca" => data <= x"4a"; when "10" & x"2cb" => data <= x"49"; when "10" & x"2cc" => data <= x"04"; when "10" & x"2cd" => data <= x"a8"; when "10" & x"2ce" => data <= x"b9"; when "10" & x"2cf" => data <= x"65"; when "10" & x"2d0" => data <= x"02"; when "10" & x"2d1" => data <= x"c9"; when "10" & x"2d2" => data <= x"01"; when "10" & x"2d3" => data <= x"f0"; when "10" & x"2d4" => data <= x"7e"; when "10" & x"2d5" => data <= x"68"; when "10" & x"2d6" => data <= x"90"; when "10" & x"2d7" => data <= x"0d"; when "10" & x"2d8" => data <= x"29"; when "10" & x"2d9" => data <= x"0f"; when "10" & x"2da" => data <= x"18"; when "10" & x"2db" => data <= x"79"; when "10" & x"2dc" => data <= x"65"; when "10" & x"2dd" => data <= x"02"; when "10" & x"2de" => data <= x"18"; when "10" & x"2df" => data <= x"60"; when "10" & x"2e0" => data <= x"20"; when "10" & x"2e1" => data <= x"4b"; when "10" & x"2e2" => data <= x"e6"; when "10" & x"2e3" => data <= x"68"; when "10" & x"2e4" => data <= x"aa"; when "10" & x"2e5" => data <= x"20"; when "10" & x"2e6" => data <= x"ce"; when "10" & x"2e7" => data <= x"e1"; when "10" & x"2e8" => data <= x"b0"; when "10" & x"2e9" => data <= x"66"; when "10" & x"2ea" => data <= x"e0"; when "10" & x"2eb" => data <= x"01"; when "10" & x"2ec" => data <= x"d0"; when "10" & x"2ed" => data <= x"05"; when "10" & x"2ee" => data <= x"ac"; when "10" & x"2ef" => data <= x"45"; when "10" & x"2f0" => data <= x"02"; when "10" & x"2f1" => data <= x"d0"; when "10" & x"2f2" => data <= x"5c"; when "10" & x"2f3" => data <= x"a8"; when "10" & x"2f4" => data <= x"10"; when "10" & x"2f5" => data <= x"59"; when "10" & x"2f6" => data <= x"c9"; when "10" & x"2f7" => data <= x"90"; when "10" & x"2f8" => data <= x"90"; when "10" & x"2f9" => data <= x"04"; when "10" & x"2fa" => data <= x"c9"; when "10" & x"2fb" => data <= x"b0"; when "10" & x"2fc" => data <= x"90"; when "10" & x"2fd" => data <= x"85"; when "10" & x"2fe" => data <= x"29"; when "10" & x"2ff" => data <= x"0f"; when "10" & x"300" => data <= x"c9"; when "10" & x"301" => data <= x"0b"; when "10" & x"302" => data <= x"90"; when "10" & x"303" => data <= x"c1"; when "10" & x"304" => data <= x"69"; when "10" & x"305" => data <= x"7b"; when "10" & x"306" => data <= x"48"; when "10" & x"307" => data <= x"ad"; when "10" & x"308" => data <= x"7d"; when "10" & x"309" => data <= x"02"; when "10" & x"30a" => data <= x"d0"; when "10" & x"30b" => data <= x"b5"; when "10" & x"30c" => data <= x"ad"; when "10" & x"30d" => data <= x"7c"; when "10" & x"30e" => data <= x"02"; when "10" & x"30f" => data <= x"6a"; when "10" & x"310" => data <= x"6a"; when "10" & x"311" => data <= x"68"; when "10" & x"312" => data <= x"b0"; when "10" & x"313" => data <= x"d1"; when "10" & x"314" => data <= x"c9"; when "10" & x"315" => data <= x"87"; when "10" & x"316" => data <= x"d0"; when "10" & x"317" => data <= x"0d"; when "10" & x"318" => data <= x"8a"; when "10" & x"319" => data <= x"48"; when "10" & x"31a" => data <= x"20"; when "10" & x"31b" => data <= x"1b"; when "10" & x"31c" => data <= x"d8"; when "10" & x"31d" => data <= x"a8"; when "10" & x"31e" => data <= x"f0"; when "10" & x"31f" => data <= x"c0"; when "10" & x"320" => data <= x"68"; when "10" & x"321" => data <= x"aa"; when "10" & x"322" => data <= x"98"; when "10" & x"323" => data <= x"18"; when "10" & x"324" => data <= x"60"; when "10" & x"325" => data <= x"a8"; when "10" & x"326" => data <= x"8a"; when "10" & x"327" => data <= x"48"; when "10" & x"328" => data <= x"98"; when "10" & x"329" => data <= x"20"; when "10" & x"32a" => data <= x"e5"; when "10" & x"32b" => data <= x"d7"; when "10" & x"32c" => data <= x"68"; when "10" & x"32d" => data <= x"aa"; when "10" & x"32e" => data <= x"2c"; when "10" & x"32f" => data <= x"5f"; when "10" & x"330" => data <= x"02"; when "10" & x"331" => data <= x"30"; when "10" & x"332" => data <= x"60"; when "10" & x"333" => data <= x"8a"; when "10" & x"334" => data <= x"2d"; when "10" & x"335" => data <= x"45"; when "10" & x"336" => data <= x"02"; when "10" & x"337" => data <= x"d0"; when "10" & x"338" => data <= x"ac"; when "10" & x"339" => data <= x"ad"; when "10" & x"33a" => data <= x"5d"; when "10" & x"33b" => data <= x"02"; when "10" & x"33c" => data <= x"d0"; when "10" & x"33d" => data <= x"27"; when "10" & x"33e" => data <= x"ad"; when "10" & x"33f" => data <= x"68"; when "10" & x"340" => data <= x"02"; when "10" & x"341" => data <= x"f0"; when "10" & x"342" => data <= x"a2"; when "10" & x"343" => data <= x"ac"; when "10" & x"344" => data <= x"79"; when "10" & x"345" => data <= x"02"; when "10" & x"346" => data <= x"b9"; when "10" & x"347" => data <= x"01"; when "10" & x"348" => data <= x"0b"; when "10" & x"349" => data <= x"ee"; when "10" & x"34a" => data <= x"79"; when "10" & x"34b" => data <= x"02"; when "10" & x"34c" => data <= x"ce"; when "10" & x"34d" => data <= x"68"; when "10" & x"34e" => data <= x"02"; when "10" & x"34f" => data <= x"18"; when "10" & x"350" => data <= x"b0"; when "10" & x"351" => data <= x"46"; when "10" & x"352" => data <= x"60"; when "10" & x"353" => data <= x"68"; when "10" & x"354" => data <= x"29"; when "10" & x"355" => data <= x"0f"; when "10" & x"356" => data <= x"a8"; when "10" & x"357" => data <= x"20"; when "10" & x"358" => data <= x"16"; when "10" & x"359" => data <= x"e1"; when "10" & x"35a" => data <= x"8d"; when "10" & x"35b" => data <= x"68"; when "10" & x"35c" => data <= x"02"; when "10" & x"35d" => data <= x"b9"; when "10" & x"35e" => data <= x"00"; when "10" & x"35f" => data <= x"0b"; when "10" & x"360" => data <= x"8d"; when "10" & x"361" => data <= x"79"; when "10" & x"362" => data <= x"02"; when "10" & x"363" => data <= x"d0"; when "10" & x"364" => data <= x"c9"; when "10" & x"365" => data <= x"aa"; when "10" & x"366" => data <= x"a5"; when "10" & x"367" => data <= x"f4"; when "10" & x"368" => data <= x"48"; when "10" & x"369" => data <= x"ad"; when "10" & x"36a" => data <= x"8c"; when "10" & x"36b" => data <= x"02"; when "10" & x"36c" => data <= x"30"; when "10" & x"36d" => data <= x"19"; when "10" & x"36e" => data <= x"20"; when "10" & x"36f" => data <= x"a0"; when "10" & x"370" => data <= x"e3"; when "10" & x"371" => data <= x"ad"; when "10" & x"372" => data <= x"06"; when "10" & x"373" => data <= x"80"; when "10" & x"374" => data <= x"29"; when "10" & x"375" => data <= x"10"; when "10" & x"376" => data <= x"f0"; when "10" & x"377" => data <= x"0f"; when "10" & x"378" => data <= x"a9"; when "10" & x"379" => data <= x"02"; when "10" & x"37a" => data <= x"20"; when "10" & x"37b" => data <= x"00"; when "10" & x"37c" => data <= x"80"; when "10" & x"37d" => data <= x"ce"; when "10" & x"37e" => data <= x"5d"; when "10" & x"37f" => data <= x"02"; when "10" & x"380" => data <= x"68"; when "10" & x"381" => data <= x"20"; when "10" & x"382" => data <= x"a0"; when "10" & x"383" => data <= x"e3"; when "10" & x"384" => data <= x"98"; when "10" & x"385" => data <= x"18"; when "10" & x"386" => data <= x"60"; when "10" & x"387" => data <= x"ac"; when "10" & x"388" => data <= x"5c"; when "10" & x"389" => data <= x"02"; when "10" & x"38a" => data <= x"b9"; when "10" & x"38b" => data <= x"59"; when "10" & x"38c" => data <= x"ef"; when "10" & x"38d" => data <= x"a8"; when "10" & x"38e" => data <= x"ee"; when "10" & x"38f" => data <= x"5c"; when "10" & x"390" => data <= x"02"; when "10" & x"391" => data <= x"d0"; when "10" & x"392" => data <= x"ea"; when "10" & x"393" => data <= x"a9"; when "10" & x"394" => data <= x"06"; when "10" & x"395" => data <= x"6c"; when "10" & x"396" => data <= x"24"; when "10" & x"397" => data <= x"02"; when "10" & x"398" => data <= x"48"; when "10" & x"399" => data <= x"20"; when "10" & x"39a" => data <= x"50"; when "10" & x"39b" => data <= x"e9"; when "10" & x"39c" => data <= x"68"; when "10" & x"39d" => data <= x"38"; when "10" & x"39e" => data <= x"60"; when "10" & x"39f" => data <= x"8a"; when "10" & x"3a0" => data <= x"85"; when "10" & x"3a1" => data <= x"f4"; when "10" & x"3a2" => data <= x"20"; when "10" & x"3a3" => data <= x"a9"; when "10" & x"3a4" => data <= x"e3"; when "10" & x"3a5" => data <= x"8d"; when "10" & x"3a6" => data <= x"05"; when "10" & x"3a7" => data <= x"fe"; when "10" & x"3a8" => data <= x"60"; when "10" & x"3a9" => data <= x"48"; when "10" & x"3aa" => data <= x"a9"; when "10" & x"3ab" => data <= x"0c"; when "10" & x"3ac" => data <= x"8d"; when "10" & x"3ad" => data <= x"05"; when "10" & x"3ae" => data <= x"fe"; when "10" & x"3af" => data <= x"68"; when "10" & x"3b0" => data <= x"60"; when "10" & x"3b1" => data <= x"f2"; when "10" & x"3b2" => data <= x"e5"; when "10" & x"3b3" => data <= x"80"; when "10" & x"3b4" => data <= x"e7"; when "10" & x"3b5" => data <= x"32"; when "10" & x"3b6" => data <= x"e5"; when "10" & x"3b7" => data <= x"8f"; when "10" & x"3b8" => data <= x"e7"; when "10" & x"3b9" => data <= x"8f"; when "10" & x"3ba" => data <= x"e7"; when "10" & x"3bb" => data <= x"67"; when "10" & x"3bc" => data <= x"e7"; when "10" & x"3bd" => data <= x"80"; when "10" & x"3be" => data <= x"e7"; when "10" & x"3bf" => data <= x"38"; when "10" & x"3c0" => data <= x"e5"; when "10" & x"3c1" => data <= x"38"; when "10" & x"3c2" => data <= x"e5"; when "10" & x"3c3" => data <= x"9c"; when "10" & x"3c4" => data <= x"e4"; when "10" & x"3c5" => data <= x"9e"; when "10" & x"3c6" => data <= x"e4"; when "10" & x"3c7" => data <= x"8d"; when "10" & x"3c8" => data <= x"e7"; when "10" & x"3c9" => data <= x"84"; when "10" & x"3ca" => data <= x"e7"; when "10" & x"3cb" => data <= x"c2"; when "10" & x"3cc" => data <= x"e4"; when "10" & x"3cd" => data <= x"c3"; when "10" & x"3ce" => data <= x"e4"; when "10" & x"3cf" => data <= x"e8"; when "10" & x"3d0" => data <= x"de"; when "10" & x"3d1" => data <= x"38"; when "10" & x"3d2" => data <= x"e5"; when "10" & x"3d3" => data <= x"38"; when "10" & x"3d4" => data <= x"e5"; when "10" & x"3d5" => data <= x"c0"; when "10" & x"3d6" => data <= x"e7"; when "10" & x"3d7" => data <= x"ae"; when "10" & x"3d8" => data <= x"e7"; when "10" & x"3d9" => data <= x"5e"; when "10" & x"3da" => data <= x"cc"; when "10" & x"3db" => data <= x"f4"; when "10" & x"3dc" => data <= x"de"; when "10" & x"3dd" => data <= x"64"; when "10" & x"3de" => data <= x"e4"; when "10" & x"3df" => data <= x"14"; when "10" & x"3e0" => data <= x"ef"; when "10" & x"3e1" => data <= x"38"; when "10" & x"3e2" => data <= x"e5"; when "10" & x"3e3" => data <= x"07"; when "10" & x"3e4" => data <= x"cc"; when "10" & x"3e5" => data <= x"76"; when "10" & x"3e6" => data <= x"e9"; when "10" & x"3e7" => data <= x"48"; when "10" & x"3e8" => data <= x"e6"; when "10" & x"3e9" => data <= x"d1"; when "10" & x"3ea" => data <= x"e7"; when "10" & x"3eb" => data <= x"e3"; when "10" & x"3ec" => data <= x"df"; when "10" & x"3ed" => data <= x"10"; when "10" & x"3ee" => data <= x"f0"; when "10" & x"3ef" => data <= x"51"; when "10" & x"3f0" => data <= x"ec"; when "10" & x"3f1" => data <= x"4f"; when "10" & x"3f2" => data <= x"ec"; when "10" & x"3f3" => data <= x"e4"; when "10" & x"3f4" => data <= x"de"; when "10" & x"3f5" => data <= x"7f"; when "10" & x"3f6" => data <= x"e4"; when "10" & x"3f7" => data <= x"80"; when "10" & x"3f8" => data <= x"e4"; when "10" & x"3f9" => data <= x"68"; when "10" & x"3fa" => data <= x"e4"; when "10" & x"3fb" => data <= x"be"; when "10" & x"3fc" => data <= x"dd"; when "10" & x"3fd" => data <= x"11"; when "10" & x"3fe" => data <= x"e5"; when "10" & x"3ff" => data <= x"d0"; when "10" & x"400" => data <= x"e4"; when "10" & x"401" => data <= x"eb"; when "10" & x"402" => data <= x"e4"; when "10" & x"403" => data <= x"dd"; when "10" & x"404" => data <= x"ef"; when "10" & x"405" => data <= x"34"; when "10" & x"406" => data <= x"d8"; when "10" & x"407" => data <= x"37"; when "10" & x"408" => data <= x"d8"; when "10" & x"409" => data <= x"5d"; when "10" & x"40a" => data <= x"d5"; when "10" & x"40b" => data <= x"11"; when "10" & x"40c" => data <= x"d7"; when "10" & x"40d" => data <= x"5f"; when "10" & x"40e" => data <= x"e4"; when "10" & x"40f" => data <= x"8a"; when "10" & x"410" => data <= x"e4"; when "10" & x"411" => data <= x"1d"; when "10" & x"412" => data <= x"e2"; when "10" & x"413" => data <= x"bd"; when "10" & x"414" => data <= x"dd"; when "10" & x"415" => data <= x"a5"; when "10" & x"416" => data <= x"e7"; when "10" & x"417" => data <= x"a5"; when "10" & x"418" => data <= x"e7"; when "10" & x"419" => data <= x"b1"; when "10" & x"41a" => data <= x"da"; when "10" & x"41b" => data <= x"a8"; when "10" & x"41c" => data <= x"f0"; when "10" & x"41d" => data <= x"89"; when "10" & x"41e" => data <= x"e8"; when "10" & x"41f" => data <= x"ce"; when "10" & x"420" => data <= x"e1"; when "10" & x"421" => data <= x"8f"; when "10" & x"422" => data <= x"e8"; when "10" & x"423" => data <= x"8a"; when "10" & x"424" => data <= x"e8"; when "10" & x"425" => data <= x"a8"; when "10" & x"426" => data <= x"ff"; when "10" & x"427" => data <= x"a3"; when "10" & x"428" => data <= x"ff"; when "10" & x"429" => data <= x"32"; when "10" & x"42a" => data <= x"f0"; when "10" & x"42b" => data <= x"85"; when "10" & x"42c" => data <= x"e8"; when "10" & x"42d" => data <= x"c9"; when "10" & x"42e" => data <= x"e1"; when "10" & x"42f" => data <= x"61"; when "10" & x"430" => data <= x"e2"; when "10" & x"431" => data <= x"e8"; when "10" & x"432" => data <= x"ee"; when "10" & x"433" => data <= x"a2"; when "10" & x"434" => data <= x"ff"; when "10" & x"435" => data <= x"38"; when "10" & x"436" => data <= x"e5"; when "10" & x"437" => data <= x"b3"; when "10" & x"438" => data <= x"ff"; when "10" & x"439" => data <= x"38"; when "10" & x"43a" => data <= x"e5"; when "10" & x"43b" => data <= x"38"; when "10" & x"43c" => data <= x"e5"; when "10" & x"43d" => data <= x"b8"; when "10" & x"43e" => data <= x"e7"; when "10" & x"43f" => data <= x"94"; when "10" & x"440" => data <= x"e7"; when "10" & x"441" => data <= x"61"; when "10" & x"442" => data <= x"e4"; when "10" & x"443" => data <= x"f3"; when "10" & x"444" => data <= x"e6"; when "10" & x"445" => data <= x"c6"; when "10" & x"446" => data <= x"e6"; when "10" & x"447" => data <= x"d9"; when "10" & x"448" => data <= x"e6"; when "10" & x"449" => data <= x"c2"; when "10" & x"44a" => data <= x"e6"; when "10" & x"44b" => data <= x"d5"; when "10" & x"44c" => data <= x"e6"; when "10" & x"44d" => data <= x"d4"; when "10" & x"44e" => data <= x"e5"; when "10" & x"44f" => data <= x"dc"; when "10" & x"450" => data <= x"e5"; when "10" & x"451" => data <= x"fe"; when "10" & x"452" => data <= x"e5"; when "10" & x"453" => data <= x"7f"; when "10" & x"454" => data <= x"e6"; when "10" & x"455" => data <= x"ad"; when "10" & x"456" => data <= x"c6"; when "10" & x"457" => data <= x"40"; when "10" & x"458" => data <= x"cc"; when "10" & x"459" => data <= x"e5"; when "10" & x"45a" => data <= x"c6"; when "10" & x"45b" => data <= x"9d"; when "10" & x"45c" => data <= x"c8"; when "10" & x"45d" => data <= x"e4"; when "10" & x"45e" => data <= x"d4"; when "10" & x"45f" => data <= x"a9"; when "10" & x"460" => data <= x"00"; when "10" & x"461" => data <= x"6c"; when "10" & x"462" => data <= x"00"; when "10" & x"463" => data <= x"02"; when "10" & x"464" => data <= x"ee"; when "10" & x"465" => data <= x"49"; when "10" & x"466" => data <= x"02"; when "10" & x"467" => data <= x"60"; when "10" & x"468" => data <= x"a2"; when "10" & x"469" => data <= x"00"; when "10" & x"46a" => data <= x"24"; when "10" & x"46b" => data <= x"ff"; when "10" & x"46c" => data <= x"10"; when "10" & x"46d" => data <= x"11"; when "10" & x"46e" => data <= x"ad"; when "10" & x"46f" => data <= x"76"; when "10" & x"470" => data <= x"02"; when "10" & x"471" => data <= x"d0"; when "10" & x"472" => data <= x"0a"; when "10" & x"473" => data <= x"58"; when "10" & x"474" => data <= x"8d"; when "10" & x"475" => data <= x"69"; when "10" & x"476" => data <= x"02"; when "10" & x"477" => data <= x"20"; when "10" & x"478" => data <= x"ea"; when "10" & x"479" => data <= x"f5"; when "10" & x"47a" => data <= x"20"; when "10" & x"47b" => data <= x"ea"; when "10" & x"47c" => data <= x"de"; when "10" & x"47d" => data <= x"a2"; when "10" & x"47e" => data <= x"ff"; when "10" & x"47f" => data <= x"18"; when "10" & x"480" => data <= x"66"; when "10" & x"481" => data <= x"ff"; when "10" & x"482" => data <= x"2c"; when "10" & x"483" => data <= x"7a"; when "10" & x"484" => data <= x"02"; when "10" & x"485" => data <= x"10"; when "10" & x"486" => data <= x"e0"; when "10" & x"487" => data <= x"4c"; when "10" & x"488" => data <= x"03"; when "10" & x"489" => data <= x"04"; when "10" & x"48a" => data <= x"ad"; when "10" & x"48b" => data <= x"82"; when "10" & x"48c" => data <= x"02"; when "10" & x"48d" => data <= x"29"; when "10" & x"48e" => data <= x"bf"; when "10" & x"48f" => data <= x"e0"; when "10" & x"490" => data <= x"00"; when "10" & x"491" => data <= x"f0"; when "10" & x"492" => data <= x"02"; when "10" & x"493" => data <= x"09"; when "10" & x"494" => data <= x"40"; when "10" & x"495" => data <= x"8d"; when "10" & x"496" => data <= x"82"; when "10" & x"497" => data <= x"02"; when "10" & x"498" => data <= x"8d"; when "10" & x"499" => data <= x"07"; when "10" & x"49a" => data <= x"fe"; when "10" & x"49b" => data <= x"60"; when "10" & x"49c" => data <= x"c8"; when "10" & x"49d" => data <= x"18"; when "10" & x"49e" => data <= x"b9"; when "10" & x"49f" => data <= x"52"; when "10" & x"4a0" => data <= x"02"; when "10" & x"4a1" => data <= x"48"; when "10" & x"4a2" => data <= x"8a"; when "10" & x"4a3" => data <= x"99"; when "10" & x"4a4" => data <= x"52"; when "10" & x"4a5" => data <= x"02"; when "10" & x"4a6" => data <= x"68"; when "10" & x"4a7" => data <= x"a8"; when "10" & x"4a8" => data <= x"ad"; when "10" & x"4a9" => data <= x"51"; when "10" & x"4aa" => data <= x"02"; when "10" & x"4ab" => data <= x"d0"; when "10" & x"4ac" => data <= x"13"; when "10" & x"4ad" => data <= x"8e"; when "10" & x"4ae" => data <= x"51"; when "10" & x"4af" => data <= x"02"; when "10" & x"4b0" => data <= x"a9"; when "10" & x"4b1" => data <= x"00"; when "10" & x"4b2" => data <= x"90"; when "10" & x"4b3" => data <= x"02"; when "10" & x"4b4" => data <= x"a9"; when "10" & x"4b5" => data <= x"07"; when "10" & x"4b6" => data <= x"8d"; when "10" & x"4b7" => data <= x"48"; when "10" & x"4b8" => data <= x"02"; when "10" & x"4b9" => data <= x"98"; when "10" & x"4ba" => data <= x"48"; when "10" & x"4bb" => data <= x"20"; when "10" & x"4bc" => data <= x"a3"; when "10" & x"4bd" => data <= x"c8"; when "10" & x"4be" => data <= x"68"; when "10" & x"4bf" => data <= x"a8"; when "10" & x"4c0" => data <= x"50"; when "10" & x"4c1" => data <= x"0b"; when "10" & x"4c2" => data <= x"98"; when "10" & x"4c3" => data <= x"e0"; when "10" & x"4c4" => data <= x"0a"; when "10" & x"4c5" => data <= x"b0"; when "10" & x"4c6" => data <= x"07"; when "10" & x"4c7" => data <= x"bc"; when "10" & x"4c8" => data <= x"b5"; when "10" & x"4c9" => data <= x"02"; when "10" & x"4ca" => data <= x"9d"; when "10" & x"4cb" => data <= x"b5"; when "10" & x"4cc" => data <= x"02"; when "10" & x"4cd" => data <= x"98"; when "10" & x"4ce" => data <= x"aa"; when "10" & x"4cf" => data <= x"60"; when "10" & x"4d0" => data <= x"98"; when "10" & x"4d1" => data <= x"30"; when "10" & x"4d2" => data <= x"0b"; when "10" & x"4d3" => data <= x"58"; when "10" & x"4d4" => data <= x"20"; when "10" & x"4d5" => data <= x"46"; when "10" & x"4d6" => data <= x"dc"; when "10" & x"4d7" => data <= x"b0"; when "10" & x"4d8" => data <= x"03"; when "10" & x"4d9" => data <= x"aa"; when "10" & x"4da" => data <= x"a9"; when "10" & x"4db" => data <= x"00"; when "10" & x"4dc" => data <= x"a8"; when "10" & x"4dd" => data <= x"60"; when "10" & x"4de" => data <= x"8a"; when "10" & x"4df" => data <= x"29"; when "10" & x"4e0" => data <= x"0f"; when "10" & x"4e1" => data <= x"f0"; when "10" & x"4e2" => data <= x"11"; when "10" & x"4e3" => data <= x"8a"; when "10" & x"4e4" => data <= x"49"; when "10" & x"4e5" => data <= x"7f"; when "10" & x"4e6" => data <= x"aa"; when "10" & x"4e7" => data <= x"20"; when "10" & x"4e8" => data <= x"4c"; when "10" & x"4e9" => data <= x"ec"; when "10" & x"4ea" => data <= x"2a"; when "10" & x"4eb" => data <= x"a2"; when "10" & x"4ec" => data <= x"ff"; when "10" & x"4ed" => data <= x"a0"; when "10" & x"4ee" => data <= x"ff"; when "10" & x"4ef" => data <= x"b0"; when "10" & x"4f0" => data <= x"02"; when "10" & x"4f1" => data <= x"e8"; when "10" & x"4f2" => data <= x"c8"; when "10" & x"4f3" => data <= x"60"; when "10" & x"4f4" => data <= x"8a"; when "10" & x"4f5" => data <= x"f0"; when "10" & x"4f6" => data <= x"07"; when "10" & x"4f7" => data <= x"20"; when "10" & x"4f8" => data <= x"38"; when "10" & x"4f9" => data <= x"e5"; when "10" & x"4fa" => data <= x"18"; when "10" & x"4fb" => data <= x"d0"; when "10" & x"4fc" => data <= x"ee"; when "10" & x"4fd" => data <= x"60"; when "10" & x"4fe" => data <= x"a2"; when "10" & x"4ff" => data <= x"01"; when "10" & x"500" => data <= x"d0"; when "10" & x"501" => data <= x"d8"; when "10" & x"502" => data <= x"8a"; when "10" & x"503" => data <= x"49"; when "10" & x"504" => data <= x"ff"; when "10" & x"505" => data <= x"aa"; when "10" & x"506" => data <= x"e0"; when "10" & x"507" => data <= x"02"; when "10" & x"508" => data <= x"b8"; when "10" & x"509" => data <= x"50"; when "10" & x"50a" => data <= x"03"; when "10" & x"50b" => data <= x"2c"; when "10" & x"50c" => data <= x"bc"; when "10" & x"50d" => data <= x"d8"; when "10" & x"50e" => data <= x"6c"; when "10" & x"50f" => data <= x"2e"; when "10" & x"510" => data <= x"02"; when "10" & x"511" => data <= x"30"; when "10" & x"512" => data <= x"ef"; when "10" & x"513" => data <= x"f0"; when "10" & x"514" => data <= x"0d"; when "10" & x"515" => data <= x"20"; when "10" & x"516" => data <= x"38"; when "10" & x"517" => data <= x"e5"; when "10" & x"518" => data <= x"f0"; when "10" & x"519" => data <= x"07"; when "10" & x"51a" => data <= x"bc"; when "10" & x"51b" => data <= x"fb"; when "10" & x"51c" => data <= x"02"; when "10" & x"51d" => data <= x"bd"; when "10" & x"51e" => data <= x"f7"; when "10" & x"51f" => data <= x"02"; when "10" & x"520" => data <= x"aa"; when "10" & x"521" => data <= x"60"; when "10" & x"522" => data <= x"20"; when "10" & x"523" => data <= x"38"; when "10" & x"524" => data <= x"e5"; when "10" & x"525" => data <= x"f0"; when "10" & x"526" => data <= x"02"; when "10" & x"527" => data <= x"a2"; when "10" & x"528" => data <= x"00"; when "10" & x"529" => data <= x"ac"; when "10" & x"52a" => data <= x"f7"; when "10" & x"52b" => data <= x"02"; when "10" & x"52c" => data <= x"a9"; when "10" & x"52d" => data <= x"00"; when "10" & x"52e" => data <= x"8d"; when "10" & x"52f" => data <= x"f7"; when "10" & x"530" => data <= x"02"; when "10" & x"531" => data <= x"60"; when "10" & x"532" => data <= x"8a"; when "10" & x"533" => data <= x"0d"; when "10" & x"534" => data <= x"41"; when "10" & x"535" => data <= x"02"; when "10" & x"536" => data <= x"f0"; when "10" & x"537" => data <= x"bb"; when "10" & x"538" => data <= x"a2"; when "10" & x"539" => data <= x"07"; when "10" & x"53a" => data <= x"20"; when "10" & x"53b" => data <= x"a8"; when "10" & x"53c" => data <= x"f0"; when "10" & x"53d" => data <= x"a6"; when "10" & x"53e" => data <= x"f0"; when "10" & x"53f" => data <= x"a4"; when "10" & x"540" => data <= x"f1"; when "10" & x"541" => data <= x"49"; when "10" & x"542" => data <= x"00"; when "10" & x"543" => data <= x"60"; when "10" & x"544" => data <= x"48"; when "10" & x"545" => data <= x"08"; when "10" & x"546" => data <= x"78"; when "10" & x"547" => data <= x"85"; when "10" & x"548" => data <= x"ef"; when "10" & x"549" => data <= x"86"; when "10" & x"54a" => data <= x"f0"; when "10" & x"54b" => data <= x"84"; when "10" & x"54c" => data <= x"f1"; when "10" & x"54d" => data <= x"a2"; when "10" & x"54e" => data <= x"07"; when "10" & x"54f" => data <= x"c9"; when "10" & x"550" => data <= x"73"; when "10" & x"551" => data <= x"90"; when "10" & x"552" => data <= x"40"; when "10" & x"553" => data <= x"c9"; when "10" & x"554" => data <= x"a1"; when "10" & x"555" => data <= x"90"; when "10" & x"556" => data <= x"09"; when "10" & x"557" => data <= x"c9"; when "10" & x"558" => data <= x"a6"; when "10" & x"559" => data <= x"90"; when "10" & x"55a" => data <= x"3e"; when "10" & x"55b" => data <= x"18"; when "10" & x"55c" => data <= x"a9"; when "10" & x"55d" => data <= x"a1"; when "10" & x"55e" => data <= x"69"; when "10" & x"55f" => data <= x"00"; when "10" & x"560" => data <= x"e9"; when "10" & x"561" => data <= x"59"; when "10" & x"562" => data <= x"0a"; when "10" & x"563" => data <= x"38"; when "10" & x"564" => data <= x"84"; when "10" & x"565" => data <= x"f1"; when "10" & x"566" => data <= x"a8"; when "10" & x"567" => data <= x"2c"; when "10" & x"568" => data <= x"5e"; when "10" & x"569" => data <= x"02"; when "10" & x"56a" => data <= x"10"; when "10" & x"56b" => data <= x"07"; when "10" & x"56c" => data <= x"8a"; when "10" & x"56d" => data <= x"b8"; when "10" & x"56e" => data <= x"20"; when "10" & x"56f" => data <= x"95"; when "10" & x"570" => data <= x"e3"; when "10" & x"571" => data <= x"70"; when "10" & x"572" => data <= x"1a"; when "10" & x"573" => data <= x"b9"; when "10" & x"574" => data <= x"b2"; when "10" & x"575" => data <= x"e3"; when "10" & x"576" => data <= x"85"; when "10" & x"577" => data <= x"fb"; when "10" & x"578" => data <= x"b9"; when "10" & x"579" => data <= x"b1"; when "10" & x"57a" => data <= x"e3"; when "10" & x"57b" => data <= x"85"; when "10" & x"57c" => data <= x"fa"; when "10" & x"57d" => data <= x"a5"; when "10" & x"57e" => data <= x"ef"; when "10" & x"57f" => data <= x"a4"; when "10" & x"580" => data <= x"f1"; when "10" & x"581" => data <= x"b0"; when "10" & x"582" => data <= x"04"; when "10" & x"583" => data <= x"a0"; when "10" & x"584" => data <= x"00"; when "10" & x"585" => data <= x"b1"; when "10" & x"586" => data <= x"f0"; when "10" & x"587" => data <= x"38"; when "10" & x"588" => data <= x"a6"; when "10" & x"589" => data <= x"f0"; when "10" & x"58a" => data <= x"20"; when "10" & x"58b" => data <= x"bf"; when "10" & x"58c" => data <= x"ee"; when "10" & x"58d" => data <= x"6a"; when "10" & x"58e" => data <= x"28"; when "10" & x"58f" => data <= x"2a"; when "10" & x"590" => data <= x"68"; when "10" & x"591" => data <= x"b8"; when "10" & x"592" => data <= x"60"; when "10" & x"593" => data <= x"a0"; when "10" & x"594" => data <= x"00"; when "10" & x"595" => data <= x"c9"; when "10" & x"596" => data <= x"19"; when "10" & x"597" => data <= x"90"; when "10" & x"598" => data <= x"c9"; when "10" & x"599" => data <= x"08"; when "10" & x"59a" => data <= x"08"; when "10" & x"59b" => data <= x"68"; when "10" & x"59c" => data <= x"68"; when "10" & x"59d" => data <= x"20"; when "10" & x"59e" => data <= x"a8"; when "10" & x"59f" => data <= x"f0"; when "10" & x"5a0" => data <= x"d0"; when "10" & x"5a1" => data <= x"05"; when "10" & x"5a2" => data <= x"a6"; when "10" & x"5a3" => data <= x"f0"; when "10" & x"5a4" => data <= x"4c"; when "10" & x"5a5" => data <= x"8d"; when "10" & x"5a6" => data <= x"e5"; when "10" & x"5a7" => data <= x"28"; when "10" & x"5a8" => data <= x"68"; when "10" & x"5a9" => data <= x"2c"; when "10" & x"5aa" => data <= x"bc"; when "10" & x"5ab" => data <= x"d8"; when "10" & x"5ac" => data <= x"60"; when "10" & x"5ad" => data <= x"a5"; when "10" & x"5ae" => data <= x"eb"; when "10" & x"5af" => data <= x"30"; when "10" & x"5b0" => data <= x"32"; when "10" & x"5b1" => data <= x"a9"; when "10" & x"5b2" => data <= x"08"; when "10" & x"5b3" => data <= x"25"; when "10" & x"5b4" => data <= x"e2"; when "10" & x"5b5" => data <= x"d0"; when "10" & x"5b6" => data <= x"04"; when "10" & x"5b7" => data <= x"a9"; when "10" & x"5b8" => data <= x"88"; when "10" & x"5b9" => data <= x"25"; when "10" & x"5ba" => data <= x"bb"; when "10" & x"5bb" => data <= x"60"; when "10" & x"5bc" => data <= x"48"; when "10" & x"5bd" => data <= x"08"; when "10" & x"5be" => data <= x"78"; when "10" & x"5bf" => data <= x"85"; when "10" & x"5c0" => data <= x"ef"; when "10" & x"5c1" => data <= x"86"; when "10" & x"5c2" => data <= x"f0"; when "10" & x"5c3" => data <= x"84"; when "10" & x"5c4" => data <= x"f1"; when "10" & x"5c5" => data <= x"a2"; when "10" & x"5c6" => data <= x"08"; when "10" & x"5c7" => data <= x"c9"; when "10" & x"5c8" => data <= x"e0"; when "10" & x"5c9" => data <= x"b0"; when "10" & x"5ca" => data <= x"91"; when "10" & x"5cb" => data <= x"c9"; when "10" & x"5cc" => data <= x"0e"; when "10" & x"5cd" => data <= x"b0"; when "10" & x"5ce" => data <= x"ca"; when "10" & x"5cf" => data <= x"69"; when "10" & x"5d0" => data <= x"49"; when "10" & x"5d1" => data <= x"0a"; when "10" & x"5d2" => data <= x"90"; when "10" & x"5d3" => data <= x"90"; when "10" & x"5d4" => data <= x"20"; when "10" & x"5d5" => data <= x"e6"; when "10" & x"5d6" => data <= x"e5"; when "10" & x"5d7" => data <= x"a1"; when "10" & x"5d8" => data <= x"fa"; when "10" & x"5d9" => data <= x"91"; when "10" & x"5da" => data <= x"f0"; when "10" & x"5db" => data <= x"60"; when "10" & x"5dc" => data <= x"20"; when "10" & x"5dd" => data <= x"e6"; when "10" & x"5de" => data <= x"e5"; when "10" & x"5df" => data <= x"b1"; when "10" & x"5e0" => data <= x"f0"; when "10" & x"5e1" => data <= x"81"; when "10" & x"5e2" => data <= x"fa"; when "10" & x"5e3" => data <= x"a9"; when "10" & x"5e4" => data <= x"00"; when "10" & x"5e5" => data <= x"60"; when "10" & x"5e6" => data <= x"85"; when "10" & x"5e7" => data <= x"fa"; when "10" & x"5e8" => data <= x"c8"; when "10" & x"5e9" => data <= x"b1"; when "10" & x"5ea" => data <= x"f0"; when "10" & x"5eb" => data <= x"85"; when "10" & x"5ec" => data <= x"fb"; when "10" & x"5ed" => data <= x"a0"; when "10" & x"5ee" => data <= x"04"; when "10" & x"5ef" => data <= x"a2"; when "10" & x"5f0" => data <= x"00"; when "10" & x"5f1" => data <= x"60"; when "10" & x"5f2" => data <= x"d0"; when "10" & x"5f3" => data <= x"fb"; when "10" & x"5f4" => data <= x"00"; when "10" & x"5f5" => data <= x"f7"; when "10" & x"5f6" => data <= x"4f"; when "10" & x"5f7" => data <= x"53"; when "10" & x"5f8" => data <= x"20"; when "10" & x"5f9" => data <= x"31"; when "10" & x"5fa" => data <= x"2e"; when "10" & x"5fb" => data <= x"30"; when "10" & x"5fc" => data <= x"30"; when "10" & x"5fd" => data <= x"00"; when "10" & x"5fe" => data <= x"ad"; when "10" & x"5ff" => data <= x"62"; when "10" & x"600" => data <= x"02"; when "10" & x"601" => data <= x"d0"; when "10" & x"602" => data <= x"47"; when "10" & x"603" => data <= x"ad"; when "10" & x"604" => data <= x"6b"; when "10" & x"605" => data <= x"02"; when "10" & x"606" => data <= x"d0"; when "10" & x"607" => data <= x"05"; when "10" & x"608" => data <= x"c8"; when "10" & x"609" => data <= x"b1"; when "10" & x"60a" => data <= x"f0"; when "10" & x"60b" => data <= x"c9"; when "10" & x"60c" => data <= x"20"; when "10" & x"60d" => data <= x"a2"; when "10" & x"60e" => data <= x"08"; when "10" & x"60f" => data <= x"b0"; when "10" & x"610" => data <= x"8a"; when "10" & x"611" => data <= x"88"; when "10" & x"612" => data <= x"b1"; when "10" & x"613" => data <= x"f0"; when "10" & x"614" => data <= x"20"; when "10" & x"615" => data <= x"a4"; when "10" & x"616" => data <= x"e6"; when "10" & x"617" => data <= x"20"; when "10" & x"618" => data <= x"ba"; when "10" & x"619" => data <= x"e6"; when "10" & x"61a" => data <= x"09"; when "10" & x"61b" => data <= x"04"; when "10" & x"61c" => data <= x"aa"; when "10" & x"61d" => data <= x"90"; when "10" & x"61e" => data <= x"05"; when "10" & x"61f" => data <= x"20"; when "10" & x"620" => data <= x"0a"; when "10" & x"621" => data <= x"df"; when "10" & x"622" => data <= x"a0"; when "10" & x"623" => data <= x"01"; when "10" & x"624" => data <= x"20"; when "10" & x"625" => data <= x"ba"; when "10" & x"626" => data <= x"e6"; when "10" & x"627" => data <= x"85"; when "10" & x"628" => data <= x"fa"; when "10" & x"629" => data <= x"08"; when "10" & x"62a" => data <= x"a0"; when "10" & x"62b" => data <= x"06"; when "10" & x"62c" => data <= x"b1"; when "10" & x"62d" => data <= x"f0"; when "10" & x"62e" => data <= x"48"; when "10" & x"62f" => data <= x"a0"; when "10" & x"630" => data <= x"04"; when "10" & x"631" => data <= x"b1"; when "10" & x"632" => data <= x"f0"; when "10" & x"633" => data <= x"48"; when "10" & x"634" => data <= x"a0"; when "10" & x"635" => data <= x"02"; when "10" & x"636" => data <= x"b1"; when "10" & x"637" => data <= x"f0"; when "10" & x"638" => data <= x"2a"; when "10" & x"639" => data <= x"38"; when "10" & x"63a" => data <= x"e9"; when "10" & x"63b" => data <= x"02"; when "10" & x"63c" => data <= x"0a"; when "10" & x"63d" => data <= x"0a"; when "10" & x"63e" => data <= x"05"; when "10" & x"63f" => data <= x"fa"; when "10" & x"640" => data <= x"20"; when "10" & x"641" => data <= x"68"; when "10" & x"642" => data <= x"df"; when "10" & x"643" => data <= x"90"; when "10" & x"644" => data <= x"2c"; when "10" & x"645" => data <= x"68"; when "10" & x"646" => data <= x"68"; when "10" & x"647" => data <= x"28"; when "10" & x"648" => data <= x"a6"; when "10" & x"649" => data <= x"d0"; when "10" & x"64a" => data <= x"60"; when "10" & x"64b" => data <= x"ad"; when "10" & x"64c" => data <= x"62"; when "10" & x"64d" => data <= x"02"; when "10" & x"64e" => data <= x"d0"; when "10" & x"64f" => data <= x"fa"; when "10" & x"650" => data <= x"ad"; when "10" & x"651" => data <= x"6b"; when "10" & x"652" => data <= x"02"; when "10" & x"653" => data <= x"f0"; when "10" & x"654" => data <= x"05"; when "10" & x"655" => data <= x"a2"; when "10" & x"656" => data <= x"16"; when "10" & x"657" => data <= x"4c"; when "10" & x"658" => data <= x"a8"; when "10" & x"659" => data <= x"f0"; when "10" & x"65a" => data <= x"08"; when "10" & x"65b" => data <= x"78"; when "10" & x"65c" => data <= x"ad"; when "10" & x"65d" => data <= x"63"; when "10" & x"65e" => data <= x"02"; when "10" & x"65f" => data <= x"20"; when "10" & x"660" => data <= x"a4"; when "10" & x"661" => data <= x"e6"; when "10" & x"662" => data <= x"aa"; when "10" & x"663" => data <= x"ad"; when "10" & x"664" => data <= x"64"; when "10" & x"665" => data <= x"02"; when "10" & x"666" => data <= x"20"; when "10" & x"667" => data <= x"1e"; when "10" & x"668" => data <= x"e2"; when "10" & x"669" => data <= x"ad"; when "10" & x"66a" => data <= x"66"; when "10" & x"66b" => data <= x"02"; when "10" & x"66c" => data <= x"48"; when "10" & x"66d" => data <= x"ad"; when "10" & x"66e" => data <= x"65"; when "10" & x"66f" => data <= x"02"; when "10" & x"670" => data <= x"48"; when "10" & x"671" => data <= x"38"; when "10" & x"672" => data <= x"6e"; when "10" & x"673" => data <= x"14"; when "10" & x"674" => data <= x"08"; when "10" & x"675" => data <= x"68"; when "10" & x"676" => data <= x"20"; when "10" & x"677" => data <= x"1e"; when "10" & x"678" => data <= x"e2"; when "10" & x"679" => data <= x"68"; when "10" & x"67a" => data <= x"20"; when "10" & x"67b" => data <= x"1e"; when "10" & x"67c" => data <= x"e2"; when "10" & x"67d" => data <= x"28"; when "10" & x"67e" => data <= x"60"; when "10" & x"67f" => data <= x"ae"; when "10" & x"680" => data <= x"62"; when "10" & x"681" => data <= x"02"; when "10" & x"682" => data <= x"d0"; when "10" & x"683" => data <= x"c6"; when "10" & x"684" => data <= x"ae"; when "10" & x"685" => data <= x"6b"; when "10" & x"686" => data <= x"02"; when "10" & x"687" => data <= x"d0"; when "10" & x"688" => data <= x"84"; when "10" & x"689" => data <= x"e9"; when "10" & x"68a" => data <= x"01"; when "10" & x"68b" => data <= x"0a"; when "10" & x"68c" => data <= x"0a"; when "10" & x"68d" => data <= x"0a"; when "10" & x"68e" => data <= x"0a"; when "10" & x"68f" => data <= x"09"; when "10" & x"690" => data <= x"0f"; when "10" & x"691" => data <= x"aa"; when "10" & x"692" => data <= x"a9"; when "10" & x"693" => data <= x"00"; when "10" & x"694" => data <= x"a0"; when "10" & x"695" => data <= x"10"; when "10" & x"696" => data <= x"c0"; when "10" & x"697" => data <= x"0e"; when "10" & x"698" => data <= x"b0"; when "10" & x"699" => data <= x"02"; when "10" & x"69a" => data <= x"b1"; when "10" & x"69b" => data <= x"f0"; when "10" & x"69c" => data <= x"9d"; when "10" & x"69d" => data <= x"c0"; when "10" & x"69e" => data <= x"08"; when "10" & x"69f" => data <= x"ca"; when "10" & x"6a0" => data <= x"88"; when "10" & x"6a1" => data <= x"d0"; when "10" & x"6a2" => data <= x"f3"; when "10" & x"6a3" => data <= x"60"; when "10" & x"6a4" => data <= x"29"; when "10" & x"6a5" => data <= x"03"; when "10" & x"6a6" => data <= x"09"; when "10" & x"6a7" => data <= x"04"; when "10" & x"6a8" => data <= x"cd"; when "10" & x"6a9" => data <= x"25"; when "10" & x"6aa" => data <= x"08"; when "10" & x"6ab" => data <= x"f0"; when "10" & x"6ac" => data <= x"0c"; when "10" & x"6ad" => data <= x"48"; when "10" & x"6ae" => data <= x"18"; when "10" & x"6af" => data <= x"ae"; when "10" & x"6b0" => data <= x"25"; when "10" & x"6b1" => data <= x"08"; when "10" & x"6b2" => data <= x"8d"; when "10" & x"6b3" => data <= x"25"; when "10" & x"6b4" => data <= x"08"; when "10" & x"6b5" => data <= x"20"; when "10" & x"6b6" => data <= x"0a"; when "10" & x"6b7" => data <= x"df"; when "10" & x"6b8" => data <= x"68"; when "10" & x"6b9" => data <= x"60"; when "10" & x"6ba" => data <= x"b1"; when "10" & x"6bb" => data <= x"f0"; when "10" & x"6bc" => data <= x"c9"; when "10" & x"6bd" => data <= x"10"; when "10" & x"6be" => data <= x"29"; when "10" & x"6bf" => data <= x"03"; when "10" & x"6c0" => data <= x"c8"; when "10" & x"6c1" => data <= x"60"; when "10" & x"6c2" => data <= x"a2"; when "10" & x"6c3" => data <= x"0f"; when "10" & x"6c4" => data <= x"d0"; when "10" & x"6c5" => data <= x"03"; when "10" & x"6c6" => data <= x"ae"; when "10" & x"6c7" => data <= x"83"; when "10" & x"6c8" => data <= x"02"; when "10" & x"6c9" => data <= x"a0"; when "10" & x"6ca" => data <= x"04"; when "10" & x"6cb" => data <= x"bd"; when "10" & x"6cc" => data <= x"8c"; when "10" & x"6cd" => data <= x"02"; when "10" & x"6ce" => data <= x"91"; when "10" & x"6cf" => data <= x"f0"; when "10" & x"6d0" => data <= x"e8"; when "10" & x"6d1" => data <= x"88"; when "10" & x"6d2" => data <= x"10"; when "10" & x"6d3" => data <= x"f7"; when "10" & x"6d4" => data <= x"60"; when "10" & x"6d5" => data <= x"a9"; when "10" & x"6d6" => data <= x"0f"; when "10" & x"6d7" => data <= x"d0"; when "10" & x"6d8" => data <= x"06"; when "10" & x"6d9" => data <= x"ad"; when "10" & x"6da" => data <= x"83"; when "10" & x"6db" => data <= x"02"; when "10" & x"6dc" => data <= x"49"; when "10" & x"6dd" => data <= x"0f"; when "10" & x"6de" => data <= x"18"; when "10" & x"6df" => data <= x"48"; when "10" & x"6e0" => data <= x"aa"; when "10" & x"6e1" => data <= x"a0"; when "10" & x"6e2" => data <= x"04"; when "10" & x"6e3" => data <= x"b1"; when "10" & x"6e4" => data <= x"f0"; when "10" & x"6e5" => data <= x"9d"; when "10" & x"6e6" => data <= x"8c"; when "10" & x"6e7" => data <= x"02"; when "10" & x"6e8" => data <= x"e8"; when "10" & x"6e9" => data <= x"88"; when "10" & x"6ea" => data <= x"10"; when "10" & x"6eb" => data <= x"f7"; when "10" & x"6ec" => data <= x"68"; when "10" & x"6ed" => data <= x"b0"; when "10" & x"6ee" => data <= x"e5"; when "10" & x"6ef" => data <= x"8d"; when "10" & x"6f0" => data <= x"83"; when "10" & x"6f1" => data <= x"02"; when "10" & x"6f2" => data <= x"60"; when "10" & x"6f3" => data <= x"a0"; when "10" & x"6f4" => data <= x"04"; when "10" & x"6f5" => data <= x"b1"; when "10" & x"6f6" => data <= x"f0"; when "10" & x"6f7" => data <= x"99"; when "10" & x"6f8" => data <= x"b0"; when "10" & x"6f9" => data <= x"02"; when "10" & x"6fa" => data <= x"88"; when "10" & x"6fb" => data <= x"c0"; when "10" & x"6fc" => data <= x"02"; when "10" & x"6fd" => data <= x"b0"; when "10" & x"6fe" => data <= x"f6"; when "10" & x"6ff" => data <= x"b1"; when "10" & x"700" => data <= x"f0"; when "10" & x"701" => data <= x"85"; when "10" & x"702" => data <= x"e9"; when "10" & x"703" => data <= x"88"; when "10" & x"704" => data <= x"8c"; when "10" & x"705" => data <= x"69"; when "10" & x"706" => data <= x"02"; when "10" & x"707" => data <= x"b1"; when "10" & x"708" => data <= x"f0"; when "10" & x"709" => data <= x"85"; when "10" & x"70a" => data <= x"e8"; when "10" & x"70b" => data <= x"58"; when "10" & x"70c" => data <= x"90"; when "10" & x"70d" => data <= x"07"; when "10" & x"70e" => data <= x"a9"; when "10" & x"70f" => data <= x"07"; when "10" & x"710" => data <= x"88"; when "10" & x"711" => data <= x"c8"; when "10" & x"712" => data <= x"20"; when "10" & x"713" => data <= x"ee"; when "10" & x"714" => data <= x"ff"; when "10" & x"715" => data <= x"20"; when "10" & x"716" => data <= x"e0"; when "10" & x"717" => data <= x"ff"; when "10" & x"718" => data <= x"b0"; when "10" & x"719" => data <= x"49"; when "10" & x"71a" => data <= x"aa"; when "10" & x"71b" => data <= x"ad"; when "10" & x"71c" => data <= x"7c"; when "10" & x"71d" => data <= x"02"; when "10" & x"71e" => data <= x"6a"; when "10" & x"71f" => data <= x"6a"; when "10" & x"720" => data <= x"8a"; when "10" & x"721" => data <= x"b0"; when "10" & x"722" => data <= x"05"; when "10" & x"723" => data <= x"ae"; when "10" & x"724" => data <= x"6a"; when "10" & x"725" => data <= x"02"; when "10" & x"726" => data <= x"d0"; when "10" & x"727" => data <= x"ea"; when "10" & x"728" => data <= x"c9"; when "10" & x"729" => data <= x"7f"; when "10" & x"72a" => data <= x"d0"; when "10" & x"72b" => data <= x"07"; when "10" & x"72c" => data <= x"c0"; when "10" & x"72d" => data <= x"00"; when "10" & x"72e" => data <= x"f0"; when "10" & x"72f" => data <= x"e5"; when "10" & x"730" => data <= x"88"; when "10" & x"731" => data <= x"b0"; when "10" & x"732" => data <= x"df"; when "10" & x"733" => data <= x"c9"; when "10" & x"734" => data <= x"15"; when "10" & x"735" => data <= x"d0"; when "10" & x"736" => data <= x"0d"; when "10" & x"737" => data <= x"98"; when "10" & x"738" => data <= x"f0"; when "10" & x"739" => data <= x"db"; when "10" & x"73a" => data <= x"a9"; when "10" & x"73b" => data <= x"7f"; when "10" & x"73c" => data <= x"20"; when "10" & x"73d" => data <= x"ee"; when "10" & x"73e" => data <= x"ff"; when "10" & x"73f" => data <= x"88"; when "10" & x"740" => data <= x"d0"; when "10" & x"741" => data <= x"fa"; when "10" & x"742" => data <= x"f0"; when "10" & x"743" => data <= x"d1"; when "10" & x"744" => data <= x"91"; when "10" & x"745" => data <= x"e8"; when "10" & x"746" => data <= x"c9"; when "10" & x"747" => data <= x"0d"; when "10" & x"748" => data <= x"f0"; when "10" & x"749" => data <= x"13"; when "10" & x"74a" => data <= x"cc"; when "10" & x"74b" => data <= x"b2"; when "10" & x"74c" => data <= x"02"; when "10" & x"74d" => data <= x"b0"; when "10" & x"74e" => data <= x"bf"; when "10" & x"74f" => data <= x"cd"; when "10" & x"750" => data <= x"b3"; when "10" & x"751" => data <= x"02"; when "10" & x"752" => data <= x"90"; when "10" & x"753" => data <= x"be"; when "10" & x"754" => data <= x"cd"; when "10" & x"755" => data <= x"b4"; when "10" & x"756" => data <= x"02"; when "10" & x"757" => data <= x"f0"; when "10" & x"758" => data <= x"b8"; when "10" & x"759" => data <= x"90"; when "10" & x"75a" => data <= x"b6"; when "10" & x"75b" => data <= x"b0"; when "10" & x"75c" => data <= x"b5"; when "10" & x"75d" => data <= x"20"; when "10" & x"75e" => data <= x"e7"; when "10" & x"75f" => data <= x"ff"; when "10" & x"760" => data <= x"20"; when "10" & x"761" => data <= x"95"; when "10" & x"762" => data <= x"e3"; when "10" & x"763" => data <= x"a5"; when "10" & x"764" => data <= x"ff"; when "10" & x"765" => data <= x"2a"; when "10" & x"766" => data <= x"60"; when "10" & x"767" => data <= x"58"; when "10" & x"768" => data <= x"78"; when "10" & x"769" => data <= x"24"; when "10" & x"76a" => data <= x"ff"; when "10" & x"76b" => data <= x"30"; when "10" & x"76c" => data <= x"37"; when "10" & x"76d" => data <= x"2c"; when "10" & x"76e" => data <= x"c6"; when "10" & x"76f" => data <= x"02"; when "10" & x"770" => data <= x"10"; when "10" & x"771" => data <= x"f5"; when "10" & x"772" => data <= x"20"; when "10" & x"773" => data <= x"fd"; when "10" & x"774" => data <= x"de"; when "10" & x"775" => data <= x"a0"; when "10" & x"776" => data <= x"00"; when "10" & x"777" => data <= x"84"; when "10" & x"778" => data <= x"f1"; when "10" & x"779" => data <= x"86"; when "10" & x"77a" => data <= x"f0"; when "10" & x"77b" => data <= x"20"; when "10" & x"77c" => data <= x"38"; when "10" & x"77d" => data <= x"e5"; when "10" & x"77e" => data <= x"a9"; when "10" & x"77f" => data <= x"05"; when "10" & x"780" => data <= x"09"; when "10" & x"781" => data <= x"f0"; when "10" & x"782" => data <= x"d0"; when "10" & x"783" => data <= x"0e"; when "10" & x"784" => data <= x"d0"; when "10" & x"785" => data <= x"07"; when "10" & x"786" => data <= x"a2"; when "10" & x"787" => data <= x"32"; when "10" & x"788" => data <= x"8e"; when "10" & x"789" => data <= x"54"; when "10" & x"78a" => data <= x"02"; when "10" & x"78b" => data <= x"a2"; when "10" & x"78c" => data <= x"08"; when "10" & x"78d" => data <= x"69"; when "10" & x"78e" => data <= x"cf"; when "10" & x"78f" => data <= x"18"; when "10" & x"790" => data <= x"69"; when "10" & x"791" => data <= x"e9"; when "10" & x"792" => data <= x"86"; when "10" & x"793" => data <= x"f0"; when "10" & x"794" => data <= x"a8"; when "10" & x"795" => data <= x"b9"; when "10" & x"796" => data <= x"90"; when "10" & x"797" => data <= x"01"; when "10" & x"798" => data <= x"aa"; when "10" & x"799" => data <= x"25"; when "10" & x"79a" => data <= x"f1"; when "10" & x"79b" => data <= x"45"; when "10" & x"79c" => data <= x"f0"; when "10" & x"79d" => data <= x"99"; when "10" & x"79e" => data <= x"90"; when "10" & x"79f" => data <= x"01"; when "10" & x"7a0" => data <= x"b9"; when "10" & x"7a1" => data <= x"91"; when "10" & x"7a2" => data <= x"01"; when "10" & x"7a3" => data <= x"a8"; when "10" & x"7a4" => data <= x"60"; when "10" & x"7a5" => data <= x"49"; when "10" & x"7a6" => data <= x"8c"; when "10" & x"7a7" => data <= x"0a"; when "10" & x"7a8" => data <= x"8d"; when "10" & x"7a9" => data <= x"47"; when "10" & x"7aa" => data <= x"02"; when "10" & x"7ab" => data <= x"4c"; when "10" & x"7ac" => data <= x"94"; when "10" & x"7ad" => data <= x"f0"; when "10" & x"7ae" => data <= x"ad"; when "10" & x"7af" => data <= x"40"; when "10" & x"7b0" => data <= x"02"; when "10" & x"7b1" => data <= x"58"; when "10" & x"7b2" => data <= x"78"; when "10" & x"7b3" => data <= x"cd"; when "10" & x"7b4" => data <= x"40"; when "10" & x"7b5" => data <= x"02"; when "10" & x"7b6" => data <= x"f0"; when "10" & x"7b7" => data <= x"f9"; when "10" & x"7b8" => data <= x"bc"; when "10" & x"7b9" => data <= x"01"; when "10" & x"7ba" => data <= x"03"; when "10" & x"7bb" => data <= x"bd"; when "10" & x"7bc" => data <= x"00"; when "10" & x"7bd" => data <= x"03"; when "10" & x"7be" => data <= x"aa"; when "10" & x"7bf" => data <= x"60"; when "10" & x"7c0" => data <= x"a9"; when "10" & x"7c1" => data <= x"10"; when "10" & x"7c2" => data <= x"8d"; when "10" & x"7c3" => data <= x"84"; when "10" & x"7c4" => data <= x"02"; when "10" & x"7c5" => data <= x"a2"; when "10" & x"7c6" => data <= x"00"; when "10" & x"7c7" => data <= x"9d"; when "10" & x"7c8" => data <= x"00"; when "10" & x"7c9" => data <= x"0b"; when "10" & x"7ca" => data <= x"e8"; when "10" & x"7cb" => data <= x"d0"; when "10" & x"7cc" => data <= x"fa"; when "10" & x"7cd" => data <= x"8e"; when "10" & x"7ce" => data <= x"84"; when "10" & x"7cf" => data <= x"02"; when "10" & x"7d0" => data <= x"60"; when "10" & x"7d1" => data <= x"08"; when "10" & x"7d2" => data <= x"78"; when "10" & x"7d3" => data <= x"a9"; when "10" & x"7d4" => data <= x"40"; when "10" & x"7d5" => data <= x"20"; when "10" & x"7d6" => data <= x"e5"; when "10" & x"7d7" => data <= x"e7"; when "10" & x"7d8" => data <= x"30"; when "10" & x"7d9" => data <= x"05"; when "10" & x"7da" => data <= x"18"; when "10" & x"7db" => data <= x"b8"; when "10" & x"7dc" => data <= x"20"; when "10" & x"7dd" => data <= x"4c"; when "10" & x"7de" => data <= x"ec"; when "10" & x"7df" => data <= x"28"; when "10" & x"7e0" => data <= x"2a"; when "10" & x"7e1" => data <= x"60"; when "10" & x"7e2" => data <= x"6c"; when "10" & x"7e3" => data <= x"20"; when "10" & x"7e4" => data <= x"02"; when "10" & x"7e5" => data <= x"90"; when "10" & x"7e6" => data <= x"12"; when "10" & x"7e7" => data <= x"48"; when "10" & x"7e8" => data <= x"ad"; when "10" & x"7e9" => data <= x"82"; when "10" & x"7ea" => data <= x"02"; when "10" & x"7eb" => data <= x"09"; when "10" & x"7ec" => data <= x"80"; when "10" & x"7ed" => data <= x"8d"; when "10" & x"7ee" => data <= x"07"; when "10" & x"7ef" => data <= x"fe"; when "10" & x"7f0" => data <= x"29"; when "10" & x"7f1" => data <= x"7f"; when "10" & x"7f2" => data <= x"8d"; when "10" & x"7f3" => data <= x"82"; when "10" & x"7f4" => data <= x"02"; when "10" & x"7f5" => data <= x"8d"; when "10" & x"7f6" => data <= x"07"; when "10" & x"7f7" => data <= x"fe"; when "10" & x"7f8" => data <= x"68"; when "10" & x"7f9" => data <= x"24"; when "10" & x"7fa" => data <= x"ff"; when "10" & x"7fb" => data <= x"60"; when "10" & x"7fc" => data <= x"18"; when "10" & x"7fd" => data <= x"66"; when "10" & x"7fe" => data <= x"e4"; when "10" & x"7ff" => data <= x"20"; when "10" & x"800" => data <= x"c3"; when "10" & x"801" => data <= x"dd"; when "10" & x"802" => data <= x"c8"; when "10" & x"803" => data <= x"c9"; when "10" & x"804" => data <= x"22"; when "10" & x"805" => data <= x"f0"; when "10" & x"806" => data <= x"02"; when "10" & x"807" => data <= x"88"; when "10" & x"808" => data <= x"18"; when "10" & x"809" => data <= x"66"; when "10" & x"80a" => data <= x"e4"; when "10" & x"80b" => data <= x"c9"; when "10" & x"80c" => data <= x"0d"; when "10" & x"80d" => data <= x"60"; when "10" & x"80e" => data <= x"a9"; when "10" & x"80f" => data <= x"00"; when "10" & x"810" => data <= x"85"; when "10" & x"811" => data <= x"e5"; when "10" & x"812" => data <= x"b1"; when "10" & x"813" => data <= x"f2"; when "10" & x"814" => data <= x"c9"; when "10" & x"815" => data <= x"0d"; when "10" & x"816" => data <= x"d0"; when "10" & x"817" => data <= x"06"; when "10" & x"818" => data <= x"24"; when "10" & x"819" => data <= x"e4"; when "10" & x"81a" => data <= x"30"; when "10" & x"81b" => data <= x"52"; when "10" & x"81c" => data <= x"10"; when "10" & x"81d" => data <= x"1b"; when "10" & x"81e" => data <= x"c9"; when "10" & x"81f" => data <= x"20"; when "10" & x"820" => data <= x"90"; when "10" & x"821" => data <= x"4c"; when "10" & x"822" => data <= x"d0"; when "10" & x"823" => data <= x"06"; when "10" & x"824" => data <= x"24"; when "10" & x"825" => data <= x"e4"; when "10" & x"826" => data <= x"30"; when "10" & x"827" => data <= x"40"; when "10" & x"828" => data <= x"50"; when "10" & x"829" => data <= x"0f"; when "10" & x"82a" => data <= x"c9"; when "10" & x"82b" => data <= x"22"; when "10" & x"82c" => data <= x"d0"; when "10" & x"82d" => data <= x"10"; when "10" & x"82e" => data <= x"24"; when "10" & x"82f" => data <= x"e4"; when "10" & x"830" => data <= x"10"; when "10" & x"831" => data <= x"36"; when "10" & x"832" => data <= x"c8"; when "10" & x"833" => data <= x"b1"; when "10" & x"834" => data <= x"f2"; when "10" & x"835" => data <= x"c9"; when "10" & x"836" => data <= x"22"; when "10" & x"837" => data <= x"f0"; when "10" & x"838" => data <= x"2f"; when "10" & x"839" => data <= x"20"; when "10" & x"83a" => data <= x"c3"; when "10" & x"83b" => data <= x"dd"; when "10" & x"83c" => data <= x"38"; when "10" & x"83d" => data <= x"60"; when "10" & x"83e" => data <= x"c9"; when "10" & x"83f" => data <= x"7c"; when "10" & x"840" => data <= x"d0"; when "10" & x"841" => data <= x"26"; when "10" & x"842" => data <= x"c8"; when "10" & x"843" => data <= x"b1"; when "10" & x"844" => data <= x"f2"; when "10" & x"845" => data <= x"c9"; when "10" & x"846" => data <= x"7c"; when "10" & x"847" => data <= x"f0"; when "10" & x"848" => data <= x"1f"; when "10" & x"849" => data <= x"c9"; when "10" & x"84a" => data <= x"22"; when "10" & x"84b" => data <= x"f0"; when "10" & x"84c" => data <= x"1b"; when "10" & x"84d" => data <= x"c9"; when "10" & x"84e" => data <= x"21"; when "10" & x"84f" => data <= x"d0"; when "10" & x"850" => data <= x"05"; when "10" & x"851" => data <= x"c8"; when "10" & x"852" => data <= x"a9"; when "10" & x"853" => data <= x"80"; when "10" & x"854" => data <= x"d0"; when "10" & x"855" => data <= x"ba"; when "10" & x"856" => data <= x"c9"; when "10" & x"857" => data <= x"20"; when "10" & x"858" => data <= x"90"; when "10" & x"859" => data <= x"14"; when "10" & x"85a" => data <= x"c9"; when "10" & x"85b" => data <= x"3f"; when "10" & x"85c" => data <= x"f0"; when "10" & x"85d" => data <= x"08"; when "10" & x"85e" => data <= x"20"; when "10" & x"85f" => data <= x"f3"; when "10" & x"860" => data <= x"ef"; when "10" & x"861" => data <= x"2c"; when "10" & x"862" => data <= x"bc"; when "10" & x"863" => data <= x"d8"; when "10" & x"864" => data <= x"70"; when "10" & x"865" => data <= x"03"; when "10" & x"866" => data <= x"a9"; when "10" & x"867" => data <= x"7f"; when "10" & x"868" => data <= x"b8"; when "10" & x"869" => data <= x"c8"; when "10" & x"86a" => data <= x"05"; when "10" & x"86b" => data <= x"e5"; when "10" & x"86c" => data <= x"18"; when "10" & x"86d" => data <= x"60"; when "10" & x"86e" => data <= x"00"; when "10" & x"86f" => data <= x"fd"; when "10" & x"870" => data <= x"42"; when "10" & x"871" => data <= x"61"; when "10" & x"872" => data <= x"64"; when "10" & x"873" => data <= x"20"; when "10" & x"874" => data <= x"73"; when "10" & x"875" => data <= x"74"; when "10" & x"876" => data <= x"72"; when "10" & x"877" => data <= x"69"; when "10" & x"878" => data <= x"6e"; when "10" & x"879" => data <= x"67"; when "10" & x"87a" => data <= x"00"; when "10" & x"87b" => data <= x"ad"; when "10" & x"87c" => data <= x"87"; when "10" & x"87d" => data <= x"02"; when "10" & x"87e" => data <= x"49"; when "10" & x"87f" => data <= x"4c"; when "10" & x"880" => data <= x"d0"; when "10" & x"881" => data <= x"07"; when "10" & x"882" => data <= x"4c"; when "10" & x"883" => data <= x"87"; when "10" & x"884" => data <= x"02"; when "10" & x"885" => data <= x"98"; when "10" & x"886" => data <= x"9d"; when "10" & x"887" => data <= x"00"; when "10" & x"888" => data <= x"fe"; when "10" & x"889" => data <= x"60"; when "10" & x"88a" => data <= x"98"; when "10" & x"88b" => data <= x"9d"; when "10" & x"88c" => data <= x"00"; when "10" & x"88d" => data <= x"fc"; when "10" & x"88e" => data <= x"60"; when "10" & x"88f" => data <= x"bc"; when "10" & x"890" => data <= x"00"; when "10" & x"891" => data <= x"fc"; when "10" & x"892" => data <= x"60"; when "10" & x"893" => data <= x"ad"; when "10" & x"894" => data <= x"6b"; when "10" & x"895" => data <= x"02"; when "10" & x"896" => data <= x"d0"; when "10" & x"897" => data <= x"05"; when "10" & x"898" => data <= x"ad"; when "10" & x"899" => data <= x"14"; when "10" & x"89a" => data <= x"08"; when "10" & x"89b" => data <= x"d0"; when "10" & x"89c" => data <= x"01"; when "10" & x"89d" => data <= x"60"; when "10" & x"89e" => data <= x"ae"; when "10" & x"89f" => data <= x"25"; when "10" & x"8a0" => data <= x"08"; when "10" & x"8a1" => data <= x"bd"; when "10" & x"8a2" => data <= x"c3"; when "10" & x"8a3" => data <= x"02"; when "10" & x"8a4" => data <= x"30"; when "10" & x"8a5" => data <= x"05"; when "10" & x"8a6" => data <= x"ad"; when "10" & x"8a7" => data <= x"1b"; when "10" & x"8a8" => data <= x"08"; when "10" & x"8a9" => data <= x"d0"; when "10" & x"8aa" => data <= x"03"; when "10" & x"8ab" => data <= x"20"; when "10" & x"8ac" => data <= x"93"; when "10" & x"8ad" => data <= x"e9"; when "10" & x"8ae" => data <= x"ad"; when "10" & x"8af" => data <= x"1b"; when "10" & x"8b0" => data <= x"08"; when "10" & x"8b1" => data <= x"f0"; when "10" & x"8b2" => data <= x"11"; when "10" & x"8b3" => data <= x"c9"; when "10" & x"8b4" => data <= x"ff"; when "10" & x"8b5" => data <= x"f0"; when "10" & x"8b6" => data <= x"10"; when "10" & x"8b7" => data <= x"ce"; when "10" & x"8b8" => data <= x"1c"; when "10" & x"8b9" => data <= x"08"; when "10" & x"8ba" => data <= x"d0"; when "10" & x"8bb" => data <= x"0b"; when "10" & x"8bc" => data <= x"20"; when "10" & x"8bd" => data <= x"01"; when "10" & x"8be" => data <= x"ea"; when "10" & x"8bf" => data <= x"ce"; when "10" & x"8c0" => data <= x"1b"; when "10" & x"8c1" => data <= x"08"; when "10" & x"8c2" => data <= x"d0"; when "10" & x"8c3" => data <= x"03"; when "10" & x"8c4" => data <= x"20"; when "10" & x"8c5" => data <= x"93"; when "10" & x"8c6" => data <= x"e9"; when "10" & x"8c7" => data <= x"ac"; when "10" & x"8c8" => data <= x"1d"; when "10" & x"8c9" => data <= x"08"; when "10" & x"8ca" => data <= x"c0"; when "10" & x"8cb" => data <= x"ff"; when "10" & x"8cc" => data <= x"f0"; when "10" & x"8cd" => data <= x"5f"; when "10" & x"8ce" => data <= x"ad"; when "10" & x"8cf" => data <= x"1e"; when "10" & x"8d0" => data <= x"08"; when "10" & x"8d1" => data <= x"f0"; when "10" & x"8d2" => data <= x"05"; when "10" & x"8d3" => data <= x"ce"; when "10" & x"8d4" => data <= x"1e"; when "10" & x"8d5" => data <= x"08"; when "10" & x"8d6" => data <= x"d0"; when "10" & x"8d7" => data <= x"55"; when "10" & x"8d8" => data <= x"ad"; when "10" & x"8d9" => data <= x"19"; when "10" & x"8da" => data <= x"08"; when "10" & x"8db" => data <= x"c9"; when "10" & x"8dc" => data <= x"03"; when "10" & x"8dd" => data <= x"f0"; when "10" & x"8de" => data <= x"4e"; when "10" & x"8df" => data <= x"b9"; when "10" & x"8e0" => data <= x"c0"; when "10" & x"8e1" => data <= x"08"; when "10" & x"8e2" => data <= x"29"; when "10" & x"8e3" => data <= x"7f"; when "10" & x"8e4" => data <= x"8d"; when "10" & x"8e5" => data <= x"1e"; when "10" & x"8e6" => data <= x"08"; when "10" & x"8e7" => data <= x"ad"; when "10" & x"8e8" => data <= x"1a"; when "10" & x"8e9" => data <= x"08"; when "10" & x"8ea" => data <= x"d0"; when "10" & x"8eb" => data <= x"2a"; when "10" & x"8ec" => data <= x"ee"; when "10" & x"8ed" => data <= x"19"; when "10" & x"8ee" => data <= x"08"; when "10" & x"8ef" => data <= x"ad"; when "10" & x"8f0" => data <= x"19"; when "10" & x"8f1" => data <= x"08"; when "10" & x"8f2" => data <= x"c9"; when "10" & x"8f3" => data <= x"03"; when "10" & x"8f4" => data <= x"d0"; when "10" & x"8f5" => data <= x"10"; when "10" & x"8f6" => data <= x"ac"; when "10" & x"8f7" => data <= x"1d"; when "10" & x"8f8" => data <= x"08"; when "10" & x"8f9" => data <= x"b9"; when "10" & x"8fa" => data <= x"c0"; when "10" & x"8fb" => data <= x"08"; when "10" & x"8fc" => data <= x"30"; when "10" & x"8fd" => data <= x"2f"; when "10" & x"8fe" => data <= x"a9"; when "10" & x"8ff" => data <= x"00"; when "10" & x"900" => data <= x"8d"; when "10" & x"901" => data <= x"19"; when "10" & x"902" => data <= x"08"; when "10" & x"903" => data <= x"20"; when "10" & x"904" => data <= x"1f"; when "10" & x"905" => data <= x"ea"; when "10" & x"906" => data <= x"ad"; when "10" & x"907" => data <= x"19"; when "10" & x"908" => data <= x"08"; when "10" & x"909" => data <= x"18"; when "10" & x"90a" => data <= x"6d"; when "10" & x"90b" => data <= x"1d"; when "10" & x"90c" => data <= x"08"; when "10" & x"90d" => data <= x"a8"; when "10" & x"90e" => data <= x"b9"; when "10" & x"90f" => data <= x"c4"; when "10" & x"910" => data <= x"08"; when "10" & x"911" => data <= x"8d"; when "10" & x"912" => data <= x"1a"; when "10" & x"913" => data <= x"08"; when "10" & x"914" => data <= x"f0"; when "10" & x"915" => data <= x"17"; when "10" & x"916" => data <= x"ce"; when "10" & x"917" => data <= x"1a"; when "10" & x"918" => data <= x"08"; when "10" & x"919" => data <= x"ad"; when "10" & x"91a" => data <= x"1d"; when "10" & x"91b" => data <= x"08"; when "10" & x"91c" => data <= x"18"; when "10" & x"91d" => data <= x"6d"; when "10" & x"91e" => data <= x"19"; when "10" & x"91f" => data <= x"08"; when "10" & x"920" => data <= x"a8"; when "10" & x"921" => data <= x"b9"; when "10" & x"922" => data <= x"c1"; when "10" & x"923" => data <= x"08"; when "10" & x"924" => data <= x"f0"; when "10" & x"925" => data <= x"07"; when "10" & x"926" => data <= x"18"; when "10" & x"927" => data <= x"6d"; when "10" & x"928" => data <= x"1f"; when "10" & x"929" => data <= x"08"; when "10" & x"92a" => data <= x"20"; when "10" & x"92b" => data <= x"1f"; when "10" & x"92c" => data <= x"ea"; when "10" & x"92d" => data <= x"a5"; when "10" & x"92e" => data <= x"ea"; when "10" & x"92f" => data <= x"0d"; when "10" & x"930" => data <= x"62"; when "10" & x"931" => data <= x"02"; when "10" & x"932" => data <= x"d0"; when "10" & x"933" => data <= x"41"; when "10" & x"934" => data <= x"08"; when "10" & x"935" => data <= x"78"; when "10" & x"936" => data <= x"ad"; when "10" & x"937" => data <= x"82"; when "10" & x"938" => data <= x"02"; when "10" & x"939" => data <= x"29"; when "10" & x"93a" => data <= x"f9"; when "10" & x"93b" => data <= x"0d"; when "10" & x"93c" => data <= x"20"; when "10" & x"93d" => data <= x"08"; when "10" & x"93e" => data <= x"cd"; when "10" & x"93f" => data <= x"82"; when "10" & x"940" => data <= x"02"; when "10" & x"941" => data <= x"f0"; when "10" & x"942" => data <= x"06"; when "10" & x"943" => data <= x"8d"; when "10" & x"944" => data <= x"82"; when "10" & x"945" => data <= x"02"; when "10" & x"946" => data <= x"8d"; when "10" & x"947" => data <= x"07"; when "10" & x"948" => data <= x"fe"; when "10" & x"949" => data <= x"28"; when "10" & x"94a" => data <= x"ad"; when "10" & x"94b" => data <= x"21"; when "10" & x"94c" => data <= x"08"; when "10" & x"94d" => data <= x"8d"; when "10" & x"94e" => data <= x"06"; when "10" & x"94f" => data <= x"fe"; when "10" & x"950" => data <= x"ad"; when "10" & x"951" => data <= x"22"; when "10" & x"952" => data <= x"08"; when "10" & x"953" => data <= x"f0"; when "10" & x"954" => data <= x"20"; when "10" & x"955" => data <= x"a5"; when "10" & x"956" => data <= x"ea"; when "10" & x"957" => data <= x"d0"; when "10" & x"958" => data <= x"1c"; when "10" & x"959" => data <= x"8a"; when "10" & x"95a" => data <= x"48"; when "10" & x"95b" => data <= x"ee"; when "10" & x"95c" => data <= x"24"; when "10" & x"95d" => data <= x"08"; when "10" & x"95e" => data <= x"ae"; when "10" & x"95f" => data <= x"24"; when "10" & x"960" => data <= x"08"; when "10" & x"961" => data <= x"bd"; when "10" & x"962" => data <= x"93"; when "10" & x"963" => data <= x"e8"; when "10" & x"964" => data <= x"ae"; when "10" & x"965" => data <= x"92"; when "10" & x"966" => data <= x"02"; when "10" & x"967" => data <= x"5d"; when "10" & x"968" => data <= x"9a"; when "10" & x"969" => data <= x"e8"; when "10" & x"96a" => data <= x"2d"; when "10" & x"96b" => data <= x"22"; when "10" & x"96c" => data <= x"08"; when "10" & x"96d" => data <= x"0d"; when "10" & x"96e" => data <= x"23"; when "10" & x"96f" => data <= x"08"; when "10" & x"970" => data <= x"8d"; when "10" & x"971" => data <= x"06"; when "10" & x"972" => data <= x"fe"; when "10" & x"973" => data <= x"68"; when "10" & x"974" => data <= x"aa"; when "10" & x"975" => data <= x"60"; when "10" & x"976" => data <= x"a2"; when "10" & x"977" => data <= x"04"; when "10" & x"978" => data <= x"8e"; when "10" & x"979" => data <= x"25"; when "10" & x"97a" => data <= x"08"; when "10" & x"97b" => data <= x"20"; when "10" & x"97c" => data <= x"83"; when "10" & x"97d" => data <= x"e9"; when "10" & x"97e" => data <= x"e8"; when "10" & x"97f" => data <= x"e0"; when "10" & x"980" => data <= x"07"; when "10" & x"981" => data <= x"d0"; when "10" & x"982" => data <= x"f8"; when "10" & x"983" => data <= x"a9"; when "10" & x"984" => data <= x"00"; when "10" & x"985" => data <= x"8d"; when "10" & x"986" => data <= x"1b"; when "10" & x"987" => data <= x"08"; when "10" & x"988" => data <= x"9d"; when "10" & x"989" => data <= x"c3"; when "10" & x"98a" => data <= x"02"; when "10" & x"98b" => data <= x"8d"; when "10" & x"98c" => data <= x"14"; when "10" & x"98d" => data <= x"08"; when "10" & x"98e" => data <= x"20"; when "10" & x"98f" => data <= x"14"; when "10" & x"990" => data <= x"ea"; when "10" & x"991" => data <= x"f0"; when "10" & x"992" => data <= x"9a"; when "10" & x"993" => data <= x"ae"; when "10" & x"994" => data <= x"25"; when "10" & x"995" => data <= x"08"; when "10" & x"996" => data <= x"20"; when "10" & x"997" => data <= x"14"; when "10" & x"998" => data <= x"ea"; when "10" & x"999" => data <= x"bd"; when "10" & x"99a" => data <= x"c3"; when "10" & x"99b" => data <= x"02"; when "10" & x"99c" => data <= x"f0"; when "10" & x"99d" => data <= x"08"; when "10" & x"99e" => data <= x"a9"; when "10" & x"99f" => data <= x"00"; when "10" & x"9a0" => data <= x"9d"; when "10" & x"9a1" => data <= x"c3"; when "10" & x"9a2" => data <= x"02"; when "10" & x"9a3" => data <= x"8d"; when "10" & x"9a4" => data <= x"1b"; when "10" & x"9a5" => data <= x"08"; when "10" & x"9a6" => data <= x"20"; when "10" & x"9a7" => data <= x"c9"; when "10" & x"9a8" => data <= x"e1"; when "10" & x"9a9" => data <= x"b0"; when "10" & x"9aa" => data <= x"5c"; when "10" & x"9ab" => data <= x"08"; when "10" & x"9ac" => data <= x"78"; when "10" & x"9ad" => data <= x"20"; when "10" & x"9ae" => data <= x"ce"; when "10" & x"9af" => data <= x"e1"; when "10" & x"9b0" => data <= x"48"; when "10" & x"9b1" => data <= x"29"; when "10" & x"9b2" => data <= x"04"; when "10" & x"9b3" => data <= x"f0"; when "10" & x"9b4" => data <= x"0e"; when "10" & x"9b5" => data <= x"68"; when "10" & x"9b6" => data <= x"20"; when "10" & x"9b7" => data <= x"14"; when "10" & x"9b8" => data <= x"ea"; when "10" & x"9b9" => data <= x"20"; when "10" & x"9ba" => data <= x"ce"; when "10" & x"9bb" => data <= x"e1"; when "10" & x"9bc" => data <= x"20"; when "10" & x"9bd" => data <= x"ce"; when "10" & x"9be" => data <= x"e1"; when "10" & x"9bf" => data <= x"28"; when "10" & x"9c0" => data <= x"4c"; when "10" & x"9c1" => data <= x"fe"; when "10" & x"9c2" => data <= x"e9"; when "10" & x"9c3" => data <= x"a9"; when "10" & x"9c4" => data <= x"02"; when "10" & x"9c5" => data <= x"8d"; when "10" & x"9c6" => data <= x"20"; when "10" & x"9c7" => data <= x"08"; when "10" & x"9c8" => data <= x"68"; when "10" & x"9c9" => data <= x"29"; when "10" & x"9ca" => data <= x"f8"; when "10" & x"9cb" => data <= x"0a"; when "10" & x"9cc" => data <= x"90"; when "10" & x"9cd" => data <= x"0b"; when "10" & x"9ce" => data <= x"c9"; when "10" & x"9cf" => data <= x"f0"; when "10" & x"9d0" => data <= x"d0"; when "10" & x"9d1" => data <= x"05"; when "10" & x"9d2" => data <= x"a9"; when "10" & x"9d3" => data <= x"00"; when "10" & x"9d4" => data <= x"8d"; when "10" & x"9d5" => data <= x"20"; when "10" & x"9d6" => data <= x"08"; when "10" & x"9d7" => data <= x"a9"; when "10" & x"9d8" => data <= x"ff"; when "10" & x"9d9" => data <= x"8d"; when "10" & x"9da" => data <= x"1d"; when "10" & x"9db" => data <= x"08"; when "10" & x"9dc" => data <= x"a0"; when "10" & x"9dd" => data <= x"01"; when "10" & x"9de" => data <= x"8c"; when "10" & x"9df" => data <= x"1e"; when "10" & x"9e0" => data <= x"08"; when "10" & x"9e1" => data <= x"88"; when "10" & x"9e2" => data <= x"8c"; when "10" & x"9e3" => data <= x"1a"; when "10" & x"9e4" => data <= x"08"; when "10" & x"9e5" => data <= x"8c"; when "10" & x"9e6" => data <= x"1f"; when "10" & x"9e7" => data <= x"08"; when "10" & x"9e8" => data <= x"88"; when "10" & x"9e9" => data <= x"8c"; when "10" & x"9ea" => data <= x"19"; when "10" & x"9eb" => data <= x"08"; when "10" & x"9ec" => data <= x"20"; when "10" & x"9ed" => data <= x"ce"; when "10" & x"9ee" => data <= x"e1"; when "10" & x"9ef" => data <= x"8d"; when "10" & x"9f0" => data <= x"18"; when "10" & x"9f1" => data <= x"08"; when "10" & x"9f2" => data <= x"20"; when "10" & x"9f3" => data <= x"ce"; when "10" & x"9f4" => data <= x"e1"; when "10" & x"9f5" => data <= x"28"; when "10" & x"9f6" => data <= x"48"; when "10" & x"9f7" => data <= x"ad"; when "10" & x"9f8" => data <= x"18"; when "10" & x"9f9" => data <= x"08"; when "10" & x"9fa" => data <= x"20"; when "10" & x"9fb" => data <= x"26"; when "10" & x"9fc" => data <= x"ea"; when "10" & x"9fd" => data <= x"68"; when "10" & x"9fe" => data <= x"8d"; when "10" & x"9ff" => data <= x"1b"; when "10" & x"a00" => data <= x"08"; when "10" & x"a01" => data <= x"a9"; when "10" & x"a02" => data <= x"05"; when "10" & x"a03" => data <= x"8d"; when "10" & x"a04" => data <= x"1c"; when "10" & x"a05" => data <= x"08"; when "10" & x"a06" => data <= x"60"; when "10" & x"a07" => data <= x"08"; when "10" & x"a08" => data <= x"78"; when "10" & x"a09" => data <= x"20"; when "10" & x"a0a" => data <= x"c9"; when "10" & x"a0b" => data <= x"e1"; when "10" & x"a0c" => data <= x"90"; when "10" & x"a0d" => data <= x"05"; when "10" & x"a0e" => data <= x"a9"; when "10" & x"a0f" => data <= x"00"; when "10" & x"a10" => data <= x"8d"; when "10" & x"a11" => data <= x"14"; when "10" & x"a12" => data <= x"08"; when "10" & x"a13" => data <= x"28"; when "10" & x"a14" => data <= x"a9"; when "10" & x"a15" => data <= x"00"; when "10" & x"a16" => data <= x"8d"; when "10" & x"a17" => data <= x"20"; when "10" & x"a18" => data <= x"08"; when "10" & x"a19" => data <= x"a9"; when "10" & x"a1a" => data <= x"00"; when "10" & x"a1b" => data <= x"8d"; when "10" & x"a1c" => data <= x"22"; when "10" & x"a1d" => data <= x"08"; when "10" & x"a1e" => data <= x"60"; when "10" & x"a1f" => data <= x"8d"; when "10" & x"a20" => data <= x"1f"; when "10" & x"a21" => data <= x"08"; when "10" & x"a22" => data <= x"18"; when "10" & x"a23" => data <= x"6d"; when "10" & x"a24" => data <= x"18"; when "10" & x"a25" => data <= x"08"; when "10" & x"a26" => data <= x"48"; when "10" & x"a27" => data <= x"29"; when "10" & x"a28" => data <= x"03"; when "10" & x"a29" => data <= x"aa"; when "10" & x"a2a" => data <= x"ad"; when "10" & x"a2b" => data <= x"25"; when "10" & x"a2c" => data <= x"08"; when "10" & x"a2d" => data <= x"c9"; when "10" & x"a2e" => data <= x"04"; when "10" & x"a2f" => data <= x"d0"; when "10" & x"a30" => data <= x"18"; when "10" & x"a31" => data <= x"68"; when "10" & x"a32" => data <= x"29"; when "10" & x"a33" => data <= x"04"; when "10" & x"a34" => data <= x"f0"; when "10" & x"a35" => data <= x"0b"; when "10" & x"a36" => data <= x"bd"; when "10" & x"a37" => data <= x"8a"; when "10" & x"a38" => data <= x"ea"; when "10" & x"a39" => data <= x"8d"; when "10" & x"a3a" => data <= x"23"; when "10" & x"a3b" => data <= x"08"; when "10" & x"a3c" => data <= x"bd"; when "10" & x"a3d" => data <= x"2d"; when "10" & x"a3e" => data <= x"ed"; when "10" & x"a3f" => data <= x"d0"; when "10" & x"a40" => data <= x"da"; when "10" & x"a41" => data <= x"bd"; when "10" & x"a42" => data <= x"8e"; when "10" & x"a43" => data <= x"ea"; when "10" & x"a44" => data <= x"8d"; when "10" & x"a45" => data <= x"21"; when "10" & x"a46" => data <= x"08"; when "10" & x"a47" => data <= x"d0"; when "10" & x"a48" => data <= x"d0"; when "10" & x"a49" => data <= x"e8"; when "10" & x"a4a" => data <= x"a9"; when "10" & x"a4b" => data <= x"00"; when "10" & x"a4c" => data <= x"8d"; when "10" & x"a4d" => data <= x"21"; when "10" & x"a4e" => data <= x"08"; when "10" & x"a4f" => data <= x"68"; when "10" & x"a50" => data <= x"4a"; when "10" & x"a51" => data <= x"4a"; when "10" & x"a52" => data <= x"c9"; when "10" & x"a53" => data <= x"0c"; when "10" & x"a54" => data <= x"90"; when "10" & x"a55" => data <= x"07"; when "10" & x"a56" => data <= x"ee"; when "10" & x"a57" => data <= x"21"; when "10" & x"a58" => data <= x"08"; when "10" & x"a59" => data <= x"e9"; when "10" & x"a5a" => data <= x"0c"; when "10" & x"a5b" => data <= x"d0"; when "10" & x"a5c" => data <= x"f5"; when "10" & x"a5d" => data <= x"a8"; when "10" & x"a5e" => data <= x"ad"; when "10" & x"a5f" => data <= x"21"; when "10" & x"a60" => data <= x"08"; when "10" & x"a61" => data <= x"48"; when "10" & x"a62" => data <= x"b9"; when "10" & x"a63" => data <= x"7e"; when "10" & x"a64" => data <= x"ea"; when "10" & x"a65" => data <= x"c0"; when "10" & x"a66" => data <= x"07"; when "10" & x"a67" => data <= x"e9"; when "10" & x"a68" => data <= x"02"; when "10" & x"a69" => data <= x"ca"; when "10" & x"a6a" => data <= x"d0"; when "10" & x"a6b" => data <= x"f9"; when "10" & x"a6c" => data <= x"8d"; when "10" & x"a6d" => data <= x"21"; when "10" & x"a6e" => data <= x"08"; when "10" & x"a6f" => data <= x"68"; when "10" & x"a70" => data <= x"a8"; when "10" & x"a71" => data <= x"f0"; when "10" & x"a72" => data <= x"06"; when "10" & x"a73" => data <= x"4e"; when "10" & x"a74" => data <= x"21"; when "10" & x"a75" => data <= x"08"; when "10" & x"a76" => data <= x"88"; when "10" & x"a77" => data <= x"d0"; when "10" & x"a78" => data <= x"fa"; when "10" & x"a79" => data <= x"ce"; when "10" & x"a7a" => data <= x"21"; when "10" & x"a7b" => data <= x"08"; when "10" & x"a7c" => data <= x"d0"; when "10" & x"a7d" => data <= x"9b"; when "10" & x"a7e" => data <= x"00"; when "10" & x"a7f" => data <= x"f0"; when "10" & x"a80" => data <= x"e3"; when "10" & x"a81" => data <= x"d6"; when "10" & x"a82" => data <= x"cb"; when "10" & x"a83" => data <= x"bf"; when "10" & x"a84" => data <= x"b5"; when "10" & x"a85" => data <= x"aa"; when "10" & x"a86" => data <= x"a0"; when "10" & x"a87" => data <= x"97"; when "10" & x"a88" => data <= x"8f"; when "10" & x"a89" => data <= x"87"; when "10" & x"a8a" => data <= x"40"; when "10" & x"a8b" => data <= x"80"; when "10" & x"a8c" => data <= x"c0"; when "10" & x"a8d" => data <= x"80"; when "10" & x"a8e" => data <= x"3b"; when "10" & x"a8f" => data <= x"76"; when "10" & x"a90" => data <= x"f0"; when "10" & x"a91" => data <= x"76"; when "10" & x"a92" => data <= x"a9"; when "10" & x"a93" => data <= x"ef"; when "10" & x"a94" => data <= x"85"; when "10" & x"a95" => data <= x"f5"; when "10" & x"a96" => data <= x"60"; when "10" & x"a97" => data <= x"e6"; when "10" & x"a98" => data <= x"f5"; when "10" & x"a99" => data <= x"a4"; when "10" & x"a9a" => data <= x"f5"; when "10" & x"a9b" => data <= x"a2"; when "10" & x"a9c" => data <= x"0d"; when "10" & x"a9d" => data <= x"08"; when "10" & x"a9e" => data <= x"20"; when "10" & x"a9f" => data <= x"a8"; when "10" & x"aa0" => data <= x"f0"; when "10" & x"aa1" => data <= x"28"; when "10" & x"aa2" => data <= x"c9"; when "10" & x"aa3" => data <= x"01"; when "10" & x"aa4" => data <= x"98"; when "10" & x"aa5" => data <= x"60"; when "10" & x"aa6" => data <= x"a2"; when "10" & x"aa7" => data <= x"0e"; when "10" & x"aa8" => data <= x"a0"; when "10" & x"aa9" => data <= x"ff"; when "10" & x"aaa" => data <= x"4c"; when "10" & x"aab" => data <= x"9d"; when "10" & x"aac" => data <= x"ea"; when "10" & x"aad" => data <= x"ad"; when "10" & x"aae" => data <= x"cb"; when "10" & x"aaf" => data <= x"03"; when "10" & x"ab0" => data <= x"85"; when "10" & x"ab1" => data <= x"f6"; when "10" & x"ab2" => data <= x"ad"; when "10" & x"ab3" => data <= x"cc"; when "10" & x"ab4" => data <= x"03"; when "10" & x"ab5" => data <= x"85"; when "10" & x"ab6" => data <= x"f7"; when "10" & x"ab7" => data <= x"a5"; when "10" & x"ab8" => data <= x"f5"; when "10" & x"ab9" => data <= x"60"; when "10" & x"aba" => data <= x"a2"; when "10" & x"abb" => data <= x"ff"; when "10" & x"abc" => data <= x"8e"; when "10" & x"abd" => data <= x"42"; when "10" & x"abe" => data <= x"02"; when "10" & x"abf" => data <= x"08"; when "10" & x"ac0" => data <= x"ad"; when "10" & x"ac1" => data <= x"82"; when "10" & x"ac2" => data <= x"02"; when "10" & x"ac3" => data <= x"29"; when "10" & x"ac4" => data <= x"7f"; when "10" & x"ac5" => data <= x"48"; when "10" & x"ac6" => data <= x"a9"; when "10" & x"ac7" => data <= x"10"; when "10" & x"ac8" => data <= x"2c"; when "10" & x"ac9" => data <= x"5a"; when "10" & x"aca" => data <= x"02"; when "10" & x"acb" => data <= x"d0"; when "10" & x"acc" => data <= x"04"; when "10" & x"acd" => data <= x"68"; when "10" & x"ace" => data <= x"09"; when "10" & x"acf" => data <= x"80"; when "10" & x"ad0" => data <= x"48"; when "10" & x"ad1" => data <= x"68"; when "10" & x"ad2" => data <= x"cd"; when "10" & x"ad3" => data <= x"82"; when "10" & x"ad4" => data <= x"02"; when "10" & x"ad5" => data <= x"f0"; when "10" & x"ad6" => data <= x"06"; when "10" & x"ad7" => data <= x"8d"; when "10" & x"ad8" => data <= x"82"; when "10" & x"ad9" => data <= x"02"; when "10" & x"ada" => data <= x"8d"; when "10" & x"adb" => data <= x"07"; when "10" & x"adc" => data <= x"fe"; when "10" & x"add" => data <= x"68"; when "10" & x"ade" => data <= x"60"; when "10" & x"adf" => data <= x"50"; when "10" & x"ae0" => data <= x"08"; when "10" & x"ae1" => data <= x"ee"; when "10" & x"ae2" => data <= x"42"; when "10" & x"ae3" => data <= x"02"; when "10" & x"ae4" => data <= x"b0"; when "10" & x"ae5" => data <= x"08"; when "10" & x"ae6" => data <= x"4c"; when "10" & x"ae7" => data <= x"06"; when "10" & x"ae8" => data <= x"ec"; when "10" & x"ae9" => data <= x"90"; when "10" & x"aea" => data <= x"03"; when "10" & x"aeb" => data <= x"4c"; when "10" & x"aec" => data <= x"53"; when "10" & x"aed" => data <= x"ec"; when "10" & x"aee" => data <= x"ad"; when "10" & x"aef" => data <= x"5a"; when "10" & x"af0" => data <= x"02"; when "10" & x"af1" => data <= x"29"; when "10" & x"af2" => data <= x"1f"; when "10" & x"af3" => data <= x"a2"; when "10" & x"af4" => data <= x"3b"; when "10" & x"af5" => data <= x"20"; when "10" & x"af6" => data <= x"1e"; when "10" & x"af7" => data <= x"ec"; when "10" & x"af8" => data <= x"8e"; when "10" & x"af9" => data <= x"77"; when "10" & x"afa" => data <= x"02"; when "10" & x"afb" => data <= x"b8"; when "10" & x"afc" => data <= x"10"; when "10" & x"afd" => data <= x"05"; when "10" & x"afe" => data <= x"2c"; when "10" & x"aff" => data <= x"bc"; when "10" & x"b00" => data <= x"d8"; when "10" & x"b01" => data <= x"09"; when "10" & x"b02" => data <= x"40"; when "10" & x"b03" => data <= x"ca"; when "10" & x"b04" => data <= x"20"; when "10" & x"b05" => data <= x"1e"; when "10" & x"b06" => data <= x"ec"; when "10" & x"b07" => data <= x"90"; when "10" & x"b08" => data <= x"b6"; when "10" & x"b09" => data <= x"10"; when "10" & x"b0a" => data <= x"02"; when "10" & x"b0b" => data <= x"09"; when "10" & x"b0c" => data <= x"80"; when "10" & x"b0d" => data <= x"ca"; when "10" & x"b0e" => data <= x"20"; when "10" & x"b0f" => data <= x"1e"; when "10" & x"b10" => data <= x"ec"; when "10" & x"b11" => data <= x"10"; when "10" & x"b12" => data <= x"02"; when "10" & x"b13" => data <= x"09"; when "10" & x"b14" => data <= x"20"; when "10" & x"b15" => data <= x"8d"; when "10" & x"b16" => data <= x"5a"; when "10" & x"b17" => data <= x"02"; when "10" & x"b18" => data <= x"a6"; when "10" & x"b19" => data <= x"ec"; when "10" & x"b1a" => data <= x"f0"; when "10" & x"b1b" => data <= x"12"; when "10" & x"b1c" => data <= x"20"; when "10" & x"b1d" => data <= x"1e"; when "10" & x"b1e" => data <= x"ec"; when "10" & x"b1f" => data <= x"30"; when "10" & x"b20" => data <= x"10"; when "10" & x"b21" => data <= x"e4"; when "10" & x"b22" => data <= x"ec"; when "10" & x"b23" => data <= x"86"; when "10" & x"b24" => data <= x"ec"; when "10" & x"b25" => data <= x"d0"; when "10" & x"b26" => data <= x"07"; when "10" & x"b27" => data <= x"a2"; when "10" & x"b28" => data <= x"00"; when "10" & x"b29" => data <= x"86"; when "10" & x"b2a" => data <= x"ec"; when "10" & x"b2b" => data <= x"20"; when "10" & x"b2c" => data <= x"13"; when "10" & x"b2d" => data <= x"ec"; when "10" & x"b2e" => data <= x"4c"; when "10" & x"b2f" => data <= x"dd"; when "10" & x"b30" => data <= x"eb"; when "10" & x"b31" => data <= x"e4"; when "10" & x"b32" => data <= x"ec"; when "10" & x"b33" => data <= x"d0"; when "10" & x"b34" => data <= x"ee"; when "10" & x"b35" => data <= x"a5"; when "10" & x"b36" => data <= x"e7"; when "10" & x"b37" => data <= x"f0"; when "10" & x"b38" => data <= x"26"; when "10" & x"b39" => data <= x"c6"; when "10" & x"b3a" => data <= x"e7"; when "10" & x"b3b" => data <= x"d0"; when "10" & x"b3c" => data <= x"22"; when "10" & x"b3d" => data <= x"ad"; when "10" & x"b3e" => data <= x"bf"; when "10" & x"b3f" => data <= x"02"; when "10" & x"b40" => data <= x"85"; when "10" & x"b41" => data <= x"e7"; when "10" & x"b42" => data <= x"ad"; when "10" & x"b43" => data <= x"55"; when "10" & x"b44" => data <= x"02"; when "10" & x"b45" => data <= x"8d"; when "10" & x"b46" => data <= x"bf"; when "10" & x"b47" => data <= x"02"; when "10" & x"b48" => data <= x"ad"; when "10" & x"b49" => data <= x"5a"; when "10" & x"b4a" => data <= x"02"; when "10" & x"b4b" => data <= x"a6"; when "10" & x"b4c" => data <= x"ec"; when "10" & x"b4d" => data <= x"e0"; when "10" & x"b4e" => data <= x"b9"; when "10" & x"b4f" => data <= x"d0"; when "10" & x"b50" => data <= x"11"; when "10" & x"b51" => data <= x"2c"; when "10" & x"b52" => data <= x"77"; when "10" & x"b53" => data <= x"02"; when "10" & x"b54" => data <= x"10"; when "10" & x"b55" => data <= x"02"; when "10" & x"b56" => data <= x"49"; when "10" & x"b57" => data <= x"30"; when "10" & x"b58" => data <= x"8d"; when "10" & x"b59" => data <= x"5a"; when "10" & x"b5a" => data <= x"02"; when "10" & x"b5b" => data <= x"a9"; when "10" & x"b5c" => data <= x"00"; when "10" & x"b5d" => data <= x"85"; when "10" & x"b5e" => data <= x"e7"; when "10" & x"b5f" => data <= x"4c"; when "10" & x"b60" => data <= x"dd"; when "10" & x"b61" => data <= x"eb"; when "10" & x"b62" => data <= x"bd"; when "10" & x"b63" => data <= x"4f"; when "10" & x"b64" => data <= x"ed"; when "10" & x"b65" => data <= x"ae"; when "10" & x"b66" => data <= x"5a"; when "10" & x"b67" => data <= x"02"; when "10" & x"b68" => data <= x"8e"; when "10" & x"b69" => data <= x"77"; when "10" & x"b6a" => data <= x"02"; when "10" & x"b6b" => data <= x"aa"; when "10" & x"b6c" => data <= x"c9"; when "10" & x"b6d" => data <= x"21"; when "10" & x"b6e" => data <= x"90"; when "10" & x"b6f" => data <= x"54"; when "10" & x"b70" => data <= x"c9"; when "10" & x"b71" => data <= x"26"; when "10" & x"b72" => data <= x"b0"; when "10" & x"b73" => data <= x"11"; when "10" & x"b74" => data <= x"a9"; when "10" & x"b75" => data <= x"c0"; when "10" & x"b76" => data <= x"2c"; when "10" & x"b77" => data <= x"77"; when "10" & x"b78" => data <= x"02"; when "10" & x"b79" => data <= x"f0"; when "10" & x"b7a" => data <= x"04"; when "10" & x"b7b" => data <= x"a4"; when "10" & x"b7c" => data <= x"ed"; when "10" & x"b7d" => data <= x"f0"; when "10" & x"b7e" => data <= x"06"; when "10" & x"b7f" => data <= x"18"; when "10" & x"b80" => data <= x"8a"; when "10" & x"b81" => data <= x"69"; when "10" & x"b82" => data <= x"6a"; when "10" & x"b83" => data <= x"d0"; when "10" & x"b84" => data <= x"3e"; when "10" & x"b85" => data <= x"ad"; when "10" & x"b86" => data <= x"77"; when "10" & x"b87" => data <= x"02"; when "10" & x"b88" => data <= x"49"; when "10" & x"b89" => data <= x"10"; when "10" & x"b8a" => data <= x"f0"; when "10" & x"b8b" => data <= x"38"; when "10" & x"b8c" => data <= x"2e"; when "10" & x"b8d" => data <= x"77"; when "10" & x"b8e" => data <= x"02"; when "10" & x"b8f" => data <= x"90"; when "10" & x"b90" => data <= x"09"; when "10" & x"b91" => data <= x"a4"; when "10" & x"b92" => data <= x"ed"; when "10" & x"b93" => data <= x"d0"; when "10" & x"b94" => data <= x"96"; when "10" & x"b95" => data <= x"bd"; when "10" & x"b96" => data <= x"99"; when "10" & x"b97" => data <= x"ee"; when "10" & x"b98" => data <= x"b0"; when "10" & x"b99" => data <= x"29"; when "10" & x"b9a" => data <= x"2e"; when "10" & x"b9b" => data <= x"77"; when "10" & x"b9c" => data <= x"02"; when "10" & x"b9d" => data <= x"90"; when "10" & x"b9e" => data <= x"0a"; when "10" & x"b9f" => data <= x"a4"; when "10" & x"ba0" => data <= x"ed"; when "10" & x"ba1" => data <= x"d0"; when "10" & x"ba2" => data <= x"88"; when "10" & x"ba3" => data <= x"bd"; when "10" & x"ba4" => data <= x"b7"; when "10" & x"ba5" => data <= x"ef"; when "10" & x"ba6" => data <= x"aa"; when "10" & x"ba7" => data <= x"b0"; when "10" & x"ba8" => data <= x"0a"; when "10" & x"ba9" => data <= x"2e"; when "10" & x"baa" => data <= x"77"; when "10" & x"bab" => data <= x"02"; when "10" & x"bac" => data <= x"90"; when "10" & x"bad" => data <= x"08"; when "10" & x"bae" => data <= x"bd"; when "10" & x"baf" => data <= x"0b"; when "10" & x"bb0" => data <= x"f0"; when "10" & x"bb1" => data <= x"b0"; when "10" & x"bb2" => data <= x"10"; when "10" & x"bb3" => data <= x"2e"; when "10" & x"bb4" => data <= x"77"; when "10" & x"bb5" => data <= x"02"; when "10" & x"bb6" => data <= x"2e"; when "10" & x"bb7" => data <= x"77"; when "10" & x"bb8" => data <= x"02"; when "10" & x"bb9" => data <= x"b0"; when "10" & x"bba" => data <= x"08"; when "10" & x"bbb" => data <= x"8a"; when "10" & x"bbc" => data <= x"20"; when "10" & x"bbd" => data <= x"51"; when "10" & x"bbe" => data <= x"e2"; when "10" & x"bbf" => data <= x"b0"; when "10" & x"bc0" => data <= x"02"; when "10" & x"bc1" => data <= x"49"; when "10" & x"bc2" => data <= x"20"; when "10" & x"bc3" => data <= x"aa"; when "10" & x"bc4" => data <= x"8a"; when "10" & x"bc5" => data <= x"cd"; when "10" & x"bc6" => data <= x"6c"; when "10" & x"bc7" => data <= x"02"; when "10" & x"bc8" => data <= x"d0"; when "10" & x"bc9" => data <= x"07"; when "10" & x"bca" => data <= x"ae"; when "10" & x"bcb" => data <= x"75"; when "10" & x"bcc" => data <= x"02"; when "10" & x"bcd" => data <= x"d0"; when "10" & x"bce" => data <= x"02"; when "10" & x"bcf" => data <= x"86"; when "10" & x"bd0" => data <= x"e7"; when "10" & x"bd1" => data <= x"a8"; when "10" & x"bd2" => data <= x"20"; when "10" & x"bd3" => data <= x"34"; when "10" & x"bd4" => data <= x"ed"; when "10" & x"bd5" => data <= x"ad"; when "10" & x"bd6" => data <= x"59"; when "10" & x"bd7" => data <= x"02"; when "10" & x"bd8" => data <= x"d0"; when "10" & x"bd9" => data <= x"03"; when "10" & x"bda" => data <= x"20"; when "10" & x"bdb" => data <= x"5f"; when "10" & x"bdc" => data <= x"e2"; when "10" & x"bdd" => data <= x"a6"; when "10" & x"bde" => data <= x"ed"; when "10" & x"bdf" => data <= x"f0"; when "10" & x"be0" => data <= x"0b"; when "10" & x"be1" => data <= x"20"; when "10" & x"be2" => data <= x"1e"; when "10" & x"be3" => data <= x"ec"; when "10" & x"be4" => data <= x"86"; when "10" & x"be5" => data <= x"ed"; when "10" & x"be6" => data <= x"30"; when "10" & x"be7" => data <= x"04"; when "10" & x"be8" => data <= x"a2"; when "10" & x"be9" => data <= x"00"; when "10" & x"bea" => data <= x"86"; when "10" & x"beb" => data <= x"ed"; when "10" & x"bec" => data <= x"a6"; when "10" & x"bed" => data <= x"ed"; when "10" & x"bee" => data <= x"d0"; when "10" & x"bef" => data <= x"16"; when "10" & x"bf0" => data <= x"a0"; when "10" & x"bf1" => data <= x"ec"; when "10" & x"bf2" => data <= x"20"; when "10" & x"bf3" => data <= x"76"; when "10" & x"bf4" => data <= x"ed"; when "10" & x"bf5" => data <= x"30"; when "10" & x"bf6" => data <= x"0c"; when "10" & x"bf7" => data <= x"20"; when "10" & x"bf8" => data <= x"50"; when "10" & x"bf9" => data <= x"e9"; when "10" & x"bfa" => data <= x"a5"; when "10" & x"bfb" => data <= x"ec"; when "10" & x"bfc" => data <= x"85"; when "10" & x"bfd" => data <= x"ed"; when "10" & x"bfe" => data <= x"86"; when "10" & x"bff" => data <= x"ec"; when "10" & x"c00" => data <= x"20"; when "10" & x"c01" => data <= x"13"; when "10" & x"c02" => data <= x"ec"; when "10" & x"c03" => data <= x"4c"; when "10" & x"c04" => data <= x"ba"; when "10" & x"c05" => data <= x"ea"; when "10" & x"c06" => data <= x"a5"; when "10" & x"c07" => data <= x"ec"; when "10" & x"c08" => data <= x"d0"; when "10" & x"c09" => data <= x"f9"; when "10" & x"c0a" => data <= x"a0"; when "10" & x"c0b" => data <= x"ed"; when "10" & x"c0c" => data <= x"20"; when "10" & x"c0d" => data <= x"76"; when "10" & x"c0e" => data <= x"ed"; when "10" & x"c0f" => data <= x"30"; when "10" & x"c10" => data <= x"f2"; when "10" & x"c11" => data <= x"10"; when "10" & x"c12" => data <= x"eb"; when "10" & x"c13" => data <= x"a2"; when "10" & x"c14" => data <= x"01"; when "10" & x"c15" => data <= x"86"; when "10" & x"c16" => data <= x"e7"; when "10" & x"c17" => data <= x"ae"; when "10" & x"c18" => data <= x"54"; when "10" & x"c19" => data <= x"02"; when "10" & x"c1a" => data <= x"8e"; when "10" & x"c1b" => data <= x"bf"; when "10" & x"c1c" => data <= x"02"; when "10" & x"c1d" => data <= x"60"; when "10" & x"c1e" => data <= x"f0"; when "10" & x"c1f" => data <= x"28"; when "10" & x"c20" => data <= x"48"; when "10" & x"c21" => data <= x"8a"; when "10" & x"c22" => data <= x"29"; when "10" & x"c23" => data <= x"3f"; when "10" & x"c24" => data <= x"48"; when "10" & x"c25" => data <= x"20"; when "10" & x"c26" => data <= x"f8"; when "10" & x"c27" => data <= x"ec"; when "10" & x"c28" => data <= x"85"; when "10" & x"c29" => data <= x"fc"; when "10" & x"c2a" => data <= x"a6"; when "10" & x"c2b" => data <= x"f4"; when "10" & x"c2c" => data <= x"a9"; when "10" & x"c2d" => data <= x"08"; when "10" & x"c2e" => data <= x"20"; when "10" & x"c2f" => data <= x"a0"; when "10" & x"c30" => data <= x"e3"; when "10" & x"c31" => data <= x"08"; when "10" & x"c32" => data <= x"20"; when "10" & x"c33" => data <= x"38"; when "10" & x"c34" => data <= x"ed"; when "10" & x"c35" => data <= x"28"; when "10" & x"c36" => data <= x"25"; when "10" & x"c37" => data <= x"fc"; when "10" & x"c38" => data <= x"f0"; when "10" & x"c39" => data <= x"02"; when "10" & x"c3a" => data <= x"a9"; when "10" & x"c3b" => data <= x"80"; when "10" & x"c3c" => data <= x"85"; when "10" & x"c3d" => data <= x"fc"; when "10" & x"c3e" => data <= x"20"; when "10" & x"c3f" => data <= x"9f"; when "10" & x"c40" => data <= x"e3"; when "10" & x"c41" => data <= x"68"; when "10" & x"c42" => data <= x"05"; when "10" & x"c43" => data <= x"fc"; when "10" & x"c44" => data <= x"aa"; when "10" & x"c45" => data <= x"68"; when "10" & x"c46" => data <= x"a4"; when "10" & x"c47" => data <= x"fc"; when "10" & x"c48" => data <= x"60"; when "10" & x"c49" => data <= x"2c"; when "10" & x"c4a" => data <= x"bc"; when "10" & x"c4b" => data <= x"d8"; when "10" & x"c4c" => data <= x"6c"; when "10" & x"c4d" => data <= x"28"; when "10" & x"c4e" => data <= x"02"; when "10" & x"c4f" => data <= x"a2"; when "10" & x"c50" => data <= x"01"; when "10" & x"c51" => data <= x"b0"; when "10" & x"c52" => data <= x"f9"; when "10" & x"c53" => data <= x"8a"; when "10" & x"c54" => data <= x"10"; when "10" & x"c55" => data <= x"3f"; when "10" & x"c56" => data <= x"29"; when "10" & x"c57" => data <= x"7f"; when "10" & x"c58" => data <= x"a8"; when "10" & x"c59" => data <= x"20"; when "10" & x"c5a" => data <= x"50"; when "10" & x"c5b" => data <= x"e9"; when "10" & x"c5c" => data <= x"be"; when "10" & x"c5d" => data <= x"40"; when "10" & x"c5e" => data <= x"ee"; when "10" & x"c5f" => data <= x"20"; when "10" & x"c60" => data <= x"1e"; when "10" & x"c61" => data <= x"ec"; when "10" & x"c62" => data <= x"8a"; when "10" & x"c63" => data <= x"60"; when "10" & x"c64" => data <= x"50"; when "10" & x"c65" => data <= x"0f"; when "10" & x"c66" => data <= x"20"; when "10" & x"c67" => data <= x"82"; when "10" & x"c68" => data <= x"ec"; when "10" & x"c69" => data <= x"90"; when "10" & x"c6a" => data <= x"0a"; when "10" & x"c6b" => data <= x"2c"; when "10" & x"c6c" => data <= x"c0"; when "10" & x"c6d" => data <= x"02"; when "10" & x"c6e" => data <= x"f0"; when "10" & x"c6f" => data <= x"05"; when "10" & x"c70" => data <= x"4d"; when "10" & x"c71" => data <= x"c0"; when "10" & x"c72" => data <= x"02"; when "10" & x"c73" => data <= x"f0"; when "10" & x"c74" => data <= x"0c"; when "10" & x"c75" => data <= x"a2"; when "10" & x"c76" => data <= x"ff"; when "10" & x"c77" => data <= x"4a"; when "10" & x"c78" => data <= x"e8"; when "10" & x"c79" => data <= x"90"; when "10" & x"c7a" => data <= x"fc"; when "10" & x"c7b" => data <= x"a9"; when "10" & x"c7c" => data <= x"00"; when "10" & x"c7d" => data <= x"2a"; when "10" & x"c7e" => data <= x"ca"; when "10" & x"c7f" => data <= x"10"; when "10" & x"c80" => data <= x"fc"; when "10" & x"c81" => data <= x"60"; when "10" & x"c82" => data <= x"18"; when "10" & x"c83" => data <= x"48"; when "10" & x"c84" => data <= x"a5"; when "10" & x"c85" => data <= x"fa"; when "10" & x"c86" => data <= x"4d"; when "10" & x"c87" => data <= x"c1"; when "10" & x"c88" => data <= x"02"; when "10" & x"c89" => data <= x"d0"; when "10" & x"c8a" => data <= x"08"; when "10" & x"c8b" => data <= x"a5"; when "10" & x"c8c" => data <= x"fb"; when "10" & x"c8d" => data <= x"4d"; when "10" & x"c8e" => data <= x"c2"; when "10" & x"c8f" => data <= x"02"; when "10" & x"c90" => data <= x"d0"; when "10" & x"c91" => data <= x"01"; when "10" & x"c92" => data <= x"38"; when "10" & x"c93" => data <= x"68"; when "10" & x"c94" => data <= x"60"; when "10" & x"c95" => data <= x"e8"; when "10" & x"c96" => data <= x"8a"; when "10" & x"c97" => data <= x"29"; when "10" & x"c98" => data <= x"7f"; when "10" & x"c99" => data <= x"aa"; when "10" & x"c9a" => data <= x"78"; when "10" & x"c9b" => data <= x"a5"; when "10" & x"c9c" => data <= x"f4"; when "10" & x"c9d" => data <= x"48"; when "10" & x"c9e" => data <= x"a9"; when "10" & x"c9f" => data <= x"08"; when "10" & x"ca0" => data <= x"20"; when "10" & x"ca1" => data <= x"a0"; when "10" & x"ca2" => data <= x"e3"; when "10" & x"ca3" => data <= x"a9"; when "10" & x"ca4" => data <= x"ff"; when "10" & x"ca5" => data <= x"a8"; when "10" & x"ca6" => data <= x"8d"; when "10" & x"ca7" => data <= x"c0"; when "10" & x"ca8" => data <= x"02"; when "10" & x"ca9" => data <= x"8e"; when "10" & x"caa" => data <= x"c1"; when "10" & x"cab" => data <= x"02"; when "10" & x"cac" => data <= x"ad"; when "10" & x"cad" => data <= x"00"; when "10" & x"cae" => data <= x"80"; when "10" & x"caf" => data <= x"29"; when "10" & x"cb0" => data <= x"0f"; when "10" & x"cb1" => data <= x"f0"; when "10" & x"cb2" => data <= x"36"; when "10" & x"cb3" => data <= x"84"; when "10" & x"cb4" => data <= x"fa"; when "10" & x"cb5" => data <= x"a2"; when "10" & x"cb6" => data <= x"9f"; when "10" & x"cb7" => data <= x"86"; when "10" & x"cb8" => data <= x"fb"; when "10" & x"cb9" => data <= x"a2"; when "10" & x"cba" => data <= x"00"; when "10" & x"cbb" => data <= x"20"; when "10" & x"cbc" => data <= x"38"; when "10" & x"cbd" => data <= x"ed"; when "10" & x"cbe" => data <= x"29"; when "10" & x"cbf" => data <= x"0f"; when "10" & x"cc0" => data <= x"f0"; when "10" & x"cc1" => data <= x"30"; when "10" & x"cc2" => data <= x"a0"; when "10" & x"cc3" => data <= x"04"; when "10" & x"cc4" => data <= x"4a"; when "10" & x"cc5" => data <= x"90"; when "10" & x"cc6" => data <= x"12"; when "10" & x"cc7" => data <= x"48"; when "10" & x"cc8" => data <= x"bd"; when "10" & x"cc9" => data <= x"08"; when "10" & x"cca" => data <= x"ee"; when "10" & x"ccb" => data <= x"cd"; when "10" & x"ccc" => data <= x"c1"; when "10" & x"ccd" => data <= x"02"; when "10" & x"cce" => data <= x"90"; when "10" & x"ccf" => data <= x"08"; when "10" & x"cd0" => data <= x"cd"; when "10" & x"cd1" => data <= x"c0"; when "10" & x"cd2" => data <= x"02"; when "10" & x"cd3" => data <= x"b0"; when "10" & x"cd4" => data <= x"03"; when "10" & x"cd5" => data <= x"8d"; when "10" & x"cd6" => data <= x"c0"; when "10" & x"cd7" => data <= x"02"; when "10" & x"cd8" => data <= x"68"; when "10" & x"cd9" => data <= x"e8"; when "10" & x"cda" => data <= x"88"; when "10" & x"cdb" => data <= x"d0"; when "10" & x"cdc" => data <= x"e7"; when "10" & x"cdd" => data <= x"a5"; when "10" & x"cde" => data <= x"fb"; when "10" & x"cdf" => data <= x"49"; when "10" & x"ce0" => data <= x"c0"; when "10" & x"ce1" => data <= x"38"; when "10" & x"ce2" => data <= x"6a"; when "10" & x"ce3" => data <= x"66"; when "10" & x"ce4" => data <= x"fa"; when "10" & x"ce5" => data <= x"85"; when "10" & x"ce6" => data <= x"fb"; when "10" & x"ce7" => data <= x"b0"; when "10" & x"ce8" => data <= x"d2"; when "10" & x"ce9" => data <= x"ae"; when "10" & x"cea" => data <= x"c0"; when "10" & x"ceb" => data <= x"02"; when "10" & x"cec" => data <= x"68"; when "10" & x"ced" => data <= x"20"; when "10" & x"cee" => data <= x"a0"; when "10" & x"cef" => data <= x"e3"; when "10" & x"cf0" => data <= x"8a"; when "10" & x"cf1" => data <= x"60"; when "10" & x"cf2" => data <= x"e8"; when "10" & x"cf3" => data <= x"e8"; when "10" & x"cf4" => data <= x"e8"; when "10" & x"cf5" => data <= x"e8"; when "10" & x"cf6" => data <= x"10"; when "10" & x"cf7" => data <= x"e5"; when "10" & x"cf8" => data <= x"08"; when "10" & x"cf9" => data <= x"48"; when "10" & x"cfa" => data <= x"29"; when "10" & x"cfb" => data <= x"3f"; when "10" & x"cfc" => data <= x"4a"; when "10" & x"cfd" => data <= x"4a"; when "10" & x"cfe" => data <= x"aa"; when "10" & x"cff" => data <= x"bd"; when "10" & x"d00" => data <= x"17"; when "10" & x"d01" => data <= x"ed"; when "10" & x"d02" => data <= x"85"; when "10" & x"d03" => data <= x"fb"; when "10" & x"d04" => data <= x"8d"; when "10" & x"d05" => data <= x"c2"; when "10" & x"d06" => data <= x"02"; when "10" & x"d07" => data <= x"bd"; when "10" & x"d08" => data <= x"25"; when "10" & x"d09" => data <= x"ed"; when "10" & x"d0a" => data <= x"85"; when "10" & x"d0b" => data <= x"fa"; when "10" & x"d0c" => data <= x"8d"; when "10" & x"d0d" => data <= x"c1"; when "10" & x"d0e" => data <= x"02"; when "10" & x"d0f" => data <= x"68"; when "10" & x"d10" => data <= x"29"; when "10" & x"d11" => data <= x"03"; when "10" & x"d12" => data <= x"aa"; when "10" & x"d13" => data <= x"bd"; when "10" & x"d14" => data <= x"86"; when "10" & x"d15" => data <= x"f0"; when "10" & x"d16" => data <= x"28"; when "10" & x"d17" => data <= x"60"; when "10" & x"d18" => data <= x"bf"; when "10" & x"d19" => data <= x"bf"; when "10" & x"d1a" => data <= x"bf"; when "10" & x"d1b" => data <= x"bf"; when "10" & x"d1c" => data <= x"bf"; when "10" & x"d1d" => data <= x"bf"; when "10" & x"d1e" => data <= x"bf"; when "10" & x"d1f" => data <= x"bf"; when "10" & x"d20" => data <= x"be"; when "10" & x"d21" => data <= x"bd"; when "10" & x"d22" => data <= x"bb"; when "10" & x"d23" => data <= x"b7"; when "10" & x"d24" => data <= x"af"; when "10" & x"d25" => data <= x"9f"; when "10" & x"d26" => data <= x"fe"; when "10" & x"d27" => data <= x"fd"; when "10" & x"d28" => data <= x"fb"; when "10" & x"d29" => data <= x"f7"; when "10" & x"d2a" => data <= x"ef"; when "10" & x"d2b" => data <= x"df"; when "10" & x"d2c" => data <= x"bf"; when "10" & x"d2d" => data <= x"7f"; when "10" & x"d2e" => data <= x"ff"; when "10" & x"d2f" => data <= x"ff"; when "10" & x"d30" => data <= x"ff"; when "10" & x"d31" => data <= x"ff"; when "10" & x"d32" => data <= x"ff"; when "10" & x"d33" => data <= x"ff"; when "10" & x"d34" => data <= x"58"; when "10" & x"d35" => data <= x"78"; when "10" & x"d36" => data <= x"8a"; when "10" & x"d37" => data <= x"60"; when "10" & x"d38" => data <= x"a4"; when "10" & x"d39" => data <= x"fa"; when "10" & x"d3a" => data <= x"c8"; when "10" & x"d3b" => data <= x"f0"; when "10" & x"d3c" => data <= x"05"; when "10" & x"d3d" => data <= x"88"; when "10" & x"d3e" => data <= x"b9"; when "10" & x"d3f" => data <= x"00"; when "10" & x"d40" => data <= x"bf"; when "10" & x"d41" => data <= x"60"; when "10" & x"d42" => data <= x"a5"; when "10" & x"d43" => data <= x"fb"; when "10" & x"d44" => data <= x"c9"; when "10" & x"d45" => data <= x"bb"; when "10" & x"d46" => data <= x"b0"; when "10" & x"d47" => data <= x"16"; when "10" & x"d48" => data <= x"c9"; when "10" & x"d49" => data <= x"af"; when "10" & x"d4a" => data <= x"90"; when "10" & x"d4b" => data <= x"0c"; when "10" & x"d4c" => data <= x"f0"; when "10" & x"d4d" => data <= x"04"; when "10" & x"d4e" => data <= x"ad"; when "10" & x"d4f" => data <= x"ff"; when "10" & x"d50" => data <= x"b7"; when "10" & x"d51" => data <= x"60"; when "10" & x"d52" => data <= x"a5"; when "10" & x"d53" => data <= x"ff"; when "10" & x"d54" => data <= x"ad"; when "10" & x"d55" => data <= x"ff"; when "10" & x"d56" => data <= x"af"; when "10" & x"d57" => data <= x"60"; when "10" & x"d58" => data <= x"a5"; when "10" & x"d59" => data <= x"ff"; when "10" & x"d5a" => data <= x"ad"; when "10" & x"d5b" => data <= x"ff"; when "10" & x"d5c" => data <= x"9f"; when "10" & x"d5d" => data <= x"60"; when "10" & x"d5e" => data <= x"a5"; when "10" & x"d5f" => data <= x"fb"; when "10" & x"d60" => data <= x"c9"; when "10" & x"d61" => data <= x"bd"; when "10" & x"d62" => data <= x"90"; when "10" & x"d63" => data <= x"06"; when "10" & x"d64" => data <= x"f0"; when "10" & x"d65" => data <= x"0a"; when "10" & x"d66" => data <= x"ad"; when "10" & x"d67" => data <= x"ff"; when "10" & x"d68" => data <= x"be"; when "10" & x"d69" => data <= x"60"; when "10" & x"d6a" => data <= x"a5"; when "10" & x"d6b" => data <= x"ff"; when "10" & x"d6c" => data <= x"ad"; when "10" & x"d6d" => data <= x"ff"; when "10" & x"d6e" => data <= x"bb"; when "10" & x"d6f" => data <= x"60"; when "10" & x"d70" => data <= x"a5"; when "10" & x"d71" => data <= x"ff"; when "10" & x"d72" => data <= x"ad"; when "10" & x"d73" => data <= x"ff"; when "10" & x"d74" => data <= x"bd"; when "10" & x"d75" => data <= x"60"; when "10" & x"d76" => data <= x"b8"; when "10" & x"d77" => data <= x"b9"; when "10" & x"d78" => data <= x"00"; when "10" & x"d79" => data <= x"00"; when "10" & x"d7a" => data <= x"f0"; when "10" & x"d7b" => data <= x"09"; when "10" & x"d7c" => data <= x"2c"; when "10" & x"d7d" => data <= x"bc"; when "10" & x"d7e" => data <= x"d8"; when "10" & x"d7f" => data <= x"20"; when "10" & x"d80" => data <= x"f8"; when "10" & x"d81" => data <= x"ec"; when "10" & x"d82" => data <= x"8d"; when "10" & x"d83" => data <= x"c0"; when "10" & x"d84" => data <= x"02"; when "10" & x"d85" => data <= x"a5"; when "10" & x"d86" => data <= x"f4"; when "10" & x"d87" => data <= x"48"; when "10" & x"d88" => data <= x"a9"; when "10" & x"d89" => data <= x"08"; when "10" & x"d8a" => data <= x"85"; when "10" & x"d8b" => data <= x"f4"; when "10" & x"d8c" => data <= x"8d"; when "10" & x"d8d" => data <= x"05"; when "10" & x"d8e" => data <= x"fe"; when "10" & x"d8f" => data <= x"a0"; when "10" & x"d90" => data <= x"ff"; when "10" & x"d91" => data <= x"84"; when "10" & x"d92" => data <= x"fa"; when "10" & x"d93" => data <= x"a2"; when "10" & x"d94" => data <= x"af"; when "10" & x"d95" => data <= x"86"; when "10" & x"d96" => data <= x"fb"; when "10" & x"d97" => data <= x"ad"; when "10" & x"d98" => data <= x"00"; when "10" & x"d99" => data <= x"a0"; when "10" & x"d9a" => data <= x"29"; when "10" & x"d9b" => data <= x"0f"; when "10" & x"d9c" => data <= x"f0"; when "10" & x"d9d" => data <= x"18"; when "10" & x"d9e" => data <= x"20"; when "10" & x"d9f" => data <= x"38"; when "10" & x"da0" => data <= x"ed"; when "10" & x"da1" => data <= x"29"; when "10" & x"da2" => data <= x"0f"; when "10" & x"da3" => data <= x"f0"; when "10" & x"da4" => data <= x"05"; when "10" & x"da5" => data <= x"20"; when "10" & x"da6" => data <= x"64"; when "10" & x"da7" => data <= x"ec"; when "10" & x"da8" => data <= x"d0"; when "10" & x"da9" => data <= x"20"; when "10" & x"daa" => data <= x"a5"; when "10" & x"dab" => data <= x"fb"; when "10" & x"dac" => data <= x"49"; when "10" & x"dad" => data <= x"c0"; when "10" & x"dae" => data <= x"38"; when "10" & x"daf" => data <= x"6a"; when "10" & x"db0" => data <= x"66"; when "10" & x"db1" => data <= x"fa"; when "10" & x"db2" => data <= x"85"; when "10" & x"db3" => data <= x"fb"; when "10" & x"db4" => data <= x"b0"; when "10" & x"db5" => data <= x"e8"; when "10" & x"db6" => data <= x"a9"; when "10" & x"db7" => data <= x"9f"; when "10" & x"db8" => data <= x"85"; when "10" & x"db9" => data <= x"fb"; when "10" & x"dba" => data <= x"ad"; when "10" & x"dbb" => data <= x"ff"; when "10" & x"dbc" => data <= x"9f"; when "10" & x"dbd" => data <= x"29"; when "10" & x"dbe" => data <= x"03"; when "10" & x"dbf" => data <= x"f0"; when "10" & x"dc0" => data <= x"05"; when "10" & x"dc1" => data <= x"20"; when "10" & x"dc2" => data <= x"64"; when "10" & x"dc3" => data <= x"ec"; when "10" & x"dc4" => data <= x"d0"; when "10" & x"dc5" => data <= x"04"; when "10" & x"dc6" => data <= x"a2"; when "10" & x"dc7" => data <= x"80"; when "10" & x"dc8" => data <= x"30"; when "10" & x"dc9" => data <= x"03"; when "10" & x"dca" => data <= x"20"; when "10" & x"dcb" => data <= x"47"; when "10" & x"dcc" => data <= x"f0"; when "10" & x"dcd" => data <= x"68"; when "10" & x"dce" => data <= x"20"; when "10" & x"dcf" => data <= x"a0"; when "10" & x"dd0" => data <= x"e3"; when "10" & x"dd1" => data <= x"8a"; when "10" & x"dd2" => data <= x"60"; when "10" & x"dd3" => data <= x"23"; when "10" & x"dd4" => data <= x"21"; when "10" & x"dd5" => data <= x"00"; when "10" & x"dd6" => data <= x"20"; when "10" & x"dd7" => data <= x"22"; when "10" & x"dd8" => data <= x"24"; when "10" & x"dd9" => data <= x"0d"; when "10" & x"dda" => data <= x"7f"; when "10" & x"ddb" => data <= x"2d"; when "10" & x"ddc" => data <= x"25"; when "10" & x"ddd" => data <= x"3a"; when "10" & x"dde" => data <= x"00"; when "10" & x"ddf" => data <= x"30"; when "10" & x"de0" => data <= x"70"; when "10" & x"de1" => data <= x"3b"; when "10" & x"de2" => data <= x"2f"; when "10" & x"de3" => data <= x"39"; when "10" & x"de4" => data <= x"6f"; when "10" & x"de5" => data <= x"6c"; when "10" & x"de6" => data <= x"2e"; when "10" & x"de7" => data <= x"38"; when "10" & x"de8" => data <= x"69"; when "10" & x"de9" => data <= x"6b"; when "10" & x"dea" => data <= x"2c"; when "10" & x"deb" => data <= x"37"; when "10" & x"dec" => data <= x"75"; when "10" & x"ded" => data <= x"6a"; when "10" & x"dee" => data <= x"6d"; when "10" & x"def" => data <= x"36"; when "10" & x"df0" => data <= x"79"; when "10" & x"df1" => data <= x"68"; when "10" & x"df2" => data <= x"6e"; when "10" & x"df3" => data <= x"35"; when "10" & x"df4" => data <= x"74"; when "10" & x"df5" => data <= x"67"; when "10" & x"df6" => data <= x"62"; when "10" & x"df7" => data <= x"34"; when "10" & x"df8" => data <= x"72"; when "10" & x"df9" => data <= x"66"; when "10" & x"dfa" => data <= x"76"; when "10" & x"dfb" => data <= x"33"; when "10" & x"dfc" => data <= x"65"; when "10" & x"dfd" => data <= x"64"; when "10" & x"dfe" => data <= x"63"; when "10" & x"dff" => data <= x"32"; when "10" & x"e00" => data <= x"77"; when "10" & x"e01" => data <= x"73"; when "10" & x"e02" => data <= x"78"; when "10" & x"e03" => data <= x"31"; when "10" & x"e04" => data <= x"71"; when "10" & x"e05" => data <= x"61"; when "10" & x"e06" => data <= x"7a"; when "10" & x"e07" => data <= x"1b"; when "10" & x"e08" => data <= x"70"; when "10" & x"e09" => data <= x"40"; when "10" & x"e0a" => data <= x"01"; when "10" & x"e0b" => data <= x"00"; when "10" & x"e0c" => data <= x"30"; when "10" & x"e0d" => data <= x"10"; when "10" & x"e0e" => data <= x"41"; when "10" & x"e0f" => data <= x"61"; when "10" & x"e10" => data <= x"31"; when "10" & x"e11" => data <= x"21"; when "10" & x"e12" => data <= x"51"; when "10" & x"e13" => data <= x"42"; when "10" & x"e14" => data <= x"11"; when "10" & x"e15" => data <= x"22"; when "10" & x"e16" => data <= x"32"; when "10" & x"e17" => data <= x"52"; when "10" & x"e18" => data <= x"12"; when "10" & x"e19" => data <= x"33"; when "10" & x"e1a" => data <= x"43"; when "10" & x"e1b" => data <= x"63"; when "10" & x"e1c" => data <= x"13"; when "10" & x"e1d" => data <= x"23"; when "10" & x"e1e" => data <= x"53"; when "10" & x"e1f" => data <= x"64"; when "10" & x"e20" => data <= x"34"; when "10" & x"e21" => data <= x"44"; when "10" & x"e22" => data <= x"54"; when "10" & x"e23" => data <= x"55"; when "10" & x"e24" => data <= x"24"; when "10" & x"e25" => data <= x"35"; when "10" & x"e26" => data <= x"45"; when "10" & x"e27" => data <= x"65"; when "10" & x"e28" => data <= x"15"; when "10" & x"e29" => data <= x"25"; when "10" & x"e2a" => data <= x"46"; when "10" & x"e2b" => data <= x"66"; when "10" & x"e2c" => data <= x"26"; when "10" & x"e2d" => data <= x"36"; when "10" & x"e2e" => data <= x"56"; when "10" & x"e2f" => data <= x"67"; when "10" & x"e30" => data <= x"27"; when "10" & x"e31" => data <= x"37"; when "10" & x"e32" => data <= x"57"; when "10" & x"e33" => data <= x"68"; when "10" & x"e34" => data <= x"17"; when "10" & x"e35" => data <= x"39"; when "10" & x"e36" => data <= x"48"; when "10" & x"e37" => data <= x"ff"; when "10" & x"e38" => data <= x"19"; when "10" & x"e39" => data <= x"29"; when "10" & x"e3a" => data <= x"49"; when "10" & x"e3b" => data <= x"59"; when "10" & x"e3c" => data <= x"79"; when "10" & x"e3d" => data <= x"69"; when "10" & x"e3e" => data <= x"ff"; when "10" & x"e3f" => data <= x"62"; when "10" & x"e40" => data <= x"3b"; when "10" & x"e41" => data <= x"3a"; when "10" & x"e42" => data <= x"00"; when "10" & x"e43" => data <= x"00"; when "10" & x"e44" => data <= x"00"; when "10" & x"e45" => data <= x"00"; when "10" & x"e46" => data <= x"00"; when "10" & x"e47" => data <= x"00"; when "10" & x"e48" => data <= x"00"; when "10" & x"e49" => data <= x"00"; when "10" & x"e4a" => data <= x"00"; when "10" & x"e4b" => data <= x"00"; when "10" & x"e4c" => data <= x"00"; when "10" & x"e4d" => data <= x"00"; when "10" & x"e4e" => data <= x"00"; when "10" & x"e4f" => data <= x"00"; when "10" & x"e50" => data <= x"35"; when "10" & x"e51" => data <= x"2c"; when "10" & x"e52" => data <= x"28"; when "10" & x"e53" => data <= x"24"; when "10" & x"e54" => data <= x"00"; when "10" & x"e55" => data <= x"18"; when "10" & x"e56" => data <= x"00"; when "10" & x"e57" => data <= x"0c"; when "10" & x"e58" => data <= x"08"; when "10" & x"e59" => data <= x"08"; when "10" & x"e5a" => data <= x"00"; when "10" & x"e5b" => data <= x"00"; when "10" & x"e5c" => data <= x"00"; when "10" & x"e5d" => data <= x"00"; when "10" & x"e5e" => data <= x"00"; when "10" & x"e5f" => data <= x"00"; when "10" & x"e60" => data <= x"00"; when "10" & x"e61" => data <= x"31"; when "10" & x"e62" => data <= x"2d"; when "10" & x"e63" => data <= x"25"; when "10" & x"e64" => data <= x"1c"; when "10" & x"e65" => data <= x"19"; when "10" & x"e66" => data <= x"14"; when "10" & x"e67" => data <= x"10"; when "10" & x"e68" => data <= x"09"; when "10" & x"e69" => data <= x"09"; when "10" & x"e6a" => data <= x"00"; when "10" & x"e6b" => data <= x"00"; when "10" & x"e6c" => data <= x"00"; when "10" & x"e6d" => data <= x"00"; when "10" & x"e6e" => data <= x"00"; when "10" & x"e6f" => data <= x"00"; when "10" & x"e70" => data <= x"34"; when "10" & x"e71" => data <= x"30"; when "10" & x"e72" => data <= x"2e"; when "10" & x"e73" => data <= x"29"; when "10" & x"e74" => data <= x"20"; when "10" & x"e75" => data <= x"1d"; when "10" & x"e76" => data <= x"15"; when "10" & x"e77" => data <= x"11"; when "10" & x"e78" => data <= x"05"; when "10" & x"e79" => data <= x"0d"; when "10" & x"e7a" => data <= x"00"; when "10" & x"e7b" => data <= x"00"; when "10" & x"e7c" => data <= x"00"; when "10" & x"e7d" => data <= x"00"; when "10" & x"e7e" => data <= x"00"; when "10" & x"e7f" => data <= x"00"; when "10" & x"e80" => data <= x"39"; when "10" & x"e81" => data <= x"36"; when "10" & x"e82" => data <= x"33"; when "10" & x"e83" => data <= x"2a"; when "10" & x"e84" => data <= x"21"; when "10" & x"e85" => data <= x"1e"; when "10" & x"e86" => data <= x"1a"; when "10" & x"e87" => data <= x"10"; when "10" & x"e88" => data <= x"0e"; when "10" & x"e89" => data <= x"0a"; when "10" & x"e8a" => data <= x"00"; when "10" & x"e8b" => data <= x"00"; when "10" & x"e8c" => data <= x"00"; when "10" & x"e8d" => data <= x"00"; when "10" & x"e8e" => data <= x"00"; when "10" & x"e8f" => data <= x"00"; when "10" & x"e90" => data <= x"00"; when "10" & x"e91" => data <= x"32"; when "10" & x"e92" => data <= x"2f"; when "10" & x"e93" => data <= x"26"; when "10" & x"e94" => data <= x"22"; when "10" & x"e95" => data <= x"23"; when "10" & x"e96" => data <= x"16"; when "10" & x"e97" => data <= x"12"; when "10" & x"e98" => data <= x"05"; when "10" & x"e99" => data <= x"0b"; when "10" & x"e9a" => data <= x"00"; when "10" & x"e9b" => data <= x"00"; when "10" & x"e9c" => data <= x"00"; when "10" & x"e9d" => data <= x"00"; when "10" & x"e9e" => data <= x"00"; when "10" & x"e9f" => data <= x"00"; when "10" & x"ea0" => data <= x"00"; when "10" & x"ea1" => data <= x"37"; when "10" & x"ea2" => data <= x"07"; when "10" & x"ea3" => data <= x"2b"; when "10" & x"ea4" => data <= x"27"; when "10" & x"ea5" => data <= x"1f"; when "10" & x"ea6" => data <= x"1b"; when "10" & x"ea7" => data <= x"17"; when "10" & x"ea8" => data <= x"13"; when "10" & x"ea9" => data <= x"05"; when "10" & x"eaa" => data <= x"00"; when "10" & x"eab" => data <= x"00"; when "10" & x"eac" => data <= x"00"; when "10" & x"ead" => data <= x"00"; when "10" & x"eae" => data <= x"00"; when "10" & x"eaf" => data <= x"00"; when "10" & x"eb0" => data <= x"38"; when "10" & x"eb1" => data <= x"00"; when "10" & x"eb2" => data <= x"00"; when "10" & x"eb3" => data <= x"00"; when "10" & x"eb4" => data <= x"00"; when "10" & x"eb5" => data <= x"00"; when "10" & x"eb6" => data <= x"00"; when "10" & x"eb7" => data <= x"00"; when "10" & x"eb8" => data <= x"04"; when "10" & x"eb9" => data <= x"04"; when "10" & x"eba" => data <= x"5d"; when "10" & x"ebb" => data <= x"7e"; when "10" & x"ebc" => data <= x"5c"; when "10" & x"ebd" => data <= x"7d"; when "10" & x"ebe" => data <= x"7b"; when "10" & x"ebf" => data <= x"6c"; when "10" & x"ec0" => data <= x"fa"; when "10" & x"ec1" => data <= x"00"; when "10" & x"ec2" => data <= x"6c"; when "10" & x"ec3" => data <= x"fe"; when "10" & x"ec4" => data <= x"fd"; when "10" & x"ec5" => data <= x"1c"; when "10" & x"ec6" => data <= x"1d"; when "10" & x"ec7" => data <= x"1e"; when "10" & x"ec8" => data <= x"1f"; when "10" & x"ec9" => data <= x"00"; when "10" & x"eca" => data <= x"31"; when "10" & x"ecb" => data <= x"32"; when "10" & x"ecc" => data <= x"33"; when "10" & x"ecd" => data <= x"34"; when "10" & x"ece" => data <= x"35"; when "10" & x"ecf" => data <= x"36"; when "10" & x"ed0" => data <= x"37"; when "10" & x"ed1" => data <= x"38"; when "10" & x"ed2" => data <= x"39"; when "10" & x"ed3" => data <= x"3a"; when "10" & x"ed4" => data <= x"3b"; when "10" & x"ed5" => data <= x"a2"; when "10" & x"ed6" => data <= x"09"; when "10" & x"ed7" => data <= x"20"; when "10" & x"ed8" => data <= x"a8"; when "10" & x"ed9" => data <= x"f0"; when "10" & x"eda" => data <= x"20"; when "10" & x"edb" => data <= x"ba"; when "10" & x"edc" => data <= x"f9"; when "10" & x"edd" => data <= x"0d"; when "10" & x"ede" => data <= x"4f"; when "10" & x"edf" => data <= x"53"; when "10" & x"ee0" => data <= x"20"; when "10" & x"ee1" => data <= x"31"; when "10" & x"ee2" => data <= x"2e"; when "10" & x"ee3" => data <= x"30"; when "10" & x"ee4" => data <= x"30"; when "10" & x"ee5" => data <= x"0d"; when "10" & x"ee6" => data <= x"00"; when "10" & x"ee7" => data <= x"60"; when "10" & x"ee8" => data <= x"08"; when "10" & x"ee9" => data <= x"78"; when "10" & x"eea" => data <= x"a2"; when "10" & x"eeb" => data <= x"00"; when "10" & x"eec" => data <= x"8e"; when "10" & x"eed" => data <= x"48"; when "10" & x"eee" => data <= x"02"; when "10" & x"eef" => data <= x"ad"; when "10" & x"ef0" => data <= x"53"; when "10" & x"ef1" => data <= x"02"; when "10" & x"ef2" => data <= x"8d"; when "10" & x"ef3" => data <= x"51"; when "10" & x"ef4" => data <= x"02"; when "10" & x"ef5" => data <= x"20"; when "10" & x"ef6" => data <= x"a3"; when "10" & x"ef7" => data <= x"c8"; when "10" & x"ef8" => data <= x"28"; when "10" & x"ef9" => data <= x"60"; when "10" & x"efa" => data <= x"01"; when "10" & x"efb" => data <= x"02"; when "10" & x"efc" => data <= x"03"; when "10" & x"efd" => data <= x"04"; when "10" & x"efe" => data <= x"05"; when "10" & x"eff" => data <= x"06"; when "10" & x"f00" => data <= x"07"; when "10" & x"f01" => data <= x"08"; when "10" & x"f02" => data <= x"09"; when "10" & x"f03" => data <= x"0a"; when "10" & x"f04" => data <= x"0b"; when "10" & x"f05" => data <= x"0c"; when "10" & x"f06" => data <= x"0d"; when "10" & x"f07" => data <= x"0e"; when "10" & x"f08" => data <= x"0f"; when "10" & x"f09" => data <= x"10"; when "10" & x"f0a" => data <= x"11"; when "10" & x"f0b" => data <= x"12"; when "10" & x"f0c" => data <= x"13"; when "10" & x"f0d" => data <= x"14"; when "10" & x"f0e" => data <= x"15"; when "10" & x"f0f" => data <= x"16"; when "10" & x"f10" => data <= x"17"; when "10" & x"f11" => data <= x"18"; when "10" & x"f12" => data <= x"19"; when "10" & x"f13" => data <= x"1a"; when "10" & x"f14" => data <= x"ce"; when "10" & x"f15" => data <= x"49"; when "10" & x"f16" => data <= x"02"; when "10" & x"f17" => data <= x"60"; when "10" & x"f18" => data <= x"7f"; when "10" & x"f19" => data <= x"00"; when "10" & x"f1a" => data <= x"04"; when "10" & x"f1b" => data <= x"0c"; when "10" & x"f1c" => data <= x"12"; when "10" & x"f1d" => data <= x"16"; when "10" & x"f1e" => data <= x"1a"; when "10" & x"f1f" => data <= x"1d"; when "10" & x"f20" => data <= x"21"; when "10" & x"f21" => data <= x"24"; when "10" & x"f22" => data <= x"29"; when "10" & x"f23" => data <= x"2c"; when "10" & x"f24" => data <= x"31"; when "10" & x"f25" => data <= x"35"; when "10" & x"f26" => data <= x"39"; when "10" & x"f27" => data <= x"3d"; when "10" & x"f28" => data <= x"41"; when "10" & x"f29" => data <= x"45"; when "10" & x"f2a" => data <= x"4a"; when "10" & x"f2b" => data <= x"4e"; when "10" & x"f2c" => data <= x"52"; when "10" & x"f2d" => data <= x"56"; when "10" & x"f2e" => data <= x"5b"; when "10" & x"f2f" => data <= x"5e"; when "10" & x"f30" => data <= x"65"; when "10" & x"f31" => data <= x"69"; when "10" & x"f32" => data <= x"6f"; when "10" & x"f33" => data <= x"72"; when "10" & x"f34" => data <= x"72"; when "10" & x"f35" => data <= x"72"; when "10" & x"f36" => data <= x"76"; when "10" & x"f37" => data <= x"76"; when "10" & x"f38" => data <= x"7a"; when "10" & x"f39" => data <= x"04"; when "10" & x"f3a" => data <= x"08"; when "10" & x"f3b" => data <= x"06"; when "10" & x"f3c" => data <= x"04"; when "10" & x"f3d" => data <= x"04"; when "10" & x"f3e" => data <= x"03"; when "10" & x"f3f" => data <= x"04"; when "10" & x"f40" => data <= x"03"; when "10" & x"f41" => data <= x"05"; when "10" & x"f42" => data <= x"03"; when "10" & x"f43" => data <= x"05"; when "10" & x"f44" => data <= x"04"; when "10" & x"f45" => data <= x"04"; when "10" & x"f46" => data <= x"04"; when "10" & x"f47" => data <= x"04"; when "10" & x"f48" => data <= x"04"; when "10" & x"f49" => data <= x"05"; when "10" & x"f4a" => data <= x"04"; when "10" & x"f4b" => data <= x"04"; when "10" & x"f4c" => data <= x"04"; when "10" & x"f4d" => data <= x"05"; when "10" & x"f4e" => data <= x"03"; when "10" & x"f4f" => data <= x"07"; when "10" & x"f50" => data <= x"04"; when "10" & x"f51" => data <= x"06"; when "10" & x"f52" => data <= x"03"; when "10" & x"f53" => data <= x"00"; when "10" & x"f54" => data <= x"00"; when "10" & x"f55" => data <= x"04"; when "10" & x"f56" => data <= x"00"; when "10" & x"f57" => data <= x"04"; when "10" & x"f58" => data <= x"05"; when "10" & x"f59" => data <= x"41"; when "10" & x"f5a" => data <= x"55"; when "10" & x"f5b" => data <= x"54"; when "10" & x"f5c" => data <= x"4f"; when "10" & x"f5d" => data <= x"52"; when "10" & x"f5e" => data <= x"45"; when "10" & x"f5f" => data <= x"4e"; when "10" & x"f60" => data <= x"55"; when "10" & x"f61" => data <= x"4d"; when "10" & x"f62" => data <= x"42"; when "10" & x"f63" => data <= x"45"; when "10" & x"f64" => data <= x"52"; when "10" & x"f65" => data <= x"43"; when "10" & x"f66" => data <= x"4f"; when "10" & x"f67" => data <= x"4c"; when "10" & x"f68" => data <= x"4f"; when "10" & x"f69" => data <= x"55"; when "10" & x"f6a" => data <= x"52"; when "10" & x"f6b" => data <= x"44"; when "10" & x"f6c" => data <= x"52"; when "10" & x"f6d" => data <= x"41"; when "10" & x"f6e" => data <= x"57"; when "10" & x"f6f" => data <= x"45"; when "10" & x"f70" => data <= x"4c"; when "10" & x"f71" => data <= x"53"; when "10" & x"f72" => data <= x"45"; when "10" & x"f73" => data <= x"46"; when "10" & x"f74" => data <= x"4f"; when "10" & x"f75" => data <= x"52"; when "10" & x"f76" => data <= x"47"; when "10" & x"f77" => data <= x"4f"; when "10" & x"f78" => data <= x"54"; when "10" & x"f79" => data <= x"4f"; when "10" & x"f7a" => data <= x"44"; when "10" & x"f7b" => data <= x"45"; when "10" & x"f7c" => data <= x"47"; when "10" & x"f7d" => data <= x"49"; when "10" & x"f7e" => data <= x"4e"; when "10" & x"f7f" => data <= x"50"; when "10" & x"f80" => data <= x"55"; when "10" & x"f81" => data <= x"54"; when "10" & x"f82" => data <= x"52"; when "10" & x"f83" => data <= x"41"; when "10" & x"f84" => data <= x"44"; when "10" & x"f85" => data <= x"43"; when "10" & x"f86" => data <= x"48"; when "10" & x"f87" => data <= x"41"; when "10" & x"f88" => data <= x"49"; when "10" & x"f89" => data <= x"4e"; when "10" & x"f8a" => data <= x"4c"; when "10" & x"f8b" => data <= x"49"; when "10" & x"f8c" => data <= x"53"; when "10" & x"f8d" => data <= x"54"; when "10" & x"f8e" => data <= x"4d"; when "10" & x"f8f" => data <= x"4f"; when "10" & x"f90" => data <= x"44"; when "10" & x"f91" => data <= x"45"; when "10" & x"f92" => data <= x"4e"; when "10" & x"f93" => data <= x"45"; when "10" & x"f94" => data <= x"58"; when "10" & x"f95" => data <= x"54"; when "10" & x"f96" => data <= x"4f"; when "10" & x"f97" => data <= x"4c"; when "10" & x"f98" => data <= x"44"; when "10" & x"f99" => data <= x"0d"; when "10" & x"f9a" => data <= x"50"; when "10" & x"f9b" => data <= x"4c"; when "10" & x"f9c" => data <= x"4f"; when "10" & x"f9d" => data <= x"54"; when "10" & x"f9e" => data <= x"4c"; when "10" & x"f9f" => data <= x"4f"; when "10" & x"fa0" => data <= x"43"; when "10" & x"fa1" => data <= x"41"; when "10" & x"fa2" => data <= x"4c"; when "10" & x"fa3" => data <= x"52"; when "10" & x"fa4" => data <= x"55"; when "10" & x"fa5" => data <= x"4e"; when "10" & x"fa6" => data <= x"0d"; when "10" & x"fa7" => data <= x"53"; when "10" & x"fa8" => data <= x"54"; when "10" & x"fa9" => data <= x"45"; when "10" & x"faa" => data <= x"50"; when "10" & x"fab" => data <= x"54"; when "10" & x"fac" => data <= x"48"; when "10" & x"fad" => data <= x"45"; when "10" & x"fae" => data <= x"4e"; when "10" & x"faf" => data <= x"55"; when "10" & x"fb0" => data <= x"4e"; when "10" & x"fb1" => data <= x"54"; when "10" & x"fb2" => data <= x"49"; when "10" & x"fb3" => data <= x"4c"; when "10" & x"fb4" => data <= x"56"; when "10" & x"fb5" => data <= x"44"; when "10" & x"fb6" => data <= x"55"; when "10" & x"fb7" => data <= x"52"; when "10" & x"fb8" => data <= x"45"; when "10" & x"fb9" => data <= x"53"; when "10" & x"fba" => data <= x"54"; when "10" & x"fbb" => data <= x"4f"; when "10" & x"fbc" => data <= x"52"; when "10" & x"fbd" => data <= x"45"; when "10" & x"fbe" => data <= x"50"; when "10" & x"fbf" => data <= x"52"; when "10" & x"fc0" => data <= x"4f"; when "10" & x"fc1" => data <= x"43"; when "10" & x"fc2" => data <= x"52"; when "10" & x"fc3" => data <= x"45"; when "10" & x"fc4" => data <= x"50"; when "10" & x"fc5" => data <= x"45"; when "10" & x"fc6" => data <= x"41"; when "10" & x"fc7" => data <= x"54"; when "10" & x"fc8" => data <= x"45"; when "10" & x"fc9" => data <= x"4e"; when "10" & x"fca" => data <= x"44"; when "10" & x"fcb" => data <= x"4c"; when "10" & x"fcc" => data <= x"4f"; when "10" & x"fcd" => data <= x"41"; when "10" & x"fce" => data <= x"44"; when "10" & x"fcf" => data <= x"53"; when "10" & x"fd0" => data <= x"41"; when "10" & x"fd1" => data <= x"56"; when "10" & x"fd2" => data <= x"45"; when "10" & x"fd3" => data <= x"50"; when "10" & x"fd4" => data <= x"52"; when "10" & x"fd5" => data <= x"49"; when "10" & x"fd6" => data <= x"4e"; when "10" & x"fd7" => data <= x"54"; when "10" & x"fd8" => data <= x"5b"; when "10" & x"fd9" => data <= x"5e"; when "10" & x"fda" => data <= x"7c"; when "10" & x"fdb" => data <= x"5f"; when "10" & x"fdc" => data <= x"60"; when "10" & x"fdd" => data <= x"ac"; when "10" & x"fde" => data <= x"44"; when "10" & x"fdf" => data <= x"02"; when "10" & x"fe0" => data <= x"a2"; when "10" & x"fe1" => data <= x"00"; when "10" & x"fe2" => data <= x"60"; when "10" & x"fe3" => data <= x"3c"; when "10" & x"fe4" => data <= x"3d"; when "10" & x"fe5" => data <= x"3e"; when "10" & x"fe6" => data <= x"3f"; when "10" & x"fe7" => data <= x"40"; when "10" & x"fe8" => data <= x"21"; when "10" & x"fe9" => data <= x"22"; when "10" & x"fea" => data <= x"23"; when "10" & x"feb" => data <= x"24"; when "10" & x"fec" => data <= x"25"; when "10" & x"fed" => data <= x"26"; when "10" & x"fee" => data <= x"27"; when "10" & x"fef" => data <= x"28"; when "10" & x"ff0" => data <= x"29"; when "10" & x"ff1" => data <= x"2a"; when "10" & x"ff2" => data <= x"2b"; when "10" & x"ff3" => data <= x"c9"; when "10" & x"ff4" => data <= x"7f"; when "10" & x"ff5" => data <= x"f0"; when "10" & x"ff6" => data <= x"11"; when "10" & x"ff7" => data <= x"90"; when "10" & x"ff8" => data <= x"03"; when "10" & x"ff9" => data <= x"49"; when "10" & x"ffa" => data <= x"20"; when "10" & x"ffb" => data <= x"60"; when "10" & x"ffc" => data <= x"c9"; when "10" & x"ffd" => data <= x"60"; when "10" & x"ffe" => data <= x"d0"; when "10" & x"fff" => data <= x"02"; when "11" & x"000" => data <= x"a9"; when "11" & x"001" => data <= x"5f"; when "11" & x"002" => data <= x"c9"; when "11" & x"003" => data <= x"40"; when "11" & x"004" => data <= x"90"; when "11" & x"005" => data <= x"02"; when "11" & x"006" => data <= x"29"; when "11" & x"007" => data <= x"1f"; when "11" & x"008" => data <= x"60"; when "11" & x"009" => data <= x"2f"; when "11" & x"00a" => data <= x"21"; when "11" & x"00b" => data <= x"42"; when "11" & x"00c" => data <= x"4f"; when "11" & x"00d" => data <= x"4f"; when "11" & x"00e" => data <= x"54"; when "11" & x"00f" => data <= x"0d"; when "11" & x"010" => data <= x"84"; when "11" & x"011" => data <= x"ec"; when "11" & x"012" => data <= x"bd"; when "11" & x"013" => data <= x"40"; when "11" & x"014" => data <= x"ee"; when "11" & x"015" => data <= x"85"; when "11" & x"016" => data <= x"ed"; when "11" & x"017" => data <= x"60"; when "11" & x"018" => data <= x"41"; when "11" & x"019" => data <= x"42"; when "11" & x"01a" => data <= x"43"; when "11" & x"01b" => data <= x"44"; when "11" & x"01c" => data <= x"45"; when "11" & x"01d" => data <= x"46"; when "11" & x"01e" => data <= x"47"; when "11" & x"01f" => data <= x"48"; when "11" & x"020" => data <= x"49"; when "11" & x"021" => data <= x"4a"; when "11" & x"022" => data <= x"4b"; when "11" & x"023" => data <= x"4c"; when "11" & x"024" => data <= x"4d"; when "11" & x"025" => data <= x"4e"; when "11" & x"026" => data <= x"4f"; when "11" & x"027" => data <= x"50"; when "11" & x"028" => data <= x"51"; when "11" & x"029" => data <= x"52"; when "11" & x"02a" => data <= x"53"; when "11" & x"02b" => data <= x"54"; when "11" & x"02c" => data <= x"55"; when "11" & x"02d" => data <= x"56"; when "11" & x"02e" => data <= x"57"; when "11" & x"02f" => data <= x"58"; when "11" & x"030" => data <= x"59"; when "11" & x"031" => data <= x"5a"; when "11" & x"032" => data <= x"bc"; when "11" & x"033" => data <= x"00"; when "11" & x"034" => data <= x"fe"; when "11" & x"035" => data <= x"60"; when "11" & x"036" => data <= x"7f"; when "11" & x"037" => data <= x"ac"; when "11" & x"038" => data <= x"ad"; when "11" & x"039" => data <= x"ae"; when "11" & x"03a" => data <= x"af"; when "11" & x"03b" => data <= x"80"; when "11" & x"03c" => data <= x"81"; when "11" & x"03d" => data <= x"82"; when "11" & x"03e" => data <= x"83"; when "11" & x"03f" => data <= x"84"; when "11" & x"040" => data <= x"85"; when "11" & x"041" => data <= x"86"; when "11" & x"042" => data <= x"87"; when "11" & x"043" => data <= x"88"; when "11" & x"044" => data <= x"89"; when "11" & x"045" => data <= x"aa"; when "11" & x"046" => data <= x"ab"; when "11" & x"047" => data <= x"a2"; when "11" & x"048" => data <= x"ff"; when "11" & x"049" => data <= x"e8"; when "11" & x"04a" => data <= x"4a"; when "11" & x"04b" => data <= x"90"; when "11" & x"04c" => data <= x"fc"; when "11" & x"04d" => data <= x"86"; when "11" & x"04e" => data <= x"fc"; when "11" & x"04f" => data <= x"a5"; when "11" & x"050" => data <= x"fa"; when "11" & x"051" => data <= x"49"; when "11" & x"052" => data <= x"ff"; when "11" & x"053" => data <= x"f0"; when "11" & x"054" => data <= x"0d"; when "11" & x"055" => data <= x"a2"; when "11" & x"056" => data <= x"00"; when "11" & x"057" => data <= x"e8"; when "11" & x"058" => data <= x"4a"; when "11" & x"059" => data <= x"90"; when "11" & x"05a" => data <= x"fc"; when "11" & x"05b" => data <= x"8a"; when "11" & x"05c" => data <= x"0a"; when "11" & x"05d" => data <= x"0a"; when "11" & x"05e" => data <= x"05"; when "11" & x"05f" => data <= x"fc"; when "11" & x"060" => data <= x"aa"; when "11" & x"061" => data <= x"60"; when "11" & x"062" => data <= x"a5"; when "11" & x"063" => data <= x"fb"; when "11" & x"064" => data <= x"29"; when "11" & x"065" => data <= x"3f"; when "11" & x"066" => data <= x"49"; when "11" & x"067" => data <= x"3f"; when "11" & x"068" => data <= x"a2"; when "11" & x"069" => data <= x"08"; when "11" & x"06a" => data <= x"d0"; when "11" & x"06b" => data <= x"eb"; when "11" & x"06c" => data <= x"90"; when "11" & x"06d" => data <= x"91"; when "11" & x"06e" => data <= x"92"; when "11" & x"06f" => data <= x"93"; when "11" & x"070" => data <= x"94"; when "11" & x"071" => data <= x"95"; when "11" & x"072" => data <= x"96"; when "11" & x"073" => data <= x"97"; when "11" & x"074" => data <= x"98"; when "11" & x"075" => data <= x"99"; when "11" & x"076" => data <= x"9a"; when "11" & x"077" => data <= x"9b"; when "11" & x"078" => data <= x"9c"; when "11" & x"079" => data <= x"9d"; when "11" & x"07a" => data <= x"9e"; when "11" & x"07b" => data <= x"9f"; when "11" & x"07c" => data <= x"a0"; when "11" & x"07d" => data <= x"a1"; when "11" & x"07e" => data <= x"a2"; when "11" & x"07f" => data <= x"a3"; when "11" & x"080" => data <= x"a4"; when "11" & x"081" => data <= x"a5"; when "11" & x"082" => data <= x"a6"; when "11" & x"083" => data <= x"a7"; when "11" & x"084" => data <= x"a8"; when "11" & x"085" => data <= x"a9"; when "11" & x"086" => data <= x"01"; when "11" & x"087" => data <= x"02"; when "11" & x"088" => data <= x"04"; when "11" & x"089" => data <= x"08"; when "11" & x"08a" => data <= x"7f"; when "11" & x"08b" => data <= x"a9"; when "11" & x"08c" => data <= x"a1"; when "11" & x"08d" => data <= x"85"; when "11" & x"08e" => data <= x"e3"; when "11" & x"08f" => data <= x"a9"; when "11" & x"090" => data <= x"19"; when "11" & x"091" => data <= x"8d"; when "11" & x"092" => data <= x"d1"; when "11" & x"093" => data <= x"03"; when "11" & x"094" => data <= x"a9"; when "11" & x"095" => data <= x"06"; when "11" & x"096" => data <= x"20"; when "11" & x"097" => data <= x"ba"; when "11" & x"098" => data <= x"dd"; when "11" & x"099" => data <= x"a2"; when "11" & x"09a" => data <= x"0e"; when "11" & x"09b" => data <= x"bd"; when "11" & x"09c" => data <= x"56"; when "11" & x"09d" => data <= x"d8"; when "11" & x"09e" => data <= x"9d"; when "11" & x"09f" => data <= x"11"; when "11" & x"0a0" => data <= x"02"; when "11" & x"0a1" => data <= x"ca"; when "11" & x"0a2" => data <= x"d0"; when "11" & x"0a3" => data <= x"f7"; when "11" & x"0a4" => data <= x"86"; when "11" & x"0a5" => data <= x"c2"; when "11" & x"0a6" => data <= x"a2"; when "11" & x"0a7" => data <= x"0f"; when "11" & x"0a8" => data <= x"a5"; when "11" & x"0a9" => data <= x"f4"; when "11" & x"0aa" => data <= x"48"; when "11" & x"0ab" => data <= x"8a"; when "11" & x"0ac" => data <= x"a2"; when "11" & x"0ad" => data <= x"0f"; when "11" & x"0ae" => data <= x"fe"; when "11" & x"0af" => data <= x"a0"; when "11" & x"0b0" => data <= x"02"; when "11" & x"0b1" => data <= x"de"; when "11" & x"0b2" => data <= x"a0"; when "11" & x"0b3" => data <= x"02"; when "11" & x"0b4" => data <= x"10"; when "11" & x"0b5" => data <= x"0d"; when "11" & x"0b6" => data <= x"48"; when "11" & x"0b7" => data <= x"20"; when "11" & x"0b8" => data <= x"9f"; when "11" & x"0b9" => data <= x"e3"; when "11" & x"0ba" => data <= x"68"; when "11" & x"0bb" => data <= x"20"; when "11" & x"0bc" => data <= x"03"; when "11" & x"0bd" => data <= x"80"; when "11" & x"0be" => data <= x"aa"; when "11" & x"0bf" => data <= x"f0"; when "11" & x"0c0" => data <= x"05"; when "11" & x"0c1" => data <= x"a6"; when "11" & x"0c2" => data <= x"f4"; when "11" & x"0c3" => data <= x"ca"; when "11" & x"0c4" => data <= x"10"; when "11" & x"0c5" => data <= x"e8"; when "11" & x"0c6" => data <= x"68"; when "11" & x"0c7" => data <= x"20"; when "11" & x"0c8" => data <= x"a0"; when "11" & x"0c9" => data <= x"e3"; when "11" & x"0ca" => data <= x"8a"; when "11" & x"0cb" => data <= x"60"; when "11" & x"0cc" => data <= x"c0"; when "11" & x"0cd" => data <= x"00"; when "11" & x"0ce" => data <= x"d0"; when "11" & x"0cf" => data <= x"09"; when "11" & x"0d0" => data <= x"09"; when "11" & x"0d1" => data <= x"00"; when "11" & x"0d2" => data <= x"d0"; when "11" & x"0d3" => data <= x"05"; when "11" & x"0d4" => data <= x"ad"; when "11" & x"0d5" => data <= x"47"; when "11" & x"0d6" => data <= x"02"; when "11" & x"0d7" => data <= x"09"; when "11" & x"0d8" => data <= x"01"; when "11" & x"0d9" => data <= x"60"; when "11" & x"0da" => data <= x"a3"; when "11" & x"0db" => data <= x"f4"; when "11" & x"0dc" => data <= x"77"; when "11" & x"0dd" => data <= x"f5"; when "11" & x"0de" => data <= x"5a"; when "11" & x"0df" => data <= x"f2"; when "11" & x"0e0" => data <= x"7d"; when "11" & x"0e1" => data <= x"e0"; when "11" & x"0e2" => data <= x"5a"; when "11" & x"0e3" => data <= x"f2"; when "11" & x"0e4" => data <= x"80"; when "11" & x"0e5" => data <= x"f2"; when "11" & x"0e6" => data <= x"e2"; when "11" & x"0e7" => data <= x"df"; when "11" & x"0e8" => data <= x"c9"; when "11" & x"0e9" => data <= x"07"; when "11" & x"0ea" => data <= x"b0"; when "11" & x"0eb" => data <= x"ed"; when "11" & x"0ec" => data <= x"86"; when "11" & x"0ed" => data <= x"bc"; when "11" & x"0ee" => data <= x"0a"; when "11" & x"0ef" => data <= x"aa"; when "11" & x"0f0" => data <= x"bd"; when "11" & x"0f1" => data <= x"db"; when "11" & x"0f2" => data <= x"f0"; when "11" & x"0f3" => data <= x"48"; when "11" & x"0f4" => data <= x"bd"; when "11" & x"0f5" => data <= x"da"; when "11" & x"0f6" => data <= x"f0"; when "11" & x"0f7" => data <= x"48"; when "11" & x"0f8" => data <= x"a6"; when "11" & x"0f9" => data <= x"bc"; when "11" & x"0fa" => data <= x"60"; when "11" & x"0fb" => data <= x"08"; when "11" & x"0fc" => data <= x"48"; when "11" & x"0fd" => data <= x"20"; when "11" & x"0fe" => data <= x"82"; when "11" & x"0ff" => data <= x"fa"; when "11" & x"100" => data <= x"ad"; when "11" & x"101" => data <= x"c2"; when "11" & x"102" => data <= x"03"; when "11" & x"103" => data <= x"48"; when "11" & x"104" => data <= x"20"; when "11" & x"105" => data <= x"8b"; when "11" & x"106" => data <= x"f5"; when "11" & x"107" => data <= x"68"; when "11" & x"108" => data <= x"f0"; when "11" & x"109" => data <= x"1a"; when "11" & x"10a" => data <= x"a2"; when "11" & x"10b" => data <= x"03"; when "11" & x"10c" => data <= x"a9"; when "11" & x"10d" => data <= x"ff"; when "11" & x"10e" => data <= x"48"; when "11" & x"10f" => data <= x"bd"; when "11" & x"110" => data <= x"be"; when "11" & x"111" => data <= x"03"; when "11" & x"112" => data <= x"95"; when "11" & x"113" => data <= x"b0"; when "11" & x"114" => data <= x"68"; when "11" & x"115" => data <= x"35"; when "11" & x"116" => data <= x"b0"; when "11" & x"117" => data <= x"ca"; when "11" & x"118" => data <= x"10"; when "11" & x"119" => data <= x"f4"; when "11" & x"11a" => data <= x"c9"; when "11" & x"11b" => data <= x"ff"; when "11" & x"11c" => data <= x"d0"; when "11" & x"11d" => data <= x"06"; when "11" & x"11e" => data <= x"20"; when "11" & x"11f" => data <= x"59"; when "11" & x"120" => data <= x"fa"; when "11" & x"121" => data <= x"4c"; when "11" & x"122" => data <= x"d5"; when "11" & x"123" => data <= x"df"; when "11" & x"124" => data <= x"ad"; when "11" & x"125" => data <= x"ca"; when "11" & x"126" => data <= x"03"; when "11" & x"127" => data <= x"4a"; when "11" & x"128" => data <= x"68"; when "11" & x"129" => data <= x"f0"; when "11" & x"12a" => data <= x"0e"; when "11" & x"12b" => data <= x"90"; when "11" & x"12c" => data <= x"13"; when "11" & x"12d" => data <= x"20"; when "11" & x"12e" => data <= x"63"; when "11" & x"12f" => data <= x"fa"; when "11" & x"130" => data <= x"00"; when "11" & x"131" => data <= x"d5"; when "11" & x"132" => data <= x"4c"; when "11" & x"133" => data <= x"6f"; when "11" & x"134" => data <= x"63"; when "11" & x"135" => data <= x"6b"; when "11" & x"136" => data <= x"65"; when "11" & x"137" => data <= x"64"; when "11" & x"138" => data <= x"00"; when "11" & x"139" => data <= x"90"; when "11" & x"13a" => data <= x"05"; when "11" & x"13b" => data <= x"a9"; when "11" & x"13c" => data <= x"03"; when "11" & x"13d" => data <= x"8d"; when "11" & x"13e" => data <= x"58"; when "11" & x"13f" => data <= x"02"; when "11" & x"140" => data <= x"a9"; when "11" & x"141" => data <= x"30"; when "11" & x"142" => data <= x"25"; when "11" & x"143" => data <= x"bb"; when "11" & x"144" => data <= x"f0"; when "11" & x"145" => data <= x"04"; when "11" & x"146" => data <= x"a5"; when "11" & x"147" => data <= x"c1"; when "11" & x"148" => data <= x"d0"; when "11" & x"149" => data <= x"0a"; when "11" & x"14a" => data <= x"98"; when "11" & x"14b" => data <= x"48"; when "11" & x"14c" => data <= x"20"; when "11" & x"14d" => data <= x"5c"; when "11" & x"14e" => data <= x"fb"; when "11" & x"14f" => data <= x"68"; when "11" & x"150" => data <= x"a8"; when "11" & x"151" => data <= x"20"; when "11" & x"152" => data <= x"35"; when "11" & x"153" => data <= x"f7"; when "11" & x"154" => data <= x"20"; when "11" & x"155" => data <= x"24"; when "11" & x"156" => data <= x"f9"; when "11" & x"157" => data <= x"d0"; when "11" & x"158" => data <= x"53"; when "11" & x"159" => data <= x"20"; when "11" & x"15a" => data <= x"fa"; when "11" & x"15b" => data <= x"fa"; when "11" & x"15c" => data <= x"2c"; when "11" & x"15d" => data <= x"ca"; when "11" & x"15e" => data <= x"03"; when "11" & x"15f" => data <= x"30"; when "11" & x"160" => data <= x"08"; when "11" & x"161" => data <= x"20"; when "11" & x"162" => data <= x"da"; when "11" & x"163" => data <= x"f8"; when "11" & x"164" => data <= x"20"; when "11" & x"165" => data <= x"db"; when "11" & x"166" => data <= x"f6"; when "11" & x"167" => data <= x"d0"; when "11" & x"168" => data <= x"d7"; when "11" & x"169" => data <= x"a0"; when "11" & x"16a" => data <= x"02"; when "11" & x"16b" => data <= x"b9"; when "11" & x"16c" => data <= x"bc"; when "11" & x"16d" => data <= x"03"; when "11" & x"16e" => data <= x"91"; when "11" & x"16f" => data <= x"c8"; when "11" & x"170" => data <= x"c8"; when "11" & x"171" => data <= x"c0"; when "11" & x"172" => data <= x"0a"; when "11" & x"173" => data <= x"d0"; when "11" & x"174" => data <= x"f6"; when "11" & x"175" => data <= x"ad"; when "11" & x"176" => data <= x"c8"; when "11" & x"177" => data <= x"03"; when "11" & x"178" => data <= x"91"; when "11" & x"179" => data <= x"c8"; when "11" & x"17a" => data <= x"c8"; when "11" & x"17b" => data <= x"ad"; when "11" & x"17c" => data <= x"c9"; when "11" & x"17d" => data <= x"03"; when "11" & x"17e" => data <= x"18"; when "11" & x"17f" => data <= x"6d"; when "11" & x"180" => data <= x"c6"; when "11" & x"181" => data <= x"03"; when "11" & x"182" => data <= x"91"; when "11" & x"183" => data <= x"c8"; when "11" & x"184" => data <= x"c8"; when "11" & x"185" => data <= x"a9"; when "11" & x"186" => data <= x"00"; when "11" & x"187" => data <= x"6d"; when "11" & x"188" => data <= x"c7"; when "11" & x"189" => data <= x"03"; when "11" & x"18a" => data <= x"91"; when "11" & x"18b" => data <= x"c8"; when "11" & x"18c" => data <= x"c8"; when "11" & x"18d" => data <= x"a9"; when "11" & x"18e" => data <= x"00"; when "11" & x"18f" => data <= x"91"; when "11" & x"190" => data <= x"c8"; when "11" & x"191" => data <= x"c8"; when "11" & x"192" => data <= x"b9"; when "11" & x"193" => data <= x"bd"; when "11" & x"194" => data <= x"03"; when "11" & x"195" => data <= x"91"; when "11" & x"196" => data <= x"c8"; when "11" & x"197" => data <= x"c8"; when "11" & x"198" => data <= x"c0"; when "11" & x"199" => data <= x"12"; when "11" & x"19a" => data <= x"d0"; when "11" & x"19b" => data <= x"f6"; when "11" & x"19c" => data <= x"28"; when "11" & x"19d" => data <= x"20"; when "11" & x"19e" => data <= x"59"; when "11" & x"19f" => data <= x"fa"; when "11" & x"1a0" => data <= x"24"; when "11" & x"1a1" => data <= x"ba"; when "11" & x"1a2" => data <= x"30"; when "11" & x"1a3" => data <= x"07"; when "11" & x"1a4" => data <= x"08"; when "11" & x"1a5" => data <= x"20"; when "11" & x"1a6" => data <= x"b6"; when "11" & x"1a7" => data <= x"f9"; when "11" & x"1a8" => data <= x"0d"; when "11" & x"1a9" => data <= x"00"; when "11" & x"1aa" => data <= x"28"; when "11" & x"1ab" => data <= x"60"; when "11" & x"1ac" => data <= x"20"; when "11" & x"1ad" => data <= x"91"; when "11" & x"1ae" => data <= x"f5"; when "11" & x"1af" => data <= x"d0"; when "11" & x"1b0" => data <= x"8f"; when "11" & x"1b1" => data <= x"78"; when "11" & x"1b2" => data <= x"86"; when "11" & x"1b3" => data <= x"f2"; when "11" & x"1b4" => data <= x"84"; when "11" & x"1b5" => data <= x"f3"; when "11" & x"1b6" => data <= x"a0"; when "11" & x"1b7" => data <= x"00"; when "11" & x"1b8" => data <= x"20"; when "11" & x"1b9" => data <= x"fc"; when "11" & x"1ba" => data <= x"e7"; when "11" & x"1bb" => data <= x"a2"; when "11" & x"1bc" => data <= x"00"; when "11" & x"1bd" => data <= x"20"; when "11" & x"1be" => data <= x"0e"; when "11" & x"1bf" => data <= x"e8"; when "11" & x"1c0" => data <= x"b0"; when "11" & x"1c1" => data <= x"0d"; when "11" & x"1c2" => data <= x"f0"; when "11" & x"1c3" => data <= x"08"; when "11" & x"1c4" => data <= x"9d"; when "11" & x"1c5" => data <= x"d2"; when "11" & x"1c6" => data <= x"03"; when "11" & x"1c7" => data <= x"e8"; when "11" & x"1c8" => data <= x"e0"; when "11" & x"1c9" => data <= x"0b"; when "11" & x"1ca" => data <= x"d0"; when "11" & x"1cb" => data <= x"f1"; when "11" & x"1cc" => data <= x"4c"; when "11" & x"1cd" => data <= x"6e"; when "11" & x"1ce" => data <= x"e8"; when "11" & x"1cf" => data <= x"a9"; when "11" & x"1d0" => data <= x"00"; when "11" & x"1d1" => data <= x"9d"; when "11" & x"1d2" => data <= x"d2"; when "11" & x"1d3" => data <= x"03"; when "11" & x"1d4" => data <= x"58"; when "11" & x"1d5" => data <= x"60"; when "11" & x"1d6" => data <= x"48"; when "11" & x"1d7" => data <= x"86"; when "11" & x"1d8" => data <= x"c8"; when "11" & x"1d9" => data <= x"84"; when "11" & x"1da" => data <= x"c9"; when "11" & x"1db" => data <= x"a0"; when "11" & x"1dc" => data <= x"00"; when "11" & x"1dd" => data <= x"b1"; when "11" & x"1de" => data <= x"c8"; when "11" & x"1df" => data <= x"aa"; when "11" & x"1e0" => data <= x"c8"; when "11" & x"1e1" => data <= x"b1"; when "11" & x"1e2" => data <= x"c8"; when "11" & x"1e3" => data <= x"a8"; when "11" & x"1e4" => data <= x"20"; when "11" & x"1e5" => data <= x"b1"; when "11" & x"1e6" => data <= x"f1"; when "11" & x"1e7" => data <= x"a0"; when "11" & x"1e8" => data <= x"02"; when "11" & x"1e9" => data <= x"b1"; when "11" & x"1ea" => data <= x"c8"; when "11" & x"1eb" => data <= x"99"; when "11" & x"1ec" => data <= x"bc"; when "11" & x"1ed" => data <= x"03"; when "11" & x"1ee" => data <= x"99"; when "11" & x"1ef" => data <= x"ae"; when "11" & x"1f0" => data <= x"00"; when "11" & x"1f1" => data <= x"c8"; when "11" & x"1f2" => data <= x"c0"; when "11" & x"1f3" => data <= x"0a"; when "11" & x"1f4" => data <= x"d0"; when "11" & x"1f5" => data <= x"f3"; when "11" & x"1f6" => data <= x"68"; when "11" & x"1f7" => data <= x"f0"; when "11" & x"1f8" => data <= x"07"; when "11" & x"1f9" => data <= x"c9"; when "11" & x"1fa" => data <= x"ff"; when "11" & x"1fb" => data <= x"d0"; when "11" & x"1fc" => data <= x"d8"; when "11" & x"1fd" => data <= x"4c"; when "11" & x"1fe" => data <= x"fb"; when "11" & x"1ff" => data <= x"f0"; when "11" & x"200" => data <= x"8d"; when "11" & x"201" => data <= x"c6"; when "11" & x"202" => data <= x"03"; when "11" & x"203" => data <= x"8d"; when "11" & x"204" => data <= x"c7"; when "11" & x"205" => data <= x"03"; when "11" & x"206" => data <= x"b1"; when "11" & x"207" => data <= x"c8"; when "11" & x"208" => data <= x"99"; when "11" & x"209" => data <= x"a6"; when "11" & x"20a" => data <= x"00"; when "11" & x"20b" => data <= x"c8"; when "11" & x"20c" => data <= x"c0"; when "11" & x"20d" => data <= x"12"; when "11" & x"20e" => data <= x"d0"; when "11" & x"20f" => data <= x"f6"; when "11" & x"210" => data <= x"8a"; when "11" & x"211" => data <= x"f0"; when "11" & x"212" => data <= x"b9"; when "11" & x"213" => data <= x"20"; when "11" & x"214" => data <= x"82"; when "11" & x"215" => data <= x"fa"; when "11" & x"216" => data <= x"20"; when "11" & x"217" => data <= x"93"; when "11" & x"218" => data <= x"f8"; when "11" & x"219" => data <= x"a9"; when "11" & x"21a" => data <= x"00"; when "11" & x"21b" => data <= x"20"; when "11" & x"21c" => data <= x"5e"; when "11" & x"21d" => data <= x"fb"; when "11" & x"21e" => data <= x"38"; when "11" & x"21f" => data <= x"a2"; when "11" & x"220" => data <= x"fd"; when "11" & x"221" => data <= x"bd"; when "11" & x"222" => data <= x"b7"; when "11" & x"223" => data <= x"ff"; when "11" & x"224" => data <= x"fd"; when "11" & x"225" => data <= x"b3"; when "11" & x"226" => data <= x"ff"; when "11" & x"227" => data <= x"9d"; when "11" & x"228" => data <= x"cb"; when "11" & x"229" => data <= x"02"; when "11" & x"22a" => data <= x"e8"; when "11" & x"22b" => data <= x"d0"; when "11" & x"22c" => data <= x"f4"; when "11" & x"22d" => data <= x"a8"; when "11" & x"22e" => data <= x"d0"; when "11" & x"22f" => data <= x"0e"; when "11" & x"230" => data <= x"ec"; when "11" & x"231" => data <= x"c8"; when "11" & x"232" => data <= x"03"; when "11" & x"233" => data <= x"a9"; when "11" & x"234" => data <= x"01"; when "11" & x"235" => data <= x"ed"; when "11" & x"236" => data <= x"c9"; when "11" & x"237" => data <= x"03"; when "11" & x"238" => data <= x"90"; when "11" & x"239" => data <= x"04"; when "11" & x"23a" => data <= x"a2"; when "11" & x"23b" => data <= x"80"; when "11" & x"23c" => data <= x"d0"; when "11" & x"23d" => data <= x"08"; when "11" & x"23e" => data <= x"a9"; when "11" & x"23f" => data <= x"01"; when "11" & x"240" => data <= x"8d"; when "11" & x"241" => data <= x"c9"; when "11" & x"242" => data <= x"03"; when "11" & x"243" => data <= x"8e"; when "11" & x"244" => data <= x"c8"; when "11" & x"245" => data <= x"03"; when "11" & x"246" => data <= x"8e"; when "11" & x"247" => data <= x"ca"; when "11" & x"248" => data <= x"03"; when "11" & x"249" => data <= x"20"; when "11" & x"24a" => data <= x"4c"; when "11" & x"24b" => data <= x"f7"; when "11" & x"24c" => data <= x"30"; when "11" & x"24d" => data <= x"87"; when "11" & x"24e" => data <= x"20"; when "11" & x"24f" => data <= x"da"; when "11" & x"250" => data <= x"f8"; when "11" & x"251" => data <= x"ee"; when "11" & x"252" => data <= x"c6"; when "11" & x"253" => data <= x"03"; when "11" & x"254" => data <= x"d0"; when "11" & x"255" => data <= x"c8"; when "11" & x"256" => data <= x"ee"; when "11" & x"257" => data <= x"c7"; when "11" & x"258" => data <= x"03"; when "11" & x"259" => data <= x"d0"; when "11" & x"25a" => data <= x"c3"; when "11" & x"25b" => data <= x"20"; when "11" & x"25c" => data <= x"b1"; when "11" & x"25d" => data <= x"f1"; when "11" & x"25e" => data <= x"a2"; when "11" & x"25f" => data <= x"ff"; when "11" & x"260" => data <= x"8e"; when "11" & x"261" => data <= x"c2"; when "11" & x"262" => data <= x"03"; when "11" & x"263" => data <= x"20"; when "11" & x"264" => data <= x"fb"; when "11" & x"265" => data <= x"f0"; when "11" & x"266" => data <= x"2c"; when "11" & x"267" => data <= x"7a"; when "11" & x"268" => data <= x"02"; when "11" & x"269" => data <= x"10"; when "11" & x"26a" => data <= x"0a"; when "11" & x"26b" => data <= x"ad"; when "11" & x"26c" => data <= x"c4"; when "11" & x"26d" => data <= x"03"; when "11" & x"26e" => data <= x"2d"; when "11" & x"26f" => data <= x"c5"; when "11" & x"270" => data <= x"03"; when "11" & x"271" => data <= x"c9"; when "11" & x"272" => data <= x"ff"; when "11" & x"273" => data <= x"d0"; when "11" & x"274" => data <= x"03"; when "11" & x"275" => data <= x"6c"; when "11" & x"276" => data <= x"c2"; when "11" & x"277" => data <= x"03"; when "11" & x"278" => data <= x"a2"; when "11" & x"279" => data <= x"c2"; when "11" & x"27a" => data <= x"a0"; when "11" & x"27b" => data <= x"03"; when "11" & x"27c" => data <= x"a9"; when "11" & x"27d" => data <= x"04"; when "11" & x"27e" => data <= x"4c"; when "11" & x"27f" => data <= x"68"; when "11" & x"280" => data <= x"fb"; when "11" & x"281" => data <= x"a9"; when "11" & x"282" => data <= x"08"; when "11" & x"283" => data <= x"20"; when "11" & x"284" => data <= x"9a"; when "11" & x"285" => data <= x"f2"; when "11" & x"286" => data <= x"20"; when "11" & x"287" => data <= x"82"; when "11" & x"288" => data <= x"fa"; when "11" & x"289" => data <= x"a9"; when "11" & x"28a" => data <= x"00"; when "11" & x"28b" => data <= x"20"; when "11" & x"28c" => data <= x"9e"; when "11" & x"28d" => data <= x"f2"; when "11" & x"28e" => data <= x"20"; when "11" & x"28f" => data <= x"6d"; when "11" & x"290" => data <= x"fa"; when "11" & x"291" => data <= x"a9"; when "11" & x"292" => data <= x"f7"; when "11" & x"293" => data <= x"25"; when "11" & x"294" => data <= x"e2"; when "11" & x"295" => data <= x"85"; when "11" & x"296" => data <= x"e2"; when "11" & x"297" => data <= x"60"; when "11" & x"298" => data <= x"a9"; when "11" & x"299" => data <= x"40"; when "11" & x"29a" => data <= x"05"; when "11" & x"29b" => data <= x"e2"; when "11" & x"29c" => data <= x"d0"; when "11" & x"29d" => data <= x"f7"; when "11" & x"29e" => data <= x"48"; when "11" & x"29f" => data <= x"ad"; when "11" & x"2a0" => data <= x"47"; when "11" & x"2a1" => data <= x"02"; when "11" & x"2a2" => data <= x"f0"; when "11" & x"2a3" => data <= x"0b"; when "11" & x"2a4" => data <= x"20"; when "11" & x"2a5" => data <= x"92"; when "11" & x"2a6" => data <= x"ea"; when "11" & x"2a7" => data <= x"20"; when "11" & x"2a8" => data <= x"97"; when "11" & x"2a9" => data <= x"ea"; when "11" & x"2aa" => data <= x"90"; when "11" & x"2ab" => data <= x"03"; when "11" & x"2ac" => data <= x"b8"; when "11" & x"2ad" => data <= x"50"; when "11" & x"2ae" => data <= x"41"; when "11" & x"2af" => data <= x"20"; when "11" & x"2b0" => data <= x"db"; when "11" & x"2b1" => data <= x"f6"; when "11" & x"2b2" => data <= x"ad"; when "11" & x"2b3" => data <= x"c6"; when "11" & x"2b4" => data <= x"03"; when "11" & x"2b5" => data <= x"85"; when "11" & x"2b6" => data <= x"b4"; when "11" & x"2b7" => data <= x"ad"; when "11" & x"2b8" => data <= x"c7"; when "11" & x"2b9" => data <= x"03"; when "11" & x"2ba" => data <= x"85"; when "11" & x"2bb" => data <= x"b5"; when "11" & x"2bc" => data <= x"a2"; when "11" & x"2bd" => data <= x"ff"; when "11" & x"2be" => data <= x"8e"; when "11" & x"2bf" => data <= x"df"; when "11" & x"2c0" => data <= x"03"; when "11" & x"2c1" => data <= x"e8"; when "11" & x"2c2" => data <= x"86"; when "11" & x"2c3" => data <= x"ba"; when "11" & x"2c4" => data <= x"f0"; when "11" & x"2c5" => data <= x"06"; when "11" & x"2c6" => data <= x"20"; when "11" & x"2c7" => data <= x"fa"; when "11" & x"2c8" => data <= x"fa"; when "11" & x"2c9" => data <= x"20"; when "11" & x"2ca" => data <= x"db"; when "11" & x"2cb" => data <= x"f6"; when "11" & x"2cc" => data <= x"ad"; when "11" & x"2cd" => data <= x"47"; when "11" & x"2ce" => data <= x"02"; when "11" & x"2cf" => data <= x"f0"; when "11" & x"2d0" => data <= x"02"; when "11" & x"2d1" => data <= x"50"; when "11" & x"2d2" => data <= x"1d"; when "11" & x"2d3" => data <= x"68"; when "11" & x"2d4" => data <= x"48"; when "11" & x"2d5" => data <= x"f0"; when "11" & x"2d6" => data <= x"2d"; when "11" & x"2d7" => data <= x"20"; when "11" & x"2d8" => data <= x"e2"; when "11" & x"2d9" => data <= x"f9"; when "11" & x"2da" => data <= x"d0"; when "11" & x"2db" => data <= x"16"; when "11" & x"2dc" => data <= x"a9"; when "11" & x"2dd" => data <= x"30"; when "11" & x"2de" => data <= x"25"; when "11" & x"2df" => data <= x"bb"; when "11" & x"2e0" => data <= x"f0"; when "11" & x"2e1" => data <= x"0e"; when "11" & x"2e2" => data <= x"ad"; when "11" & x"2e3" => data <= x"c6"; when "11" & x"2e4" => data <= x"03"; when "11" & x"2e5" => data <= x"c5"; when "11" & x"2e6" => data <= x"b6"; when "11" & x"2e7" => data <= x"d0"; when "11" & x"2e8" => data <= x"09"; when "11" & x"2e9" => data <= x"ad"; when "11" & x"2ea" => data <= x"c7"; when "11" & x"2eb" => data <= x"03"; when "11" & x"2ec" => data <= x"c5"; when "11" & x"2ed" => data <= x"b7"; when "11" & x"2ee" => data <= x"d0"; when "11" & x"2ef" => data <= x"02"; when "11" & x"2f0" => data <= x"68"; when "11" & x"2f1" => data <= x"60"; when "11" & x"2f2" => data <= x"ad"; when "11" & x"2f3" => data <= x"47"; when "11" & x"2f4" => data <= x"02"; when "11" & x"2f5" => data <= x"f0"; when "11" & x"2f6" => data <= x"0d"; when "11" & x"2f7" => data <= x"20"; when "11" & x"2f8" => data <= x"ad"; when "11" & x"2f9" => data <= x"ea"; when "11" & x"2fa" => data <= x"a9"; when "11" & x"2fb" => data <= x"ff"; when "11" & x"2fc" => data <= x"8d"; when "11" & x"2fd" => data <= x"c6"; when "11" & x"2fe" => data <= x"03"; when "11" & x"2ff" => data <= x"8d"; when "11" & x"300" => data <= x"c7"; when "11" & x"301" => data <= x"03"; when "11" & x"302" => data <= x"d0"; when "11" & x"303" => data <= x"c2"; when "11" & x"304" => data <= x"50"; when "11" & x"305" => data <= x"05"; when "11" & x"306" => data <= x"a9"; when "11" & x"307" => data <= x"ff"; when "11" & x"308" => data <= x"20"; when "11" & x"309" => data <= x"37"; when "11" & x"30a" => data <= x"f7"; when "11" & x"30b" => data <= x"a2"; when "11" & x"30c" => data <= x"00"; when "11" & x"30d" => data <= x"20"; when "11" & x"30e" => data <= x"49"; when "11" & x"30f" => data <= x"f9"; when "11" & x"310" => data <= x"ad"; when "11" & x"311" => data <= x"47"; when "11" & x"312" => data <= x"02"; when "11" & x"313" => data <= x"f0"; when "11" & x"314" => data <= x"04"; when "11" & x"315" => data <= x"24"; when "11" & x"316" => data <= x"bb"; when "11" & x"317" => data <= x"50"; when "11" & x"318" => data <= x"de"; when "11" & x"319" => data <= x"2c"; when "11" & x"31a" => data <= x"ca"; when "11" & x"31b" => data <= x"03"; when "11" & x"31c" => data <= x"30"; when "11" & x"31d" => data <= x"dc"; when "11" & x"31e" => data <= x"10"; when "11" & x"31f" => data <= x"a6"; when "11" & x"320" => data <= x"85"; when "11" & x"321" => data <= x"bc"; when "11" & x"322" => data <= x"8a"; when "11" & x"323" => data <= x"48"; when "11" & x"324" => data <= x"98"; when "11" & x"325" => data <= x"48"; when "11" & x"326" => data <= x"a5"; when "11" & x"327" => data <= x"bc"; when "11" & x"328" => data <= x"d0"; when "11" & x"329" => data <= x"1e"; when "11" & x"32a" => data <= x"98"; when "11" & x"32b" => data <= x"d0"; when "11" & x"32c" => data <= x"0c"; when "11" & x"32d" => data <= x"20"; when "11" & x"32e" => data <= x"e3"; when "11" & x"32f" => data <= x"df"; when "11" & x"330" => data <= x"20"; when "11" & x"331" => data <= x"ce"; when "11" & x"332" => data <= x"f3"; when "11" & x"333" => data <= x"46"; when "11" & x"334" => data <= x"e2"; when "11" & x"335" => data <= x"06"; when "11" & x"336" => data <= x"e2"; when "11" & x"337" => data <= x"90"; when "11" & x"338" => data <= x"0c"; when "11" & x"339" => data <= x"4a"; when "11" & x"33a" => data <= x"b0"; when "11" & x"33b" => data <= x"f7"; when "11" & x"33c" => data <= x"4a"; when "11" & x"33d" => data <= x"b0"; when "11" & x"33e" => data <= x"03"; when "11" & x"33f" => data <= x"4c"; when "11" & x"340" => data <= x"43"; when "11" & x"341" => data <= x"fb"; when "11" & x"342" => data <= x"20"; when "11" & x"343" => data <= x"ce"; when "11" & x"344" => data <= x"f3"; when "11" & x"345" => data <= x"4c"; when "11" & x"346" => data <= x"c7"; when "11" & x"347" => data <= x"f3"; when "11" & x"348" => data <= x"20"; when "11" & x"349" => data <= x"b1"; when "11" & x"34a" => data <= x"f1"; when "11" & x"34b" => data <= x"24"; when "11" & x"34c" => data <= x"bc"; when "11" & x"34d" => data <= x"50"; when "11" & x"34e" => data <= x"3d"; when "11" & x"34f" => data <= x"a9"; when "11" & x"350" => data <= x"00"; when "11" & x"351" => data <= x"8d"; when "11" & x"352" => data <= x"9e"; when "11" & x"353" => data <= x"03"; when "11" & x"354" => data <= x"8d"; when "11" & x"355" => data <= x"dd"; when "11" & x"356" => data <= x"03"; when "11" & x"357" => data <= x"8d"; when "11" & x"358" => data <= x"de"; when "11" & x"359" => data <= x"03"; when "11" & x"35a" => data <= x"a9"; when "11" & x"35b" => data <= x"3e"; when "11" & x"35c" => data <= x"20"; when "11" & x"35d" => data <= x"93"; when "11" & x"35e" => data <= x"f2"; when "11" & x"35f" => data <= x"20"; when "11" & x"360" => data <= x"75"; when "11" & x"361" => data <= x"fa"; when "11" & x"362" => data <= x"08"; when "11" & x"363" => data <= x"20"; when "11" & x"364" => data <= x"8b"; when "11" & x"365" => data <= x"f5"; when "11" & x"366" => data <= x"20"; when "11" & x"367" => data <= x"11"; when "11" & x"368" => data <= x"f6"; when "11" & x"369" => data <= x"28"; when "11" & x"36a" => data <= x"a2"; when "11" & x"36b" => data <= x"ff"; when "11" & x"36c" => data <= x"e8"; when "11" & x"36d" => data <= x"bd"; when "11" & x"36e" => data <= x"b2"; when "11" & x"36f" => data <= x"03"; when "11" & x"370" => data <= x"9d"; when "11" & x"371" => data <= x"a7"; when "11" & x"372" => data <= x"03"; when "11" & x"373" => data <= x"d0"; when "11" & x"374" => data <= x"f7"; when "11" & x"375" => data <= x"a9"; when "11" & x"376" => data <= x"01"; when "11" & x"377" => data <= x"20"; when "11" & x"378" => data <= x"9a"; when "11" & x"379" => data <= x"f2"; when "11" & x"37a" => data <= x"ad"; when "11" & x"37b" => data <= x"de"; when "11" & x"37c" => data <= x"02"; when "11" & x"37d" => data <= x"0d"; when "11" & x"37e" => data <= x"df"; when "11" & x"37f" => data <= x"02"; when "11" & x"380" => data <= x"d0"; when "11" & x"381" => data <= x"03"; when "11" & x"382" => data <= x"20"; when "11" & x"383" => data <= x"98"; when "11" & x"384" => data <= x"f2"; when "11" & x"385" => data <= x"a9"; when "11" & x"386" => data <= x"01"; when "11" & x"387" => data <= x"0d"; when "11" & x"388" => data <= x"47"; when "11" & x"389" => data <= x"02"; when "11" & x"38a" => data <= x"d0"; when "11" & x"38b" => data <= x"39"; when "11" & x"38c" => data <= x"8a"; when "11" & x"38d" => data <= x"d0"; when "11" & x"38e" => data <= x"03"; when "11" & x"38f" => data <= x"4c"; when "11" & x"390" => data <= x"6e"; when "11" & x"391" => data <= x"e8"; when "11" & x"392" => data <= x"a2"; when "11" & x"393" => data <= x"ff"; when "11" & x"394" => data <= x"e8"; when "11" & x"395" => data <= x"bd"; when "11" & x"396" => data <= x"d2"; when "11" & x"397" => data <= x"03"; when "11" & x"398" => data <= x"9d"; when "11" & x"399" => data <= x"80"; when "11" & x"39a" => data <= x"03"; when "11" & x"39b" => data <= x"d0"; when "11" & x"39c" => data <= x"f7"; when "11" & x"39d" => data <= x"a9"; when "11" & x"39e" => data <= x"ff"; when "11" & x"39f" => data <= x"a2"; when "11" & x"3a0" => data <= x"08"; when "11" & x"3a1" => data <= x"9d"; when "11" & x"3a2" => data <= x"8b"; when "11" & x"3a3" => data <= x"03"; when "11" & x"3a4" => data <= x"ca"; when "11" & x"3a5" => data <= x"d0"; when "11" & x"3a6" => data <= x"fa"; when "11" & x"3a7" => data <= x"8a"; when "11" & x"3a8" => data <= x"a2"; when "11" & x"3a9" => data <= x"14"; when "11" & x"3aa" => data <= x"9d"; when "11" & x"3ab" => data <= x"80"; when "11" & x"3ac" => data <= x"03"; when "11" & x"3ad" => data <= x"e8"; when "11" & x"3ae" => data <= x"e0"; when "11" & x"3af" => data <= x"1e"; when "11" & x"3b0" => data <= x"d0"; when "11" & x"3b1" => data <= x"f8"; when "11" & x"3b2" => data <= x"2e"; when "11" & x"3b3" => data <= x"97"; when "11" & x"3b4" => data <= x"03"; when "11" & x"3b5" => data <= x"20"; when "11" & x"3b6" => data <= x"82"; when "11" & x"3b7" => data <= x"fa"; when "11" & x"3b8" => data <= x"20"; when "11" & x"3b9" => data <= x"93"; when "11" & x"3ba" => data <= x"f8"; when "11" & x"3bb" => data <= x"20"; when "11" & x"3bc" => data <= x"63"; when "11" & x"3bd" => data <= x"fa"; when "11" & x"3be" => data <= x"a9"; when "11" & x"3bf" => data <= x"02"; when "11" & x"3c0" => data <= x"20"; when "11" & x"3c1" => data <= x"9a"; when "11" & x"3c2" => data <= x"f2"; when "11" & x"3c3" => data <= x"a9"; when "11" & x"3c4" => data <= x"02"; when "11" & x"3c5" => data <= x"85"; when "11" & x"3c6" => data <= x"bc"; when "11" & x"3c7" => data <= x"68"; when "11" & x"3c8" => data <= x"a8"; when "11" & x"3c9" => data <= x"68"; when "11" & x"3ca" => data <= x"aa"; when "11" & x"3cb" => data <= x"a5"; when "11" & x"3cc" => data <= x"bc"; when "11" & x"3cd" => data <= x"60"; when "11" & x"3ce" => data <= x"a9"; when "11" & x"3cf" => data <= x"02"; when "11" & x"3d0" => data <= x"25"; when "11" & x"3d1" => data <= x"e2"; when "11" & x"3d2" => data <= x"f0"; when "11" & x"3d3" => data <= x"f9"; when "11" & x"3d4" => data <= x"a9"; when "11" & x"3d5" => data <= x"00"; when "11" & x"3d6" => data <= x"8d"; when "11" & x"3d7" => data <= x"97"; when "11" & x"3d8" => data <= x"03"; when "11" & x"3d9" => data <= x"a9"; when "11" & x"3da" => data <= x"80"; when "11" & x"3db" => data <= x"ae"; when "11" & x"3dc" => data <= x"9d"; when "11" & x"3dd" => data <= x"03"; when "11" & x"3de" => data <= x"8e"; when "11" & x"3df" => data <= x"96"; when "11" & x"3e0" => data <= x"03"; when "11" & x"3e1" => data <= x"8d"; when "11" & x"3e2" => data <= x"98"; when "11" & x"3e3" => data <= x"03"; when "11" & x"3e4" => data <= x"20"; when "11" & x"3e5" => data <= x"ec"; when "11" & x"3e6" => data <= x"f3"; when "11" & x"3e7" => data <= x"a9"; when "11" & x"3e8" => data <= x"fd"; when "11" & x"3e9" => data <= x"4c"; when "11" & x"3ea" => data <= x"93"; when "11" & x"3eb" => data <= x"f2"; when "11" & x"3ec" => data <= x"20"; when "11" & x"3ed" => data <= x"75"; when "11" & x"3ee" => data <= x"fa"; when "11" & x"3ef" => data <= x"20"; when "11" & x"3f0" => data <= x"c9"; when "11" & x"3f1" => data <= x"f8"; when "11" & x"3f2" => data <= x"a2"; when "11" & x"3f3" => data <= x"11"; when "11" & x"3f4" => data <= x"bd"; when "11" & x"3f5" => data <= x"8c"; when "11" & x"3f6" => data <= x"03"; when "11" & x"3f7" => data <= x"9d"; when "11" & x"3f8" => data <= x"be"; when "11" & x"3f9" => data <= x"03"; when "11" & x"3fa" => data <= x"ca"; when "11" & x"3fb" => data <= x"10"; when "11" & x"3fc" => data <= x"f7"; when "11" & x"3fd" => data <= x"86"; when "11" & x"3fe" => data <= x"b2"; when "11" & x"3ff" => data <= x"86"; when "11" & x"400" => data <= x"b3"; when "11" & x"401" => data <= x"a9"; when "11" & x"402" => data <= x"00"; when "11" & x"403" => data <= x"85"; when "11" & x"404" => data <= x"b0"; when "11" & x"405" => data <= x"a9"; when "11" & x"406" => data <= x"09"; when "11" & x"407" => data <= x"85"; when "11" & x"408" => data <= x"b1"; when "11" & x"409" => data <= x"a2"; when "11" & x"40a" => data <= x"7f"; when "11" & x"40b" => data <= x"20"; when "11" & x"40c" => data <= x"12"; when "11" & x"40d" => data <= x"fb"; when "11" & x"40e" => data <= x"8d"; when "11" & x"40f" => data <= x"df"; when "11" & x"410" => data <= x"03"; when "11" & x"411" => data <= x"20"; when "11" & x"412" => data <= x"1f"; when "11" & x"413" => data <= x"fb"; when "11" & x"414" => data <= x"20"; when "11" & x"415" => data <= x"4c"; when "11" & x"416" => data <= x"f7"; when "11" & x"417" => data <= x"ee"; when "11" & x"418" => data <= x"94"; when "11" & x"419" => data <= x"03"; when "11" & x"41a" => data <= x"d0"; when "11" & x"41b" => data <= x"03"; when "11" & x"41c" => data <= x"ee"; when "11" & x"41d" => data <= x"95"; when "11" & x"41e" => data <= x"03"; when "11" & x"41f" => data <= x"60"; when "11" & x"420" => data <= x"8a"; when "11" & x"421" => data <= x"48"; when "11" & x"422" => data <= x"98"; when "11" & x"423" => data <= x"48"; when "11" & x"424" => data <= x"a9"; when "11" & x"425" => data <= x"01"; when "11" & x"426" => data <= x"20"; when "11" & x"427" => data <= x"2d"; when "11" & x"428" => data <= x"fb"; when "11" & x"429" => data <= x"a5"; when "11" & x"42a" => data <= x"e2"; when "11" & x"42b" => data <= x"0a"; when "11" & x"42c" => data <= x"b0"; when "11" & x"42d" => data <= x"4c"; when "11" & x"42e" => data <= x"0a"; when "11" & x"42f" => data <= x"90"; when "11" & x"430" => data <= x"09"; when "11" & x"431" => data <= x"a9"; when "11" & x"432" => data <= x"80"; when "11" & x"433" => data <= x"20"; when "11" & x"434" => data <= x"9a"; when "11" & x"435" => data <= x"f2"; when "11" & x"436" => data <= x"a9"; when "11" & x"437" => data <= x"fe"; when "11" & x"438" => data <= x"b0"; when "11" & x"439" => data <= x"38"; when "11" & x"43a" => data <= x"ae"; when "11" & x"43b" => data <= x"9e"; when "11" & x"43c" => data <= x"03"; when "11" & x"43d" => data <= x"e8"; when "11" & x"43e" => data <= x"ec"; when "11" & x"43f" => data <= x"de"; when "11" & x"440" => data <= x"02"; when "11" & x"441" => data <= x"d0"; when "11" & x"442" => data <= x"2a"; when "11" & x"443" => data <= x"2c"; when "11" & x"444" => data <= x"e0"; when "11" & x"445" => data <= x"02"; when "11" & x"446" => data <= x"30"; when "11" & x"447" => data <= x"22"; when "11" & x"448" => data <= x"ad"; when "11" & x"449" => data <= x"e1"; when "11" & x"44a" => data <= x"02"; when "11" & x"44b" => data <= x"48"; when "11" & x"44c" => data <= x"20"; when "11" & x"44d" => data <= x"75"; when "11" & x"44e" => data <= x"fa"; when "11" & x"44f" => data <= x"08"; when "11" & x"450" => data <= x"20"; when "11" & x"451" => data <= x"09"; when "11" & x"452" => data <= x"f6"; when "11" & x"453" => data <= x"28"; when "11" & x"454" => data <= x"68"; when "11" & x"455" => data <= x"85"; when "11" & x"456" => data <= x"bc"; when "11" & x"457" => data <= x"18"; when "11" & x"458" => data <= x"2c"; when "11" & x"459" => data <= x"e0"; when "11" & x"45a" => data <= x"02"; when "11" & x"45b" => data <= x"10"; when "11" & x"45c" => data <= x"17"; when "11" & x"45d" => data <= x"ad"; when "11" & x"45e" => data <= x"de"; when "11" & x"45f" => data <= x"02"; when "11" & x"460" => data <= x"0d"; when "11" & x"461" => data <= x"df"; when "11" & x"462" => data <= x"02"; when "11" & x"463" => data <= x"d0"; when "11" & x"464" => data <= x"0f"; when "11" & x"465" => data <= x"20"; when "11" & x"466" => data <= x"98"; when "11" & x"467" => data <= x"f2"; when "11" & x"468" => data <= x"d0"; when "11" & x"469" => data <= x"0a"; when "11" & x"46a" => data <= x"20"; when "11" & x"46b" => data <= x"98"; when "11" & x"46c" => data <= x"f2"; when "11" & x"46d" => data <= x"ca"; when "11" & x"46e" => data <= x"18"; when "11" & x"46f" => data <= x"bd"; when "11" & x"470" => data <= x"00"; when "11" & x"471" => data <= x"0a"; when "11" & x"472" => data <= x"85"; when "11" & x"473" => data <= x"bc"; when "11" & x"474" => data <= x"ee"; when "11" & x"475" => data <= x"9e"; when "11" & x"476" => data <= x"03"; when "11" & x"477" => data <= x"4c"; when "11" & x"478" => data <= x"c7"; when "11" & x"479" => data <= x"f3"; when "11" & x"47a" => data <= x"00"; when "11" & x"47b" => data <= x"df"; when "11" & x"47c" => data <= x"45"; when "11" & x"47d" => data <= x"4f"; when "11" & x"47e" => data <= x"46"; when "11" & x"47f" => data <= x"00"; when "11" & x"480" => data <= x"85"; when "11" & x"481" => data <= x"c4"; when "11" & x"482" => data <= x"8a"; when "11" & x"483" => data <= x"48"; when "11" & x"484" => data <= x"98"; when "11" & x"485" => data <= x"48"; when "11" & x"486" => data <= x"a9"; when "11" & x"487" => data <= x"02"; when "11" & x"488" => data <= x"20"; when "11" & x"489" => data <= x"2d"; when "11" & x"48a" => data <= x"fb"; when "11" & x"48b" => data <= x"ae"; when "11" & x"48c" => data <= x"9d"; when "11" & x"48d" => data <= x"03"; when "11" & x"48e" => data <= x"a5"; when "11" & x"48f" => data <= x"c4"; when "11" & x"490" => data <= x"9d"; when "11" & x"491" => data <= x"00"; when "11" & x"492" => data <= x"09"; when "11" & x"493" => data <= x"e8"; when "11" & x"494" => data <= x"d0"; when "11" & x"495" => data <= x"06"; when "11" & x"496" => data <= x"20"; when "11" & x"497" => data <= x"ec"; when "11" & x"498" => data <= x"f3"; when "11" & x"499" => data <= x"20"; when "11" & x"49a" => data <= x"63"; when "11" & x"49b" => data <= x"fa"; when "11" & x"49c" => data <= x"ee"; when "11" & x"49d" => data <= x"9d"; when "11" & x"49e" => data <= x"03"; when "11" & x"49f" => data <= x"a5"; when "11" & x"4a0" => data <= x"c4"; when "11" & x"4a1" => data <= x"4c"; when "11" & x"4a2" => data <= x"c5"; when "11" & x"4a3" => data <= x"f3"; when "11" & x"4a4" => data <= x"8a"; when "11" & x"4a5" => data <= x"f0"; when "11" & x"4a6" => data <= x"2e"; when "11" & x"4a7" => data <= x"e0"; when "11" & x"4a8" => data <= x"03"; when "11" & x"4a9" => data <= x"f0"; when "11" & x"4aa" => data <= x"1f"; when "11" & x"4ab" => data <= x"c0"; when "11" & x"4ac" => data <= x"03"; when "11" & x"4ad" => data <= x"b0"; when "11" & x"4ae" => data <= x"06"; when "11" & x"4af" => data <= x"ca"; when "11" & x"4b0" => data <= x"f0"; when "11" & x"4b1" => data <= x"06"; when "11" & x"4b2" => data <= x"ca"; when "11" & x"4b3" => data <= x"f0"; when "11" & x"4b4" => data <= x"0a"; when "11" & x"4b5" => data <= x"4c"; when "11" & x"4b6" => data <= x"7e"; when "11" & x"4b7" => data <= x"e0"; when "11" & x"4b8" => data <= x"a9"; when "11" & x"4b9" => data <= x"33"; when "11" & x"4ba" => data <= x"c8"; when "11" & x"4bb" => data <= x"c8"; when "11" & x"4bc" => data <= x"c8"; when "11" & x"4bd" => data <= x"d0"; when "11" & x"4be" => data <= x"02"; when "11" & x"4bf" => data <= x"a9"; when "11" & x"4c0" => data <= x"cc"; when "11" & x"4c1" => data <= x"c8"; when "11" & x"4c2" => data <= x"25"; when "11" & x"4c3" => data <= x"e3"; when "11" & x"4c4" => data <= x"19"; when "11" & x"4c5" => data <= x"d8"; when "11" & x"4c6" => data <= x"f4"; when "11" & x"4c7" => data <= x"85"; when "11" & x"4c8" => data <= x"e3"; when "11" & x"4c9" => data <= x"60"; when "11" & x"4ca" => data <= x"98"; when "11" & x"4cb" => data <= x"30"; when "11" & x"4cc" => data <= x"02"; when "11" & x"4cd" => data <= x"d0"; when "11" & x"4ce" => data <= x"02"; when "11" & x"4cf" => data <= x"a9"; when "11" & x"4d0" => data <= x"19"; when "11" & x"4d1" => data <= x"8d"; when "11" & x"4d2" => data <= x"d1"; when "11" & x"4d3" => data <= x"03"; when "11" & x"4d4" => data <= x"60"; when "11" & x"4d5" => data <= x"a8"; when "11" & x"4d6" => data <= x"f0"; when "11" & x"4d7" => data <= x"ec"; when "11" & x"4d8" => data <= x"a1"; when "11" & x"4d9" => data <= x"00"; when "11" & x"4da" => data <= x"22"; when "11" & x"4db" => data <= x"11"; when "11" & x"4dc" => data <= x"00"; when "11" & x"4dd" => data <= x"88"; when "11" & x"4de" => data <= x"cc"; when "11" & x"4df" => data <= x"aa"; when "11" & x"4e0" => data <= x"c6"; when "11" & x"4e1" => data <= x"c0"; when "11" & x"4e2" => data <= x"ad"; when "11" & x"4e3" => data <= x"47"; when "11" & x"4e4" => data <= x"02"; when "11" & x"4e5" => data <= x"f0"; when "11" & x"4e6" => data <= x"07"; when "11" & x"4e7" => data <= x"20"; when "11" & x"4e8" => data <= x"a6"; when "11" & x"4e9" => data <= x"ea"; when "11" & x"4ea" => data <= x"a8"; when "11" & x"4eb" => data <= x"18"; when "11" & x"4ec" => data <= x"90"; when "11" & x"4ed" => data <= x"1c"; when "11" & x"4ee" => data <= x"8a"; when "11" & x"4ef" => data <= x"48"; when "11" & x"4f0" => data <= x"29"; when "11" & x"4f1" => data <= x"20"; when "11" & x"4f2" => data <= x"f0"; when "11" & x"4f3" => data <= x"0b"; when "11" & x"4f4" => data <= x"a4"; when "11" & x"4f5" => data <= x"ca"; when "11" & x"4f6" => data <= x"f0"; when "11" & x"4f7" => data <= x"07"; when "11" & x"4f8" => data <= x"68"; when "11" & x"4f9" => data <= x"a5"; when "11" & x"4fa" => data <= x"bd"; when "11" & x"4fb" => data <= x"8d"; when "11" & x"4fc" => data <= x"04"; when "11" & x"4fd" => data <= x"fe"; when "11" & x"4fe" => data <= x"60"; when "11" & x"4ff" => data <= x"ac"; when "11" & x"500" => data <= x"04"; when "11" & x"501" => data <= x"fe"; when "11" & x"502" => data <= x"a9"; when "11" & x"503" => data <= x"40"; when "11" & x"504" => data <= x"8d"; when "11" & x"505" => data <= x"05"; when "11" & x"506" => data <= x"fe"; when "11" & x"507" => data <= x"68"; when "11" & x"508" => data <= x"0a"; when "11" & x"509" => data <= x"0a"; when "11" & x"50a" => data <= x"a6"; when "11" & x"50b" => data <= x"c2"; when "11" & x"50c" => data <= x"f0"; when "11" & x"50d" => data <= x"69"; when "11" & x"50e" => data <= x"ca"; when "11" & x"50f" => data <= x"d0"; when "11" & x"510" => data <= x"06"; when "11" & x"511" => data <= x"90"; when "11" & x"512" => data <= x"64"; when "11" & x"513" => data <= x"a0"; when "11" & x"514" => data <= x"02"; when "11" & x"515" => data <= x"d0"; when "11" & x"516" => data <= x"5e"; when "11" & x"517" => data <= x"ca"; when "11" & x"518" => data <= x"d0"; when "11" & x"519" => data <= x"13"; when "11" & x"51a" => data <= x"b0"; when "11" & x"51b" => data <= x"5b"; when "11" & x"51c" => data <= x"98"; when "11" & x"51d" => data <= x"20"; when "11" & x"51e" => data <= x"09"; when "11" & x"51f" => data <= x"fb"; when "11" & x"520" => data <= x"a0"; when "11" & x"521" => data <= x"03"; when "11" & x"522" => data <= x"c9"; when "11" & x"523" => data <= x"2a"; when "11" & x"524" => data <= x"f0"; when "11" & x"525" => data <= x"4f"; when "11" & x"526" => data <= x"20"; when "11" & x"527" => data <= x"cd"; when "11" & x"528" => data <= x"fa"; when "11" & x"529" => data <= x"a0"; when "11" & x"52a" => data <= x"01"; when "11" & x"52b" => data <= x"d0"; when "11" & x"52c" => data <= x"48"; when "11" & x"52d" => data <= x"ca"; when "11" & x"52e" => data <= x"d0"; when "11" & x"52f" => data <= x"0c"; when "11" & x"530" => data <= x"b0"; when "11" & x"531" => data <= x"04"; when "11" & x"532" => data <= x"84"; when "11" & x"533" => data <= x"bd"; when "11" & x"534" => data <= x"f0"; when "11" & x"535" => data <= x"41"; when "11" & x"536" => data <= x"a9"; when "11" & x"537" => data <= x"80"; when "11" & x"538" => data <= x"85"; when "11" & x"539" => data <= x"c0"; when "11" & x"53a" => data <= x"d0"; when "11" & x"53b" => data <= x"3b"; when "11" & x"53c" => data <= x"ca"; when "11" & x"53d" => data <= x"d0"; when "11" & x"53e" => data <= x"29"; when "11" & x"53f" => data <= x"b0"; when "11" & x"540" => data <= x"2f"; when "11" & x"541" => data <= x"98"; when "11" & x"542" => data <= x"20"; when "11" & x"543" => data <= x"10"; when "11" & x"544" => data <= x"f7"; when "11" & x"545" => data <= x"a4"; when "11" & x"546" => data <= x"bc"; when "11" & x"547" => data <= x"e6"; when "11" & x"548" => data <= x"bc"; when "11" & x"549" => data <= x"24"; when "11" & x"54a" => data <= x"bd"; when "11" & x"54b" => data <= x"30"; when "11" & x"54c" => data <= x"0d"; when "11" & x"54d" => data <= x"20"; when "11" & x"54e" => data <= x"4d"; when "11" & x"54f" => data <= x"fb"; when "11" & x"550" => data <= x"f0"; when "11" & x"551" => data <= x"05"; when "11" & x"552" => data <= x"8e"; when "11" & x"553" => data <= x"e5"; when "11" & x"554" => data <= x"fc"; when "11" & x"555" => data <= x"d0"; when "11" & x"556" => data <= x"03"; when "11" & x"557" => data <= x"8a"; when "11" & x"558" => data <= x"91"; when "11" & x"559" => data <= x"b0"; when "11" & x"55a" => data <= x"c8"; when "11" & x"55b" => data <= x"cc"; when "11" & x"55c" => data <= x"c8"; when "11" & x"55d" => data <= x"03"; when "11" & x"55e" => data <= x"d0"; when "11" & x"55f" => data <= x"17"; when "11" & x"560" => data <= x"a9"; when "11" & x"561" => data <= x"01"; when "11" & x"562" => data <= x"85"; when "11" & x"563" => data <= x"bc"; when "11" & x"564" => data <= x"a0"; when "11" & x"565" => data <= x"05"; when "11" & x"566" => data <= x"d0"; when "11" & x"567" => data <= x"0d"; when "11" & x"568" => data <= x"98"; when "11" & x"569" => data <= x"20"; when "11" & x"56a" => data <= x"10"; when "11" & x"56b" => data <= x"f7"; when "11" & x"56c" => data <= x"c6"; when "11" & x"56d" => data <= x"bc"; when "11" & x"56e" => data <= x"10"; when "11" & x"56f" => data <= x"07"; when "11" & x"570" => data <= x"20"; when "11" & x"571" => data <= x"b0"; when "11" & x"572" => data <= x"fa"; when "11" & x"573" => data <= x"a0"; when "11" & x"574" => data <= x"00"; when "11" & x"575" => data <= x"84"; when "11" & x"576" => data <= x"c2"; when "11" & x"577" => data <= x"60"; when "11" & x"578" => data <= x"48"; when "11" & x"579" => data <= x"98"; when "11" & x"57a" => data <= x"48"; when "11" & x"57b" => data <= x"8a"; when "11" & x"57c" => data <= x"a8"; when "11" & x"57d" => data <= x"a9"; when "11" & x"57e" => data <= x"03"; when "11" & x"57f" => data <= x"20"; when "11" & x"580" => data <= x"2d"; when "11" & x"581" => data <= x"fb"; when "11" & x"582" => data <= x"a5"; when "11" & x"583" => data <= x"e2"; when "11" & x"584" => data <= x"29"; when "11" & x"585" => data <= x"40"; when "11" & x"586" => data <= x"aa"; when "11" & x"587" => data <= x"68"; when "11" & x"588" => data <= x"a8"; when "11" & x"589" => data <= x"68"; when "11" & x"58a" => data <= x"60"; when "11" & x"58b" => data <= x"a9"; when "11" & x"58c" => data <= x"00"; when "11" & x"58d" => data <= x"85"; when "11" & x"58e" => data <= x"b4"; when "11" & x"58f" => data <= x"85"; when "11" & x"590" => data <= x"b5"; when "11" & x"591" => data <= x"a5"; when "11" & x"592" => data <= x"b4"; when "11" & x"593" => data <= x"48"; when "11" & x"594" => data <= x"85"; when "11" & x"595" => data <= x"b6"; when "11" & x"596" => data <= x"a5"; when "11" & x"597" => data <= x"b5"; when "11" & x"598" => data <= x"48"; when "11" & x"599" => data <= x"85"; when "11" & x"59a" => data <= x"b7"; when "11" & x"59b" => data <= x"20"; when "11" & x"59c" => data <= x"b6"; when "11" & x"59d" => data <= x"f9"; when "11" & x"59e" => data <= x"53"; when "11" & x"59f" => data <= x"65"; when "11" & x"5a0" => data <= x"61"; when "11" & x"5a1" => data <= x"72"; when "11" & x"5a2" => data <= x"63"; when "11" & x"5a3" => data <= x"68"; when "11" & x"5a4" => data <= x"69"; when "11" & x"5a5" => data <= x"6e"; when "11" & x"5a6" => data <= x"67"; when "11" & x"5a7" => data <= x"0d"; when "11" & x"5a8" => data <= x"00"; when "11" & x"5a9" => data <= x"a9"; when "11" & x"5aa" => data <= x"ff"; when "11" & x"5ab" => data <= x"20"; when "11" & x"5ac" => data <= x"9e"; when "11" & x"5ad" => data <= x"f2"; when "11" & x"5ae" => data <= x"68"; when "11" & x"5af" => data <= x"85"; when "11" & x"5b0" => data <= x"b5"; when "11" & x"5b1" => data <= x"68"; when "11" & x"5b2" => data <= x"85"; when "11" & x"5b3" => data <= x"b4"; when "11" & x"5b4" => data <= x"a5"; when "11" & x"5b5" => data <= x"b6"; when "11" & x"5b6" => data <= x"05"; when "11" & x"5b7" => data <= x"b7"; when "11" & x"5b8" => data <= x"d0"; when "11" & x"5b9" => data <= x"28"; when "11" & x"5ba" => data <= x"85"; when "11" & x"5bb" => data <= x"b4"; when "11" & x"5bc" => data <= x"85"; when "11" & x"5bd" => data <= x"b5"; when "11" & x"5be" => data <= x"ad"; when "11" & x"5bf" => data <= x"47"; when "11" & x"5c0" => data <= x"02"; when "11" & x"5c1" => data <= x"f0"; when "11" & x"5c2" => data <= x"16"; when "11" & x"5c3" => data <= x"70"; when "11" & x"5c4" => data <= x"14"; when "11" & x"5c5" => data <= x"20"; when "11" & x"5c6" => data <= x"6d"; when "11" & x"5c7" => data <= x"fa"; when "11" & x"5c8" => data <= x"00"; when "11" & x"5c9" => data <= x"d6"; when "11" & x"5ca" => data <= x"46"; when "11" & x"5cb" => data <= x"69"; when "11" & x"5cc" => data <= x"6c"; when "11" & x"5cd" => data <= x"65"; when "11" & x"5ce" => data <= x"20"; when "11" & x"5cf" => data <= x"6e"; when "11" & x"5d0" => data <= x"6f"; when "11" & x"5d1" => data <= x"74"; when "11" & x"5d2" => data <= x"20"; when "11" & x"5d3" => data <= x"66"; when "11" & x"5d4" => data <= x"6f"; when "11" & x"5d5" => data <= x"75"; when "11" & x"5d6" => data <= x"6e"; when "11" & x"5d7" => data <= x"64"; when "11" & x"5d8" => data <= x"00"; when "11" & x"5d9" => data <= x"a5"; when "11" & x"5da" => data <= x"c1"; when "11" & x"5db" => data <= x"d0"; when "11" & x"5dc" => data <= x"05"; when "11" & x"5dd" => data <= x"a2"; when "11" & x"5de" => data <= x"b1"; when "11" & x"5df" => data <= x"20"; when "11" & x"5e0" => data <= x"12"; when "11" & x"5e1" => data <= x"fb"; when "11" & x"5e2" => data <= x"a0"; when "11" & x"5e3" => data <= x"ff"; when "11" & x"5e4" => data <= x"8c"; when "11" & x"5e5" => data <= x"df"; when "11" & x"5e6" => data <= x"03"; when "11" & x"5e7" => data <= x"60"; when "11" & x"5e8" => data <= x"a9"; when "11" & x"5e9" => data <= x"00"; when "11" & x"5ea" => data <= x"08"; when "11" & x"5eb" => data <= x"84"; when "11" & x"5ec" => data <= x"e6"; when "11" & x"5ed" => data <= x"ac"; when "11" & x"5ee" => data <= x"56"; when "11" & x"5ef" => data <= x"02"; when "11" & x"5f0" => data <= x"8d"; when "11" & x"5f1" => data <= x"56"; when "11" & x"5f2" => data <= x"02"; when "11" & x"5f3" => data <= x"f0"; when "11" & x"5f4" => data <= x"03"; when "11" & x"5f5" => data <= x"20"; when "11" & x"5f6" => data <= x"ce"; when "11" & x"5f7" => data <= x"ff"; when "11" & x"5f8" => data <= x"a4"; when "11" & x"5f9" => data <= x"e6"; when "11" & x"5fa" => data <= x"28"; when "11" & x"5fb" => data <= x"f0"; when "11" & x"5fc" => data <= x"0b"; when "11" & x"5fd" => data <= x"a9"; when "11" & x"5fe" => data <= x"40"; when "11" & x"5ff" => data <= x"20"; when "11" & x"600" => data <= x"ce"; when "11" & x"601" => data <= x"ff"; when "11" & x"602" => data <= x"a8"; when "11" & x"603" => data <= x"f0"; when "11" & x"604" => data <= x"c0"; when "11" & x"605" => data <= x"8d"; when "11" & x"606" => data <= x"56"; when "11" & x"607" => data <= x"02"; when "11" & x"608" => data <= x"60"; when "11" & x"609" => data <= x"a2"; when "11" & x"60a" => data <= x"a6"; when "11" & x"60b" => data <= x"20"; when "11" & x"60c" => data <= x"12"; when "11" & x"60d" => data <= x"fb"; when "11" & x"60e" => data <= x"20"; when "11" & x"60f" => data <= x"db"; when "11" & x"610" => data <= x"f6"; when "11" & x"611" => data <= x"ad"; when "11" & x"612" => data <= x"ca"; when "11" & x"613" => data <= x"03"; when "11" & x"614" => data <= x"4a"; when "11" & x"615" => data <= x"90"; when "11" & x"616" => data <= x"03"; when "11" & x"617" => data <= x"4c"; when "11" & x"618" => data <= x"2d"; when "11" & x"619" => data <= x"f1"; when "11" & x"61a" => data <= x"ad"; when "11" & x"61b" => data <= x"dd"; when "11" & x"61c" => data <= x"03"; when "11" & x"61d" => data <= x"85"; when "11" & x"61e" => data <= x"b4"; when "11" & x"61f" => data <= x"ad"; when "11" & x"620" => data <= x"de"; when "11" & x"621" => data <= x"03"; when "11" & x"622" => data <= x"85"; when "11" & x"623" => data <= x"b5"; when "11" & x"624" => data <= x"a9"; when "11" & x"625" => data <= x"00"; when "11" & x"626" => data <= x"85"; when "11" & x"627" => data <= x"b0"; when "11" & x"628" => data <= x"a9"; when "11" & x"629" => data <= x"0a"; when "11" & x"62a" => data <= x"85"; when "11" & x"62b" => data <= x"b1"; when "11" & x"62c" => data <= x"a9"; when "11" & x"62d" => data <= x"ff"; when "11" & x"62e" => data <= x"85"; when "11" & x"62f" => data <= x"b2"; when "11" & x"630" => data <= x"85"; when "11" & x"631" => data <= x"b3"; when "11" & x"632" => data <= x"20"; when "11" & x"633" => data <= x"35"; when "11" & x"634" => data <= x"f7"; when "11" & x"635" => data <= x"20"; when "11" & x"636" => data <= x"24"; when "11" & x"637" => data <= x"f9"; when "11" & x"638" => data <= x"d0"; when "11" & x"639" => data <= x"25"; when "11" & x"63a" => data <= x"ad"; when "11" & x"63b" => data <= x"ff"; when "11" & x"63c" => data <= x"0a"; when "11" & x"63d" => data <= x"8d"; when "11" & x"63e" => data <= x"e1"; when "11" & x"63f" => data <= x"02"; when "11" & x"640" => data <= x"20"; when "11" & x"641" => data <= x"fa"; when "11" & x"642" => data <= x"fa"; when "11" & x"643" => data <= x"8e"; when "11" & x"644" => data <= x"dd"; when "11" & x"645" => data <= x"03"; when "11" & x"646" => data <= x"8c"; when "11" & x"647" => data <= x"de"; when "11" & x"648" => data <= x"03"; when "11" & x"649" => data <= x"a2"; when "11" & x"64a" => data <= x"02"; when "11" & x"64b" => data <= x"bd"; when "11" & x"64c" => data <= x"c8"; when "11" & x"64d" => data <= x"03"; when "11" & x"64e" => data <= x"9d"; when "11" & x"64f" => data <= x"de"; when "11" & x"650" => data <= x"02"; when "11" & x"651" => data <= x"ca"; when "11" & x"652" => data <= x"10"; when "11" & x"653" => data <= x"f7"; when "11" & x"654" => data <= x"2c"; when "11" & x"655" => data <= x"e0"; when "11" & x"656" => data <= x"02"; when "11" & x"657" => data <= x"10"; when "11" & x"658" => data <= x"03"; when "11" & x"659" => data <= x"20"; when "11" & x"65a" => data <= x"a0"; when "11" & x"65b" => data <= x"f1"; when "11" & x"65c" => data <= x"4c"; when "11" & x"65d" => data <= x"63"; when "11" & x"65e" => data <= x"fa"; when "11" & x"65f" => data <= x"20"; when "11" & x"660" => data <= x"91"; when "11" & x"661" => data <= x"f5"; when "11" & x"662" => data <= x"d0"; when "11" & x"663" => data <= x"ad"; when "11" & x"664" => data <= x"a9"; when "11" & x"665" => data <= x"f7"; when "11" & x"666" => data <= x"20"; when "11" & x"667" => data <= x"93"; when "11" & x"668" => data <= x"f2"; when "11" & x"669" => data <= x"20"; when "11" & x"66a" => data <= x"6d"; when "11" & x"66b" => data <= x"fa"; when "11" & x"66c" => data <= x"00"; when "11" & x"66d" => data <= x"d7"; when "11" & x"66e" => data <= x"42"; when "11" & x"66f" => data <= x"61"; when "11" & x"670" => data <= x"64"; when "11" & x"671" => data <= x"20"; when "11" & x"672" => data <= x"52"; when "11" & x"673" => data <= x"4f"; when "11" & x"674" => data <= x"4d"; when "11" & x"675" => data <= x"00"; when "11" & x"676" => data <= x"c9"; when "11" & x"677" => data <= x"2a"; when "11" & x"678" => data <= x"f0"; when "11" & x"679" => data <= x"28"; when "11" & x"67a" => data <= x"c9"; when "11" & x"67b" => data <= x"23"; when "11" & x"67c" => data <= x"d0"; when "11" & x"67d" => data <= x"e6"; when "11" & x"67e" => data <= x"ee"; when "11" & x"67f" => data <= x"c6"; when "11" & x"680" => data <= x"03"; when "11" & x"681" => data <= x"d0"; when "11" & x"682" => data <= x"03"; when "11" & x"683" => data <= x"ee"; when "11" & x"684" => data <= x"c7"; when "11" & x"685" => data <= x"03"; when "11" & x"686" => data <= x"a2"; when "11" & x"687" => data <= x"ff"; when "11" & x"688" => data <= x"2c"; when "11" & x"689" => data <= x"bc"; when "11" & x"68a" => data <= x"d8"; when "11" & x"68b" => data <= x"d0"; when "11" & x"68c" => data <= x"46"; when "11" & x"68d" => data <= x"a0"; when "11" & x"68e" => data <= x"ff"; when "11" & x"68f" => data <= x"20"; when "11" & x"690" => data <= x"21"; when "11" & x"691" => data <= x"fb"; when "11" & x"692" => data <= x"a9"; when "11" & x"693" => data <= x"01"; when "11" & x"694" => data <= x"85"; when "11" & x"695" => data <= x"c2"; when "11" & x"696" => data <= x"20"; when "11" & x"697" => data <= x"cd"; when "11" & x"698" => data <= x"fa"; when "11" & x"699" => data <= x"20"; when "11" & x"69a" => data <= x"05"; when "11" & x"69b" => data <= x"f9"; when "11" & x"69c" => data <= x"a9"; when "11" & x"69d" => data <= x"03"; when "11" & x"69e" => data <= x"c5"; when "11" & x"69f" => data <= x"c2"; when "11" & x"6a0" => data <= x"d0"; when "11" & x"6a1" => data <= x"f7"; when "11" & x"6a2" => data <= x"a0"; when "11" & x"6a3" => data <= x"00"; when "11" & x"6a4" => data <= x"20"; when "11" & x"6a5" => data <= x"0d"; when "11" & x"6a6" => data <= x"fb"; when "11" & x"6a7" => data <= x"20"; when "11" & x"6a8" => data <= x"f7"; when "11" & x"6a9" => data <= x"f6"; when "11" & x"6aa" => data <= x"50"; when "11" & x"6ab" => data <= x"1a"; when "11" & x"6ac" => data <= x"99"; when "11" & x"6ad" => data <= x"b2"; when "11" & x"6ae" => data <= x"03"; when "11" & x"6af" => data <= x"f0"; when "11" & x"6b0" => data <= x"06"; when "11" & x"6b1" => data <= x"c8"; when "11" & x"6b2" => data <= x"c0"; when "11" & x"6b3" => data <= x"0b"; when "11" & x"6b4" => data <= x"d0"; when "11" & x"6b5" => data <= x"f1"; when "11" & x"6b6" => data <= x"88"; when "11" & x"6b7" => data <= x"a2"; when "11" & x"6b8" => data <= x"0c"; when "11" & x"6b9" => data <= x"20"; when "11" & x"6ba" => data <= x"f7"; when "11" & x"6bb" => data <= x"f6"; when "11" & x"6bc" => data <= x"50"; when "11" & x"6bd" => data <= x"08"; when "11" & x"6be" => data <= x"9d"; when "11" & x"6bf" => data <= x"b2"; when "11" & x"6c0" => data <= x"03"; when "11" & x"6c1" => data <= x"e8"; when "11" & x"6c2" => data <= x"e0"; when "11" & x"6c3" => data <= x"1f"; when "11" & x"6c4" => data <= x"d0"; when "11" & x"6c5" => data <= x"f3"; when "11" & x"6c6" => data <= x"98"; when "11" & x"6c7" => data <= x"aa"; when "11" & x"6c8" => data <= x"a9"; when "11" & x"6c9" => data <= x"00"; when "11" & x"6ca" => data <= x"99"; when "11" & x"6cb" => data <= x"b2"; when "11" & x"6cc" => data <= x"03"; when "11" & x"6cd" => data <= x"a5"; when "11" & x"6ce" => data <= x"be"; when "11" & x"6cf" => data <= x"05"; when "11" & x"6d0" => data <= x"bf"; when "11" & x"6d1" => data <= x"85"; when "11" & x"6d2" => data <= x"c1"; when "11" & x"6d3" => data <= x"20"; when "11" & x"6d4" => data <= x"09"; when "11" & x"6d5" => data <= x"fb"; when "11" & x"6d6" => data <= x"84"; when "11" & x"6d7" => data <= x"c2"; when "11" & x"6d8" => data <= x"8a"; when "11" & x"6d9" => data <= x"d0"; when "11" & x"6da" => data <= x"59"; when "11" & x"6db" => data <= x"ad"; when "11" & x"6dc" => data <= x"47"; when "11" & x"6dd" => data <= x"02"; when "11" & x"6de" => data <= x"f0"; when "11" & x"6df" => data <= x"ad"; when "11" & x"6e0" => data <= x"20"; when "11" & x"6e1" => data <= x"a6"; when "11" & x"6e2" => data <= x"ea"; when "11" & x"6e3" => data <= x"c9"; when "11" & x"6e4" => data <= x"2b"; when "11" & x"6e5" => data <= x"d0"; when "11" & x"6e6" => data <= x"8f"; when "11" & x"6e7" => data <= x"a9"; when "11" & x"6e8" => data <= x"08"; when "11" & x"6e9" => data <= x"25"; when "11" & x"6ea" => data <= x"e2"; when "11" & x"6eb" => data <= x"f0"; when "11" & x"6ec" => data <= x"03"; when "11" & x"6ed" => data <= x"20"; when "11" & x"6ee" => data <= x"a4"; when "11" & x"6ef" => data <= x"f1"; when "11" & x"6f0" => data <= x"20"; when "11" & x"6f1" => data <= x"97"; when "11" & x"6f2" => data <= x"ea"; when "11" & x"6f3" => data <= x"90"; when "11" & x"6f4" => data <= x"eb"; when "11" & x"6f5" => data <= x"b8"; when "11" & x"6f6" => data <= x"60"; when "11" & x"6f7" => data <= x"ad"; when "11" & x"6f8" => data <= x"47"; when "11" & x"6f9" => data <= x"02"; when "11" & x"6fa" => data <= x"f0"; when "11" & x"6fb" => data <= x"11"; when "11" & x"6fc" => data <= x"8a"; when "11" & x"6fd" => data <= x"48"; when "11" & x"6fe" => data <= x"98"; when "11" & x"6ff" => data <= x"48"; when "11" & x"700" => data <= x"20"; when "11" & x"701" => data <= x"a6"; when "11" & x"702" => data <= x"ea"; when "11" & x"703" => data <= x"85"; when "11" & x"704" => data <= x"bd"; when "11" & x"705" => data <= x"a9"; when "11" & x"706" => data <= x"ff"; when "11" & x"707" => data <= x"85"; when "11" & x"708" => data <= x"c0"; when "11" & x"709" => data <= x"68"; when "11" & x"70a" => data <= x"a8"; when "11" & x"70b" => data <= x"68"; when "11" & x"70c" => data <= x"aa"; when "11" & x"70d" => data <= x"20"; when "11" & x"70e" => data <= x"e5"; when "11" & x"70f" => data <= x"f7"; when "11" & x"710" => data <= x"08"; when "11" & x"711" => data <= x"48"; when "11" & x"712" => data <= x"38"; when "11" & x"713" => data <= x"66"; when "11" & x"714" => data <= x"cb"; when "11" & x"715" => data <= x"45"; when "11" & x"716" => data <= x"bf"; when "11" & x"717" => data <= x"85"; when "11" & x"718" => data <= x"bf"; when "11" & x"719" => data <= x"a5"; when "11" & x"71a" => data <= x"bf"; when "11" & x"71b" => data <= x"2a"; when "11" & x"71c" => data <= x"90"; when "11" & x"71d" => data <= x"0c"; when "11" & x"71e" => data <= x"6a"; when "11" & x"71f" => data <= x"49"; when "11" & x"720" => data <= x"08"; when "11" & x"721" => data <= x"85"; when "11" & x"722" => data <= x"bf"; when "11" & x"723" => data <= x"a5"; when "11" & x"724" => data <= x"be"; when "11" & x"725" => data <= x"49"; when "11" & x"726" => data <= x"10"; when "11" & x"727" => data <= x"85"; when "11" & x"728" => data <= x"be"; when "11" & x"729" => data <= x"38"; when "11" & x"72a" => data <= x"26"; when "11" & x"72b" => data <= x"be"; when "11" & x"72c" => data <= x"26"; when "11" & x"72d" => data <= x"bf"; when "11" & x"72e" => data <= x"46"; when "11" & x"72f" => data <= x"cb"; when "11" & x"730" => data <= x"d0"; when "11" & x"731" => data <= x"e7"; when "11" & x"732" => data <= x"68"; when "11" & x"733" => data <= x"28"; when "11" & x"734" => data <= x"60"; when "11" & x"735" => data <= x"a9"; when "11" & x"736" => data <= x"00"; when "11" & x"737" => data <= x"85"; when "11" & x"738" => data <= x"bd"; when "11" & x"739" => data <= x"a2"; when "11" & x"73a" => data <= x"00"; when "11" & x"73b" => data <= x"86"; when "11" & x"73c" => data <= x"bc"; when "11" & x"73d" => data <= x"50"; when "11" & x"73e" => data <= x"0a"; when "11" & x"73f" => data <= x"ad"; when "11" & x"740" => data <= x"c8"; when "11" & x"741" => data <= x"03"; when "11" & x"742" => data <= x"0d"; when "11" & x"743" => data <= x"c9"; when "11" & x"744" => data <= x"03"; when "11" & x"745" => data <= x"f0"; when "11" & x"746" => data <= x"02"; when "11" & x"747" => data <= x"a2"; when "11" & x"748" => data <= x"04"; when "11" & x"749" => data <= x"86"; when "11" & x"74a" => data <= x"c2"; when "11" & x"74b" => data <= x"60"; when "11" & x"74c" => data <= x"08"; when "11" & x"74d" => data <= x"a2"; when "11" & x"74e" => data <= x"03"; when "11" & x"74f" => data <= x"a9"; when "11" & x"750" => data <= x"00"; when "11" & x"751" => data <= x"9d"; when "11" & x"752" => data <= x"cb"; when "11" & x"753" => data <= x"03"; when "11" & x"754" => data <= x"ca"; when "11" & x"755" => data <= x"10"; when "11" & x"756" => data <= x"fa"; when "11" & x"757" => data <= x"ad"; when "11" & x"758" => data <= x"c6"; when "11" & x"759" => data <= x"03"; when "11" & x"75a" => data <= x"0d"; when "11" & x"75b" => data <= x"c7"; when "11" & x"75c" => data <= x"03"; when "11" & x"75d" => data <= x"d0"; when "11" & x"75e" => data <= x"05"; when "11" & x"75f" => data <= x"20"; when "11" & x"760" => data <= x"f3"; when "11" & x"761" => data <= x"f7"; when "11" & x"762" => data <= x"f0"; when "11" & x"763" => data <= x"03"; when "11" & x"764" => data <= x"20"; when "11" & x"765" => data <= x"f7"; when "11" & x"766" => data <= x"f7"; when "11" & x"767" => data <= x"a9"; when "11" & x"768" => data <= x"2a"; when "11" & x"769" => data <= x"85"; when "11" & x"76a" => data <= x"bd"; when "11" & x"76b" => data <= x"20"; when "11" & x"76c" => data <= x"09"; when "11" & x"76d" => data <= x"fb"; when "11" & x"76e" => data <= x"20"; when "11" & x"76f" => data <= x"bf"; when "11" & x"770" => data <= x"fa"; when "11" & x"771" => data <= x"20"; when "11" & x"772" => data <= x"e5"; when "11" & x"773" => data <= x"f7"; when "11" & x"774" => data <= x"a0"; when "11" & x"775" => data <= x"ff"; when "11" & x"776" => data <= x"c8"; when "11" & x"777" => data <= x"b9"; when "11" & x"778" => data <= x"d2"; when "11" & x"779" => data <= x"03"; when "11" & x"77a" => data <= x"99"; when "11" & x"77b" => data <= x"b2"; when "11" & x"77c" => data <= x"03"; when "11" & x"77d" => data <= x"20"; when "11" & x"77e" => data <= x"d6"; when "11" & x"77f" => data <= x"f7"; when "11" & x"780" => data <= x"d0"; when "11" & x"781" => data <= x"f4"; when "11" & x"782" => data <= x"a2"; when "11" & x"783" => data <= x"0c"; when "11" & x"784" => data <= x"bd"; when "11" & x"785" => data <= x"b2"; when "11" & x"786" => data <= x"03"; when "11" & x"787" => data <= x"20"; when "11" & x"788" => data <= x"d6"; when "11" & x"789" => data <= x"f7"; when "11" & x"78a" => data <= x"e8"; when "11" & x"78b" => data <= x"e0"; when "11" & x"78c" => data <= x"1d"; when "11" & x"78d" => data <= x"d0"; when "11" & x"78e" => data <= x"f5"; when "11" & x"78f" => data <= x"20"; when "11" & x"790" => data <= x"dc"; when "11" & x"791" => data <= x"f7"; when "11" & x"792" => data <= x"ad"; when "11" & x"793" => data <= x"c8"; when "11" & x"794" => data <= x"03"; when "11" & x"795" => data <= x"0d"; when "11" & x"796" => data <= x"c9"; when "11" & x"797" => data <= x"03"; when "11" & x"798" => data <= x"f0"; when "11" & x"799" => data <= x"1c"; when "11" & x"79a" => data <= x"a0"; when "11" & x"79b" => data <= x"00"; when "11" & x"79c" => data <= x"20"; when "11" & x"79d" => data <= x"0d"; when "11" & x"79e" => data <= x"fb"; when "11" & x"79f" => data <= x"b1"; when "11" & x"7a0" => data <= x"b0"; when "11" & x"7a1" => data <= x"20"; when "11" & x"7a2" => data <= x"4d"; when "11" & x"7a3" => data <= x"fb"; when "11" & x"7a4" => data <= x"f0"; when "11" & x"7a5" => data <= x"03"; when "11" & x"7a6" => data <= x"ae"; when "11" & x"7a7" => data <= x"e5"; when "11" & x"7a8" => data <= x"fc"; when "11" & x"7a9" => data <= x"8a"; when "11" & x"7aa" => data <= x"20"; when "11" & x"7ab" => data <= x"d6"; when "11" & x"7ac" => data <= x"f7"; when "11" & x"7ad" => data <= x"c8"; when "11" & x"7ae" => data <= x"cc"; when "11" & x"7af" => data <= x"c8"; when "11" & x"7b0" => data <= x"03"; when "11" & x"7b1" => data <= x"d0"; when "11" & x"7b2" => data <= x"ec"; when "11" & x"7b3" => data <= x"20"; when "11" & x"7b4" => data <= x"dc"; when "11" & x"7b5" => data <= x"f7"; when "11" & x"7b6" => data <= x"2c"; when "11" & x"7b7" => data <= x"e5"; when "11" & x"7b8" => data <= x"f7"; when "11" & x"7b9" => data <= x"2c"; when "11" & x"7ba" => data <= x"e5"; when "11" & x"7bb" => data <= x"f7"; when "11" & x"7bc" => data <= x"20"; when "11" & x"7bd" => data <= x"b0"; when "11" & x"7be" => data <= x"fa"; when "11" & x"7bf" => data <= x"a9"; when "11" & x"7c0" => data <= x"01"; when "11" & x"7c1" => data <= x"20"; when "11" & x"7c2" => data <= x"f9"; when "11" & x"7c3" => data <= x"f7"; when "11" & x"7c4" => data <= x"28"; when "11" & x"7c5" => data <= x"20"; when "11" & x"7c6" => data <= x"1a"; when "11" & x"7c7" => data <= x"f8"; when "11" & x"7c8" => data <= x"2c"; when "11" & x"7c9" => data <= x"ca"; when "11" & x"7ca" => data <= x"03"; when "11" & x"7cb" => data <= x"10"; when "11" & x"7cc" => data <= x"08"; when "11" & x"7cd" => data <= x"08"; when "11" & x"7ce" => data <= x"20"; when "11" & x"7cf" => data <= x"f3"; when "11" & x"7d0" => data <= x"f7"; when "11" & x"7d1" => data <= x"20"; when "11" & x"7d2" => data <= x"9d"; when "11" & x"7d3" => data <= x"f1"; when "11" & x"7d4" => data <= x"28"; when "11" & x"7d5" => data <= x"60"; when "11" & x"7d6" => data <= x"20"; when "11" & x"7d7" => data <= x"e3"; when "11" & x"7d8" => data <= x"f7"; when "11" & x"7d9" => data <= x"4c"; when "11" & x"7da" => data <= x"10"; when "11" & x"7db" => data <= x"f7"; when "11" & x"7dc" => data <= x"a5"; when "11" & x"7dd" => data <= x"bf"; when "11" & x"7de" => data <= x"20"; when "11" & x"7df" => data <= x"e3"; when "11" & x"7e0" => data <= x"f7"; when "11" & x"7e1" => data <= x"a5"; when "11" & x"7e2" => data <= x"be"; when "11" & x"7e3" => data <= x"85"; when "11" & x"7e4" => data <= x"bd"; when "11" & x"7e5" => data <= x"20"; when "11" & x"7e6" => data <= x"05"; when "11" & x"7e7" => data <= x"f9"; when "11" & x"7e8" => data <= x"24"; when "11" & x"7e9" => data <= x"c0"; when "11" & x"7ea" => data <= x"10"; when "11" & x"7eb" => data <= x"f9"; when "11" & x"7ec" => data <= x"a9"; when "11" & x"7ed" => data <= x"00"; when "11" & x"7ee" => data <= x"85"; when "11" & x"7ef" => data <= x"c0"; when "11" & x"7f0" => data <= x"a5"; when "11" & x"7f1" => data <= x"bd"; when "11" & x"7f2" => data <= x"60"; when "11" & x"7f3" => data <= x"a9"; when "11" & x"7f4" => data <= x"32"; when "11" & x"7f5" => data <= x"d0"; when "11" & x"7f6" => data <= x"02"; when "11" & x"7f7" => data <= x"a5"; when "11" & x"7f8" => data <= x"c7"; when "11" & x"7f9" => data <= x"a2"; when "11" & x"7fa" => data <= x"05"; when "11" & x"7fb" => data <= x"8d"; when "11" & x"7fc" => data <= x"40"; when "11" & x"7fd" => data <= x"02"; when "11" & x"7fe" => data <= x"20"; when "11" & x"7ff" => data <= x"05"; when "11" & x"800" => data <= x"f9"; when "11" & x"801" => data <= x"2c"; when "11" & x"802" => data <= x"40"; when "11" & x"803" => data <= x"02"; when "11" & x"804" => data <= x"10"; when "11" & x"805" => data <= x"f8"; when "11" & x"806" => data <= x"ca"; when "11" & x"807" => data <= x"d0"; when "11" & x"808" => data <= x"f2"; when "11" & x"809" => data <= x"60"; when "11" & x"80a" => data <= x"ad"; when "11" & x"80b" => data <= x"c6"; when "11" & x"80c" => data <= x"03"; when "11" & x"80d" => data <= x"0d"; when "11" & x"80e" => data <= x"c7"; when "11" & x"80f" => data <= x"03"; when "11" & x"810" => data <= x"f0"; when "11" & x"811" => data <= x"05"; when "11" & x"812" => data <= x"2c"; when "11" & x"813" => data <= x"df"; when "11" & x"814" => data <= x"03"; when "11" & x"815" => data <= x"10"; when "11" & x"816" => data <= x"03"; when "11" & x"817" => data <= x"20"; when "11" & x"818" => data <= x"a0"; when "11" & x"819" => data <= x"f1"; when "11" & x"81a" => data <= x"a2"; when "11" & x"81b" => data <= x"00"; when "11" & x"81c" => data <= x"86"; when "11" & x"81d" => data <= x"ba"; when "11" & x"81e" => data <= x"ad"; when "11" & x"81f" => data <= x"ca"; when "11" & x"820" => data <= x"03"; when "11" & x"821" => data <= x"8d"; when "11" & x"822" => data <= x"df"; when "11" & x"823" => data <= x"03"; when "11" & x"824" => data <= x"20"; when "11" & x"825" => data <= x"ad"; when "11" & x"826" => data <= x"e5"; when "11" & x"827" => data <= x"f0"; when "11" & x"828" => data <= x"69"; when "11" & x"829" => data <= x"a9"; when "11" & x"82a" => data <= x"0d"; when "11" & x"82b" => data <= x"20"; when "11" & x"82c" => data <= x"ee"; when "11" & x"82d" => data <= x"ff"; when "11" & x"82e" => data <= x"a0"; when "11" & x"82f" => data <= x"00"; when "11" & x"830" => data <= x"b9"; when "11" & x"831" => data <= x"b2"; when "11" & x"832" => data <= x"03"; when "11" & x"833" => data <= x"f0"; when "11" & x"834" => data <= x"10"; when "11" & x"835" => data <= x"c9"; when "11" & x"836" => data <= x"20"; when "11" & x"837" => data <= x"90"; when "11" & x"838" => data <= x"04"; when "11" & x"839" => data <= x"c9"; when "11" & x"83a" => data <= x"7f"; when "11" & x"83b" => data <= x"90"; when "11" & x"83c" => data <= x"02"; when "11" & x"83d" => data <= x"a9"; when "11" & x"83e" => data <= x"3f"; when "11" & x"83f" => data <= x"20"; when "11" & x"840" => data <= x"ee"; when "11" & x"841" => data <= x"ff"; when "11" & x"842" => data <= x"c8"; when "11" & x"843" => data <= x"d0"; when "11" & x"844" => data <= x"eb"; when "11" & x"845" => data <= x"ad"; when "11" & x"846" => data <= x"47"; when "11" & x"847" => data <= x"02"; when "11" & x"848" => data <= x"f0"; when "11" & x"849" => data <= x"04"; when "11" & x"84a" => data <= x"24"; when "11" & x"84b" => data <= x"bb"; when "11" & x"84c" => data <= x"50"; when "11" & x"84d" => data <= x"44"; when "11" & x"84e" => data <= x"20"; when "11" & x"84f" => data <= x"01"; when "11" & x"850" => data <= x"f9"; when "11" & x"851" => data <= x"c8"; when "11" & x"852" => data <= x"c0"; when "11" & x"853" => data <= x"0b"; when "11" & x"854" => data <= x"90"; when "11" & x"855" => data <= x"ef"; when "11" & x"856" => data <= x"ad"; when "11" & x"857" => data <= x"c6"; when "11" & x"858" => data <= x"03"; when "11" & x"859" => data <= x"aa"; when "11" & x"85a" => data <= x"20"; when "11" & x"85b" => data <= x"ea"; when "11" & x"85c" => data <= x"f8"; when "11" & x"85d" => data <= x"2c"; when "11" & x"85e" => data <= x"ca"; when "11" & x"85f" => data <= x"03"; when "11" & x"860" => data <= x"10"; when "11" & x"861" => data <= x"30"; when "11" & x"862" => data <= x"8a"; when "11" & x"863" => data <= x"18"; when "11" & x"864" => data <= x"6d"; when "11" & x"865" => data <= x"c9"; when "11" & x"866" => data <= x"03"; when "11" & x"867" => data <= x"20"; when "11" & x"868" => data <= x"e5"; when "11" & x"869" => data <= x"f8"; when "11" & x"86a" => data <= x"ad"; when "11" & x"86b" => data <= x"c8"; when "11" & x"86c" => data <= x"03"; when "11" & x"86d" => data <= x"20"; when "11" & x"86e" => data <= x"ea"; when "11" & x"86f" => data <= x"f8"; when "11" & x"870" => data <= x"24"; when "11" & x"871" => data <= x"bb"; when "11" & x"872" => data <= x"50"; when "11" & x"873" => data <= x"1e"; when "11" & x"874" => data <= x"a2"; when "11" & x"875" => data <= x"04"; when "11" & x"876" => data <= x"20"; when "11" & x"877" => data <= x"01"; when "11" & x"878" => data <= x"f9"; when "11" & x"879" => data <= x"ca"; when "11" & x"87a" => data <= x"d0"; when "11" & x"87b" => data <= x"fa"; when "11" & x"87c" => data <= x"a2"; when "11" & x"87d" => data <= x"0f"; when "11" & x"87e" => data <= x"20"; when "11" & x"87f" => data <= x"86"; when "11" & x"880" => data <= x"f8"; when "11" & x"881" => data <= x"20"; when "11" & x"882" => data <= x"01"; when "11" & x"883" => data <= x"f9"; when "11" & x"884" => data <= x"a2"; when "11" & x"885" => data <= x"13"; when "11" & x"886" => data <= x"a0"; when "11" & x"887" => data <= x"04"; when "11" & x"888" => data <= x"bd"; when "11" & x"889" => data <= x"b2"; when "11" & x"88a" => data <= x"03"; when "11" & x"88b" => data <= x"20"; when "11" & x"88c" => data <= x"ea"; when "11" & x"88d" => data <= x"f8"; when "11" & x"88e" => data <= x"ca"; when "11" & x"88f" => data <= x"88"; when "11" & x"890" => data <= x"d0"; when "11" & x"891" => data <= x"f6"; when "11" & x"892" => data <= x"60"; when "11" & x"893" => data <= x"ad"; when "11" & x"894" => data <= x"47"; when "11" & x"895" => data <= x"02"; when "11" & x"896" => data <= x"f0"; when "11" & x"897" => data <= x"03"; when "11" & x"898" => data <= x"4c"; when "11" & x"899" => data <= x"7e"; when "11" & x"89a" => data <= x"e0"; when "11" & x"89b" => data <= x"20"; when "11" & x"89c" => data <= x"c9"; when "11" & x"89d" => data <= x"f8"; when "11" & x"89e" => data <= x"20"; when "11" & x"89f" => data <= x"1f"; when "11" & x"8a0" => data <= x"fb"; when "11" & x"8a1" => data <= x"20"; when "11" & x"8a2" => data <= x"ad"; when "11" & x"8a3" => data <= x"e5"; when "11" & x"8a4" => data <= x"f0"; when "11" & x"8a5" => data <= x"ec"; when "11" & x"8a6" => data <= x"20"; when "11" & x"8a7" => data <= x"b6"; when "11" & x"8a8" => data <= x"f9"; when "11" & x"8a9" => data <= x"52"; when "11" & x"8aa" => data <= x"45"; when "11" & x"8ab" => data <= x"43"; when "11" & x"8ac" => data <= x"4f"; when "11" & x"8ad" => data <= x"52"; when "11" & x"8ae" => data <= x"44"; when "11" & x"8af" => data <= x"20"; when "11" & x"8b0" => data <= x"74"; when "11" & x"8b1" => data <= x"68"; when "11" & x"8b2" => data <= x"65"; when "11" & x"8b3" => data <= x"6e"; when "11" & x"8b4" => data <= x"20"; when "11" & x"8b5" => data <= x"52"; when "11" & x"8b6" => data <= x"45"; when "11" & x"8b7" => data <= x"54"; when "11" & x"8b8" => data <= x"55"; when "11" & x"8b9" => data <= x"52"; when "11" & x"8ba" => data <= x"4e"; when "11" & x"8bb" => data <= x"00"; when "11" & x"8bc" => data <= x"20"; when "11" & x"8bd" => data <= x"05"; when "11" & x"8be" => data <= x"f9"; when "11" & x"8bf" => data <= x"20"; when "11" & x"8c0" => data <= x"e0"; when "11" & x"8c1" => data <= x"ff"; when "11" & x"8c2" => data <= x"c9"; when "11" & x"8c3" => data <= x"0d"; when "11" & x"8c4" => data <= x"d0"; when "11" & x"8c5" => data <= x"f6"; when "11" & x"8c6" => data <= x"4c"; when "11" & x"8c7" => data <= x"e7"; when "11" & x"8c8" => data <= x"ff"; when "11" & x"8c9" => data <= x"08"; when "11" & x"8ca" => data <= x"78"; when "11" & x"8cb" => data <= x"ad"; when "11" & x"8cc" => data <= x"82"; when "11" & x"8cd" => data <= x"02"; when "11" & x"8ce" => data <= x"29"; when "11" & x"8cf" => data <= x"f9"; when "11" & x"8d0" => data <= x"09"; when "11" & x"8d1" => data <= x"04"; when "11" & x"8d2" => data <= x"8d"; when "11" & x"8d3" => data <= x"82"; when "11" & x"8d4" => data <= x"02"; when "11" & x"8d5" => data <= x"8d"; when "11" & x"8d6" => data <= x"07"; when "11" & x"8d7" => data <= x"fe"; when "11" & x"8d8" => data <= x"28"; when "11" & x"8d9" => data <= x"60"; when "11" & x"8da" => data <= x"e6"; when "11" & x"8db" => data <= x"b1"; when "11" & x"8dc" => data <= x"d0"; when "11" & x"8dd" => data <= x"02"; when "11" & x"8de" => data <= x"e6"; when "11" & x"8df" => data <= x"b2"; when "11" & x"8e0" => data <= x"d0"; when "11" & x"8e1" => data <= x"02"; when "11" & x"8e2" => data <= x"e6"; when "11" & x"8e3" => data <= x"b3"; when "11" & x"8e4" => data <= x"60"; when "11" & x"8e5" => data <= x"48"; when "11" & x"8e6" => data <= x"20"; when "11" & x"8e7" => data <= x"01"; when "11" & x"8e8" => data <= x"f9"; when "11" & x"8e9" => data <= x"68"; when "11" & x"8ea" => data <= x"48"; when "11" & x"8eb" => data <= x"4a"; when "11" & x"8ec" => data <= x"4a"; when "11" & x"8ed" => data <= x"4a"; when "11" & x"8ee" => data <= x"4a"; when "11" & x"8ef" => data <= x"20"; when "11" & x"8f0" => data <= x"f3"; when "11" & x"8f1" => data <= x"f8"; when "11" & x"8f2" => data <= x"68"; when "11" & x"8f3" => data <= x"18"; when "11" & x"8f4" => data <= x"29"; when "11" & x"8f5" => data <= x"0f"; when "11" & x"8f6" => data <= x"69"; when "11" & x"8f7" => data <= x"30"; when "11" & x"8f8" => data <= x"c9"; when "11" & x"8f9" => data <= x"3a"; when "11" & x"8fa" => data <= x"90"; when "11" & x"8fb" => data <= x"02"; when "11" & x"8fc" => data <= x"69"; when "11" & x"8fd" => data <= x"06"; when "11" & x"8fe" => data <= x"4c"; when "11" & x"8ff" => data <= x"ee"; when "11" & x"900" => data <= x"ff"; when "11" & x"901" => data <= x"a9"; when "11" & x"902" => data <= x"20"; when "11" & x"903" => data <= x"d0"; when "11" & x"904" => data <= x"f9"; when "11" & x"905" => data <= x"08"; when "11" & x"906" => data <= x"24"; when "11" & x"907" => data <= x"eb"; when "11" & x"908" => data <= x"30"; when "11" & x"909" => data <= x"04"; when "11" & x"90a" => data <= x"24"; when "11" & x"90b" => data <= x"ff"; when "11" & x"90c" => data <= x"30"; when "11" & x"90d" => data <= x"02"; when "11" & x"90e" => data <= x"28"; when "11" & x"90f" => data <= x"60"; when "11" & x"910" => data <= x"20"; when "11" & x"911" => data <= x"91"; when "11" & x"912" => data <= x"f2"; when "11" & x"913" => data <= x"20"; when "11" & x"914" => data <= x"63"; when "11" & x"915" => data <= x"fa"; when "11" & x"916" => data <= x"a9"; when "11" & x"917" => data <= x"7e"; when "11" & x"918" => data <= x"20"; when "11" & x"919" => data <= x"f4"; when "11" & x"91a" => data <= x"ff"; when "11" & x"91b" => data <= x"00"; when "11" & x"91c" => data <= x"11"; when "11" & x"91d" => data <= x"45"; when "11" & x"91e" => data <= x"73"; when "11" & x"91f" => data <= x"63"; when "11" & x"920" => data <= x"61"; when "11" & x"921" => data <= x"70"; when "11" & x"922" => data <= x"65"; when "11" & x"923" => data <= x"00"; when "11" & x"924" => data <= x"98"; when "11" & x"925" => data <= x"f0"; when "11" & x"926" => data <= x"0d"; when "11" & x"927" => data <= x"20"; when "11" & x"928" => data <= x"b6"; when "11" & x"929" => data <= x"f9"; when "11" & x"92a" => data <= x"0d"; when "11" & x"92b" => data <= x"4c"; when "11" & x"92c" => data <= x"6f"; when "11" & x"92d" => data <= x"61"; when "11" & x"92e" => data <= x"64"; when "11" & x"92f" => data <= x"69"; when "11" & x"930" => data <= x"6e"; when "11" & x"931" => data <= x"67"; when "11" & x"932" => data <= x"0d"; when "11" & x"933" => data <= x"00"; when "11" & x"934" => data <= x"85"; when "11" & x"935" => data <= x"ba"; when "11" & x"936" => data <= x"a2"; when "11" & x"937" => data <= x"ff"; when "11" & x"938" => data <= x"a5"; when "11" & x"939" => data <= x"c1"; when "11" & x"93a" => data <= x"d0"; when "11" & x"93b" => data <= x"0d"; when "11" & x"93c" => data <= x"20"; when "11" & x"93d" => data <= x"e2"; when "11" & x"93e" => data <= x"f9"; when "11" & x"93f" => data <= x"08"; when "11" & x"940" => data <= x"a2"; when "11" & x"941" => data <= x"ff"; when "11" & x"942" => data <= x"a0"; when "11" & x"943" => data <= x"09"; when "11" & x"944" => data <= x"a9"; when "11" & x"945" => data <= x"fa"; when "11" & x"946" => data <= x"28"; when "11" & x"947" => data <= x"d0"; when "11" & x"948" => data <= x"1c"; when "11" & x"949" => data <= x"a0"; when "11" & x"94a" => data <= x"fe"; when "11" & x"94b" => data <= x"a5"; when "11" & x"94c" => data <= x"c1"; when "11" & x"94d" => data <= x"f0"; when "11" & x"94e" => data <= x"04"; when "11" & x"94f" => data <= x"a9"; when "11" & x"950" => data <= x"f9"; when "11" & x"951" => data <= x"d0"; when "11" & x"952" => data <= x"12"; when "11" & x"953" => data <= x"ad"; when "11" & x"954" => data <= x"c6"; when "11" & x"955" => data <= x"03"; when "11" & x"956" => data <= x"c5"; when "11" & x"957" => data <= x"b4"; when "11" & x"958" => data <= x"d0"; when "11" & x"959" => data <= x"07"; when "11" & x"95a" => data <= x"ad"; when "11" & x"95b" => data <= x"c7"; when "11" & x"95c" => data <= x"03"; when "11" & x"95d" => data <= x"c5"; when "11" & x"95e" => data <= x"b5"; when "11" & x"95f" => data <= x"f0"; when "11" & x"960" => data <= x"13"; when "11" & x"961" => data <= x"a0"; when "11" & x"962" => data <= x"14"; when "11" & x"963" => data <= x"a9"; when "11" & x"964" => data <= x"fa"; when "11" & x"965" => data <= x"48"; when "11" & x"966" => data <= x"98"; when "11" & x"967" => data <= x"48"; when "11" & x"968" => data <= x"8a"; when "11" & x"969" => data <= x"48"; when "11" & x"96a" => data <= x"20"; when "11" & x"96b" => data <= x"17"; when "11" & x"96c" => data <= x"f8"; when "11" & x"96d" => data <= x"68"; when "11" & x"96e" => data <= x"aa"; when "11" & x"96f" => data <= x"68"; when "11" & x"970" => data <= x"a8"; when "11" & x"971" => data <= x"68"; when "11" & x"972" => data <= x"d0"; when "11" & x"973" => data <= x"14"; when "11" & x"974" => data <= x"8a"; when "11" & x"975" => data <= x"48"; when "11" & x"976" => data <= x"20"; when "11" & x"977" => data <= x"0a"; when "11" & x"978" => data <= x"f8"; when "11" & x"979" => data <= x"20"; when "11" & x"97a" => data <= x"47"; when "11" & x"97b" => data <= x"fa"; when "11" & x"97c" => data <= x"68"; when "11" & x"97d" => data <= x"aa"; when "11" & x"97e" => data <= x"a5"; when "11" & x"97f" => data <= x"be"; when "11" & x"980" => data <= x"05"; when "11" & x"981" => data <= x"bf"; when "11" & x"982" => data <= x"f0"; when "11" & x"983" => data <= x"79"; when "11" & x"984" => data <= x"a0"; when "11" & x"985" => data <= x"fe"; when "11" & x"986" => data <= x"a9"; when "11" & x"987" => data <= x"f9"; when "11" & x"988" => data <= x"c6"; when "11" & x"989" => data <= x"ba"; when "11" & x"98a" => data <= x"48"; when "11" & x"98b" => data <= x"24"; when "11" & x"98c" => data <= x"eb"; when "11" & x"98d" => data <= x"30"; when "11" & x"98e" => data <= x"0d"; when "11" & x"98f" => data <= x"8a"; when "11" & x"990" => data <= x"2d"; when "11" & x"991" => data <= x"47"; when "11" & x"992" => data <= x"02"; when "11" & x"993" => data <= x"d0"; when "11" & x"994" => data <= x"07"; when "11" & x"995" => data <= x"8a"; when "11" & x"996" => data <= x"29"; when "11" & x"997" => data <= x"11"; when "11" & x"998" => data <= x"25"; when "11" & x"999" => data <= x"bb"; when "11" & x"99a" => data <= x"f0"; when "11" & x"99b" => data <= x"10"; when "11" & x"99c" => data <= x"68"; when "11" & x"99d" => data <= x"85"; when "11" & x"99e" => data <= x"b9"; when "11" & x"99f" => data <= x"84"; when "11" & x"9a0" => data <= x"b8"; when "11" & x"9a1" => data <= x"20"; when "11" & x"9a2" => data <= x"e8"; when "11" & x"9a3" => data <= x"f5"; when "11" & x"9a4" => data <= x"46"; when "11" & x"9a5" => data <= x"eb"; when "11" & x"9a6" => data <= x"20"; when "11" & x"9a7" => data <= x"59"; when "11" & x"9a8" => data <= x"fa"; when "11" & x"9a9" => data <= x"6c"; when "11" & x"9aa" => data <= x"b8"; when "11" & x"9ab" => data <= x"00"; when "11" & x"9ac" => data <= x"68"; when "11" & x"9ad" => data <= x"c8"; when "11" & x"9ae" => data <= x"d0"; when "11" & x"9af" => data <= x"03"; when "11" & x"9b0" => data <= x"18"; when "11" & x"9b1" => data <= x"69"; when "11" & x"9b2" => data <= x"01"; when "11" & x"9b3" => data <= x"48"; when "11" & x"9b4" => data <= x"98"; when "11" & x"9b5" => data <= x"48"; when "11" & x"9b6" => data <= x"20"; when "11" & x"9b7" => data <= x"ad"; when "11" & x"9b8" => data <= x"e5"; when "11" & x"9b9" => data <= x"a8"; when "11" & x"9ba" => data <= x"68"; when "11" & x"9bb" => data <= x"85"; when "11" & x"9bc" => data <= x"b8"; when "11" & x"9bd" => data <= x"68"; when "11" & x"9be" => data <= x"85"; when "11" & x"9bf" => data <= x"b9"; when "11" & x"9c0" => data <= x"98"; when "11" & x"9c1" => data <= x"08"; when "11" & x"9c2" => data <= x"e6"; when "11" & x"9c3" => data <= x"b8"; when "11" & x"9c4" => data <= x"d0"; when "11" & x"9c5" => data <= x"02"; when "11" & x"9c6" => data <= x"e6"; when "11" & x"9c7" => data <= x"b9"; when "11" & x"9c8" => data <= x"a0"; when "11" & x"9c9" => data <= x"00"; when "11" & x"9ca" => data <= x"b1"; when "11" & x"9cb" => data <= x"b8"; when "11" & x"9cc" => data <= x"f0"; when "11" & x"9cd" => data <= x"0a"; when "11" & x"9ce" => data <= x"28"; when "11" & x"9cf" => data <= x"08"; when "11" & x"9d0" => data <= x"f0"; when "11" & x"9d1" => data <= x"f0"; when "11" & x"9d2" => data <= x"20"; when "11" & x"9d3" => data <= x"e3"; when "11" & x"9d4" => data <= x"ff"; when "11" & x"9d5" => data <= x"4c"; when "11" & x"9d6" => data <= x"c2"; when "11" & x"9d7" => data <= x"f9"; when "11" & x"9d8" => data <= x"28"; when "11" & x"9d9" => data <= x"e6"; when "11" & x"9da" => data <= x"b8"; when "11" & x"9db" => data <= x"d0"; when "11" & x"9dc" => data <= x"02"; when "11" & x"9dd" => data <= x"e6"; when "11" & x"9de" => data <= x"b9"; when "11" & x"9df" => data <= x"6c"; when "11" & x"9e0" => data <= x"b8"; when "11" & x"9e1" => data <= x"00"; when "11" & x"9e2" => data <= x"a2"; when "11" & x"9e3" => data <= x"ff"; when "11" & x"9e4" => data <= x"e8"; when "11" & x"9e5" => data <= x"bd"; when "11" & x"9e6" => data <= x"d2"; when "11" & x"9e7" => data <= x"03"; when "11" & x"9e8" => data <= x"d0"; when "11" & x"9e9" => data <= x"07"; when "11" & x"9ea" => data <= x"8a"; when "11" & x"9eb" => data <= x"f0"; when "11" & x"9ec" => data <= x"03"; when "11" & x"9ed" => data <= x"bd"; when "11" & x"9ee" => data <= x"b2"; when "11" & x"9ef" => data <= x"03"; when "11" & x"9f0" => data <= x"60"; when "11" & x"9f1" => data <= x"20"; when "11" & x"9f2" => data <= x"51"; when "11" & x"9f3" => data <= x"e2"; when "11" & x"9f4" => data <= x"5d"; when "11" & x"9f5" => data <= x"b2"; when "11" & x"9f6" => data <= x"03"; when "11" & x"9f7" => data <= x"b0"; when "11" & x"9f8" => data <= x"02"; when "11" & x"9f9" => data <= x"29"; when "11" & x"9fa" => data <= x"df"; when "11" & x"9fb" => data <= x"f0"; when "11" & x"9fc" => data <= x"e7"; when "11" & x"9fd" => data <= x"60"; when "11" & x"9fe" => data <= x"00"; when "11" & x"9ff" => data <= x"d8"; when "11" & x"a00" => data <= x"0d"; when "11" & x"a01" => data <= x"44"; when "11" & x"a02" => data <= x"61"; when "11" & x"a03" => data <= x"74"; when "11" & x"a04" => data <= x"61"; when "11" & x"a05" => data <= x"3f"; when "11" & x"a06" => data <= x"00"; when "11" & x"a07" => data <= x"d0"; when "11" & x"a08" => data <= x"15"; when "11" & x"a09" => data <= x"00"; when "11" & x"a0a" => data <= x"db"; when "11" & x"a0b" => data <= x"0d"; when "11" & x"a0c" => data <= x"46"; when "11" & x"a0d" => data <= x"69"; when "11" & x"a0e" => data <= x"6c"; when "11" & x"a0f" => data <= x"65"; when "11" & x"a10" => data <= x"3f"; when "11" & x"a11" => data <= x"00"; when "11" & x"a12" => data <= x"d0"; when "11" & x"a13" => data <= x"0a"; when "11" & x"a14" => data <= x"00"; when "11" & x"a15" => data <= x"da"; when "11" & x"a16" => data <= x"0d"; when "11" & x"a17" => data <= x"42"; when "11" & x"a18" => data <= x"6c"; when "11" & x"a19" => data <= x"6f"; when "11" & x"a1a" => data <= x"63"; when "11" & x"a1b" => data <= x"6b"; when "11" & x"a1c" => data <= x"3f"; when "11" & x"a1d" => data <= x"00"; when "11" & x"a1e" => data <= x"a5"; when "11" & x"a1f" => data <= x"ba"; when "11" & x"a20" => data <= x"f0"; when "11" & x"a21" => data <= x"22"; when "11" & x"a22" => data <= x"8a"; when "11" & x"a23" => data <= x"f0"; when "11" & x"a24" => data <= x"1f"; when "11" & x"a25" => data <= x"a9"; when "11" & x"a26" => data <= x"22"; when "11" & x"a27" => data <= x"24"; when "11" & x"a28" => data <= x"bb"; when "11" & x"a29" => data <= x"f0"; when "11" & x"a2a" => data <= x"19"; when "11" & x"a2b" => data <= x"20"; when "11" & x"a2c" => data <= x"b0"; when "11" & x"a2d" => data <= x"fa"; when "11" & x"a2e" => data <= x"a0"; when "11" & x"a2f" => data <= x"ff"; when "11" & x"a30" => data <= x"20"; when "11" & x"a31" => data <= x"ba"; when "11" & x"a32" => data <= x"f9"; when "11" & x"a33" => data <= x"0d"; when "11" & x"a34" => data <= x"07"; when "11" & x"a35" => data <= x"52"; when "11" & x"a36" => data <= x"65"; when "11" & x"a37" => data <= x"77"; when "11" & x"a38" => data <= x"69"; when "11" & x"a39" => data <= x"6e"; when "11" & x"a3a" => data <= x"64"; when "11" & x"a3b" => data <= x"20"; when "11" & x"a3c" => data <= x"74"; when "11" & x"a3d" => data <= x"61"; when "11" & x"a3e" => data <= x"70"; when "11" & x"a3f" => data <= x"65"; when "11" & x"a40" => data <= x"0d"; when "11" & x"a41" => data <= x"0d"; when "11" & x"a42" => data <= x"00"; when "11" & x"a43" => data <= x"60"; when "11" & x"a44" => data <= x"20"; when "11" & x"a45" => data <= x"a4"; when "11" & x"a46" => data <= x"f1"; when "11" & x"a47" => data <= x"a5"; when "11" & x"a48" => data <= x"c2"; when "11" & x"a49" => data <= x"f0"; when "11" & x"a4a" => data <= x"f8"; when "11" & x"a4b" => data <= x"20"; when "11" & x"a4c" => data <= x"05"; when "11" & x"a4d" => data <= x"f9"; when "11" & x"a4e" => data <= x"ad"; when "11" & x"a4f" => data <= x"47"; when "11" & x"a50" => data <= x"02"; when "11" & x"a51" => data <= x"f0"; when "11" & x"a52" => data <= x"f4"; when "11" & x"a53" => data <= x"20"; when "11" & x"a54" => data <= x"df"; when "11" & x"a55" => data <= x"f4"; when "11" & x"a56" => data <= x"4c"; when "11" & x"a57" => data <= x"47"; when "11" & x"a58" => data <= x"fa"; when "11" & x"a59" => data <= x"20"; when "11" & x"a5a" => data <= x"ad"; when "11" & x"a5b" => data <= x"e5"; when "11" & x"a5c" => data <= x"f0"; when "11" & x"a5d" => data <= x"05"; when "11" & x"a5e" => data <= x"a9"; when "11" & x"a5f" => data <= x"07"; when "11" & x"a60" => data <= x"20"; when "11" & x"a61" => data <= x"ee"; when "11" & x"a62" => data <= x"ff"; when "11" & x"a63" => data <= x"a9"; when "11" & x"a64" => data <= x"80"; when "11" & x"a65" => data <= x"20"; when "11" & x"a66" => data <= x"5e"; when "11" & x"a67" => data <= x"fb"; when "11" & x"a68" => data <= x"a2"; when "11" & x"a69" => data <= x"00"; when "11" & x"a6a" => data <= x"20"; when "11" & x"a6b" => data <= x"26"; when "11" & x"a6c" => data <= x"fb"; when "11" & x"a6d" => data <= x"20"; when "11" & x"a6e" => data <= x"b0"; when "11" & x"a6f" => data <= x"fa"; when "11" & x"a70" => data <= x"a9"; when "11" & x"a71" => data <= x"00"; when "11" & x"a72" => data <= x"85"; when "11" & x"a73" => data <= x"ea"; when "11" & x"a74" => data <= x"60"; when "11" & x"a75" => data <= x"a5"; when "11" & x"a76" => data <= x"e3"; when "11" & x"a77" => data <= x"0a"; when "11" & x"a78" => data <= x"0a"; when "11" & x"a79" => data <= x"0a"; when "11" & x"a7a" => data <= x"0a"; when "11" & x"a7b" => data <= x"85"; when "11" & x"a7c" => data <= x"bb"; when "11" & x"a7d" => data <= x"ad"; when "11" & x"a7e" => data <= x"d1"; when "11" & x"a7f" => data <= x"03"; when "11" & x"a80" => data <= x"d0"; when "11" & x"a81" => data <= x"08"; when "11" & x"a82" => data <= x"a5"; when "11" & x"a83" => data <= x"e3"; when "11" & x"a84" => data <= x"29"; when "11" & x"a85" => data <= x"f0"; when "11" & x"a86" => data <= x"85"; when "11" & x"a87" => data <= x"bb"; when "11" & x"a88" => data <= x"a9"; when "11" & x"a89" => data <= x"06"; when "11" & x"a8a" => data <= x"85"; when "11" & x"a8b" => data <= x"c7"; when "11" & x"a8c" => data <= x"58"; when "11" & x"a8d" => data <= x"08"; when "11" & x"a8e" => data <= x"78"; when "11" & x"a8f" => data <= x"2c"; when "11" & x"a90" => data <= x"4f"; when "11" & x"a91" => data <= x"02"; when "11" & x"a92" => data <= x"10"; when "11" & x"a93" => data <= x"16"; when "11" & x"a94" => data <= x"24"; when "11" & x"a95" => data <= x"ea"; when "11" & x"a96" => data <= x"30"; when "11" & x"a97" => data <= x"12"; when "11" & x"a98" => data <= x"a9"; when "11" & x"a99" => data <= x"01"; when "11" & x"a9a" => data <= x"85"; when "11" & x"a9b" => data <= x"ea"; when "11" & x"a9c" => data <= x"ad"; when "11" & x"a9d" => data <= x"82"; when "11" & x"a9e" => data <= x"02"; when "11" & x"a9f" => data <= x"29"; when "11" & x"aa0" => data <= x"f9"; when "11" & x"aa1" => data <= x"8d"; when "11" & x"aa2" => data <= x"82"; when "11" & x"aa3" => data <= x"02"; when "11" & x"aa4" => data <= x"8d"; when "11" & x"aa5" => data <= x"07"; when "11" & x"aa6" => data <= x"fe"; when "11" & x"aa7" => data <= x"28"; when "11" & x"aa8" => data <= x"38"; when "11" & x"aa9" => data <= x"60"; when "11" & x"aaa" => data <= x"28"; when "11" & x"aab" => data <= x"24"; when "11" & x"aac" => data <= x"ff"; when "11" & x"aad" => data <= x"10"; when "11" & x"aae" => data <= x"dd"; when "11" & x"aaf" => data <= x"60"; when "11" & x"ab0" => data <= x"08"; when "11" & x"ab1" => data <= x"78"; when "11" & x"ab2" => data <= x"ad"; when "11" & x"ab3" => data <= x"5b"; when "11" & x"ab4" => data <= x"02"; when "11" & x"ab5" => data <= x"29"; when "11" & x"ab6" => data <= x"8f"; when "11" & x"ab7" => data <= x"8d"; when "11" & x"ab8" => data <= x"5b"; when "11" & x"ab9" => data <= x"02"; when "11" & x"aba" => data <= x"8d"; when "11" & x"abb" => data <= x"00"; when "11" & x"abc" => data <= x"fe"; when "11" & x"abd" => data <= x"28"; when "11" & x"abe" => data <= x"60"; when "11" & x"abf" => data <= x"ad"; when "11" & x"ac0" => data <= x"5b"; when "11" & x"ac1" => data <= x"02"; when "11" & x"ac2" => data <= x"29"; when "11" & x"ac3" => data <= x"af"; when "11" & x"ac4" => data <= x"09"; when "11" & x"ac5" => data <= x"20"; when "11" & x"ac6" => data <= x"a8"; when "11" & x"ac7" => data <= x"a9"; when "11" & x"ac8" => data <= x"04"; when "11" & x"ac9" => data <= x"85"; when "11" & x"aca" => data <= x"ca"; when "11" & x"acb" => data <= x"d0"; when "11" & x"acc" => data <= x"10"; when "11" & x"acd" => data <= x"a2"; when "11" & x"ace" => data <= x"00"; when "11" & x"acf" => data <= x"8e"; when "11" & x"ad0" => data <= x"06"; when "11" & x"ad1" => data <= x"fe"; when "11" & x"ad2" => data <= x"86"; when "11" & x"ad3" => data <= x"ca"; when "11" & x"ad4" => data <= x"ad"; when "11" & x"ad5" => data <= x"5b"; when "11" & x"ad6" => data <= x"02"; when "11" & x"ad7" => data <= x"29"; when "11" & x"ad8" => data <= x"df"; when "11" & x"ad9" => data <= x"09"; when "11" & x"ada" => data <= x"50"; when "11" & x"adb" => data <= x"a8"; when "11" & x"adc" => data <= x"8a"; when "11" & x"add" => data <= x"09"; when "11" & x"ade" => data <= x"40"; when "11" & x"adf" => data <= x"8d"; when "11" & x"ae0" => data <= x"f4"; when "11" & x"ae1" => data <= x"02"; when "11" & x"ae2" => data <= x"08"; when "11" & x"ae3" => data <= x"78"; when "11" & x"ae4" => data <= x"ad"; when "11" & x"ae5" => data <= x"82"; when "11" & x"ae6" => data <= x"02"; when "11" & x"ae7" => data <= x"29"; when "11" & x"ae8" => data <= x"f9"; when "11" & x"ae9" => data <= x"0d"; when "11" & x"aea" => data <= x"f4"; when "11" & x"aeb" => data <= x"02"; when "11" & x"aec" => data <= x"8d"; when "11" & x"aed" => data <= x"82"; when "11" & x"aee" => data <= x"02"; when "11" & x"aef" => data <= x"28"; when "11" & x"af0" => data <= x"8d"; when "11" & x"af1" => data <= x"07"; when "11" & x"af2" => data <= x"fe"; when "11" & x"af3" => data <= x"8c"; when "11" & x"af4" => data <= x"5b"; when "11" & x"af5" => data <= x"02"; when "11" & x"af6" => data <= x"8c"; when "11" & x"af7" => data <= x"00"; when "11" & x"af8" => data <= x"fe"; when "11" & x"af9" => data <= x"60"; when "11" & x"afa" => data <= x"ae"; when "11" & x"afb" => data <= x"c6"; when "11" & x"afc" => data <= x"03"; when "11" & x"afd" => data <= x"ac"; when "11" & x"afe" => data <= x"c7"; when "11" & x"aff" => data <= x"03"; when "11" & x"b00" => data <= x"e8"; when "11" & x"b01" => data <= x"86"; when "11" & x"b02" => data <= x"b4"; when "11" & x"b03" => data <= x"d0"; when "11" & x"b04" => data <= x"01"; when "11" & x"b05" => data <= x"c8"; when "11" & x"b06" => data <= x"84"; when "11" & x"b07" => data <= x"b5"; when "11" & x"b08" => data <= x"60"; when "11" & x"b09" => data <= x"a0"; when "11" & x"b0a" => data <= x"00"; when "11" & x"b0b" => data <= x"84"; when "11" & x"b0c" => data <= x"c0"; when "11" & x"b0d" => data <= x"84"; when "11" & x"b0e" => data <= x"be"; when "11" & x"b0f" => data <= x"84"; when "11" & x"b10" => data <= x"bf"; when "11" & x"b11" => data <= x"60"; when "11" & x"b12" => data <= x"a0"; when "11" & x"b13" => data <= x"ff"; when "11" & x"b14" => data <= x"c8"; when "11" & x"b15" => data <= x"e8"; when "11" & x"b16" => data <= x"bd"; when "11" & x"b17" => data <= x"00"; when "11" & x"b18" => data <= x"03"; when "11" & x"b19" => data <= x"99"; when "11" & x"b1a" => data <= x"d2"; when "11" & x"b1b" => data <= x"03"; when "11" & x"b1c" => data <= x"d0"; when "11" & x"b1d" => data <= x"f6"; when "11" & x"b1e" => data <= x"60"; when "11" & x"b1f" => data <= x"a0"; when "11" & x"b20" => data <= x"00"; when "11" & x"b21" => data <= x"58"; when "11" & x"b22" => data <= x"a2"; when "11" & x"b23" => data <= x"01"; when "11" & x"b24" => data <= x"84"; when "11" & x"b25" => data <= x"c3"; when "11" & x"b26" => data <= x"a9"; when "11" & x"b27" => data <= x"89"; when "11" & x"b28" => data <= x"a4"; when "11" & x"b29" => data <= x"c3"; when "11" & x"b2a" => data <= x"4c"; when "11" & x"b2b" => data <= x"f4"; when "11" & x"b2c" => data <= x"ff"; when "11" & x"b2d" => data <= x"85"; when "11" & x"b2e" => data <= x"bc"; when "11" & x"b2f" => data <= x"98"; when "11" & x"b30" => data <= x"4d"; when "11" & x"b31" => data <= x"47"; when "11" & x"b32" => data <= x"02"; when "11" & x"b33" => data <= x"a8"; when "11" & x"b34" => data <= x"a5"; when "11" & x"b35" => data <= x"e2"; when "11" & x"b36" => data <= x"25"; when "11" & x"b37" => data <= x"bc"; when "11" & x"b38" => data <= x"4a"; when "11" & x"b39" => data <= x"88"; when "11" & x"b3a" => data <= x"f0"; when "11" & x"b3b" => data <= x"04"; when "11" & x"b3c" => data <= x"4a"; when "11" & x"b3d" => data <= x"88"; when "11" & x"b3e" => data <= x"d0"; when "11" & x"b3f" => data <= x"03"; when "11" & x"b40" => data <= x"90"; when "11" & x"b41" => data <= x"01"; when "11" & x"b42" => data <= x"60"; when "11" & x"b43" => data <= x"00"; when "11" & x"b44" => data <= x"de"; when "11" & x"b45" => data <= x"43"; when "11" & x"b46" => data <= x"68"; when "11" & x"b47" => data <= x"61"; when "11" & x"b48" => data <= x"6e"; when "11" & x"b49" => data <= x"6e"; when "11" & x"b4a" => data <= x"65"; when "11" & x"b4b" => data <= x"6c"; when "11" & x"b4c" => data <= x"00"; when "11" & x"b4d" => data <= x"aa"; when "11" & x"b4e" => data <= x"a5"; when "11" & x"b4f" => data <= x"b2"; when "11" & x"b50" => data <= x"25"; when "11" & x"b51" => data <= x"b3"; when "11" & x"b52" => data <= x"c9"; when "11" & x"b53" => data <= x"ff"; when "11" & x"b54" => data <= x"f0"; when "11" & x"b55" => data <= x"05"; when "11" & x"b56" => data <= x"ad"; when "11" & x"b57" => data <= x"7a"; when "11" & x"b58" => data <= x"02"; when "11" & x"b59" => data <= x"29"; when "11" & x"b5a" => data <= x"80"; when "11" & x"b5b" => data <= x"60"; when "11" & x"b5c" => data <= x"a9"; when "11" & x"b5d" => data <= x"01"; when "11" & x"b5e" => data <= x"20"; when "11" & x"b5f" => data <= x"4d"; when "11" & x"b60" => data <= x"fb"; when "11" & x"b61" => data <= x"f0"; when "11" & x"b62" => data <= x"df"; when "11" & x"b63" => data <= x"8a"; when "11" & x"b64" => data <= x"a2"; when "11" & x"b65" => data <= x"b0"; when "11" & x"b66" => data <= x"a0"; when "11" & x"b67" => data <= x"00"; when "11" & x"b68" => data <= x"48"; when "11" & x"b69" => data <= x"a9"; when "11" & x"b6a" => data <= x"c0"; when "11" & x"b6b" => data <= x"20"; when "11" & x"b6c" => data <= x"06"; when "11" & x"b6d" => data <= x"04"; when "11" & x"b6e" => data <= x"90"; when "11" & x"b6f" => data <= x"fb"; when "11" & x"b70" => data <= x"68"; when "11" & x"b71" => data <= x"4c"; when "11" & x"b72" => data <= x"06"; when "11" & x"b73" => data <= x"04"; when "11" & x"b74" => data <= x"00"; when "11" & x"b75" => data <= x"00"; when "11" & x"b76" => data <= x"00"; when "11" & x"b77" => data <= x"00"; when "11" & x"b78" => data <= x"00"; when "11" & x"b79" => data <= x"00"; when "11" & x"b7a" => data <= x"00"; when "11" & x"b7b" => data <= x"00"; when "11" & x"b7c" => data <= x"00"; when "11" & x"b7d" => data <= x"00"; when "11" & x"b7e" => data <= x"00"; when "11" & x"b7f" => data <= x"00"; when "11" & x"b80" => data <= x"00"; when "11" & x"b81" => data <= x"00"; when "11" & x"b82" => data <= x"00"; when "11" & x"b83" => data <= x"00"; when "11" & x"b84" => data <= x"00"; when "11" & x"b85" => data <= x"00"; when "11" & x"b86" => data <= x"00"; when "11" & x"b87" => data <= x"00"; when "11" & x"b88" => data <= x"00"; when "11" & x"b89" => data <= x"00"; when "11" & x"b8a" => data <= x"00"; when "11" & x"b8b" => data <= x"00"; when "11" & x"b8c" => data <= x"00"; when "11" & x"b8d" => data <= x"00"; when "11" & x"b8e" => data <= x"00"; when "11" & x"b8f" => data <= x"00"; when "11" & x"b90" => data <= x"00"; when "11" & x"b91" => data <= x"00"; when "11" & x"b92" => data <= x"00"; when "11" & x"b93" => data <= x"00"; when "11" & x"b94" => data <= x"00"; when "11" & x"b95" => data <= x"00"; when "11" & x"b96" => data <= x"00"; when "11" & x"b97" => data <= x"00"; when "11" & x"b98" => data <= x"00"; when "11" & x"b99" => data <= x"00"; when "11" & x"b9a" => data <= x"00"; when "11" & x"b9b" => data <= x"00"; when "11" & x"b9c" => data <= x"00"; when "11" & x"b9d" => data <= x"00"; when "11" & x"b9e" => data <= x"00"; when "11" & x"b9f" => data <= x"00"; when "11" & x"ba0" => data <= x"00"; when "11" & x"ba1" => data <= x"00"; when "11" & x"ba2" => data <= x"00"; when "11" & x"ba3" => data <= x"00"; when "11" & x"ba4" => data <= x"00"; when "11" & x"ba5" => data <= x"00"; when "11" & x"ba6" => data <= x"00"; when "11" & x"ba7" => data <= x"00"; when "11" & x"ba8" => data <= x"00"; when "11" & x"ba9" => data <= x"00"; when "11" & x"baa" => data <= x"00"; when "11" & x"bab" => data <= x"00"; when "11" & x"bac" => data <= x"00"; when "11" & x"bad" => data <= x"00"; when "11" & x"bae" => data <= x"00"; when "11" & x"baf" => data <= x"00"; when "11" & x"bb0" => data <= x"00"; when "11" & x"bb1" => data <= x"00"; when "11" & x"bb2" => data <= x"00"; when "11" & x"bb3" => data <= x"00"; when "11" & x"bb4" => data <= x"00"; when "11" & x"bb5" => data <= x"00"; when "11" & x"bb6" => data <= x"00"; when "11" & x"bb7" => data <= x"00"; when "11" & x"bb8" => data <= x"00"; when "11" & x"bb9" => data <= x"00"; when "11" & x"bba" => data <= x"00"; when "11" & x"bbb" => data <= x"00"; when "11" & x"bbc" => data <= x"00"; when "11" & x"bbd" => data <= x"00"; when "11" & x"bbe" => data <= x"00"; when "11" & x"bbf" => data <= x"00"; when "11" & x"bc0" => data <= x"00"; when "11" & x"bc1" => data <= x"00"; when "11" & x"bc2" => data <= x"00"; when "11" & x"bc3" => data <= x"00"; when "11" & x"bc4" => data <= x"00"; when "11" & x"bc5" => data <= x"00"; when "11" & x"bc6" => data <= x"00"; when "11" & x"bc7" => data <= x"00"; when "11" & x"bc8" => data <= x"00"; when "11" & x"bc9" => data <= x"00"; when "11" & x"bca" => data <= x"00"; when "11" & x"bcb" => data <= x"00"; when "11" & x"bcc" => data <= x"00"; when "11" & x"bcd" => data <= x"00"; when "11" & x"bce" => data <= x"00"; when "11" & x"bcf" => data <= x"00"; when "11" & x"bd0" => data <= x"00"; when "11" & x"bd1" => data <= x"00"; when "11" & x"bd2" => data <= x"00"; when "11" & x"bd3" => data <= x"00"; when "11" & x"bd4" => data <= x"00"; when "11" & x"bd5" => data <= x"00"; when "11" & x"bd6" => data <= x"00"; when "11" & x"bd7" => data <= x"00"; when "11" & x"bd8" => data <= x"00"; when "11" & x"bd9" => data <= x"00"; when "11" & x"bda" => data <= x"00"; when "11" & x"bdb" => data <= x"00"; when "11" & x"bdc" => data <= x"00"; when "11" & x"bdd" => data <= x"00"; when "11" & x"bde" => data <= x"00"; when "11" & x"bdf" => data <= x"00"; when "11" & x"be0" => data <= x"00"; when "11" & x"be1" => data <= x"00"; when "11" & x"be2" => data <= x"00"; when "11" & x"be3" => data <= x"00"; when "11" & x"be4" => data <= x"00"; when "11" & x"be5" => data <= x"00"; when "11" & x"be6" => data <= x"00"; when "11" & x"be7" => data <= x"00"; when "11" & x"be8" => data <= x"00"; when "11" & x"be9" => data <= x"00"; when "11" & x"bea" => data <= x"00"; when "11" & x"beb" => data <= x"00"; when "11" & x"bec" => data <= x"00"; when "11" & x"bed" => data <= x"00"; when "11" & x"bee" => data <= x"00"; when "11" & x"bef" => data <= x"00"; when "11" & x"bf0" => data <= x"00"; when "11" & x"bf1" => data <= x"00"; when "11" & x"bf2" => data <= x"00"; when "11" & x"bf3" => data <= x"00"; when "11" & x"bf4" => data <= x"00"; when "11" & x"bf5" => data <= x"00"; when "11" & x"bf6" => data <= x"00"; when "11" & x"bf7" => data <= x"00"; when "11" & x"bf8" => data <= x"00"; when "11" & x"bf9" => data <= x"00"; when "11" & x"bfa" => data <= x"00"; when "11" & x"bfb" => data <= x"00"; when "11" & x"bfc" => data <= x"00"; when "11" & x"bfd" => data <= x"00"; when "11" & x"bfe" => data <= x"20"; when "11" & x"bff" => data <= x"ed"; when "11" & x"c00" => data <= x"28"; when "11" & x"c01" => data <= x"43"; when "11" & x"c02" => data <= x"29"; when "11" & x"c03" => data <= x"20"; when "11" & x"c04" => data <= x"31"; when "11" & x"c05" => data <= x"39"; when "11" & x"c06" => data <= x"38"; when "11" & x"c07" => data <= x"33"; when "11" & x"c08" => data <= x"20"; when "11" & x"c09" => data <= x"41"; when "11" & x"c0a" => data <= x"63"; when "11" & x"c0b" => data <= x"6f"; when "11" & x"c0c" => data <= x"72"; when "11" & x"c0d" => data <= x"6e"; when "11" & x"c0e" => data <= x"20"; when "11" & x"c0f" => data <= x"43"; when "11" & x"c10" => data <= x"6f"; when "11" & x"c11" => data <= x"6d"; when "11" & x"c12" => data <= x"70"; when "11" & x"c13" => data <= x"75"; when "11" & x"c14" => data <= x"74"; when "11" & x"c15" => data <= x"65"; when "11" & x"c16" => data <= x"72"; when "11" & x"c17" => data <= x"73"; when "11" & x"c18" => data <= x"20"; when "11" & x"c19" => data <= x"4c"; when "11" & x"c1a" => data <= x"74"; when "11" & x"c1b" => data <= x"64"; when "11" & x"c1c" => data <= x"2e"; when "11" & x"c1d" => data <= x"54"; when "11" & x"c1e" => data <= x"68"; when "11" & x"c1f" => data <= x"61"; when "11" & x"c20" => data <= x"6e"; when "11" & x"c21" => data <= x"6b"; when "11" & x"c22" => data <= x"73"; when "11" & x"c23" => data <= x"20"; when "11" & x"c24" => data <= x"61"; when "11" & x"c25" => data <= x"72"; when "11" & x"c26" => data <= x"65"; when "11" & x"c27" => data <= x"20"; when "11" & x"c28" => data <= x"64"; when "11" & x"c29" => data <= x"75"; when "11" & x"c2a" => data <= x"65"; when "11" & x"c2b" => data <= x"20"; when "11" & x"c2c" => data <= x"74"; when "11" & x"c2d" => data <= x"6f"; when "11" & x"c2e" => data <= x"20"; when "11" & x"c2f" => data <= x"74"; when "11" & x"c30" => data <= x"68"; when "11" & x"c31" => data <= x"65"; when "11" & x"c32" => data <= x"20"; when "11" & x"c33" => data <= x"66"; when "11" & x"c34" => data <= x"6f"; when "11" & x"c35" => data <= x"6c"; when "11" & x"c36" => data <= x"6c"; when "11" & x"c37" => data <= x"6f"; when "11" & x"c38" => data <= x"77"; when "11" & x"c39" => data <= x"69"; when "11" & x"c3a" => data <= x"6e"; when "11" & x"c3b" => data <= x"67"; when "11" & x"c3c" => data <= x"20"; when "11" & x"c3d" => data <= x"63"; when "11" & x"c3e" => data <= x"6f"; when "11" & x"c3f" => data <= x"6e"; when "11" & x"c40" => data <= x"74"; when "11" & x"c41" => data <= x"72"; when "11" & x"c42" => data <= x"69"; when "11" & x"c43" => data <= x"62"; when "11" & x"c44" => data <= x"75"; when "11" & x"c45" => data <= x"74"; when "11" & x"c46" => data <= x"6f"; when "11" & x"c47" => data <= x"72"; when "11" & x"c48" => data <= x"73"; when "11" & x"c49" => data <= x"20"; when "11" & x"c4a" => data <= x"74"; when "11" & x"c4b" => data <= x"6f"; when "11" & x"c4c" => data <= x"20"; when "11" & x"c4d" => data <= x"74"; when "11" & x"c4e" => data <= x"68"; when "11" & x"c4f" => data <= x"65"; when "11" & x"c50" => data <= x"20"; when "11" & x"c51" => data <= x"64"; when "11" & x"c52" => data <= x"65"; when "11" & x"c53" => data <= x"76"; when "11" & x"c54" => data <= x"65"; when "11" & x"c55" => data <= x"6c"; when "11" & x"c56" => data <= x"6f"; when "11" & x"c57" => data <= x"70"; when "11" & x"c58" => data <= x"6d"; when "11" & x"c59" => data <= x"65"; when "11" & x"c5a" => data <= x"6e"; when "11" & x"c5b" => data <= x"74"; when "11" & x"c5c" => data <= x"20"; when "11" & x"c5d" => data <= x"6f"; when "11" & x"c5e" => data <= x"66"; when "11" & x"c5f" => data <= x"20"; when "11" & x"c60" => data <= x"74"; when "11" & x"c61" => data <= x"68"; when "11" & x"c62" => data <= x"65"; when "11" & x"c63" => data <= x"20"; when "11" & x"c64" => data <= x"45"; when "11" & x"c65" => data <= x"6c"; when "11" & x"c66" => data <= x"65"; when "11" & x"c67" => data <= x"63"; when "11" & x"c68" => data <= x"74"; when "11" & x"c69" => data <= x"72"; when "11" & x"c6a" => data <= x"6f"; when "11" & x"c6b" => data <= x"6e"; when "11" & x"c6c" => data <= x"20"; when "11" & x"c6d" => data <= x"28"; when "11" & x"c6e" => data <= x"61"; when "11" & x"c6f" => data <= x"6d"; when "11" & x"c70" => data <= x"6f"; when "11" & x"c71" => data <= x"6e"; when "11" & x"c72" => data <= x"67"; when "11" & x"c73" => data <= x"20"; when "11" & x"c74" => data <= x"6f"; when "11" & x"c75" => data <= x"74"; when "11" & x"c76" => data <= x"68"; when "11" & x"c77" => data <= x"65"; when "11" & x"c78" => data <= x"72"; when "11" & x"c79" => data <= x"73"; when "11" & x"c7a" => data <= x"20"; when "11" & x"c7b" => data <= x"74"; when "11" & x"c7c" => data <= x"6f"; when "11" & x"c7d" => data <= x"6f"; when "11" & x"c7e" => data <= x"20"; when "11" & x"c7f" => data <= x"6e"; when "11" & x"c80" => data <= x"75"; when "11" & x"c81" => data <= x"6d"; when "11" & x"c82" => data <= x"65"; when "11" & x"c83" => data <= x"72"; when "11" & x"c84" => data <= x"6f"; when "11" & x"c85" => data <= x"75"; when "11" & x"c86" => data <= x"73"; when "11" & x"c87" => data <= x"20"; when "11" & x"c88" => data <= x"74"; when "11" & x"c89" => data <= x"6f"; when "11" & x"c8a" => data <= x"20"; when "11" & x"c8b" => data <= x"6d"; when "11" & x"c8c" => data <= x"65"; when "11" & x"c8d" => data <= x"6e"; when "11" & x"c8e" => data <= x"74"; when "11" & x"c8f" => data <= x"69"; when "11" & x"c90" => data <= x"6f"; when "11" & x"c91" => data <= x"6e"; when "11" & x"c92" => data <= x"29"; when "11" & x"c93" => data <= x"3a"; when "11" & x"c94" => data <= x"2d"; when "11" & x"c95" => data <= x"20"; when "11" & x"c96" => data <= x"42"; when "11" & x"c97" => data <= x"6f"; when "11" & x"c98" => data <= x"62"; when "11" & x"c99" => data <= x"20"; when "11" & x"c9a" => data <= x"41"; when "11" & x"c9b" => data <= x"75"; when "11" & x"c9c" => data <= x"73"; when "11" & x"c9d" => data <= x"74"; when "11" & x"c9e" => data <= x"69"; when "11" & x"c9f" => data <= x"6e"; when "11" & x"ca0" => data <= x"2c"; when "11" & x"ca1" => data <= x"41"; when "11" & x"ca2" => data <= x"73"; when "11" & x"ca3" => data <= x"74"; when "11" & x"ca4" => data <= x"65"; when "11" & x"ca5" => data <= x"63"; when "11" & x"ca6" => data <= x"2c"; when "11" & x"ca7" => data <= x"48"; when "11" & x"ca8" => data <= x"61"; when "11" & x"ca9" => data <= x"72"; when "11" & x"caa" => data <= x"72"; when "11" & x"cab" => data <= x"79"; when "11" & x"cac" => data <= x"20"; when "11" & x"cad" => data <= x"42"; when "11" & x"cae" => data <= x"61"; when "11" & x"caf" => data <= x"72"; when "11" & x"cb0" => data <= x"6d"; when "11" & x"cb1" => data <= x"61"; when "11" & x"cb2" => data <= x"6e"; when "11" & x"cb3" => data <= x"2c"; when "11" & x"cb4" => data <= x"50"; when "11" & x"cb5" => data <= x"61"; when "11" & x"cb6" => data <= x"75"; when "11" & x"cb7" => data <= x"6c"; when "11" & x"cb8" => data <= x"20"; when "11" & x"cb9" => data <= x"42"; when "11" & x"cba" => data <= x"6f"; when "11" & x"cbb" => data <= x"6e"; when "11" & x"cbc" => data <= x"64"; when "11" & x"cbd" => data <= x"2c"; when "11" & x"cbe" => data <= x"41"; when "11" & x"cbf" => data <= x"6c"; when "11" & x"cc0" => data <= x"ff"; when "11" & x"cc1" => data <= x"ff"; when "11" & x"cc2" => data <= x"ff"; when "11" & x"cc3" => data <= x"ff"; when "11" & x"cc4" => data <= x"00"; when "11" & x"cc5" => data <= x"10"; when "11" & x"cc6" => data <= x"0e"; when "11" & x"cc7" => data <= x"00"; when "11" & x"cc8" => data <= x"ff"; when "11" & x"cc9" => data <= x"ff"; when "11" & x"cca" => data <= x"ff"; when "11" & x"ccb" => data <= x"ff"; when "11" & x"ccc" => data <= x"00"; when "11" & x"ccd" => data <= x"10"; when "11" & x"cce" => data <= x"0e"; when "11" & x"ccf" => data <= x"00"; when "11" & x"cd0" => data <= x"6e"; when "11" & x"cd1" => data <= x"20"; when "11" & x"cd2" => data <= x"42"; when "11" & x"cd3" => data <= x"72"; when "11" & x"cd4" => data <= x"69"; when "11" & x"cd5" => data <= x"64"; when "11" & x"cd6" => data <= x"67"; when "11" & x"cd7" => data <= x"65"; when "11" & x"cd8" => data <= x"77"; when "11" & x"cd9" => data <= x"61"; when "11" & x"cda" => data <= x"74"; when "11" & x"cdb" => data <= x"65"; when "11" & x"cdc" => data <= x"72"; when "11" & x"cdd" => data <= x"2c"; when "11" & x"cde" => data <= x"43"; when "11" & x"cdf" => data <= x"61"; when "11" & x"ce0" => data <= x"6d"; when "11" & x"ce1" => data <= x"62"; when "11" & x"ce2" => data <= x"72"; when "11" & x"ce3" => data <= x"69"; when "11" & x"ce4" => data <= x"64"; when "11" & x"ce5" => data <= x"67"; when "11" & x"ce6" => data <= x"65"; when "11" & x"ce7" => data <= x"2c"; when "11" & x"ce8" => data <= x"4a"; when "11" & x"ce9" => data <= x"6f"; when "11" & x"cea" => data <= x"68"; when "11" & x"ceb" => data <= x"6e"; when "11" & x"cec" => data <= x"20"; when "11" & x"ced" => data <= x"43"; when "11" & x"cee" => data <= x"6f"; when "11" & x"cef" => data <= x"78"; when "11" & x"cf0" => data <= x"2c"; when "11" & x"cf1" => data <= x"43"; when "11" & x"cf2" => data <= x"68"; when "11" & x"cf3" => data <= x"72"; when "11" & x"cf4" => data <= x"69"; when "11" & x"cf5" => data <= x"73"; when "11" & x"cf6" => data <= x"20"; when "11" & x"cf7" => data <= x"43"; when "11" & x"cf8" => data <= x"75"; when "11" & x"cf9" => data <= x"72"; when "11" & x"cfa" => data <= x"72"; when "11" & x"cfb" => data <= x"79"; when "11" & x"cfc" => data <= x"2c"; when "11" & x"cfd" => data <= x"36"; when "11" & x"cfe" => data <= x"35"; when "11" & x"cff" => data <= x"30"; when "11" & x"d00" => data <= x"32"; when "11" & x"d01" => data <= x"20"; when "11" & x"d02" => data <= x"64"; when "11" & x"d03" => data <= x"65"; when "11" & x"d04" => data <= x"73"; when "11" & x"d05" => data <= x"69"; when "11" & x"d06" => data <= x"67"; when "11" & x"d07" => data <= x"6e"; when "11" & x"d08" => data <= x"65"; when "11" & x"d09" => data <= x"72"; when "11" & x"d0a" => data <= x"73"; when "11" & x"d0b" => data <= x"2c"; when "11" & x"d0c" => data <= x"4a"; when "11" & x"d0d" => data <= x"65"; when "11" & x"d0e" => data <= x"72"; when "11" & x"d0f" => data <= x"65"; when "11" & x"d10" => data <= x"6d"; when "11" & x"d11" => data <= x"79"; when "11" & x"d12" => data <= x"20"; when "11" & x"d13" => data <= x"44"; when "11" & x"d14" => data <= x"69"; when "11" & x"d15" => data <= x"6f"; when "11" & x"d16" => data <= x"6e"; when "11" & x"d17" => data <= x"2c"; when "11" & x"d18" => data <= x"54"; when "11" & x"d19" => data <= x"69"; when "11" & x"d1a" => data <= x"6d"; when "11" & x"d1b" => data <= x"20"; when "11" & x"d1c" => data <= x"44"; when "11" & x"d1d" => data <= x"6f"; when "11" & x"d1e" => data <= x"62"; when "11" & x"d1f" => data <= x"73"; when "11" & x"d20" => data <= x"6f"; when "11" & x"d21" => data <= x"6e"; when "11" & x"d22" => data <= x"2c"; when "11" & x"d23" => data <= x"4a"; when "11" & x"d24" => data <= x"6f"; when "11" & x"d25" => data <= x"65"; when "11" & x"d26" => data <= x"20"; when "11" & x"d27" => data <= x"44"; when "11" & x"d28" => data <= x"75"; when "11" & x"d29" => data <= x"6e"; when "11" & x"d2a" => data <= x"6e"; when "11" & x"d2b" => data <= x"2c"; when "11" & x"d2c" => data <= x"46"; when "11" & x"d2d" => data <= x"65"; when "11" & x"d2e" => data <= x"72"; when "11" & x"d2f" => data <= x"72"; when "11" & x"d30" => data <= x"61"; when "11" & x"d31" => data <= x"6e"; when "11" & x"d32" => data <= x"74"; when "11" & x"d33" => data <= x"69"; when "11" & x"d34" => data <= x"2c"; when "11" & x"d35" => data <= x"53"; when "11" & x"d36" => data <= x"74"; when "11" & x"d37" => data <= x"65"; when "11" & x"d38" => data <= x"76"; when "11" & x"d39" => data <= x"65"; when "11" & x"d3a" => data <= x"20"; when "11" & x"d3b" => data <= x"46"; when "11" & x"d3c" => data <= x"75"; when "11" & x"d3d" => data <= x"72"; when "11" & x"d3e" => data <= x"62"; when "11" & x"d3f" => data <= x"65"; when "11" & x"d40" => data <= x"72"; when "11" & x"d41" => data <= x"2c"; when "11" & x"d42" => data <= x"44"; when "11" & x"d43" => data <= x"61"; when "11" & x"d44" => data <= x"76"; when "11" & x"d45" => data <= x"69"; when "11" & x"d46" => data <= x"64"; when "11" & x"d47" => data <= x"20"; when "11" & x"d48" => data <= x"47"; when "11" & x"d49" => data <= x"61"; when "11" & x"d4a" => data <= x"6c"; when "11" & x"d4b" => data <= x"65"; when "11" & x"d4c" => data <= x"2c"; when "11" & x"d4d" => data <= x"41"; when "11" & x"d4e" => data <= x"6e"; when "11" & x"d4f" => data <= x"64"; when "11" & x"d50" => data <= x"72"; when "11" & x"d51" => data <= x"65"; when "11" & x"d52" => data <= x"77"; when "11" & x"d53" => data <= x"20"; when "11" & x"d54" => data <= x"47"; when "11" & x"d55" => data <= x"6f"; when "11" & x"d56" => data <= x"72"; when "11" & x"d57" => data <= x"64"; when "11" & x"d58" => data <= x"6f"; when "11" & x"d59" => data <= x"6e"; when "11" & x"d5a" => data <= x"2c"; when "11" & x"d5b" => data <= x"4d"; when "11" & x"d5c" => data <= x"61"; when "11" & x"d5d" => data <= x"72"; when "11" & x"d5e" => data <= x"74"; when "11" & x"d5f" => data <= x"79"; when "11" & x"d60" => data <= x"6e"; when "11" & x"d61" => data <= x"20"; when "11" & x"d62" => data <= x"47"; when "11" & x"d63" => data <= x"69"; when "11" & x"d64" => data <= x"6c"; when "11" & x"d65" => data <= x"62"; when "11" & x"d66" => data <= x"65"; when "11" & x"d67" => data <= x"72"; when "11" & x"d68" => data <= x"74"; when "11" & x"d69" => data <= x"2c"; when "11" & x"d6a" => data <= x"4c"; when "11" & x"d6b" => data <= x"61"; when "11" & x"d6c" => data <= x"77"; when "11" & x"d6d" => data <= x"72"; when "11" & x"d6e" => data <= x"65"; when "11" & x"d6f" => data <= x"6e"; when "11" & x"d70" => data <= x"63"; when "11" & x"d71" => data <= x"65"; when "11" & x"d72" => data <= x"20"; when "11" & x"d73" => data <= x"48"; when "11" & x"d74" => data <= x"61"; when "11" & x"d75" => data <= x"72"; when "11" & x"d76" => data <= x"64"; when "11" & x"d77" => data <= x"77"; when "11" & x"d78" => data <= x"69"; when "11" & x"d79" => data <= x"63"; when "11" & x"d7a" => data <= x"6b"; when "11" & x"d7b" => data <= x"2c"; when "11" & x"d7c" => data <= x"48"; when "11" & x"d7d" => data <= x"65"; when "11" & x"d7e" => data <= x"72"; when "11" & x"d7f" => data <= x"6d"; when "11" & x"d80" => data <= x"61"; when "11" & x"d81" => data <= x"6e"; when "11" & x"d82" => data <= x"6e"; when "11" & x"d83" => data <= x"20"; when "11" & x"d84" => data <= x"48"; when "11" & x"d85" => data <= x"61"; when "11" & x"d86" => data <= x"75"; when "11" & x"d87" => data <= x"73"; when "11" & x"d88" => data <= x"65"; when "11" & x"d89" => data <= x"72"; when "11" & x"d8a" => data <= x"2c"; when "11" & x"d8b" => data <= x"4a"; when "11" & x"d8c" => data <= x"6f"; when "11" & x"d8d" => data <= x"68"; when "11" & x"d8e" => data <= x"6e"; when "11" & x"d8f" => data <= x"20"; when "11" & x"d90" => data <= x"48"; when "11" & x"d91" => data <= x"65"; when "11" & x"d92" => data <= x"72"; when "11" & x"d93" => data <= x"62"; when "11" & x"d94" => data <= x"65"; when "11" & x"d95" => data <= x"72"; when "11" & x"d96" => data <= x"74"; when "11" & x"d97" => data <= x"2c"; when "11" & x"d98" => data <= x"48"; when "11" & x"d99" => data <= x"69"; when "11" & x"d9a" => data <= x"74"; when "11" & x"d9b" => data <= x"61"; when "11" & x"d9c" => data <= x"63"; when "11" & x"d9d" => data <= x"68"; when "11" & x"d9e" => data <= x"69"; when "11" & x"d9f" => data <= x"2c"; when "11" & x"da0" => data <= x"41"; when "11" & x"da1" => data <= x"6e"; when "11" & x"da2" => data <= x"64"; when "11" & x"da3" => data <= x"79"; when "11" & x"da4" => data <= x"20"; when "11" & x"da5" => data <= x"48"; when "11" & x"da6" => data <= x"6f"; when "11" & x"da7" => data <= x"70"; when "11" & x"da8" => data <= x"70"; when "11" & x"da9" => data <= x"65"; when "11" & x"daa" => data <= x"72"; when "11" & x"dab" => data <= x"2c"; when "11" & x"dac" => data <= x"50"; when "11" & x"dad" => data <= x"61"; when "11" & x"dae" => data <= x"75"; when "11" & x"daf" => data <= x"6c"; when "11" & x"db0" => data <= x"20"; when "11" & x"db1" => data <= x"4a"; when "11" & x"db2" => data <= x"65"; when "11" & x"db3" => data <= x"70"; when "11" & x"db4" => data <= x"68"; when "11" & x"db5" => data <= x"63"; when "11" & x"db6" => data <= x"6f"; when "11" & x"db7" => data <= x"74"; when "11" & x"db8" => data <= x"2c"; when "11" & x"db9" => data <= x"42"; when "11" & x"dba" => data <= x"72"; when "11" & x"dbb" => data <= x"69"; when "11" & x"dbc" => data <= x"61"; when "11" & x"dbd" => data <= x"6e"; when "11" & x"dbe" => data <= x"20"; when "11" & x"dbf" => data <= x"4a"; when "11" & x"dc0" => data <= x"6f"; when "11" & x"dc1" => data <= x"6e"; when "11" & x"dc2" => data <= x"65"; when "11" & x"dc3" => data <= x"73"; when "11" & x"dc4" => data <= x"2c"; when "11" & x"dc5" => data <= x"43"; when "11" & x"dc6" => data <= x"68"; when "11" & x"dc7" => data <= x"72"; when "11" & x"dc8" => data <= x"69"; when "11" & x"dc9" => data <= x"73"; when "11" & x"dca" => data <= x"20"; when "11" & x"dcb" => data <= x"4a"; when "11" & x"dcc" => data <= x"6f"; when "11" & x"dcd" => data <= x"72"; when "11" & x"dce" => data <= x"64"; when "11" & x"dcf" => data <= x"61"; when "11" & x"dd0" => data <= x"6e"; when "11" & x"dd1" => data <= x"2c"; when "11" & x"dd2" => data <= x"43"; when "11" & x"dd3" => data <= x"6f"; when "11" & x"dd4" => data <= x"6d"; when "11" & x"dd5" => data <= x"70"; when "11" & x"dd6" => data <= x"75"; when "11" & x"dd7" => data <= x"74"; when "11" & x"dd8" => data <= x"65"; when "11" & x"dd9" => data <= x"72"; when "11" & x"dda" => data <= x"20"; when "11" & x"ddb" => data <= x"4c"; when "11" & x"ddc" => data <= x"61"; when "11" & x"ddd" => data <= x"62"; when "11" & x"dde" => data <= x"6f"; when "11" & x"ddf" => data <= x"72"; when "11" & x"de0" => data <= x"61"; when "11" & x"de1" => data <= x"74"; when "11" & x"de2" => data <= x"6f"; when "11" & x"de3" => data <= x"72"; when "11" & x"de4" => data <= x"79"; when "11" & x"de5" => data <= x"2c"; when "11" & x"de6" => data <= x"54"; when "11" & x"de7" => data <= x"6f"; when "11" & x"de8" => data <= x"6e"; when "11" & x"de9" => data <= x"79"; when "11" & x"dea" => data <= x"20"; when "11" & x"deb" => data <= x"4d"; when "11" & x"dec" => data <= x"61"; when "11" & x"ded" => data <= x"6e"; when "11" & x"dee" => data <= x"6e"; when "11" & x"def" => data <= x"2c"; when "11" & x"df0" => data <= x"50"; when "11" & x"df1" => data <= x"65"; when "11" & x"df2" => data <= x"74"; when "11" & x"df3" => data <= x"65"; when "11" & x"df4" => data <= x"72"; when "11" & x"df5" => data <= x"20"; when "11" & x"df6" => data <= x"4d"; when "11" & x"df7" => data <= x"69"; when "11" & x"df8" => data <= x"6c"; when "11" & x"df9" => data <= x"6c"; when "11" & x"dfa" => data <= x"65"; when "11" & x"dfb" => data <= x"72"; when "11" & x"dfc" => data <= x"2c"; when "11" & x"dfd" => data <= x"54"; when "11" & x"dfe" => data <= x"72"; when "11" & x"dff" => data <= x"65"; when "11" & x"e00" => data <= x"bd"; when "11" & x"e01" => data <= x"6f"; when "11" & x"e02" => data <= x"72"; when "11" & x"e03" => data <= x"20"; when "11" & x"e04" => data <= x"a4"; when "11" & x"e05" => data <= x"6f"; when "11" & x"e06" => data <= x"72"; when "11" & x"e07" => data <= x"72"; when "11" & x"e08" => data <= x"69"; when "11" & x"e09" => data <= x"73"; when "11" & x"e0a" => data <= x"2c"; when "11" & x"e0b" => data <= x"53"; when "11" & x"e0c" => data <= x"74"; when "11" & x"e0d" => data <= x"65"; when "11" & x"e0e" => data <= x"76"; when "11" & x"e0f" => data <= x"65"; when "11" & x"e10" => data <= x"bd"; when "11" & x"e11" => data <= x"50"; when "11" & x"e12" => data <= x"61"; when "11" & x"e13" => data <= x"72"; when "11" & x"e14" => data <= x"d2"; when "11" & x"e15" => data <= x"6f"; when "11" & x"e16" => data <= x"6e"; when "11" & x"e17" => data <= x"73"; when "11" & x"e18" => data <= x"2c"; when "11" & x"e19" => data <= x"52"; when "11" & x"e1a" => data <= x"6f"; when "11" & x"e1b" => data <= x"62"; when "11" & x"e1c" => data <= x"69"; when "11" & x"e1d" => data <= x"6e"; when "11" & x"e1e" => data <= x"20"; when "11" & x"e1f" => data <= x"50"; when "11" & x"e20" => data <= x"ad"; when "11" & x"e21" => data <= x"69"; when "11" & x"e22" => data <= x"6e"; when "11" & x"e23" => data <= x"2c"; when "11" & x"e24" => data <= x"d2"; when "11" & x"e25" => data <= x"6c"; when "11" & x"e26" => data <= x"79"; when "11" & x"e27" => data <= x"6e"; when "11" & x"e28" => data <= x"20"; when "11" & x"e29" => data <= x"50"; when "11" & x"e2a" => data <= x"68"; when "11" & x"e2b" => data <= x"69"; when "11" & x"e2c" => data <= x"6c"; when "11" & x"e2d" => data <= x"6c"; when "11" & x"e2e" => data <= x"69"; when "11" & x"e2f" => data <= x"70"; when "11" & x"e30" => data <= x"ad"; when "11" & x"e31" => data <= x"2c"; when "11" & x"e32" => data <= x"42"; when "11" & x"e33" => data <= x"72"; when "11" & x"e34" => data <= x"d2"; when "11" & x"e35" => data <= x"61"; when "11" & x"e36" => data <= x"6e"; when "11" & x"e37" => data <= x"20"; when "11" & x"e38" => data <= x"52"; when "11" & x"e39" => data <= x"6f"; when "11" & x"e3a" => data <= x"62"; when "11" & x"e3b" => data <= x"65"; when "11" & x"e3c" => data <= x"72"; when "11" & x"e3d" => data <= x"74"; when "11" & x"e3e" => data <= x"73"; when "11" & x"e3f" => data <= x"6f"; when "11" & x"e40" => data <= x"ad"; when "11" & x"e41" => data <= x"2c"; when "11" & x"e42" => data <= x"50"; when "11" & x"e43" => data <= x"65"; when "11" & x"e44" => data <= x"d2"; when "11" & x"e45" => data <= x"65"; when "11" & x"e46" => data <= x"72"; when "11" & x"e47" => data <= x"20"; when "11" & x"e48" => data <= x"52"; when "11" & x"e49" => data <= x"6f"; when "11" & x"e4a" => data <= x"62"; when "11" & x"e4b" => data <= x"69"; when "11" & x"e4c" => data <= x"6e"; when "11" & x"e4d" => data <= x"73"; when "11" & x"e4e" => data <= x"6f"; when "11" & x"e4f" => data <= x"6e"; when "11" & x"e50" => data <= x"ad"; when "11" & x"e51" => data <= x"44"; when "11" & x"e52" => data <= x"61"; when "11" & x"e53" => data <= x"76"; when "11" & x"e54" => data <= x"d2"; when "11" & x"e55" => data <= x"64"; when "11" & x"e56" => data <= x"20"; when "11" & x"e57" => data <= x"53"; when "11" & x"e58" => data <= x"65"; when "11" & x"e59" => data <= x"61"; when "11" & x"e5a" => data <= x"6c"; when "11" & x"e5b" => data <= x"2c"; when "11" & x"e5c" => data <= x"4b"; when "11" & x"e5d" => data <= x"69"; when "11" & x"e5e" => data <= x"6d"; when "11" & x"e5f" => data <= x"20"; when "11" & x"e60" => data <= x"ad"; when "11" & x"e61" => data <= x"70"; when "11" & x"e62" => data <= x"65"; when "11" & x"e63" => data <= x"6e"; when "11" & x"e64" => data <= x"d2"; when "11" & x"e65" => data <= x"65"; when "11" & x"e66" => data <= x"2d"; when "11" & x"e67" => data <= x"4a"; when "11" & x"e68" => data <= x"6f"; when "11" & x"e69" => data <= x"6e"; when "11" & x"e6a" => data <= x"65"; when "11" & x"e6b" => data <= x"73"; when "11" & x"e6c" => data <= x"2c"; when "11" & x"e6d" => data <= x"47"; when "11" & x"e6e" => data <= x"72"; when "11" & x"e6f" => data <= x"61"; when "11" & x"e70" => data <= x"ad"; when "11" & x"e71" => data <= x"61"; when "11" & x"e72" => data <= x"6d"; when "11" & x"e73" => data <= x"20"; when "11" & x"e74" => data <= x"d2"; when "11" & x"e75" => data <= x"65"; when "11" & x"e76" => data <= x"62"; when "11" & x"e77" => data <= x"62"; when "11" & x"e78" => data <= x"79"; when "11" & x"e79" => data <= x"2c"; when "11" & x"e7a" => data <= x"4a"; when "11" & x"e7b" => data <= x"6f"; when "11" & x"e7c" => data <= x"6e"; when "11" & x"e7d" => data <= x"20"; when "11" & x"e7e" => data <= x"54"; when "11" & x"e7f" => data <= x"68"; when "11" & x"e80" => data <= x"ad"; when "11" & x"e81" => data <= x"63"; when "11" & x"e82" => data <= x"6b"; when "11" & x"e83" => data <= x"72"; when "11" & x"e84" => data <= x"69"; when "11" & x"e85" => data <= x"79"; when "11" & x"e86" => data <= x"2c"; when "11" & x"e87" => data <= x"54"; when "11" & x"e88" => data <= x"6f"; when "11" & x"e89" => data <= x"70"; when "11" & x"e8a" => data <= x"65"; when "11" & x"e8b" => data <= x"78"; when "11" & x"e8c" => data <= x"70"; when "11" & x"e8d" => data <= x"72"; when "11" & x"e8e" => data <= x"65"; when "11" & x"e8f" => data <= x"73"; when "11" & x"e90" => data <= x"ad"; when "11" & x"e91" => data <= x"2c"; when "11" & x"e92" => data <= x"43"; when "11" & x"e93" => data <= x"68"; when "11" & x"e94" => data <= x"69"; when "11" & x"e95" => data <= x"69"; when "11" & x"e96" => data <= x"73"; when "11" & x"e97" => data <= x"20"; when "11" & x"e98" => data <= x"54"; when "11" & x"e99" => data <= x"75"; when "11" & x"e9a" => data <= x"72"; when "11" & x"e9b" => data <= x"6e"; when "11" & x"e9c" => data <= x"65"; when "11" & x"e9d" => data <= x"72"; when "11" & x"e9e" => data <= x"2c"; when "11" & x"e9f" => data <= x"48"; when "11" & x"ea0" => data <= x"ad"; when "11" & x"ea1" => data <= x"67"; when "11" & x"ea2" => data <= x"6f"; when "11" & x"ea3" => data <= x"20"; when "11" & x"ea4" => data <= x"69"; when "11" & x"ea5" => data <= x"79"; when "11" & x"ea6" => data <= x"73"; when "11" & x"ea7" => data <= x"6f"; when "11" & x"ea8" => data <= x"6e"; when "11" & x"ea9" => data <= x"2c"; when "11" & x"eaa" => data <= x"4a"; when "11" & x"eab" => data <= x"6f"; when "11" & x"eac" => data <= x"68"; when "11" & x"ead" => data <= x"6e"; when "11" & x"eae" => data <= x"20"; when "11" & x"eaf" => data <= x"55"; when "11" & x"eb0" => data <= x"ad"; when "11" & x"eb1" => data <= x"6e"; when "11" & x"eb2" => data <= x"65"; when "11" & x"eb3" => data <= x"79"; when "11" & x"eb4" => data <= x"69"; when "11" & x"eb5" => data <= x"41"; when "11" & x"eb6" => data <= x"6c"; when "11" & x"eb7" => data <= x"65"; when "11" & x"eb8" => data <= x"78"; when "11" & x"eb9" => data <= x"20"; when "11" & x"eba" => data <= x"76"; when "11" & x"ebb" => data <= x"61"; when "11" & x"ebc" => data <= x"6e"; when "11" & x"ebd" => data <= x"20"; when "11" & x"ebe" => data <= x"53"; when "11" & x"ebf" => data <= x"6f"; when "11" & x"ec0" => data <= x"ad"; when "11" & x"ec1" => data <= x"65"; when "11" & x"ec2" => data <= x"72"; when "11" & x"ec3" => data <= x"65"; when "11" & x"ec4" => data <= x"69"; when "11" & x"ec5" => data <= x"2c"; when "11" & x"ec6" => data <= x"47"; when "11" & x"ec7" => data <= x"65"; when "11" & x"ec8" => data <= x"6f"; when "11" & x"ec9" => data <= x"66"; when "11" & x"eca" => data <= x"66"; when "11" & x"ecb" => data <= x"20"; when "11" & x"ecc" => data <= x"56"; when "11" & x"ecd" => data <= x"69"; when "11" & x"ece" => data <= x"6e"; when "11" & x"ecf" => data <= x"63"; when "11" & x"ed0" => data <= x"ad"; when "11" & x"ed1" => data <= x"6e"; when "11" & x"ed2" => data <= x"74"; when "11" & x"ed3" => data <= x"2c"; when "11" & x"ed4" => data <= x"34"; when "11" & x"ed5" => data <= x"64"; when "11" & x"ed6" => data <= x"72"; when "11" & x"ed7" => data <= x"69"; when "11" & x"ed8" => data <= x"61"; when "11" & x"ed9" => data <= x"6e"; when "11" & x"eda" => data <= x"20"; when "11" & x"edb" => data <= x"57"; when "11" & x"edc" => data <= x"61"; when "11" & x"edd" => data <= x"72"; when "11" & x"ede" => data <= x"6e"; when "11" & x"edf" => data <= x"65"; when "11" & x"ee0" => data <= x"ad"; when "11" & x"ee1" => data <= x"2c"; when "11" & x"ee2" => data <= x"52"; when "11" & x"ee3" => data <= x"6f"; when "11" & x"ee4" => data <= x"34"; when "11" & x"ee5" => data <= x"69"; when "11" & x"ee6" => data <= x"6e"; when "11" & x"ee7" => data <= x"20"; when "11" & x"ee8" => data <= x"57"; when "11" & x"ee9" => data <= x"69"; when "11" & x"eea" => data <= x"6c"; when "11" & x"eeb" => data <= x"6c"; when "11" & x"eec" => data <= x"69"; when "11" & x"eed" => data <= x"61"; when "11" & x"eee" => data <= x"6d"; when "11" & x"eef" => data <= x"73"; when "11" & x"ef0" => data <= x"ad"; when "11" & x"ef1" => data <= x"6e"; when "11" & x"ef2" => data <= x"2c"; when "11" & x"ef3" => data <= x"52"; when "11" & x"ef4" => data <= x"34"; when "11" & x"ef5" => data <= x"67"; when "11" & x"ef6" => data <= x"65"; when "11" & x"ef7" => data <= x"72"; when "11" & x"ef8" => data <= x"20"; when "11" & x"ef9" => data <= x"57"; when "11" & x"efa" => data <= x"69"; when "11" & x"efb" => data <= x"6c"; when "11" & x"efc" => data <= x"73"; when "11" & x"efd" => data <= x"6f"; when "11" & x"efe" => data <= x"6e"; when "11" & x"eff" => data <= x"2e"; when "11" & x"f00" => data <= x"20"; when "11" & x"f01" => data <= x"51"; when "11" & x"f02" => data <= x"ff"; when "11" & x"f03" => data <= x"20"; when "11" & x"f04" => data <= x"51"; when "11" & x"f05" => data <= x"ff"; when "11" & x"f06" => data <= x"20"; when "11" & x"f07" => data <= x"51"; when "11" & x"f08" => data <= x"ff"; when "11" & x"f09" => data <= x"20"; when "11" & x"f0a" => data <= x"51"; when "11" & x"f0b" => data <= x"ff"; when "11" & x"f0c" => data <= x"20"; when "11" & x"f0d" => data <= x"51"; when "11" & x"f0e" => data <= x"ff"; when "11" & x"f0f" => data <= x"20"; when "11" & x"f10" => data <= x"51"; when "11" & x"f11" => data <= x"ff"; when "11" & x"f12" => data <= x"20"; when "11" & x"f13" => data <= x"51"; when "11" & x"f14" => data <= x"ff"; when "11" & x"f15" => data <= x"20"; when "11" & x"f16" => data <= x"51"; when "11" & x"f17" => data <= x"ff"; when "11" & x"f18" => data <= x"20"; when "11" & x"f19" => data <= x"51"; when "11" & x"f1a" => data <= x"ff"; when "11" & x"f1b" => data <= x"20"; when "11" & x"f1c" => data <= x"51"; when "11" & x"f1d" => data <= x"ff"; when "11" & x"f1e" => data <= x"20"; when "11" & x"f1f" => data <= x"51"; when "11" & x"f20" => data <= x"ff"; when "11" & x"f21" => data <= x"20"; when "11" & x"f22" => data <= x"51"; when "11" & x"f23" => data <= x"ff"; when "11" & x"f24" => data <= x"20"; when "11" & x"f25" => data <= x"51"; when "11" & x"f26" => data <= x"ff"; when "11" & x"f27" => data <= x"20"; when "11" & x"f28" => data <= x"51"; when "11" & x"f29" => data <= x"ff"; when "11" & x"f2a" => data <= x"20"; when "11" & x"f2b" => data <= x"51"; when "11" & x"f2c" => data <= x"ff"; when "11" & x"f2d" => data <= x"20"; when "11" & x"f2e" => data <= x"51"; when "11" & x"f2f" => data <= x"ff"; when "11" & x"f30" => data <= x"20"; when "11" & x"f31" => data <= x"51"; when "11" & x"f32" => data <= x"ff"; when "11" & x"f33" => data <= x"20"; when "11" & x"f34" => data <= x"51"; when "11" & x"f35" => data <= x"ff"; when "11" & x"f36" => data <= x"20"; when "11" & x"f37" => data <= x"51"; when "11" & x"f38" => data <= x"ff"; when "11" & x"f39" => data <= x"20"; when "11" & x"f3a" => data <= x"51"; when "11" & x"f3b" => data <= x"ff"; when "11" & x"f3c" => data <= x"20"; when "11" & x"f3d" => data <= x"51"; when "11" & x"f3e" => data <= x"ff"; when "11" & x"f3f" => data <= x"20"; when "11" & x"f40" => data <= x"51"; when "11" & x"f41" => data <= x"ff"; when "11" & x"f42" => data <= x"20"; when "11" & x"f43" => data <= x"51"; when "11" & x"f44" => data <= x"ff"; when "11" & x"f45" => data <= x"20"; when "11" & x"f46" => data <= x"51"; when "11" & x"f47" => data <= x"ff"; when "11" & x"f48" => data <= x"20"; when "11" & x"f49" => data <= x"51"; when "11" & x"f4a" => data <= x"ff"; when "11" & x"f4b" => data <= x"20"; when "11" & x"f4c" => data <= x"51"; when "11" & x"f4d" => data <= x"ff"; when "11" & x"f4e" => data <= x"20"; when "11" & x"f4f" => data <= x"51"; when "11" & x"f50" => data <= x"ff"; when "11" & x"f51" => data <= x"48"; when "11" & x"f52" => data <= x"48"; when "11" & x"f53" => data <= x"48"; when "11" & x"f54" => data <= x"48"; when "11" & x"f55" => data <= x"48"; when "11" & x"f56" => data <= x"08"; when "11" & x"f57" => data <= x"48"; when "11" & x"f58" => data <= x"8a"; when "11" & x"f59" => data <= x"48"; when "11" & x"f5a" => data <= x"98"; when "11" & x"f5b" => data <= x"48"; when "11" & x"f5c" => data <= x"ba"; when "11" & x"f5d" => data <= x"a9"; when "11" & x"f5e" => data <= x"ff"; when "11" & x"f5f" => data <= x"9d"; when "11" & x"f60" => data <= x"08"; when "11" & x"f61" => data <= x"01"; when "11" & x"f62" => data <= x"a9"; when "11" & x"f63" => data <= x"86"; when "11" & x"f64" => data <= x"9d"; when "11" & x"f65" => data <= x"07"; when "11" & x"f66" => data <= x"01"; when "11" & x"f67" => data <= x"bc"; when "11" & x"f68" => data <= x"0a"; when "11" & x"f69" => data <= x"01"; when "11" & x"f6a" => data <= x"b9"; when "11" & x"f6b" => data <= x"9d"; when "11" & x"f6c" => data <= x"0d"; when "11" & x"f6d" => data <= x"9d"; when "11" & x"f6e" => data <= x"05"; when "11" & x"f6f" => data <= x"01"; when "11" & x"f70" => data <= x"b9"; when "11" & x"f71" => data <= x"9e"; when "11" & x"f72" => data <= x"0d"; when "11" & x"f73" => data <= x"9d"; when "11" & x"f74" => data <= x"06"; when "11" & x"f75" => data <= x"01"; when "11" & x"f76" => data <= x"a5"; when "11" & x"f77" => data <= x"f4"; when "11" & x"f78" => data <= x"9d"; when "11" & x"f79" => data <= x"09"; when "11" & x"f7a" => data <= x"01"; when "11" & x"f7b" => data <= x"b9"; when "11" & x"f7c" => data <= x"9f"; when "11" & x"f7d" => data <= x"0d"; when "11" & x"f7e" => data <= x"20"; when "11" & x"f7f" => data <= x"a0"; when "11" & x"f80" => data <= x"e3"; when "11" & x"f81" => data <= x"68"; when "11" & x"f82" => data <= x"a8"; when "11" & x"f83" => data <= x"68"; when "11" & x"f84" => data <= x"aa"; when "11" & x"f85" => data <= x"68"; when "11" & x"f86" => data <= x"40"; when "11" & x"f87" => data <= x"08"; when "11" & x"f88" => data <= x"48"; when "11" & x"f89" => data <= x"8a"; when "11" & x"f8a" => data <= x"48"; when "11" & x"f8b" => data <= x"ba"; when "11" & x"f8c" => data <= x"bd"; when "11" & x"f8d" => data <= x"02"; when "11" & x"f8e" => data <= x"01"; when "11" & x"f8f" => data <= x"9d"; when "11" & x"f90" => data <= x"05"; when "11" & x"f91" => data <= x"01"; when "11" & x"f92" => data <= x"bd"; when "11" & x"f93" => data <= x"03"; when "11" & x"f94" => data <= x"01"; when "11" & x"f95" => data <= x"9d"; when "11" & x"f96" => data <= x"06"; when "11" & x"f97" => data <= x"01"; when "11" & x"f98" => data <= x"68"; when "11" & x"f99" => data <= x"aa"; when "11" & x"f9a" => data <= x"68"; when "11" & x"f9b" => data <= x"68"; when "11" & x"f9c" => data <= x"68"; when "11" & x"f9d" => data <= x"20"; when "11" & x"f9e" => data <= x"a0"; when "11" & x"f9f" => data <= x"e3"; when "11" & x"fa0" => data <= x"68"; when "11" & x"fa1" => data <= x"28"; when "11" & x"fa2" => data <= x"60"; when "11" & x"fa3" => data <= x"98"; when "11" & x"fa4" => data <= x"9d"; when "11" & x"fa5" => data <= x"00"; when "11" & x"fa6" => data <= x"fd"; when "11" & x"fa7" => data <= x"60"; when "11" & x"fa8" => data <= x"bc"; when "11" & x"fa9" => data <= x"00"; when "11" & x"faa" => data <= x"fd"; when "11" & x"fab" => data <= x"60"; when "11" & x"fac" => data <= x"0e"; when "11" & x"fad" => data <= x"05"; when "11" & x"fae" => data <= x"fe"; when "11" & x"faf" => data <= x"2c"; when "11" & x"fb0" => data <= x"2c"; when "11" & x"fb1" => data <= x"fc"; when "11" & x"fb2" => data <= x"40"; when "11" & x"fb3" => data <= x"8a"; when "11" & x"fb4" => data <= x"b0"; when "11" & x"fb5" => data <= x"1e"; when "11" & x"fb6" => data <= x"36"; when "11" & x"fb7" => data <= x"45"; when "11" & x"fb8" => data <= x"d8"; when "11" & x"fb9" => data <= x"4c"; when "11" & x"fba" => data <= x"f2"; when "11" & x"fbb" => data <= x"da"; when "11" & x"fbc" => data <= x"4c"; when "11" & x"fbd" => data <= x"d8"; when "11" & x"fbe" => data <= x"d6"; when "11" & x"fbf" => data <= x"4c"; when "11" & x"fc0" => data <= x"02"; when "11" & x"fc1" => data <= x"e2"; when "11" & x"fc2" => data <= x"4c"; when "11" & x"fc3" => data <= x"fd"; when "11" & x"fc4" => data <= x"e7"; when "11" & x"fc5" => data <= x"4c"; when "11" & x"fc6" => data <= x"0e"; when "11" & x"fc7" => data <= x"e8"; when "11" & x"fc8" => data <= x"4c"; when "11" & x"fc9" => data <= x"50"; when "11" & x"fca" => data <= x"dc"; when "11" & x"fcb" => data <= x"4c"; when "11" & x"fcc" => data <= x"2d"; when "11" & x"fcd" => data <= x"de"; when "11" & x"fce" => data <= x"6c"; when "11" & x"fcf" => data <= x"1c"; when "11" & x"fd0" => data <= x"02"; when "11" & x"fd1" => data <= x"6c"; when "11" & x"fd2" => data <= x"1a"; when "11" & x"fd3" => data <= x"02"; when "11" & x"fd4" => data <= x"6c"; when "11" & x"fd5" => data <= x"18"; when "11" & x"fd6" => data <= x"02"; when "11" & x"fd7" => data <= x"6c"; when "11" & x"fd8" => data <= x"16"; when "11" & x"fd9" => data <= x"02"; when "11" & x"fda" => data <= x"6c"; when "11" & x"fdb" => data <= x"14"; when "11" & x"fdc" => data <= x"02"; when "11" & x"fdd" => data <= x"6c"; when "11" & x"fde" => data <= x"12"; when "11" & x"fdf" => data <= x"02"; when "11" & x"fe0" => data <= x"6c"; when "11" & x"fe1" => data <= x"10"; when "11" & x"fe2" => data <= x"02"; when "11" & x"fe3" => data <= x"c9"; when "11" & x"fe4" => data <= x"0d"; when "11" & x"fe5" => data <= x"d0"; when "11" & x"fe6" => data <= x"07"; when "11" & x"fe7" => data <= x"a9"; when "11" & x"fe8" => data <= x"0a"; when "11" & x"fe9" => data <= x"20"; when "11" & x"fea" => data <= x"ee"; when "11" & x"feb" => data <= x"ff"; when "11" & x"fec" => data <= x"a9"; when "11" & x"fed" => data <= x"0d"; when "11" & x"fee" => data <= x"6c"; when "11" & x"fef" => data <= x"0e"; when "11" & x"ff0" => data <= x"02"; when "11" & x"ff1" => data <= x"6c"; when "11" & x"ff2" => data <= x"0c"; when "11" & x"ff3" => data <= x"02"; when "11" & x"ff4" => data <= x"6c"; when "11" & x"ff5" => data <= x"0a"; when "11" & x"ff6" => data <= x"02"; when "11" & x"ff7" => data <= x"6c"; when "11" & x"ff8" => data <= x"08"; when "11" & x"ff9" => data <= x"02"; when "11" & x"ffa" => data <= x"00"; when "11" & x"ffb" => data <= x"0d"; when "11" & x"ffc" => data <= x"d2"; when "11" & x"ffd" => data <= x"d8"; when "11" & x"ffe" => data <= x"e7"; when "11" & x"fff" => data <= x"da"; when others => data <= (others => '0'); end case; end process; end RTL;
gpl-3.0
0d7a15c505af12a361ef4f3e3a83b0a7
0.347133
2.675772
false
false
false
false
ou-cse-378/vhdl-tetris
wc16c_control.vhd
1
7,782
-- ================================================================================= -- // Name: Bryan Mason, James Batcheler, & Brad McMahon -- // File: WC16C_Control.vhd -- // Date: 12/9/2004 -- // Description: WC16 Core -- // Class: CSE 378 -- ================================================================================= library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; use work.opcodes.all; entity WC16C_control is port ( BTN4 : in std_logic; oClearLines : out std_logic; icode : in STD_LOGIC_VECTOR (15 downto 0); M : in STD_LOGIC_VECTOR (15 downto 0); clr : in STD_LOGIC; clk : in STD_LOGIC; fcode : out STD_LOGIC_VECTOR (5 downto 0); pinc : out STD_LOGIC; pload : out STD_LOGIC; tload : out STD_LOGIC; nload : out STD_LOGIC; digload : out STD_LOGIC; iload : out STD_LOGIC; dpush : out STD_LOGIC; dpop : out STD_LOGIC; tsel : out STD_LOGIC_VECTOR (2 downto 0); nsel : out STD_LOGIC_VECTOR (1 downto 0); ssel : out STD_LOGIC; R : in STD_LOGIC_VECTOR (15 downto 0); T : in STD_LOGIC_VECTOR (15 downto 0); rsel : out STD_LOGIC; rload : out STD_LOGIC; rdec : out STD_LOGIC; rpush : out STD_LOGIC; rpop : out STD_LOGIC; ldload : out STD_LOGIC; psel : out STD_LOGIC; rinsel : out STD_LOGIC ); end WC16C_control; architecture WC16C_control_arch of WC16C_control is type state_type is (fetch, exec, exec_fetch); signal current_state, next_state: state_type;   begin synch: process(clk, clr) begin if clr = '1' then current_state <= fetch; elsif (clk'event and clk = '1') then current_state <= next_state; end if; end process synch; C1: process(current_state, M) begin case current_state is when fetch => if M(8) = '1' then next_state <= exec; else next_state <= exec_fetch; end if; when exec_fetch => if M(8) = '1' then next_state <= exec; else next_state <= exec_fetch; end if; when exec => next_state <= fetch; end case; end process C1; C2: process(icode, current_state, R) --C2: process(icode, current_state, R, BTN4)-- variable r1: std_logic; variable i: std_logic; begin r1 := '0'; for i in 15 downto 1 loop r1 := r1 or R(i); end loop; r1 := (not r1) and R(0); fcode <= "000000"; nsel <= "00"; tsel <= "000"; ssel <= '0'; pload <= '0'; tload <= '0'; nload <= '0'; digload <= '0'; pinc <= '1'; iload <= '0'; dpush <= '0'; dpop <= '0'; rload <= '0'; rpush <= '0'; rpop <= '0'; rinsel <= '0'; rdec <= '0'; rsel <= '0'; ldload <='0'; psel <= '0'; oClearLines <= '0'; if (current_state = fetch) or (current_state = exec_fetch) then iload <= '1'; -- fetch next instruction end if; if (current_state = exec) or (current_state = exec_fetch) then case icode is when nop => null; when dup => nload <= '1'; dpush <= '1'; when swap => tload <= '1'; nload <= '1'; tsel <= "111"; when drop => tload <= '1'; nload <= '1'; tsel <= "111"; nsel <= "01"; dpop <= '1'; when over => tload <= '1'; nload <= '1'; tsel <= "111"; dpush <= '1'; when rot => tload <= '1'; nload <= '1'; tsel <= "110"; dpush <= '1'; dpop <= '1'; when mrot => tload <= '1'; nload <= '1'; tsel <= "111"; nsel <= "01"; ssel <= '1'; dpush <= '1'; dpop <= '1'; when nip => nload <= '1'; nsel <= "01"; dpop <= '1'; when tuck => ssel <= '1'; dpush <= '1'; when rot_drop => dpop <='1'; when rot_drop_swap => tload <= '1'; nload <= '1'; tsel <= "111"; dpop <= '1'; when plus => tload <= '1'; nload <= '1'; nsel <= "01"; dpop <='1'; fcode <= icode(5 downto 0); when plus1 => tload <= '1'; fcode <= icode(5 downto 0); nsel <= "01"; when invert => tload <= '1'; fcode <= icode(5 downto 0); nsel <= "01"; when twotimes => tload <= '1'; fcode <= icode(5 downto 0); nsel <= "01"; when minus => tload <= '1'; nload <= '1'; nsel <= "01"; dpop <= '1'; fcode <= icode(5 downto 0); when orr => tload <= '1'; nload <= '1'; nsel <= "01"; dpop <= '1'; fcode <= icode(5 downto 0); when andd => tload <= '1'; nload <= '1'; nsel <= "01"; dpop <= '1'; fcode <= icode(5 downto 0); when lshift => tload <= '1'; nload <= '1'; nsel <= "01"; dpop <= '1'; fcode <= icode(5 downto 0); when rshift => tload <= '1'; nload <= '1'; nsel <= "01"; dpop <= '1'; fcode <= icode(5 downto 0); when minus1 => tload <= '1'; fcode <= icode(5 downto 0); when xorr => tload <= '1'; nload <= '1'; nsel <= "01"; dpop <= '1'; fcode <= icode(5 downto 0); when u2slash => tload <= '1'; fcode <= icode(5 downto 0); when twoslash => tload <= '1'; fcode <= icode(5 downto 0); when ones => tload <= '1'; fcode <= icode(5 downto 0); when zeros => tload <= '1'; fcode <= icode(5 downto 0); when zeroequal => tload <= '1'; fcode <= icode(5 downto 0); when zeroless => tload <= '1'; fcode <= icode(5 downto 0); when ugt => tload <= '1'; nload <= '1'; nsel <= "01"; dpop <= '1'; fcode <= icode(5 downto 0); when ult => tload <= '1'; nload <= '1'; nsel <= "01"; dpop <= '1'; fcode <= icode(5 downto 0); when eq => tload <= '1'; nload <= '1'; nsel <= "01"; dpop <= '1'; fcode <= icode(5 downto 0); when ugte => tload <= '1'; nload <= '1'; nsel <= "01"; dpop <= '1'; fcode <= icode(5 downto 0); when neq => tload <= '1'; nload <= '1'; nsel <= "01"; dpop <= '1'; fcode <= icode(5 downto 0); when gt => tload <= '1'; nload <= '1'; nsel <= "01"; dpop <= '1'; fcode <= icode(5 downto 0); when lt => tload <= '1'; nload <= '1'; nsel <= "01"; dpop <= '1'; fcode <= icode(5 downto 0); when gte => tload <= '1'; nload <= '1'; nsel <= "01"; dpop <= '1'; fcode <= icode(5 downto 0); when lte => tload <= '1'; nload <= '1'; nsel <= "01"; dpop <= '1'; fcode <= icode(5 downto 0); when sfetch => tload <= '1'; tsel <= "010"; nload <= '1'; dpush <= '1'; when scorefetch => tload <= '1'; tsel <= "010"; nload <= '1'; dpush <= '1'; when digstore => digload <= '1'; tload <= '1'; nload <= '1'; dpop <= '1'; tsel <= "111"; nsel <= "01"; when jmp => pload <= '1'; pinc <= '0'; when destrofetch => tload <= '1'; tsel <= "100"; nload <= '1'; dpush <= '1'; when ClearLines => oClearLines <= '1'; when jb4LO => pload <= not BTN4; pinc <= BTN4; when jb4HI => pload <= BTN4; pinc <= not BTN4; when lit => tload <= '1'; tsel <= "001"; nload <= '1'; dpush <= '1'; when tor => tload <= '1'; nload <= '1'; tsel <= "111"; nsel <= "01"; dpop <= '1'; rload <= '1'; rpush <= '1'; rinsel <= '1'; when rfrom => tload <= '1'; nload <= '1'; tsel <= "011"; dpush <= '1'; rsel <= '1'; rload <= '1'; rpop <= '1'; when rfetch => tload <= '1'; nload <= '1'; tsel <= "011"; dpush <= '1'; when rfromdrop => rsel <= '1'; rload <= '1'; rpop <= '1'; when ldstore => ldload <= '1'; tload <= '1'; nload <= '1'; tsel <= "111"; nsel <= "01"; dpop <= '1'; when drjne => rdec <= not r1; pload <= not r1; psel <= '0'; pinc <= r1; rsel <= r1; rload <= r1; rpop <= r1; when call => pload <= '1'; rload <= '1'; rpush <= '1'; when ret => psel <= '1'; pload <= '1'; rsel <= '1'; rload <= '1'; rpop <= '1'; when others => null; end case; end if; end process C2; end WC16C_control_arch;
mit
d6729bc424b2f1f1342957cda72e7251
0.483423
2.810401
false
false
false
false
DreamIP/GPStudio
support/process/sconv/hdl/sconv.vhd
1
4,307
-- Author : K. Abdelouahab -- Company : DREAM - Institut Pascal - Unviersite Clermont Auvergne library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; entity sconv is generic ( LINE_WIDTH_MAX : integer := 320; IN_SIZE : integer := 8; OUT_SIZE : integer := 8; CLK_PROC_FREQ : integer := 48000000 ); port ( clk_proc : in std_logic; reset_n : in std_logic; in_data : in std_logic_vector((IN_SIZE-1) downto 0); in_fv : in std_logic; in_dv : in std_logic; addr_rel_i : in std_logic_vector(3 downto 0); wr_i : in std_logic; rd_i : in std_logic; datawr_i : in std_logic_vector(31 downto 0); out_data : out std_logic_vector((OUT_SIZE-1) downto 0); out_fv : out std_logic; out_dv : out std_logic; datard_o : out std_logic_vector(31 downto 0) ); end sconv; architecture rtl of sconv is component conv_slave port ( clk_proc : in std_logic; reset_n : in std_logic; addr_rel_i : in std_logic_vector(3 downto 0); wr_i : in std_logic; rd_i : in std_logic; datawr_i : in std_logic_vector(31 downto 0); datard_o : out std_logic_vector(31 downto 0); enable_o : out std_logic; widthimg_o : out std_logic_vector(15 downto 0); w11_o : out std_logic_vector (7 downto 0); w12_o : out std_logic_vector (7 downto 0); w13_o : out std_logic_vector (7 downto 0); w21_o : out std_logic_vector (7 downto 0); w22_o : out std_logic_vector (7 downto 0); w23_o : out std_logic_vector (7 downto 0); w31_o : out std_logic_vector (7 downto 0); w32_o : out std_logic_vector (7 downto 0); w33_o : out std_logic_vector (7 downto 0); norm_o : out std_logic_vector (7 downto 0) ); end component; component conv_process generic ( LINE_WIDTH_MAX: integer; PIX_WIDTH : integer ); port( clk_proc : in std_logic; reset_n : in std_logic; in_data : in std_logic_vector((PIX_WIDTH-1) downto 0); in_fv : in std_logic; in_dv : in std_logic; w11,w12,w13 : in std_logic_vector ((PIX_WIDTH-1) downto 0); w21,w22,w23 : in std_logic_vector ((PIX_WIDTH-1) downto 0); w31,w32,w33 : in std_logic_vector ((PIX_WIDTH-1) downto 0); norm : in std_logic_vector ((PIX_WIDTH-1) downto 0); enable_i : in std_logic; widthimg_i : in std_logic_vector(15 downto 0); out_data : out std_logic_vector (PIX_WIDTH-1 downto 0); out_fv : out std_logic; out_dv : out std_logic ); end component; signal enable_s : std_logic; signal widthimg_s : std_logic_vector(15 downto 0); signal w11s,w12s,w13s : std_logic_vector (IN_SIZE-1 downto 0); signal w21s,w22s,w23s : std_logic_vector (IN_SIZE-1 downto 0); signal w31s,w32s,w33s : std_logic_vector (IN_SIZE-1 downto 0); signal norms : std_logic_vector (IN_SIZE-1 downto 0); begin conv_slave_inst : conv_slave port map ( clk_proc => clk_proc, reset_n => reset_n, addr_rel_i => addr_rel_i, wr_i => wr_i, rd_i => rd_i, datawr_i => datawr_i, datard_o => datard_o, enable_o => enable_s, widthimg_o => widthimg_s, w11_o => w11s, w12_o => w12s, w13_o => w13s, w21_o => w21s, w22_o => w22s, w23_o => w23s, w31_o => w31s, w32_o => w32s, w33_o => w33s, norm_o => norms ); conv_process_inst : conv_process generic map ( LINE_WIDTH_MAX => LINE_WIDTH_MAX, PIX_WIDTH => IN_SIZE ) port map ( clk_proc => clk_proc, reset_n => reset_n, in_data => in_data, in_fv => in_fv, in_dv => in_dv, out_data => out_data, out_fv => out_fv, out_dv => out_dv, norm => norms, enable_i => enable_s, widthimg_i => widthimg_s, w11 => w11s, w12 => w12s, w13 => w13s, w21 => w21s, w22 => w22s, w23 => w23s, w31 => w31s, w32 => w32s, w33 => w33s ); end rtl;
gpl-3.0
1feb03be92b6d8234e06ef0a9a12ce19
0.5303
2.816874
false
false
false
false
openPOWERLINK/openPOWERLINK_V2
hardware/ipcore/common/fifo/src/asyncFifo-e.vhd
3
3,740
------------------------------------------------------------------------------- --! @file asyncFifo-e.vhd -- --! @brief The asynchronous FIFO entity. --! --! @details This is the asynchronous FIFO interface description, for a dual --! clocked FIFO component. -- ------------------------------------------------------------------------------- -- -- (c) B&R Industrial Automation GmbH, 2014 -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- 3. Neither the name of B&R nor the names of its -- contributors may be used to endorse or promote products derived -- from this software without prior written permission. For written -- permission, please contact [email protected] -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, -- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT -- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN -- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; --! Common library library libcommon; --! Use common library global package use libcommon.global.all; entity asyncFifo is generic ( --! Data width of write and read port gDataWidth : natural := 8; --! Number of words stored in fifo gWordSize : natural := 64; --! Number of synchronizer stages gSyncStages : natural := 2; --! Select memory resource ("ON" = memory / "OFF" = registers) gMemRes : string := "ON" ); port ( --! Asynchronous clear (FIXME: Convert this to reset, and add wr-/rd-clear inputs) iAclr : in std_logic; --! Write Clk iWrClk : in std_logic; --! Write Request iWrReq : in std_logic; --! Write Data iWrData : in std_logic_vector(gDataWidth-1 downto 0); --! Write Empty Flag oWrEmpty : out std_logic; --! Write Full Flag oWrFull : out std_logic; --! Write used words oWrUsedw : out std_logic_vector(logDualis(gWordSize)-1 downto 0); --! Read clk iRdClk : in std_logic; --! Read Request iRdReq : in std_logic; --! Read Data oRdData : out std_logic_vector(gDataWidth-1 downto 0); --! Read Empty Flag oRdEmpty : out std_logic; --! Read Full Flag oRdFull : out std_logic; --! Read used words oRdUsedw : out std_logic_vector(logDualis(gWordSize)-1 downto 0) ); end entity asyncFifo;
gpl-2.0
53d71cf1127ff1cbb5cdd438bc97c1eb
0.603743
4.680851
false
false
false
false
DreamIP/GPStudio
support/toolchain/caph/hdl/caph_lib/single_clock_ram.vhd
1
2,007
----------------------------------------------------------------------------------------- -- -- -- This file is part of the CAPH Compiler distribution -- -- http://caph.univ-bpclermont.fr -- -- -- -- Jocelyn SEROT -- -- [email protected] -- -- -- -- Copyright 2011-2015 Jocelyn SEROT. All rights reserved. -- -- This file is distributed under the terms of the GNU Library General Public License -- -- with the special exception on linking described in file ../LICENSE. -- -- -- ----------------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY single_clock_ram IS generic ( depth: integer := 10; size: integer := 10); PORT ( clock: IN STD_LOGIC; data: IN STD_LOGIC_VECTOR (size-1 DOWNTO 0); write_address: IN INTEGER RANGE 0 to depth-1; read_address: IN INTEGER RANGE 0 to depth-1; we: IN STD_LOGIC; q: OUT STD_LOGIC_VECTOR (size-1 DOWNTO 0) ); END single_clock_ram; ARCHITECTURE rtl OF single_clock_ram IS TYPE MEM IS ARRAY(0 TO depth) OF STD_LOGIC_VECTOR(size-1 DOWNTO 0); signal ram_block: MEM; --shared variable read_address_tmp: INTEGER RANGE 0 to depth; BEGIN PROCESS (clock) BEGIN IF (clock'event AND clock = '1') THEN IF (we = '1') THEN ram_block(write_address) <= data; END IF; END IF; --read_address_tmp := (read_address); END PROCESS; q <= ram_block(read_address); END rtl;
gpl-3.0
8fbb3f1eae1e3890b8ee69695cf9eeaa
0.42003
4.592677
false
false
false
false
DreamIP/GPStudio
support/io/eth_marvell_88e1111/hdl/eth_marvell_88e1111.vhd
1
10,831
library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; library std; use work.ComFlow_pkg.all; entity eth_marvell_88e1111 is generic ( MASTER_ADDR_WIDTH : integer; IN0_NBWORDS : integer; IN1_NBWORDS : integer; IN2_NBWORDS : integer; IN3_NBWORDS : integer; OUT0_NBWORDS : integer; OUT1_NBWORDS : integer; CLK_PROC_FREQ : integer; IN0_SIZE : integer; IN1_SIZE : integer; IN2_SIZE : integer; IN3_SIZE : integer; OUT0_SIZE : integer; OUT1_SIZE : integer ); port ( clk_proc : in std_logic; clk_hal : out std_logic; reset_n : in std_logic; --------------------- external ports -------------------- gtx_clk : out std_logic; tx_en : out std_logic; tx_data : out std_logic_vector(3 downto 0); rx_clk : in std_logic; rx_dv : in std_logic; rx_data : in std_logic_vector(3 downto 0); phy_reset_l : out std_logic; phy_mdc : out std_logic; phy_mdio : inout std_logic; ------------------------ in0 flow ----------------------- in0_data : in std_logic_vector(IN0_SIZE-1 downto 0); in0_fv : in std_logic; in0_dv : in std_logic; ------------------------ in1 flow ----------------------- in1_data : in std_logic_vector(IN1_SIZE-1 downto 0); in1_fv : in std_logic; in1_dv : in std_logic; ------------------------ in2 flow ----------------------- in2_data : in std_logic_vector(IN2_SIZE-1 downto 0); in2_fv : in std_logic; in2_dv : in std_logic; ------------------------ in3 flow ----------------------- in3_data : in std_logic_vector(IN3_SIZE-1 downto 0); in3_fv : in std_logic; in3_dv : in std_logic; ------------------------ out0 flow ---------------------- out0_data : out std_logic_vector(OUT0_SIZE-1 downto 0); out0_fv : out std_logic; out0_dv : out std_logic; ------------------------ out1 flow ---------------------- out1_data : out std_logic_vector(OUT1_SIZE-1 downto 0); out1_fv : out std_logic; out1_dv : out std_logic; ---- ===== Masters ===== ------ bus_master ------ master_addr_o : out std_logic_vector(MASTER_ADDR_WIDTH-1 downto 0); master_wr_o : out std_logic; master_rd_o : out std_logic; master_datawr_o : out std_logic_vector(31 downto 0); master_datard_i : in std_logic_vector(31 downto 0); --======================= Slaves ======================== ------------------------- bus_sl ------------------------ addr_rel_i : in std_logic_vector(3 downto 0); wr_i : in std_logic; rd_i : in std_logic; datawr_i : in std_logic_vector(31 downto 0); datard_o : out std_logic_vector(31 downto 0) ); end eth_marvell_88e1111; architecture rtl of eth_marvell_88e1111 is constant DATA_HAL_SIZE : INTEGER := 8; constant CLK_HAL_FREQ : INTEGER := 125000000; component eth_marvell_88e1111_slave generic ( CLK_PROC_FREQ : integer ); port ( clk_proc : in std_logic; reset_n : in std_logic; ---------------- dynamic parameters ports --------------- status_enable : out std_logic; flow_in0_enable : out std_logic; flow_in1_enable : out std_logic; flow_in2_enable : out std_logic; flow_in3_enable : out std_logic; --======================= Slaves ======================== ------------------------- bus_sl ------------------------ addr_rel_i : in std_logic_vector(3 downto 0); wr_i : in std_logic; rd_i : in std_logic; datawr_i : in std_logic_vector(31 downto 0); datard_o : out std_logic_vector(31 downto 0) ); end component; component eth_marvell_88e1111_hal port ( clk_proc : in std_logic; clk_hal : out std_logic; reset_n : in std_logic; ---------------------- external ports -------------------- gtx_clk : out std_logic; tx_en : out std_logic; tx_data : out std_logic_vector(3 downto 0); rx_clk : in std_logic; rx_dv : in std_logic; rx_data : in std_logic_vector(3 downto 0); phy_reset_l : out std_logic; phy_mdc : out std_logic; phy_mdio : inout std_logic; ---------------------- hal com -------------------------- out_data_o : out std_logic_vector(7 downto 0); out_data_wr_o : out std_logic; out_data_full_i : in std_logic; out_data_end_o : out std_logic; in_data_i : in std_logic_vector(7 downto 0); in_data_rd_o : out std_logic; in_data_empty_i : in std_logic; in_data_rdy_i : in std_logic; in_data_size_packet : in std_logic_vector(15 downto 0) ); end component; signal clk_hal_s : std_logic; -- slave interface signal status_enable : std_logic; signal flow_in0_enable : std_logic; signal flow_in1_enable : std_logic; signal flow_in2_enable : std_logic; signal flow_in3_enable : std_logic; -- HAL OUT signal hal_out_data : std_logic_vector(DATA_HAL_SIZE-1 downto 0) := (others => '0'); signal hal_out_data_wr : std_logic := '0'; signal hal_out_data_full : std_logic := '0'; signal hal_out_data_end : std_logic := '0'; -- HAL IN signal hal_in_data : std_logic_vector(DATA_HAL_SIZE-1 downto 0) := (others => '0'); signal hal_in_empty : std_logic := '0'; signal hal_in_rd : std_logic := '0'; signal hal_in_rdy : std_logic := '0'; signal hal_in_size_packet : std_logic_vector(15 downto 0) := (others => '0'); begin eth_marvell_88e1111_slave_inst : eth_marvell_88e1111_slave generic map ( CLK_PROC_FREQ => CLK_PROC_FREQ ) port map ( clk_proc => clk_proc, reset_n => reset_n, status_enable => status_enable, flow_in0_enable => flow_in0_enable, flow_in1_enable => flow_in1_enable, flow_in2_enable => flow_in2_enable, flow_in3_enable => flow_in3_enable, addr_rel_i => addr_rel_i, wr_i => wr_i, rd_i => rd_i, datawr_i => datawr_i, datard_o => datard_o ); udp_hal_inst : eth_marvell_88e1111_hal port map ( clk_proc => clk_proc, clk_hal => clk_hal_s, reset_n => reset_n, --------------------------------------------------------------------------- -- RGMII Interface --------------------------------------------------------------------------- gtx_clk => gtx_clk, tx_en => tx_en, tx_data => tx_data, rx_clk => rx_clk, rx_dv => rx_dv, rx_data => rx_data, --phy phy_reset_l => phy_reset_l, phy_mdc => phy_mdc, phy_mdio => phy_mdio, -- generic interface out_data_o => hal_out_data, out_data_wr_o => hal_out_data_wr, out_data_full_i => hal_out_data_full, out_data_end_o => hal_out_data_end, in_data_i => hal_in_data, in_data_rd_o => hal_in_rd, in_data_empty_i => hal_in_empty, in_data_rdy_i => hal_in_rdy, in_data_size_packet => hal_in_size_packet ); -- com controler gp_com_inst : component gp_com generic map ( IN0_SIZE => IN0_SIZE, IN1_SIZE => IN1_SIZE, IN2_SIZE => IN2_SIZE, IN3_SIZE => IN3_SIZE, OUT0_SIZE => OUT0_SIZE, OUT1_SIZE => OUT1_SIZE, IN0_NBWORDS => IN0_NBWORDS, IN1_NBWORDS => IN1_NBWORDS, IN2_NBWORDS => IN2_NBWORDS, IN3_NBWORDS => IN3_NBWORDS, OUT0_NBWORDS => OUT0_NBWORDS, OUT1_NBWORDS => OUT1_NBWORDS, CLK_PROC_FREQ => CLK_PROC_FREQ, CLK_HAL_FREQ => CLK_HAL_FREQ, DATA_HAL_SIZE => DATA_HAL_SIZE, PACKET_HAL_SIZE => 733, MASTER_ADDR_WIDTH => MASTER_ADDR_WIDTH ) port map ( clk_proc => clk_proc, reset_n => reset_n, clk_hal => clk_hal_s, from_hal_data => hal_out_data, from_hal_wr => hal_out_data_wr, from_hal_full => hal_out_data_full, from_hal_pktend => hal_out_data_end, to_hal_data => hal_in_data, to_hal_rd => hal_in_rd, to_hal_empty => hal_in_empty, to_hal_rdy => hal_in_rdy, to_hal_size_packet => hal_in_size_packet, status_enable => status_enable, flow_in0_enable => flow_in0_enable, flow_in1_enable => flow_in1_enable, flow_in2_enable => flow_in2_enable, flow_in3_enable => flow_in3_enable, in0_data => in0_data, in0_fv => in0_fv, in0_dv => in0_dv, in1_data => in1_data, in1_fv => in1_fv, in1_dv => in1_dv, in2_data => in2_data, in2_fv => in2_fv, in2_dv => in2_dv, in3_data => in3_data, in3_fv => in3_fv, in3_dv => in3_dv, out0_data => out0_data, out0_fv => out0_fv, out0_dv => out0_dv, out1_data => out1_data, out1_fv => out1_fv, out1_dv => out1_dv, master_addr_o => master_addr_o, master_wr_o => master_wr_o, master_rd_o => master_rd_o, master_datawr_o => master_datawr_o, master_datard_i => master_datard_i ); clk_hal <= clk_hal_s; end rtl;
gpl-3.0
2dd7820c3ed6b1759230c24ce8fd4da0
0.432093
3.551148
false
false
false
false
freecores/wrimm
Wrimm.vhd
1
8,951
--Latest version of all project files available at http://opencores.org/project,wrimm --See License.txt for license details --See WrimmManual.pdf for the Wishbone Datasheet and implementation details. --See wrimm subversion project for version history library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.WrimmPackage.all; entity Wrimm is port ( WbClk : in std_logic; WbRst : out std_logic; WbMasterIn : in WbMasterOutArray; --Signals from Masters WbMasterOut : out WbSlaveOutArray; --Signals to Masters --WbSlaveIn : out WbMasterOutArray; --WbSlaveOut : in WbSlaveOutArray; StatusRegs : in StatusArrayType; SettingRegs : out SettingArrayType; SettingRsts : in SettingArrayBitType; Triggers : out TriggerArrayType; TriggerClr : in TriggerArrayType; rstZ : in std_logic); --Asynchronous reset end entity Wrimm; architecture behavior of Wrimm is signal wbStrobe : std_logic; --Internal Wishbone signals signal validAddress : std_logic; signal wbAddr : WbAddrType; signal wbSData,wbMData : WbDataType; signal wbWrEn,wbCyc : std_logic; signal wbAck,wbRty,wbErr : std_logic; --signal wbMDataTag : std_logic_vector(0 to 1); --signal wbCycType : std_logic_vector(0 to 2); signal iSettingRegs : SettingArrayType; signal iTriggers : TriggerArrayType; signal statusEnable : StatusArrayBitType; signal settingEnable : SettingArrayBitType; signal triggerEnable : TriggerArrayType; signal grant : WbMasterGrantType; begin SettingRegs <= iSettingRegs; Triggers <= iTriggers; --============================================================================= ------------------------------------------------------------------------------- -- Master Round Robin Arbitration ------------------------------------------------------------------------------- procArb: process(WbClk,rstZ) is --Round robin arbitration (descending) variable vGrant : WbMasterGrantType; begin if (rstZ='0') then vGrant := (Others=>'0'); vGrant(vGrant'left) := '1'; elsif rising_edge(WbClk) then loopGrant: for i in WbMasterType loop if vGrant(i)='1' and WbMasterIn(i).Cyc='0' then --else maintain grant loopNewGrantA: for j in i to WbMasterType'right loop --last master with cyc=1 will be selected if WbMasterIn(j).Cyc='1' then vGrant := (Others=>'0'); vGrant(j) := '1'; end if; end loop loopNewGrantA; if i/=WbMasterType'left then loopNewGrantB: for j in WbMasterType'left to WbMasterType'pred(i) loop if WbMasterIn(j).Cyc='1' then vGrant := (Others=>'0'); vGrant(j) := '1'; end if; end loop loopNewGrantB; --grant only moves after new requester end if; end if; end loop loopGrant; end if; --Clk grant <= vGrant; end process procArb; --============================================================================= ------------------------------------------------------------------------------- -- Master Multiplexers ------------------------------------------------------------------------------- procWbMasterIn: process(grant,WbMasterIn) is variable vSlaveOut : WbMasterOutType; begin loopGrantInMux: for i in WbMasterType loop vSlaveOut := WbMasterIn(i); exit when grant(i)='1'; end loop loopGrantInMux; wbStrobe <= vSlaveOut.Strobe; wbWrEn <= vSlaveOut.WrEn; wbAddr <= vSlaveOut.Addr; wbMData <= vSlaveOut.Data; --wbMDataTag <= vSlaveOut.DataTag; wbCyc <= vSlaveOut.Cyc; --wbCycType <= vSlaveOut.CycType; end process procWbMasterIn; procWbMasterOut: process(grant,wbSData,wbAck,wbErr,wbRty) is begin loopGrantOutMux: for i in grant'range loop WbMasterOut(i).Ack <= grant(i) and wbAck; WbMasterOut(i).Err <= grant(i) and wbErr; WbMasterOut(i).Rty <= grant(i) and wbRty; WbMasterOut(i).Data <= wbSData; --Data out can always be active. end loop loopGrantOutMux; end process procWbMasterOut; wbAck <= wbStrobe and validAddress; wbErr <= wbStrobe and not(validAddress); wbRty <= '0'; WbRst <= '0'; --============================================================================= ------------------------------------------------------------------------------- -- Address Decode, Asynchronous ------------------------------------------------------------------------------- procAddrDecode: process(wbAddr) is variable vValidAddress : std_logic; begin vValidAddress := '0'; loopStatusEn: for f in StatusFieldType loop if StatusParams(f).Address=wbAddr then statusEnable(f) <= '1'; vValidAddress := '1'; else statusEnable(f) <= '0'; end if; end loop loopStatusEn; loopSettingEn: for f in SettingFieldType loop if SettingParams(f).Address=wbAddr then settingEnable(f) <= '1'; vValidAddress := '1'; else settingEnable(f) <= '0'; end if; end loop loopSettingEn; loopTriggerEn: for f in TriggerFieldType loop if TriggerParams(f).Address=wbAddr then triggerEnable(f) <= '1'; vValidAddress := '1'; else triggerEnable(f) <= '0'; end if; end loop loopTriggerEn; validAddress <= vValidAddress; end process procAddrDecode; --============================================================================= ------------------------------------------------------------------------------- -- Read ------------------------------------------------------------------------------- procRegRead: process(StatusRegs,iSettingRegs,iTriggers,statusEnable,settingEnable,triggerEnable) is variable vWbSData : WbDataType; begin vWbSData := (Others=>'0'); loopStatusRegs : for f in StatusFieldType loop if statusEnable(f)='1' then vWbSData(StatusParams(f).MSBLoc to (StatusParams(f).MSBLoc + StatusParams(f).BitWidth - 1)) := StatusRegs(f)((WbDataBits-StatusParams(f).BitWidth) to WbDataBits-1); end if; --Address end loop loopStatusRegs; loopSettingRegs : for f in SettingFieldType loop if settingEnable(f)='1' then vWbSData(SettingParams(f).MSBLoc to (SettingParams(f).MSBLoc + SettingParams(f).BitWidth - 1)) := iSettingRegs(f)((WbDataBits-SettingParams(f).BitWidth) to WbDataBits-1); end if; --Address end loop loopSettingRegs; loopTriggerRegs : for f in TriggerFieldType loop if triggerEnable(f)='1' then vWbSData(TriggerParams(f).BitLoc) := iTriggers(f); end if; --Address end loop loopTriggerRegs; wbSData <= vWbSData; end process procRegRead; --============================================================================= ------------------------------------------------------------------------------- -- Write, Reset, Clear ------------------------------------------------------------------------------- procRegWrite: process(WbClk,rstZ) is begin if (rstZ='0') then loopSettingRegDefault : for f in SettingFieldType loop iSettingRegs(f) <= SettingParams(f).Default; end loop loopSettingRegDefault; loopTriggerRegDefault : for f in TriggerFieldType loop iTriggers(f) <= '0'; end loop loopTriggerRegDefault; elsif rising_edge(WbClk) then loopSettingRegWr : for f in SettingFieldType loop if settingEnable(f)='1' and wbStrobe='1' and wbWrEn='1' then iSettingRegs(f)((WbDataBits-SettingParams(f).BitWidth) to WbDataBits-1) <= wbMData(SettingParams(f).MSBLoc to (SettingParams(f).MSBLoc + SettingParams(f).BitWidth-1)); end if; end loop loopSettingRegWr; loopSettingRegRst : for f in SettingFieldType loop if SettingRsts(f)='1' then iSettingRegs(f) <= SettingParams(f).Default; end if; end loop loopSettingRegRst; loopTriggerRegWr : for f in TriggerFieldType loop if triggerEnable(f)='1' and wbStrobe='1' and wbWrEn='1' then iTriggers(f) <= wbMData(TriggerParams(f).BitLoc); elsif TriggerClr(f)='1' then iTriggers(f) <= '0'; end if; --Address or clear end loop loopTriggerRegWr; end if; --Clk end process procRegWrite; end architecture behavior;
bsd-3-clause
5fe5571df40c10eba8446544f9707d30
0.531896
4.340931
false
false
false
false
DreamIP/GPStudio
support/io/eth_marvell_88e1111/hdl/RGMII_MAC/rgmii_tx_2.vhd
1
5,988
------------------------------------------------------------------------------- -- Title : -- Project : ------------------------------------------------------------------------------- -- File : rgmii_tx_2.vhd -- Author : liyi <[email protected]> -- Company : OE@HUST -- Created : 2012-11-15 -- Last update: 2013-05-07 -- Platform : -- Standard : VHDL'93/02 ------------------------------------------------------------------------------- -- Description: ------------------------------------------------------------------------------- -- Copyright (c) 2012 OE@HUST ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2012-11-15 1.0 root Created ------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ------------------------------------------------------------------------------- ENTITY rgmii_tx_2 IS PORT ( iClk : IN STD_LOGIC; iRst_n : IN STD_LOGIC; -- from fifo iTxData : IN STD_LOGIC_VECTOR(7 DOWNTO 0); --iTxDv : IN STD_LOGIC; --iSOF : IN STD_LOGIC; --iEOF : IN STD_LOGIC; iFFempty : IN STD_LOGIC; oTRANSMIT_DONE : OUT STD_LOGIC; iReadReq : OUT STD_LOGIC; --iGenFrame : IN STD_LOGIC; --oGenFrameAck : OUT STD_LOGIC; -- signals TO PHY oTxData : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); oTxEn : OUT STD_LOGIC; oTxErr : OUT STD_LOGIC ); END ENTITY rgmii_tx_2; ------------------------------------------------------------------------------- ARCHITECTURE rtl OF rgmii_tx_2 IS TYPE state_t IS (IDLE, PREAMBLE, SEND_DATA, WAIT_1_CYCLE_PAD, PAD, SEND_CRC, IPG); SIGNAL state : state_t; ATTRIBUTE syn_encoding : STRING; ATTRIBUTE syn_encoding OF state_t : TYPE IS "safe,onehot"; SIGNAL byteCnt : UNSIGNED(15 DOWNTO 0); SIGNAL crcInit : STD_LOGIC; SIGNAL crcEn : STD_LOGIC; SIGNAL crc : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL data_to_crc_int : STD_LOGIC_VECTOR(7 DOWNTO 0); BEGIN -- ARCHITECTURE rtl crcCalc : ENTITY work.eth_crc32 PORT MAP ( iClk => iClk, iRst_n => iRst_n, iInit => crcInit, iCalcEn => crcEn, iData => data_to_crc_int,--iTxData, oCRC => crc, oCRCErr => OPEN); oTxErr <= '0'; data_to_crc_int <= (others => '0') when ((state = WAIT_1_CYCLE_PAD) or (state = PAD)) else iTxData; PROCESS (iClk, iRst_n) IS BEGIN IF iRst_n = '0' THEN state <= IDLE; iReadReq <= '0'; --oSOF <= '0'; byteCnt <= (OTHERS => '0'); --oGenFrameAck <= '0'; crcInit <= '0'; crcEn <= '0'; oTxData <= (OTHERS => '0'); oTxEn <= '0'; ELSIF rising_edge(iClk) THEN --oGenFrameAck <= '0'; crcInit <= '0'; --oSOF <= '0'; oTRANSMIT_DONE <= '0'; iReadReq <= '0'; byteCnt <= byteCnt + 1; CASE state IS WHEN IDLE => byteCnt <= (OTHERS => '0'); --IF iGenFrame = '1' THEN IF iFFempty = '0' then crcInit <= '1'; --oGenFrameAck <= '1'; state <= PREAMBLE; END IF; ----------------------------------------------------------------------- WHEN PREAMBLE => oTxEn <= '1'; oTxData <= X"55"; CASE byteCnt(2 DOWNTO 0) IS --WHEN B"101" => oSOF <= '1'; WHEN B"110" => iReadReq<= '1'; WHEN B"111" => iReadReq<= '1'; oTxData <= X"D5"; crcEn <= '1'; state <= SEND_DATA; byteCnt <= (OTHERS => '0'); WHEN OTHERS => NULL; END CASE; ----------------------------------------------------------------------- WHEN SEND_DATA =>--ajout data_valid from UDP oTxData <= iTxData; IF iFFempty = '0' then-- iReadReq <= '1'; state <= SEND_DATA; ELSE iReadReq <= '0'; IF byteCnt < X"003B" THEN state <= WAIT_1_CYCLE_PAD; ELSE state <= SEND_CRC; crcEn <= '0'; byteCnt <= (OTHERS => '0'); END IF; END IF; WHEN WAIT_1_CYCLE_PAD => oTxData <= (OTHERS => '0'); state <= PAD; -- oTxData <= iTxData; -- IF iEOF = '1' THEN -- IF byteCnt < X"003B" THEN -- state <= PAD; -- ELSE -- state <= SEND_CRC; -- crcEn <= '0'; -- byteCnt <= (OTHERS => '0'); -- END IF; -- END IF; -- END IF; ----------------------------------------------------------------------- WHEN PAD => oTxData <= (OTHERS => '0'); IF byteCnt(7 DOWNTO 0) = X"3B" THEN oTxData <= (OTHERS => '0'); crcEn <= '0'; state <= SEND_CRC; byteCnt <= (OTHERS => '0'); END IF; ----------------------------------------------------------------------- WHEN SEND_CRC => CASE byteCnt(1 DOWNTO 0) IS WHEN B"00" => oTxData <= crc(31 DOWNTO 24); WHEN B"01" => oTxData <= crc(23 DOWNTO 16); WHEN B"10" => oTxData <= crc(15 DOWNTO 8); WHEN B"11" => oTxData <= crc(7 DOWNTO 0); state <= IPG; byteCnt <= (OTHERS => '0'); WHEN OTHERS => NULL; END CASE; ----------------------------------------------------------------------- WHEN IPG => -- 96 bits(12 Bytes) time oTxEn <= '0'; IF byteCnt(3 DOWNTO 0) = X"B" THEN oTRANSMIT_DONE <= '1'; state <= IDLE; byteCnt <= (OTHERS => '0'); END IF; ----------------------------------------------------------------------- WHEN OTHERS => NULL; END CASE; END IF; END PROCESS; END ARCHITECTURE rtl;
gpl-3.0
67f619891018037acaf98c4d6714160e
0.388945
3.970822
false
false
false
false
zatslogic/UDI_example
core_project/core_project.srcs/sources_1/ip/mult_16_x_16_res_32/mult_gen_v11_2/simulation/mult_gen_v11_2_comp.vhd
1
4,817
-- $RCSfile: mult_gen_v11_2_comp.vhd,v $ $Revision: 1.5 $ $Date: 2011/03/08 17:19:19 $ -------------------------------------------------------------------------------- -- (c) Copyright 2006 - 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; package mult_gen_v11_2_comp is component mult_gen_v11_2 is generic ( C_VERBOSITY : integer := 0; C_MODEL_TYPE : integer := 0; C_XDEVICEFAMILY : string := "virtex4"; C_A_WIDTH : integer := 18; C_A_TYPE : integer := 0; C_B_WIDTH : integer := 18; C_B_TYPE : integer := 0; C_OUT_HIGH : integer := 35; C_OUT_LOW : integer := 0; C_MULT_TYPE : integer := 1; C_OPTIMIZE_GOAL : integer := 1; C_HAS_CE : integer := 0; C_HAS_SCLR : integer := 0; C_CE_OVERRIDES_SCLR : integer := 1; C_LATENCY : integer := -1; C_CCM_IMP : integer := 0; C_B_VALUE : string := "111111111111111111"; C_HAS_ZERO_DETECT : integer := 0; C_ROUND_OUTPUT : integer := 0; C_ROUND_PT : integer := 0 ); port ( CLK : in std_logic := '1'; A : in std_logic_vector(C_A_WIDTH-1 downto 0) := (others => '0'); B : in std_logic_vector(C_B_WIDTH-1 downto 0) := (others => '0'); CE : in std_logic := '1'; SCLR : in std_logic := '0'; ZERO_DETECT : out std_logic_vector(1 downto 0) := (others => '0'); P : out std_logic_vector(C_OUT_HIGH-C_OUT_LOW downto 0) := (others => '0'); PCASC : out std_logic_vector(47 downto 0) := (others => '0') ); end component; -- The following tells XST that mult_gen_v11_2 is a black box which -- should be generated command given by the value of this attribute -- Note the fully qualified SIM (JAVA class) name that forms the -- basis of the core -- xcc exclude attribute box_type : string; attribute generator_default : string; attribute box_type of mult_gen_v11_2 : component is "black_box"; attribute generator_default of mult_gen_v11_2 : component is "generatecore com.xilinx.ip.mult_gen_v11_2.mult_gen_v11_2"; -- xcc include end mult_gen_v11_2_comp;
gpl-3.0
182ff0fbb5924c0419e1419db2c8ca18
0.594353
4.134764
false
false
false
false
ou-cse-378/vhdl-tetris
pc.vhd
1
1,080
-- ================================================================================= -- // Name: Bryan Mason, James Batcheler, & Brad McMahon -- // File: PC.vhd -- // Date: 12/9/2004 -- // Description: Program Counter -- // Class: CSE 378 -- ================================================================================= library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; entity PC is port ( d : in STD_LOGIC_VECTOR (15 downto 0); clr : in STD_LOGIC; clk : in STD_LOGIC; inc : in STD_LOGIC; pload : in STD_LOGIC; q : out STD_LOGIC_VECTOR (15 downto 0) ); end PC; architecture PC_arch of PC is signal COUNT: STD_LOGIC_VECTOR (15 downto 0); begin process (clk, clr) begin if clr = '1' then COUNT <= "0000000000000000"; elsif clk'event and clk='1' then if pload = '0' then if inc = '1' then COUNT <= COUNT + 1; end if; else COUNT <= d; end if; end if; q <= COUNT; end process; end PC_arch;
mit
13d21aca072acf0d55b686189e97d59a
0.462037
3.763066
false
false
false
false
hpeng2/ECE492_Group4_Project
ECE_492_Project_new/Video_System/simulation/submodules/Video_System_CPU_test_bench.vhd
1
58,977
--Legal Notice: (C)2015 Altera Corporation. All rights reserved. Your --use of Altera Corporation's design tools, logic functions and other --software and tools, and its AMPP partner logic functions, and any --output files any of the foregoing (including device programming or --simulation files), and any associated documentation or information are --expressly subject to the terms and conditions of the Altera Program --License Subscription Agreement or other applicable license agreement, --including, without limitation, that your use is for the sole purpose --of programming logic devices manufactured by Altera and sold by Altera --or its authorized distributors. Please refer to the applicable --agreement for further details. -- turn off superfluous VHDL processor warnings -- altera message_level Level1 -- altera message_off 10034 10035 10036 10037 10230 10240 10030 library altera; use altera.altera_europa_support_lib.all; library altera_mf; use altera_mf.altera_mf_components.all; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library std; use std.textio.all; entity Video_System_CPU_test_bench is port ( -- inputs: signal D_iw : IN STD_LOGIC_VECTOR (31 DOWNTO 0); signal D_iw_op : IN STD_LOGIC_VECTOR (5 DOWNTO 0); signal D_iw_opx : IN STD_LOGIC_VECTOR (5 DOWNTO 0); signal D_valid : IN STD_LOGIC; signal E_alu_result : IN STD_LOGIC_VECTOR (31 DOWNTO 0); signal E_mem_byte_en : IN STD_LOGIC_VECTOR (3 DOWNTO 0); signal E_st_data : IN STD_LOGIC_VECTOR (31 DOWNTO 0); signal E_valid : IN STD_LOGIC; signal F_pcb : IN STD_LOGIC_VECTOR (19 DOWNTO 0); signal F_valid : IN STD_LOGIC; signal R_ctrl_exception : IN STD_LOGIC; signal R_ctrl_ld : IN STD_LOGIC; signal R_ctrl_ld_non_io : IN STD_LOGIC; signal R_dst_regnum : IN STD_LOGIC_VECTOR (4 DOWNTO 0); signal R_wr_dst_reg : IN STD_LOGIC; signal W_bstatus_reg : IN STD_LOGIC; signal W_cmp_result : IN STD_LOGIC; signal W_estatus_reg : IN STD_LOGIC; signal W_ienable_reg : IN STD_LOGIC_VECTOR (31 DOWNTO 0); signal W_ipending_reg : IN STD_LOGIC_VECTOR (31 DOWNTO 0); signal W_mem_baddr : IN STD_LOGIC_VECTOR (19 DOWNTO 0); signal W_rf_wr_data : IN STD_LOGIC_VECTOR (31 DOWNTO 0); signal W_status_reg : IN STD_LOGIC; signal W_valid : IN STD_LOGIC; signal W_vinst : IN STD_LOGIC_VECTOR (55 DOWNTO 0); signal W_wr_data : IN STD_LOGIC_VECTOR (31 DOWNTO 0); signal av_ld_data_aligned_unfiltered : IN STD_LOGIC_VECTOR (31 DOWNTO 0); signal clk : IN STD_LOGIC; signal d_address : IN STD_LOGIC_VECTOR (19 DOWNTO 0); signal d_byteenable : IN STD_LOGIC_VECTOR (3 DOWNTO 0); signal d_read : IN STD_LOGIC; signal d_write_nxt : IN STD_LOGIC; signal i_address : IN STD_LOGIC_VECTOR (19 DOWNTO 0); signal i_read : IN STD_LOGIC; signal i_readdata : IN STD_LOGIC_VECTOR (31 DOWNTO 0); signal i_waitrequest : IN STD_LOGIC; signal reset_n : IN STD_LOGIC; -- outputs: signal av_ld_data_aligned_filtered : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); signal d_write : OUT STD_LOGIC; signal test_has_ended : OUT STD_LOGIC ); end entity Video_System_CPU_test_bench; architecture europa of Video_System_CPU_test_bench is signal D_op_add : STD_LOGIC; signal D_op_addi : STD_LOGIC; signal D_op_and : STD_LOGIC; signal D_op_andhi : STD_LOGIC; signal D_op_andi : STD_LOGIC; signal D_op_beq : STD_LOGIC; signal D_op_bge : STD_LOGIC; signal D_op_bgeu : STD_LOGIC; signal D_op_blt : STD_LOGIC; signal D_op_bltu : STD_LOGIC; signal D_op_bne : STD_LOGIC; signal D_op_br : STD_LOGIC; signal D_op_break : STD_LOGIC; signal D_op_bret : STD_LOGIC; signal D_op_call : STD_LOGIC; signal D_op_callr : STD_LOGIC; signal D_op_cmpeq : STD_LOGIC; signal D_op_cmpeqi : STD_LOGIC; signal D_op_cmpge : STD_LOGIC; signal D_op_cmpgei : STD_LOGIC; signal D_op_cmpgeu : STD_LOGIC; signal D_op_cmpgeui : STD_LOGIC; signal D_op_cmplt : STD_LOGIC; signal D_op_cmplti : STD_LOGIC; signal D_op_cmpltu : STD_LOGIC; signal D_op_cmpltui : STD_LOGIC; signal D_op_cmpne : STD_LOGIC; signal D_op_cmpnei : STD_LOGIC; signal D_op_crst : STD_LOGIC; signal D_op_custom : STD_LOGIC; signal D_op_div : STD_LOGIC; signal D_op_divu : STD_LOGIC; signal D_op_eret : STD_LOGIC; signal D_op_flushd : STD_LOGIC; signal D_op_flushda : STD_LOGIC; signal D_op_flushi : STD_LOGIC; signal D_op_flushp : STD_LOGIC; signal D_op_hbreak : STD_LOGIC; signal D_op_initd : STD_LOGIC; signal D_op_initda : STD_LOGIC; signal D_op_initi : STD_LOGIC; signal D_op_intr : STD_LOGIC; signal D_op_jmp : STD_LOGIC; signal D_op_jmpi : STD_LOGIC; signal D_op_ldb : STD_LOGIC; signal D_op_ldbio : STD_LOGIC; signal D_op_ldbu : STD_LOGIC; signal D_op_ldbuio : STD_LOGIC; signal D_op_ldh : STD_LOGIC; signal D_op_ldhio : STD_LOGIC; signal D_op_ldhu : STD_LOGIC; signal D_op_ldhuio : STD_LOGIC; signal D_op_ldl : STD_LOGIC; signal D_op_ldw : STD_LOGIC; signal D_op_ldwio : STD_LOGIC; signal D_op_mul : STD_LOGIC; signal D_op_muli : STD_LOGIC; signal D_op_mulxss : STD_LOGIC; signal D_op_mulxsu : STD_LOGIC; signal D_op_mulxuu : STD_LOGIC; signal D_op_nextpc : STD_LOGIC; signal D_op_nor : STD_LOGIC; signal D_op_opx : STD_LOGIC; signal D_op_or : STD_LOGIC; signal D_op_orhi : STD_LOGIC; signal D_op_ori : STD_LOGIC; signal D_op_rdctl : STD_LOGIC; signal D_op_rdprs : STD_LOGIC; signal D_op_ret : STD_LOGIC; signal D_op_rol : STD_LOGIC; signal D_op_roli : STD_LOGIC; signal D_op_ror : STD_LOGIC; signal D_op_rsv02 : STD_LOGIC; signal D_op_rsv09 : STD_LOGIC; signal D_op_rsv10 : STD_LOGIC; signal D_op_rsv17 : STD_LOGIC; signal D_op_rsv18 : STD_LOGIC; signal D_op_rsv25 : STD_LOGIC; signal D_op_rsv26 : STD_LOGIC; signal D_op_rsv33 : STD_LOGIC; signal D_op_rsv34 : STD_LOGIC; signal D_op_rsv41 : STD_LOGIC; signal D_op_rsv42 : STD_LOGIC; signal D_op_rsv49 : STD_LOGIC; signal D_op_rsv57 : STD_LOGIC; signal D_op_rsv61 : STD_LOGIC; signal D_op_rsv62 : STD_LOGIC; signal D_op_rsv63 : STD_LOGIC; signal D_op_rsvx00 : STD_LOGIC; signal D_op_rsvx10 : STD_LOGIC; signal D_op_rsvx15 : STD_LOGIC; signal D_op_rsvx17 : STD_LOGIC; signal D_op_rsvx21 : STD_LOGIC; signal D_op_rsvx25 : STD_LOGIC; signal D_op_rsvx33 : STD_LOGIC; signal D_op_rsvx34 : STD_LOGIC; signal D_op_rsvx35 : STD_LOGIC; signal D_op_rsvx42 : STD_LOGIC; signal D_op_rsvx43 : STD_LOGIC; signal D_op_rsvx44 : STD_LOGIC; signal D_op_rsvx47 : STD_LOGIC; signal D_op_rsvx50 : STD_LOGIC; signal D_op_rsvx51 : STD_LOGIC; signal D_op_rsvx55 : STD_LOGIC; signal D_op_rsvx56 : STD_LOGIC; signal D_op_rsvx60 : STD_LOGIC; signal D_op_rsvx63 : STD_LOGIC; signal D_op_sll : STD_LOGIC; signal D_op_slli : STD_LOGIC; signal D_op_sra : STD_LOGIC; signal D_op_srai : STD_LOGIC; signal D_op_srl : STD_LOGIC; signal D_op_srli : STD_LOGIC; signal D_op_stb : STD_LOGIC; signal D_op_stbio : STD_LOGIC; signal D_op_stc : STD_LOGIC; signal D_op_sth : STD_LOGIC; signal D_op_sthio : STD_LOGIC; signal D_op_stw : STD_LOGIC; signal D_op_stwio : STD_LOGIC; signal D_op_sub : STD_LOGIC; signal D_op_sync : STD_LOGIC; signal D_op_trap : STD_LOGIC; signal D_op_wrctl : STD_LOGIC; signal D_op_wrprs : STD_LOGIC; signal D_op_xor : STD_LOGIC; signal D_op_xorhi : STD_LOGIC; signal D_op_xori : STD_LOGIC; signal av_ld_data_aligned_unfiltered_0_is_x : STD_LOGIC; signal av_ld_data_aligned_unfiltered_10_is_x : STD_LOGIC; signal av_ld_data_aligned_unfiltered_11_is_x : STD_LOGIC; signal av_ld_data_aligned_unfiltered_12_is_x : STD_LOGIC; signal av_ld_data_aligned_unfiltered_13_is_x : STD_LOGIC; signal av_ld_data_aligned_unfiltered_14_is_x : STD_LOGIC; signal av_ld_data_aligned_unfiltered_15_is_x : STD_LOGIC; signal av_ld_data_aligned_unfiltered_16_is_x : STD_LOGIC; signal av_ld_data_aligned_unfiltered_17_is_x : STD_LOGIC; signal av_ld_data_aligned_unfiltered_18_is_x : STD_LOGIC; signal av_ld_data_aligned_unfiltered_19_is_x : STD_LOGIC; signal av_ld_data_aligned_unfiltered_1_is_x : STD_LOGIC; signal av_ld_data_aligned_unfiltered_20_is_x : STD_LOGIC; signal av_ld_data_aligned_unfiltered_21_is_x : STD_LOGIC; signal av_ld_data_aligned_unfiltered_22_is_x : STD_LOGIC; signal av_ld_data_aligned_unfiltered_23_is_x : STD_LOGIC; signal av_ld_data_aligned_unfiltered_24_is_x : STD_LOGIC; signal av_ld_data_aligned_unfiltered_25_is_x : STD_LOGIC; signal av_ld_data_aligned_unfiltered_26_is_x : STD_LOGIC; signal av_ld_data_aligned_unfiltered_27_is_x : STD_LOGIC; signal av_ld_data_aligned_unfiltered_28_is_x : STD_LOGIC; signal av_ld_data_aligned_unfiltered_29_is_x : STD_LOGIC; signal av_ld_data_aligned_unfiltered_2_is_x : STD_LOGIC; signal av_ld_data_aligned_unfiltered_30_is_x : STD_LOGIC; signal av_ld_data_aligned_unfiltered_31_is_x : STD_LOGIC; signal av_ld_data_aligned_unfiltered_3_is_x : STD_LOGIC; signal av_ld_data_aligned_unfiltered_4_is_x : STD_LOGIC; signal av_ld_data_aligned_unfiltered_5_is_x : STD_LOGIC; signal av_ld_data_aligned_unfiltered_6_is_x : STD_LOGIC; signal av_ld_data_aligned_unfiltered_7_is_x : STD_LOGIC; signal av_ld_data_aligned_unfiltered_8_is_x : STD_LOGIC; signal av_ld_data_aligned_unfiltered_9_is_x : STD_LOGIC; signal internal_d_write1 : STD_LOGIC; signal internal_test_has_ended : STD_LOGIC; file trace_handle : TEXT ; begin D_op_call <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000000000"))); D_op_jmpi <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000000001"))); D_op_ldbu <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000000011"))); D_op_addi <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000000100"))); D_op_stb <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000000101"))); D_op_br <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000000110"))); D_op_ldb <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000000111"))); D_op_cmpgei <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000001000"))); D_op_ldhu <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000001011"))); D_op_andi <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000001100"))); D_op_sth <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000001101"))); D_op_bge <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000001110"))); D_op_ldh <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000001111"))); D_op_cmplti <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000010000"))); D_op_initda <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000010011"))); D_op_ori <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000010100"))); D_op_stw <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000010101"))); D_op_blt <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000010110"))); D_op_ldw <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000010111"))); D_op_cmpnei <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000011000"))); D_op_flushda <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000011011"))); D_op_xori <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000011100"))); D_op_stc <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000011101"))); D_op_bne <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000011110"))); D_op_ldl <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000011111"))); D_op_cmpeqi <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000100000"))); D_op_ldbuio <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000100011"))); D_op_muli <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000100100"))); D_op_stbio <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000100101"))); D_op_beq <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000100110"))); D_op_ldbio <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000100111"))); D_op_cmpgeui <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000101000"))); D_op_ldhuio <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000101011"))); D_op_andhi <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000101100"))); D_op_sthio <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000101101"))); D_op_bgeu <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000101110"))); D_op_ldhio <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000101111"))); D_op_cmpltui <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000110000"))); D_op_initd <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000110011"))); D_op_orhi <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000110100"))); D_op_stwio <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000110101"))); D_op_bltu <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000110110"))); D_op_ldwio <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000110111"))); D_op_rdprs <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000111000"))); D_op_flushd <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000111011"))); D_op_xorhi <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000111100"))); D_op_rsv02 <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000000010"))); D_op_rsv09 <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000001001"))); D_op_rsv10 <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000001010"))); D_op_rsv17 <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000010001"))); D_op_rsv18 <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000010010"))); D_op_rsv25 <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000011001"))); D_op_rsv26 <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000011010"))); D_op_rsv33 <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000100001"))); D_op_rsv34 <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000100010"))); D_op_rsv41 <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000101001"))); D_op_rsv42 <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000101010"))); D_op_rsv49 <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000110001"))); D_op_rsv57 <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000111001"))); D_op_rsv61 <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000111101"))); D_op_rsv62 <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000111110"))); D_op_rsv63 <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000111111"))); D_op_eret <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000000001")))); D_op_roli <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000000010")))); D_op_rol <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000000011")))); D_op_flushp <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000000100")))); D_op_ret <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000000101")))); D_op_nor <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000000110")))); D_op_mulxuu <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000000111")))); D_op_cmpge <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000001000")))); D_op_bret <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000001001")))); D_op_ror <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000001011")))); D_op_flushi <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000001100")))); D_op_jmp <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000001101")))); D_op_and <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000001110")))); D_op_cmplt <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000010000")))); D_op_slli <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000010010")))); D_op_sll <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000010011")))); D_op_wrprs <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000010100")))); D_op_or <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000010110")))); D_op_mulxsu <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000010111")))); D_op_cmpne <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000011000")))); D_op_srli <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000011010")))); D_op_srl <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000011011")))); D_op_nextpc <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000011100")))); D_op_callr <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000011101")))); D_op_xor <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000011110")))); D_op_mulxss <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000011111")))); D_op_cmpeq <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000100000")))); D_op_divu <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000100100")))); D_op_div <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000100101")))); D_op_rdctl <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000100110")))); D_op_mul <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000100111")))); D_op_cmpgeu <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000101000")))); D_op_initi <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000101001")))); D_op_trap <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000101101")))); D_op_wrctl <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000101110")))); D_op_cmpltu <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000110000")))); D_op_add <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000110001")))); D_op_break <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000110100")))); D_op_hbreak <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000110101")))); D_op_sync <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000110110")))); D_op_sub <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000111001")))); D_op_srai <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000111010")))); D_op_sra <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000111011")))); D_op_intr <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000111101")))); D_op_crst <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000111110")))); D_op_rsvx00 <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000000000")))); D_op_rsvx10 <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000001010")))); D_op_rsvx15 <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000001111")))); D_op_rsvx17 <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000010001")))); D_op_rsvx21 <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000010101")))); D_op_rsvx25 <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000011001")))); D_op_rsvx33 <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000100001")))); D_op_rsvx34 <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000100010")))); D_op_rsvx35 <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000100011")))); D_op_rsvx42 <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000101010")))); D_op_rsvx43 <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000101011")))); D_op_rsvx44 <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000101100")))); D_op_rsvx47 <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000101111")))); D_op_rsvx50 <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000110010")))); D_op_rsvx51 <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000110011")))); D_op_rsvx55 <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000110111")))); D_op_rsvx56 <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000111000")))); D_op_rsvx60 <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000111100")))); D_op_rsvx63 <= D_op_opx AND to_std_logic((((std_logic_vector'("00000000000000000000000000") & (D_iw_opx)) = std_logic_vector'("00000000000000000000000000111111")))); D_op_opx <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000111010"))); D_op_custom <= to_std_logic(((std_logic_vector'("00000000000000000000000000") & (D_iw_op)) = std_logic_vector'("00000000000000000000000000110010"))); process (clk, reset_n) begin if reset_n = '0' then internal_d_write1 <= std_logic'('0'); elsif clk'event and clk = '1' then internal_d_write1 <= d_write_nxt; end if; end process; internal_test_has_ended <= std_logic'('0'); --vhdl renameroo for output signals d_write <= internal_d_write1; --vhdl renameroo for output signals test_has_ended <= internal_test_has_ended; --synthesis translate_off --Clearing 'X' data bits av_ld_data_aligned_unfiltered_0_is_x <= A_WE_StdLogic(is_x(std_ulogic(av_ld_data_aligned_unfiltered(0))), '1','0'); av_ld_data_aligned_filtered(0) <= A_WE_StdLogic((std_logic'(((av_ld_data_aligned_unfiltered_0_is_x AND (R_ctrl_ld_non_io)))) = '1'), std_logic'('0'), av_ld_data_aligned_unfiltered(0)); av_ld_data_aligned_unfiltered_1_is_x <= A_WE_StdLogic(is_x(std_ulogic(av_ld_data_aligned_unfiltered(1))), '1','0'); av_ld_data_aligned_filtered(1) <= A_WE_StdLogic((std_logic'(((av_ld_data_aligned_unfiltered_1_is_x AND (R_ctrl_ld_non_io)))) = '1'), std_logic'('0'), av_ld_data_aligned_unfiltered(1)); av_ld_data_aligned_unfiltered_2_is_x <= A_WE_StdLogic(is_x(std_ulogic(av_ld_data_aligned_unfiltered(2))), '1','0'); av_ld_data_aligned_filtered(2) <= A_WE_StdLogic((std_logic'(((av_ld_data_aligned_unfiltered_2_is_x AND (R_ctrl_ld_non_io)))) = '1'), std_logic'('0'), av_ld_data_aligned_unfiltered(2)); av_ld_data_aligned_unfiltered_3_is_x <= A_WE_StdLogic(is_x(std_ulogic(av_ld_data_aligned_unfiltered(3))), '1','0'); av_ld_data_aligned_filtered(3) <= A_WE_StdLogic((std_logic'(((av_ld_data_aligned_unfiltered_3_is_x AND (R_ctrl_ld_non_io)))) = '1'), std_logic'('0'), av_ld_data_aligned_unfiltered(3)); av_ld_data_aligned_unfiltered_4_is_x <= A_WE_StdLogic(is_x(std_ulogic(av_ld_data_aligned_unfiltered(4))), '1','0'); av_ld_data_aligned_filtered(4) <= A_WE_StdLogic((std_logic'(((av_ld_data_aligned_unfiltered_4_is_x AND (R_ctrl_ld_non_io)))) = '1'), std_logic'('0'), av_ld_data_aligned_unfiltered(4)); av_ld_data_aligned_unfiltered_5_is_x <= A_WE_StdLogic(is_x(std_ulogic(av_ld_data_aligned_unfiltered(5))), '1','0'); av_ld_data_aligned_filtered(5) <= A_WE_StdLogic((std_logic'(((av_ld_data_aligned_unfiltered_5_is_x AND (R_ctrl_ld_non_io)))) = '1'), std_logic'('0'), av_ld_data_aligned_unfiltered(5)); av_ld_data_aligned_unfiltered_6_is_x <= A_WE_StdLogic(is_x(std_ulogic(av_ld_data_aligned_unfiltered(6))), '1','0'); av_ld_data_aligned_filtered(6) <= A_WE_StdLogic((std_logic'(((av_ld_data_aligned_unfiltered_6_is_x AND (R_ctrl_ld_non_io)))) = '1'), std_logic'('0'), av_ld_data_aligned_unfiltered(6)); av_ld_data_aligned_unfiltered_7_is_x <= A_WE_StdLogic(is_x(std_ulogic(av_ld_data_aligned_unfiltered(7))), '1','0'); av_ld_data_aligned_filtered(7) <= A_WE_StdLogic((std_logic'(((av_ld_data_aligned_unfiltered_7_is_x AND (R_ctrl_ld_non_io)))) = '1'), std_logic'('0'), av_ld_data_aligned_unfiltered(7)); av_ld_data_aligned_unfiltered_8_is_x <= A_WE_StdLogic(is_x(std_ulogic(av_ld_data_aligned_unfiltered(8))), '1','0'); av_ld_data_aligned_filtered(8) <= A_WE_StdLogic((std_logic'(((av_ld_data_aligned_unfiltered_8_is_x AND (R_ctrl_ld_non_io)))) = '1'), std_logic'('0'), av_ld_data_aligned_unfiltered(8)); av_ld_data_aligned_unfiltered_9_is_x <= A_WE_StdLogic(is_x(std_ulogic(av_ld_data_aligned_unfiltered(9))), '1','0'); av_ld_data_aligned_filtered(9) <= A_WE_StdLogic((std_logic'(((av_ld_data_aligned_unfiltered_9_is_x AND (R_ctrl_ld_non_io)))) = '1'), std_logic'('0'), av_ld_data_aligned_unfiltered(9)); av_ld_data_aligned_unfiltered_10_is_x <= A_WE_StdLogic(is_x(std_ulogic(av_ld_data_aligned_unfiltered(10))), '1','0'); av_ld_data_aligned_filtered(10) <= A_WE_StdLogic((std_logic'(((av_ld_data_aligned_unfiltered_10_is_x AND (R_ctrl_ld_non_io)))) = '1'), std_logic'('0'), av_ld_data_aligned_unfiltered(10)); av_ld_data_aligned_unfiltered_11_is_x <= A_WE_StdLogic(is_x(std_ulogic(av_ld_data_aligned_unfiltered(11))), '1','0'); av_ld_data_aligned_filtered(11) <= A_WE_StdLogic((std_logic'(((av_ld_data_aligned_unfiltered_11_is_x AND (R_ctrl_ld_non_io)))) = '1'), std_logic'('0'), av_ld_data_aligned_unfiltered(11)); av_ld_data_aligned_unfiltered_12_is_x <= A_WE_StdLogic(is_x(std_ulogic(av_ld_data_aligned_unfiltered(12))), '1','0'); av_ld_data_aligned_filtered(12) <= A_WE_StdLogic((std_logic'(((av_ld_data_aligned_unfiltered_12_is_x AND (R_ctrl_ld_non_io)))) = '1'), std_logic'('0'), av_ld_data_aligned_unfiltered(12)); av_ld_data_aligned_unfiltered_13_is_x <= A_WE_StdLogic(is_x(std_ulogic(av_ld_data_aligned_unfiltered(13))), '1','0'); av_ld_data_aligned_filtered(13) <= A_WE_StdLogic((std_logic'(((av_ld_data_aligned_unfiltered_13_is_x AND (R_ctrl_ld_non_io)))) = '1'), std_logic'('0'), av_ld_data_aligned_unfiltered(13)); av_ld_data_aligned_unfiltered_14_is_x <= A_WE_StdLogic(is_x(std_ulogic(av_ld_data_aligned_unfiltered(14))), '1','0'); av_ld_data_aligned_filtered(14) <= A_WE_StdLogic((std_logic'(((av_ld_data_aligned_unfiltered_14_is_x AND (R_ctrl_ld_non_io)))) = '1'), std_logic'('0'), av_ld_data_aligned_unfiltered(14)); av_ld_data_aligned_unfiltered_15_is_x <= A_WE_StdLogic(is_x(std_ulogic(av_ld_data_aligned_unfiltered(15))), '1','0'); av_ld_data_aligned_filtered(15) <= A_WE_StdLogic((std_logic'(((av_ld_data_aligned_unfiltered_15_is_x AND (R_ctrl_ld_non_io)))) = '1'), std_logic'('0'), av_ld_data_aligned_unfiltered(15)); av_ld_data_aligned_unfiltered_16_is_x <= A_WE_StdLogic(is_x(std_ulogic(av_ld_data_aligned_unfiltered(16))), '1','0'); av_ld_data_aligned_filtered(16) <= A_WE_StdLogic((std_logic'(((av_ld_data_aligned_unfiltered_16_is_x AND (R_ctrl_ld_non_io)))) = '1'), std_logic'('0'), av_ld_data_aligned_unfiltered(16)); av_ld_data_aligned_unfiltered_17_is_x <= A_WE_StdLogic(is_x(std_ulogic(av_ld_data_aligned_unfiltered(17))), '1','0'); av_ld_data_aligned_filtered(17) <= A_WE_StdLogic((std_logic'(((av_ld_data_aligned_unfiltered_17_is_x AND (R_ctrl_ld_non_io)))) = '1'), std_logic'('0'), av_ld_data_aligned_unfiltered(17)); av_ld_data_aligned_unfiltered_18_is_x <= A_WE_StdLogic(is_x(std_ulogic(av_ld_data_aligned_unfiltered(18))), '1','0'); av_ld_data_aligned_filtered(18) <= A_WE_StdLogic((std_logic'(((av_ld_data_aligned_unfiltered_18_is_x AND (R_ctrl_ld_non_io)))) = '1'), std_logic'('0'), av_ld_data_aligned_unfiltered(18)); av_ld_data_aligned_unfiltered_19_is_x <= A_WE_StdLogic(is_x(std_ulogic(av_ld_data_aligned_unfiltered(19))), '1','0'); av_ld_data_aligned_filtered(19) <= A_WE_StdLogic((std_logic'(((av_ld_data_aligned_unfiltered_19_is_x AND (R_ctrl_ld_non_io)))) = '1'), std_logic'('0'), av_ld_data_aligned_unfiltered(19)); av_ld_data_aligned_unfiltered_20_is_x <= A_WE_StdLogic(is_x(std_ulogic(av_ld_data_aligned_unfiltered(20))), '1','0'); av_ld_data_aligned_filtered(20) <= A_WE_StdLogic((std_logic'(((av_ld_data_aligned_unfiltered_20_is_x AND (R_ctrl_ld_non_io)))) = '1'), std_logic'('0'), av_ld_data_aligned_unfiltered(20)); av_ld_data_aligned_unfiltered_21_is_x <= A_WE_StdLogic(is_x(std_ulogic(av_ld_data_aligned_unfiltered(21))), '1','0'); av_ld_data_aligned_filtered(21) <= A_WE_StdLogic((std_logic'(((av_ld_data_aligned_unfiltered_21_is_x AND (R_ctrl_ld_non_io)))) = '1'), std_logic'('0'), av_ld_data_aligned_unfiltered(21)); av_ld_data_aligned_unfiltered_22_is_x <= A_WE_StdLogic(is_x(std_ulogic(av_ld_data_aligned_unfiltered(22))), '1','0'); av_ld_data_aligned_filtered(22) <= A_WE_StdLogic((std_logic'(((av_ld_data_aligned_unfiltered_22_is_x AND (R_ctrl_ld_non_io)))) = '1'), std_logic'('0'), av_ld_data_aligned_unfiltered(22)); av_ld_data_aligned_unfiltered_23_is_x <= A_WE_StdLogic(is_x(std_ulogic(av_ld_data_aligned_unfiltered(23))), '1','0'); av_ld_data_aligned_filtered(23) <= A_WE_StdLogic((std_logic'(((av_ld_data_aligned_unfiltered_23_is_x AND (R_ctrl_ld_non_io)))) = '1'), std_logic'('0'), av_ld_data_aligned_unfiltered(23)); av_ld_data_aligned_unfiltered_24_is_x <= A_WE_StdLogic(is_x(std_ulogic(av_ld_data_aligned_unfiltered(24))), '1','0'); av_ld_data_aligned_filtered(24) <= A_WE_StdLogic((std_logic'(((av_ld_data_aligned_unfiltered_24_is_x AND (R_ctrl_ld_non_io)))) = '1'), std_logic'('0'), av_ld_data_aligned_unfiltered(24)); av_ld_data_aligned_unfiltered_25_is_x <= A_WE_StdLogic(is_x(std_ulogic(av_ld_data_aligned_unfiltered(25))), '1','0'); av_ld_data_aligned_filtered(25) <= A_WE_StdLogic((std_logic'(((av_ld_data_aligned_unfiltered_25_is_x AND (R_ctrl_ld_non_io)))) = '1'), std_logic'('0'), av_ld_data_aligned_unfiltered(25)); av_ld_data_aligned_unfiltered_26_is_x <= A_WE_StdLogic(is_x(std_ulogic(av_ld_data_aligned_unfiltered(26))), '1','0'); av_ld_data_aligned_filtered(26) <= A_WE_StdLogic((std_logic'(((av_ld_data_aligned_unfiltered_26_is_x AND (R_ctrl_ld_non_io)))) = '1'), std_logic'('0'), av_ld_data_aligned_unfiltered(26)); av_ld_data_aligned_unfiltered_27_is_x <= A_WE_StdLogic(is_x(std_ulogic(av_ld_data_aligned_unfiltered(27))), '1','0'); av_ld_data_aligned_filtered(27) <= A_WE_StdLogic((std_logic'(((av_ld_data_aligned_unfiltered_27_is_x AND (R_ctrl_ld_non_io)))) = '1'), std_logic'('0'), av_ld_data_aligned_unfiltered(27)); av_ld_data_aligned_unfiltered_28_is_x <= A_WE_StdLogic(is_x(std_ulogic(av_ld_data_aligned_unfiltered(28))), '1','0'); av_ld_data_aligned_filtered(28) <= A_WE_StdLogic((std_logic'(((av_ld_data_aligned_unfiltered_28_is_x AND (R_ctrl_ld_non_io)))) = '1'), std_logic'('0'), av_ld_data_aligned_unfiltered(28)); av_ld_data_aligned_unfiltered_29_is_x <= A_WE_StdLogic(is_x(std_ulogic(av_ld_data_aligned_unfiltered(29))), '1','0'); av_ld_data_aligned_filtered(29) <= A_WE_StdLogic((std_logic'(((av_ld_data_aligned_unfiltered_29_is_x AND (R_ctrl_ld_non_io)))) = '1'), std_logic'('0'), av_ld_data_aligned_unfiltered(29)); av_ld_data_aligned_unfiltered_30_is_x <= A_WE_StdLogic(is_x(std_ulogic(av_ld_data_aligned_unfiltered(30))), '1','0'); av_ld_data_aligned_filtered(30) <= A_WE_StdLogic((std_logic'(((av_ld_data_aligned_unfiltered_30_is_x AND (R_ctrl_ld_non_io)))) = '1'), std_logic'('0'), av_ld_data_aligned_unfiltered(30)); av_ld_data_aligned_unfiltered_31_is_x <= A_WE_StdLogic(is_x(std_ulogic(av_ld_data_aligned_unfiltered(31))), '1','0'); av_ld_data_aligned_filtered(31) <= A_WE_StdLogic((std_logic'(((av_ld_data_aligned_unfiltered_31_is_x AND (R_ctrl_ld_non_io)))) = '1'), std_logic'('0'), av_ld_data_aligned_unfiltered(31)); process (clk) VARIABLE write_line : line; begin if clk'event and clk = '1' then if std_logic'(reset_n) = '1' then if is_x(std_ulogic(F_valid)) then write(write_line, now); write(write_line, string'(": ")); write(write_line, string'("ERROR: Video_System_CPU_test_bench/F_valid is 'x'")); write(output, write_line.all & CR); deallocate (write_line); assert false report "VHDL STOP" severity failure; end if; end if; end if; end process; process (clk) VARIABLE write_line1 : line; begin if clk'event and clk = '1' then if std_logic'(reset_n) = '1' then if is_x(std_ulogic(D_valid)) then write(write_line1, now); write(write_line1, string'(": ")); write(write_line1, string'("ERROR: Video_System_CPU_test_bench/D_valid is 'x'")); write(output, write_line1.all & CR); deallocate (write_line1); assert false report "VHDL STOP" severity failure; end if; end if; end if; end process; process (clk) VARIABLE write_line2 : line; begin if clk'event and clk = '1' then if std_logic'(reset_n) = '1' then if is_x(std_ulogic(E_valid)) then write(write_line2, now); write(write_line2, string'(": ")); write(write_line2, string'("ERROR: Video_System_CPU_test_bench/E_valid is 'x'")); write(output, write_line2.all & CR); deallocate (write_line2); assert false report "VHDL STOP" severity failure; end if; end if; end if; end process; process (clk) VARIABLE write_line3 : line; begin if clk'event and clk = '1' then if std_logic'(reset_n) = '1' then if is_x(std_ulogic(W_valid)) then write(write_line3, now); write(write_line3, string'(": ")); write(write_line3, string'("ERROR: Video_System_CPU_test_bench/W_valid is 'x'")); write(output, write_line3.all & CR); deallocate (write_line3); assert false report "VHDL STOP" severity failure; end if; end if; end if; end process; process (clk, reset_n) VARIABLE write_line4 : line; begin if reset_n = '0' then elsif clk'event and clk = '1' then if std_logic'(W_valid) = '1' then if is_x(std_ulogic(R_wr_dst_reg)) then write(write_line4, now); write(write_line4, string'(": ")); write(write_line4, string'("ERROR: Video_System_CPU_test_bench/R_wr_dst_reg is 'x'")); write(output, write_line4.all & CR); deallocate (write_line4); assert false report "VHDL STOP" severity failure; end if; end if; end if; end process; process (clk, reset_n) VARIABLE write_line5 : line; begin if reset_n = '0' then elsif clk'event and clk = '1' then if std_logic'((W_valid AND R_wr_dst_reg)) = '1' then if is_x(W_wr_data) then write(write_line5, now); write(write_line5, string'(": ")); write(write_line5, string'("ERROR: Video_System_CPU_test_bench/W_wr_data is 'x'")); write(output, write_line5.all & CR); deallocate (write_line5); assert false report "VHDL STOP" severity failure; end if; end if; end if; end process; process (clk, reset_n) VARIABLE write_line6 : line; begin if reset_n = '0' then elsif clk'event and clk = '1' then if std_logic'((W_valid AND R_wr_dst_reg)) = '1' then if is_x(R_dst_regnum) then write(write_line6, now); write(write_line6, string'(": ")); write(write_line6, string'("ERROR: Video_System_CPU_test_bench/R_dst_regnum is 'x'")); write(output, write_line6.all & CR); deallocate (write_line6); assert false report "VHDL STOP" severity failure; end if; end if; end if; end process; process (clk) VARIABLE write_line7 : line; begin if clk'event and clk = '1' then if std_logic'(reset_n) = '1' then if is_x(std_ulogic(internal_d_write1)) then write(write_line7, now); write(write_line7, string'(": ")); write(write_line7, string'("ERROR: Video_System_CPU_test_bench/internal_d_write1 is 'x'")); write(output, write_line7.all & CR); deallocate (write_line7); assert false report "VHDL STOP" severity failure; end if; end if; end if; end process; process (clk, reset_n) VARIABLE write_line8 : line; begin if reset_n = '0' then elsif clk'event and clk = '1' then if std_logic'(internal_d_write1) = '1' then if is_x(d_byteenable) then write(write_line8, now); write(write_line8, string'(": ")); write(write_line8, string'("ERROR: Video_System_CPU_test_bench/d_byteenable is 'x'")); write(output, write_line8.all & CR); deallocate (write_line8); assert false report "VHDL STOP" severity failure; end if; end if; end if; end process; process (clk, reset_n) VARIABLE write_line9 : line; begin if reset_n = '0' then elsif clk'event and clk = '1' then if std_logic'((internal_d_write1 OR d_read)) = '1' then if is_x(d_address) then write(write_line9, now); write(write_line9, string'(": ")); write(write_line9, string'("ERROR: Video_System_CPU_test_bench/d_address is 'x'")); write(output, write_line9.all & CR); deallocate (write_line9); assert false report "VHDL STOP" severity failure; end if; end if; end if; end process; process (clk) VARIABLE write_line10 : line; begin if clk'event and clk = '1' then if std_logic'(reset_n) = '1' then if is_x(std_ulogic(d_read)) then write(write_line10, now); write(write_line10, string'(": ")); write(write_line10, string'("ERROR: Video_System_CPU_test_bench/d_read is 'x'")); write(output, write_line10.all & CR); deallocate (write_line10); assert false report "VHDL STOP" severity failure; end if; end if; end if; end process; process (clk) VARIABLE write_line11 : line; begin if clk'event and clk = '1' then if std_logic'(reset_n) = '1' then if is_x(std_ulogic(i_read)) then write(write_line11, now); write(write_line11, string'(": ")); write(write_line11, string'("ERROR: Video_System_CPU_test_bench/i_read is 'x'")); write(output, write_line11.all & CR); deallocate (write_line11); assert false report "VHDL STOP" severity failure; end if; end if; end if; end process; process (clk, reset_n) VARIABLE write_line12 : line; begin if reset_n = '0' then elsif clk'event and clk = '1' then if std_logic'(i_read) = '1' then if is_x(i_address) then write(write_line12, now); write(write_line12, string'(": ")); write(write_line12, string'("ERROR: Video_System_CPU_test_bench/i_address is 'x'")); write(output, write_line12.all & CR); deallocate (write_line12); assert false report "VHDL STOP" severity failure; end if; end if; end if; end process; process (clk, reset_n) VARIABLE write_line13 : line; begin if reset_n = '0' then elsif clk'event and clk = '1' then if std_logic'((i_read AND NOT i_waitrequest)) = '1' then if is_x(i_readdata) then write(write_line13, now); write(write_line13, string'(": ")); write(write_line13, string'("ERROR: Video_System_CPU_test_bench/i_readdata is 'x'")); write(output, write_line13.all & CR); deallocate (write_line13); assert false report "VHDL STOP" severity failure; end if; end if; end if; end process; process (clk, reset_n) VARIABLE write_line14 : line; begin if reset_n = '0' then elsif clk'event and clk = '1' then if std_logic'((W_valid AND R_ctrl_ld)) = '1' then if is_x(av_ld_data_aligned_unfiltered) then write(write_line14, now); write(write_line14, string'(": ")); write(write_line14, string'("WARNING: Video_System_CPU_test_bench/av_ld_data_aligned_unfiltered is 'x'")); write(output, write_line14.all & CR); deallocate (write_line14); end if; end if; end if; end process; process (clk, reset_n) VARIABLE write_line15 : line; begin if reset_n = '0' then elsif clk'event and clk = '1' then if std_logic'((W_valid AND R_wr_dst_reg)) = '1' then if is_x(W_wr_data) then write(write_line15, now); write(write_line15, string'(": ")); write(write_line15, string'("WARNING: Video_System_CPU_test_bench/W_wr_data is 'x'")); write(output, write_line15.all & CR); deallocate (write_line15); end if; end if; end if; end process; process is variable status : file_open_status; -- status for fopen VARIABLE write_line16 : line; VARIABLE write_line17 : line; begin -- process file_open(status, trace_handle, "Video_System_CPU.tr", WRITE_MODE); write(write_line16, string'("version 3")); write(trace_handle, write_line16.all & LF); deallocate (write_line16); write(write_line17, string'("numThreads 1")); write(trace_handle, write_line17.all & LF); deallocate (write_line17); wait; -- wait forever end process; process (clk) VARIABLE write_line18 : line; begin if clk'event and clk = '1' then if std_logic'((((NOT reset_n OR (W_valid))) AND NOT internal_test_has_ended)) = '1' then write(write_line18, now); write(write_line18, string'(": ")); write(write_line18, to_hex_string(NOT reset_n, pad_none)); write(write_line18, string'(",")); write(write_line18, to_hex_string(F_pcb, pad_none)); write(write_line18, string'(",")); write(write_line18, to_hex_string(std_logic_vector'("00000000000000000000000000000000"), pad_none)); write(write_line18, string'(",")); write(write_line18, to_hex_string(D_op_intr, pad_none)); write(write_line18, string'(",")); write(write_line18, to_hex_string(D_op_hbreak, pad_none)); write(write_line18, string'(",")); write(write_line18, to_hex_string(D_iw, pad_none)); write(write_line18, string'(",")); write(write_line18, to_hex_string(NOT ((D_op_intr OR D_op_hbreak)), pad_none)); write(write_line18, string'(",")); write(write_line18, to_hex_string(R_wr_dst_reg, pad_none)); write(write_line18, string'(",")); write(write_line18, to_hex_string(R_dst_regnum, pad_none)); write(write_line18, string'(",")); write(write_line18, to_hex_string(std_logic_vector'("00000000000000000000000000000000"), pad_none)); write(write_line18, string'(",")); write(write_line18, to_hex_string(W_rf_wr_data, pad_none)); write(write_line18, string'(",")); write(write_line18, to_hex_string(W_mem_baddr, pad_none)); write(write_line18, string'(",")); write(write_line18, to_hex_string(E_st_data, pad_none)); write(write_line18, string'(",")); write(write_line18, to_hex_string(E_mem_byte_en, pad_none)); write(write_line18, string'(",")); write(write_line18, to_hex_string(W_cmp_result, pad_none)); write(write_line18, string'(",")); write(write_line18, to_hex_string(E_alu_result, pad_none)); write(write_line18, string'(",")); write(write_line18, to_hex_string(W_status_reg, pad_none)); write(write_line18, string'(",")); write(write_line18, to_hex_string(W_estatus_reg, pad_none)); write(write_line18, string'(",")); write(write_line18, to_hex_string(W_bstatus_reg, pad_none)); write(write_line18, string'(",")); write(write_line18, to_hex_string(W_ienable_reg, pad_none)); write(write_line18, string'(",")); write(write_line18, to_hex_string(W_ipending_reg, pad_none)); write(write_line18, string'(",")); write(write_line18, to_hex_string(std_logic_vector'("00000000000000000000000000000000"), pad_none)); write(write_line18, string'(",")); write(write_line18, to_hex_string(std_logic_vector'("00000000000000000000000000000000"), pad_none)); write(write_line18, string'(",")); write(write_line18, to_hex_string(std_logic_vector'("00000000000000000000000000000000"), pad_none)); write(write_line18, string'(",")); write(write_line18, to_hex_string(std_logic_vector'("00000000000000000000000000000000"), pad_none)); write(write_line18, string'(",")); write(write_line18, to_hex_string(std_logic_vector'("00000000000000000000000000000000"), pad_none)); write(write_line18, string'(",")); write(write_line18, to_hex_string(std_logic_vector'("00000000000000000000000000000000"), pad_none)); write(write_line18, string'(",")); write(write_line18, to_hex_string(std_logic_vector'("00000000000000000000000000000000"), pad_none)); write(write_line18, string'(",")); write(write_line18, to_hex_string(std_logic_vector'("00000000000000000000000000000000"), pad_none)); write(write_line18, string'(",")); write(write_line18, to_hex_string(std_logic_vector'("00000000000000000000000000000000"), pad_none)); write(write_line18, string'(",")); write(write_line18, to_hex_string(std_logic_vector'("00000000000000000000000000000000"), pad_none)); write(write_line18, string'(",")); write(write_line18, to_hex_string(R_ctrl_exception, pad_none)); write(write_line18, string'(",")); write(write_line18, to_hex_string(std_logic_vector'("00000000000000000000000000000000"), pad_none)); write(write_line18, string'(",")); write(write_line18, to_hex_string(std_logic_vector'("00000000000000000000000000000000"), pad_none)); write(write_line18, string'(",")); write(write_line18, to_hex_string(std_logic_vector'("00000000000000000000000000000000"), pad_none)); write(write_line18, string'(",")); write(write_line18, to_hex_string(std_logic_vector'("00000000000000000000000000000000"), pad_none)); write(write_line18, string'("")); write(trace_handle, write_line18.all & LF); deallocate (write_line18); end if; end if; end process; --synthesis translate_on --synthesis read_comments_as_HDL on -- -- av_ld_data_aligned_filtered <= av_ld_data_aligned_unfiltered; --synthesis read_comments_as_HDL off end europa;
gpl-2.0
ea121e1bc48ec4e0b5076ee29493ce3b
0.626482
3.447335
false
false
false
false
DreamIP/GPStudio
support/component/gp_com/com_to_flow/fifo_com_rx.vhd
1
5,363
library ieee; use ieee.std_logic_1164.all; use ieee.math_real.all; library altera_mf; use altera_mf.all; entity fifo_com_rx is generic ( DEPTH : POSITIVE := 1024; IN_SIZE : POSITIVE; OUT_SIZE : POSITIVE ); port ( aclr : in std_logic := '0'; data : in std_logic_vector (IN_SIZE-1 downto 0); rdclk : in std_logic ; rdreq : in std_logic ; wrclk : in std_logic ; wrreq : in std_logic ; q : out std_logic_vector (OUT_SIZE-1 downto 0); rdempty : out std_logic ; wrfull : out std_logic ); END fifo_com_rx; ARCHITECTURE syn OF fifo_com_rx IS SIGNAL sub_wire0 : STD_LOGIC; SIGNAL sub_wire1 : STD_LOGIC_VECTOR (OUT_SIZE-1 DOWNTO 0); SIGNAL sub_wire2 : STD_LOGIC; COMPONENT dcfifo GENERIC ( intended_device_family : STRING; lpm_numwords : NATURAL; lpm_showahead : STRING; lpm_type : STRING; lpm_width : NATURAL; lpm_widthu : NATURAL; overflow_checking : STRING; rdsync_delaypipe : NATURAL; read_aclr_synch : STRING; underflow_checking : STRING; write_aclr_synch : STRING; use_eab : STRING; wrsync_delaypipe : NATURAL ); port ( data : in std_logic_vector (IN_SIZE-1 downto 0); rdclk : in std_logic ; rdreq : in std_logic ; wrfull : out std_logic ; q : out std_logic_vector (OUT_SIZE-1 downto 0); rdempty : out std_logic ; wrclk : in std_logic ; wrreq : in std_logic ; aclr : in std_logic ); end component; component dcfifo_mixed_widths generic ( intended_device_family : STRING; lpm_numwords : NATURAL; lpm_showahead : STRING; lpm_type : STRING; lpm_width : NATURAL; lpm_widthu : NATURAL; lpm_widthu_r : NATURAL; lpm_width_r : NATURAL; overflow_checking : STRING; rdsync_delaypipe : NATURAL; read_aclr_synch : STRING; underflow_checking : STRING; use_eab : STRING; write_aclr_synch : STRING; wrsync_delaypipe : NATURAL ); port ( rdclk : in std_logic; wrfull : out std_logic; q : out std_logic_vector (OUT_SIZE-1 downto 0); rdempty : out std_logic; wrclk : in std_logic; wrreq : in std_logic; aclr : in std_logic; data : in std_logic_vector (IN_SIZE-1 downto 0); rdreq : in std_logic ); end component; begin wrfull <= sub_wire0; rdempty <= sub_wire2; FIFO_GEN_SAME_WIDTH : if (IN_SIZE = OUT_SIZE) generate dcfifo_component : dcfifo generic map ( intended_device_family => "Cyclone III", lpm_numwords => DEPTH, lpm_showahead => "OFF", lpm_type => "dcfifo", lpm_width => IN_SIZE, lpm_widthu => integer(ceil(log2(real(DEPTH)))), overflow_checking => "ON", rdsync_delaypipe => 4, read_aclr_synch => "OFF", underflow_checking => "ON", use_eab => "ON", write_aclr_synch => "OFF", wrsync_delaypipe => 4 ) port map ( rdclk => rdclk, wrclk => wrclk, wrreq => wrreq, aclr => aclr, data => data, rdreq => rdreq, wrfull => sub_wire0, q => sub_wire1, rdempty => sub_wire2 ); q <= sub_wire1; end generate; FIFO_GEN_MIXED_WIDTH : if (IN_SIZE /= OUT_SIZE) generate dcfifo_component : dcfifo_mixed_widths generic map ( intended_device_family => "Cyclone III", lpm_numwords => DEPTH, lpm_showahead => "OFF", lpm_type => "dcfifo_mixed_widths", lpm_width => IN_SIZE, lpm_widthu => integer(ceil(log2(real(DEPTH)))), lpm_widthu_r => integer(ceil(log2(real(DEPTH))*(real(IN_SIZE)/real(OUT_SIZE)))), lpm_width_r => OUT_SIZE, overflow_checking => "ON", rdsync_delaypipe => 4, read_aclr_synch => "OFF", underflow_checking => "ON", use_eab => "ON", write_aclr_synch => "OFF", wrsync_delaypipe => 4 ) port map ( rdclk => rdclk, wrclk => wrclk, wrreq => wrreq, aclr => aclr, data => data, rdreq => rdreq, wrfull => sub_wire0, q => sub_wire1, rdempty => sub_wire2 ); q <= sub_wire1(7 downto 0) & sub_wire1(15 downto 8); -- inverse bytes end generate; end syn;
gpl-3.0
88c5aab283377e2504f0825ba022accd
0.45441
3.94919
false
false
false
false
openPOWERLINK/openPOWERLINK_V2
hardware/ipcore/common/openmac/src/openmac-rtl-ea.vhd
3
69,672
------------------------------------------------------------------------------- --! @file openmac-rtl-ea.vhd -- --! @brief openMAC core -- --! @details This is the openMAC core file implementing the MAC functionality. ------------------------------------------------------------------------------- -- -- (c) B&R Industrial Automation GmbH, 2009 -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- 3. Neither the name of B&R nor the names of its -- contributors may be used to endorse or promote products derived -- from this software without prior written permission. For written -- permission, please contact [email protected] -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, -- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT -- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN -- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; --! Common library library libcommon; --! Use common library global package use libcommon.global.all; entity openmac is generic ( --! Dma address high bit gDmaHighAddr : in integer := 16; --! Enable MAC timer gTimerEnable : in boolean := false; --! Enable Timer triggered Tx gTimerTrigTx : in boolean := false; --! Enable Auto-response delay gAutoTxDel : in boolean := false ); port ( --! Reset iRst : in std_logic; --! Clock (RMII, 50 MHz) iClk : in std_logic; --! Write to RAM or content (low-active) inWrite : in std_logic; --! Select RAM (descriptor and Rx filter) iSelectRam : in std_logic; --! Select content (Tx/Rx status/control registers) iSelectCont : in std_logic; --! Byteenable (low-active) inByteenable : in std_logic_vector(1 downto 0); --! Address for RAM or content iAddress : in std_logic_vector(10 downto 1); --! Writedata to RAM or content iWritedata : in std_logic_vector(15 downto 0); --! Readdata from RAM or content oReaddata : out std_logic_vector(15 downto 0); --! Tx interrupt request (low-active) onTxIrq : out std_logic; --! Rx interrupt request (low-active) onRxIrq : out std_logic; --! Tx begin interrupt request (low-active) onTxBegIrq : out std_logic; --! DMA read transfer for frame done oDmaReadDone : out std_logic; --! DMA write transfer for frame done oDmaWriteDone : out std_logic; --! DMA request strobe oDmaReq : out std_logic; --! DMA write strobe (low-active) onDmaWrite : out std_logic; --! DMA acknowledge input iDmaAck : in std_logic; --! DMA request overflow flag oDmaReqOverflow : out std_logic; --! DMA read request length oDmaReadLength : out std_logic_vector(11 downto 0); --! DMA address oDmaAddress : out std_logic_vector(gDmaHighAddr downto 1); --! DMA writedata oDmaWritedata : out std_logic_vector(15 downto 0); --! DMA readdata iDmaReaddata : in std_logic_vector(15 downto 0); --! RMII Rx data iRxData : in std_logic_vector(1 downto 0); --! RMII Rx data valid iRxDv : in std_logic; --! RMII Tx data oTxData : out std_logic_vector(1 downto 0); --! RMII Tx enable oTxEn : out std_logic; --! Hub Rx port (connect to openHUB) iHubRxPort : in std_logic_vector(1 downto 0); --! MAC Time oMacTime : out std_logic_vector(31 downto 0) ); end entity openmac; architecture struct OF openmac is signal Rx_Dv : std_logic; signal R_Req : std_logic; signal Auto_Desc : std_logic_vector( 4 downto 0); signal Zeit : std_logic_vector(31 downto 0); signal Tx_Dma_Req : std_logic; signal Rx_Dma_Req : std_logic; signal Tx_Dma_Ack : std_logic; signal Rx_Dma_Ack : std_logic; signal Tx_Ram_Dat : std_logic_vector(15 downto 0); signal Rx_Ram_Dat : std_logic_vector(15 downto 0); signal Tx_Dma_Len : std_logic_vector(11 downto 0); signal Tx_Reg : std_logic_vector(15 downto 0); signal Rx_Reg : std_logic_vector(15 downto 0); signal Dma_Tx_Addr : std_logic_vector(oDmaAddress'range); signal Dma_Rx_Addr : std_logic_vector(oDmaAddress'range); signal Dma_Req_s : std_logic; signal Dma_Rw_s : std_logic; signal halfDuplex : std_logic; -- cActivated ... MAC in half-duplex mode signal Tx_Active : std_logic; -- cActivated ... TX = Data or CRC signal Tx_Dma_Very1stOverflow : std_logic; -- cActivated ... very first TX DMA overflow signal Tx_Col : std_logic; signal Sel_Tx_Ram : std_logic; signal Sel_Tx_Reg : std_logic; signal Tx_LatchH : std_logic_vector(7 downto 0); signal Tx_LatchL : std_logic_vector(7 downto 0); begin oReaddata <= Tx_Ram_Dat when iSelectRam = '1' and Sel_Tx_Ram = '1' else Rx_Ram_Dat when iSelectRam = '1' else Tx_Reg when iSelectCont = '1' and Sel_Tx_Reg = '1' else Rx_Reg; oMacTime <= Zeit; oDmaReadLength <= Tx_Dma_Len + 4; b_DmaObserver : block signal dmaObserverCounter, dmaObserverCounterNext : std_logic_vector(2 downto 0); constant cDmaObserverCounterHalf : std_logic_vector(dmaObserverCounter'range) := "110"; --every 8th cycle constant cDmaObserverCounterFull : std_logic_vector(dmaObserverCounter'range) := "010"; --every 4th cycle begin process(iClk, iRst) begin if iRst = '1' then dmaObserverCounter <= (others => cInactivated); elsif rising_edge(iClk) then dmaObserverCounter <= dmaObserverCounterNext; end if; end process; oDmaReqOverflow <= --very first TX Dma transfer Dma_Req_s when Tx_Dma_Very1stOverflow = cActivated and Tx_Active = cInactivated else --RX Dma transfers and TX Dma transfers without the very first Dma_Req_s when dmaObserverCounterNext = cDmaObserverCounterHalf and halfDuplex = cActivated else Dma_Req_s when dmaObserverCounterNext = cDmaObserverCounterFull and halfDuplex = cInactivated else cInactivated; dmaObserverCounterNext <= --increment counter if DMA Read req (TX) during data and crc dmaObserverCounter + 1 when Dma_Req_s = cActivated and Dma_Rw_s = cActivated and Tx_Active = cActivated else --increment counter if DMA Write req (RX) dmaObserverCounter + 1 when Dma_Req_s = cActivated and Dma_Rw_s = cInactivated else (others => cInactivated); --reset DmaObserverCounter if no oDmaReq end block; b_Dma: block signal Rx_Dma : std_logic; signal Tx_Dma : std_logic; begin oDmaReq <= Dma_Req_s; Dma_Req_s <= '1' when (Tx_Dma_Req = '1' and Tx_Dma_Ack = '0') or Rx_Dma_Req = '1' else '0'; onDmaWrite <= Dma_Rw_s; Dma_Rw_s <= '1' when (Rx_Dma = '0' and Tx_Dma_Req = '1' and Tx_Dma_Ack = '0') or Tx_Dma = '1' else '0'; oDmaAddress <= Dma_Tx_Addr when (Rx_Dma = '0' and Tx_Dma_Req = '1' and Tx_Dma_Ack = '0') or Tx_Dma = '1' else Dma_Rx_Addr; Rx_Dma_Ack <= '1' when Rx_Dma = '1' and iDmaAck = '1' else '0'; pDmaArb: process( iClk, iRst ) is begin if iRst = '1' then Rx_Dma <= '0'; Tx_Dma <= '0'; Tx_Dma_Ack <= '0'; Tx_LatchH <= (others => '0'); Tx_LatchL <= (others => '0'); Zeit <= (others => '0'); elsif rising_edge( iClk ) then if gTimerEnable then Zeit <= Zeit + 1; end if; Sel_Tx_Ram <= iAddress(9); Sel_Tx_Reg <= not iAddress(3); if iDmaAck = '0' then if Rx_Dma = '0' and Tx_Dma_Req = '1' and Tx_Dma_Ack = '0' then Tx_Dma <= '1'; elsif Tx_Dma = '0' and Rx_Dma_Req = '1' then Rx_Dma <= '1'; end if; else if Rx_Dma = '1' and Tx_Dma_Req = '1' and Tx_Dma_Ack = '0' then Tx_Dma <= '1'; Rx_Dma <= '0'; elsif Tx_Dma = '1' and Rx_Dma_Req = '1' then Tx_Dma <= '0'; Rx_Dma <= '1'; else Tx_Dma <= '0'; Rx_Dma <= '0'; end if; end if; if Tx_Dma = '1' and iDmaAck = '1' then Tx_Dma_Ack <= '1'; else Tx_Dma_Ack <= '0'; end if; if Tx_Dma_Ack = '1' then Tx_LatchL <= iDmaReaddata(15 downto 8); Tx_LatchH <= iDmaReaddata( 7 downto 0); end if; end if; end process pDmaArb; end block b_Dma; b_Full_Tx : block type tTxState is ( sIdle, sBop, sPre, sTxd, sCrc, sCol, sJam ); signal Sm_Tx : tTxState; signal Start_Tx : std_logic; signal ClrCol : std_logic; signal Tx_On : std_logic; signal Dibl_Cnt : std_logic_vector(1 downto 0); signal F_End : std_logic; signal Was_Col : std_logic; signal Block_Col : std_logic; signal Ipg_Cnt : std_logic_vector(7 downto 0); signal Tx_Timer : std_logic_vector(7 downto 0); alias Ipg : std_logic is Ipg_Cnt(7); alias Tx_Time : std_logic is Tx_Timer(7); signal Tx_Ipg : std_logic_vector(5 downto 0); signal Tx_Count : std_logic_vector(11 downto 0); signal Tx_En : std_logic; signal F_Val : std_logic; signal Tx_Half : std_logic; signal Tx_Sr : std_logic_vector(7 downto 0); signal F_TxB : std_logic_vector(7 downto 0); signal Crc : std_logic_vector(31 downto 0); signal CrcDin : std_logic_vector(1 downto 0); signal Tx_Dat : std_logic_vector(1 downto 0); signal Col_Cnt : std_logic_vector(3 downto 0); signal Auto_Coll : std_logic; signal Rnd_Num : std_logic_vector(9 downto 0); signal Retry_Cnt : std_logic_vector(9 downto 0); signal Max_Retry : std_logic_vector(3 downto 0); begin oTxEn <= Tx_En; oTxData <= Tx_Dat; halfDuplex <= Tx_Half; Tx_Active <= cActivated when Sm_Tx = sTxd or Sm_Tx = sCrc else cInactivated; pTxSm: process ( iClk, iRst ) is begin if iRst = '1' then Sm_Tx <= sIdle; elsif rising_edge( iClk ) then if Sm_Tx = sIdle or Sm_Tx = sBop or Dibl_Cnt = "11" then case Sm_Tx is when sIdle => if Start_Tx = '1' and (Tx_Half = '0' or Rx_Dv = '0') and Ipg = '0' then Sm_Tx <= sBop; end if; when sBop => Sm_Tx <= sPre; when sPre => if Tx_Time = '1' then Sm_Tx <= sTxd; end if; when sTxd => if Was_Col = '1' then Sm_Tx <= sCol; elsif Tx_Count = 0 then Sm_Tx <= sCrc; end if; when sCol => Sm_Tx <= sJam; when sJam => if Tx_Time = '1' then Sm_Tx <= sIdle; end if; when sCrc => if Was_Col = '1' then Sm_Tx <= sCol; elsif Tx_Time = '1' then Sm_Tx <= sIdle; end if; when others => NULL; end case; end if; end if; end process pTxSm; pTxCtl: process ( iClk, iRst ) is variable vPreload : std_logic_vector(Tx_Timer'range); variable vLoad : std_logic; begin if iRst = '1' then Tx_Dat <= "00"; Tx_En <= '0'; Dibl_Cnt <= "00"; F_End <= '0'; F_Val <= '0'; Tx_Col <= '0'; Was_Col <= '0'; Block_Col <= '0'; Ipg_Cnt <= (others => '0'); Tx_Timer <= (others => '0'); Tx_Sr <= (others => '0'); elsif rising_edge( iClk ) then if Sm_Tx = sBop then Dibl_Cnt <= "00"; else Dibl_Cnt <= Dibl_Cnt + 1; end if; if Tx_En = '1' then Ipg_Cnt <= "1" & conv_std_logic_vector( 44, 7); elsif Rx_Dv = '1' and Tx_Half = '1' then Ipg_Cnt <= "10" & Tx_Ipg; elsif Ipg = '1' then Ipg_Cnt <= Ipg_Cnt - 1; end if; if Dibl_Cnt = "11" and Sm_Tx = sCrc and Tx_Time = '1' then F_End <= '1'; elsif Dibl_Cnt = "11" and Sm_Tx = sCol then if Col_Cnt = (Max_Retry - 1) then F_End <= '1'; elsif Col_Cnt < x"E" then Tx_Col <= '1'; else F_End <= '1'; end if; else F_End <= '0'; Tx_Col <= '0'; end if; if Tx_Half = '1' and Rx_Dv = '1' and (Sm_Tx = sPre or Sm_Tx = sTxd) then Was_Col <= '1'; elsif Sm_Tx = sCol then Was_Col <= '0'; end if; if Sm_Tx = sCol then Block_Col <= '1'; elsif Auto_Coll = '1' then Block_Col <= '0'; elsif Retry_Cnt = 0 then Block_Col <= '0'; end if; if Dibl_Cnt = "10" and Sm_Tx = sPre and Tx_Time = '1' then F_Val <= '1'; elsif Dibl_Cnt = "10" and Sm_Tx = sTxd then F_Val <= '1'; else F_Val <= '0'; end if; vLoad := '0'; if Sm_Tx = sBop then vPreload := x"06"; vLoad := '1'; elsif Sm_Tx = sTxd then vPreload := x"02"; vLoad := '1'; elsif Sm_Tx = sCol then vPreload := x"01"; vLoad := '1'; elsif Tx_Time = '1' then vPreload := x"3e"; vLoad := '1'; end if; if Dibl_Cnt = "11" or Sm_Tx = sBop then if vLoad = '1' then Tx_Timer <= vPreload; else Tx_Timer <= Tx_Timer - 1; end if; end if; if F_Val = '1' then Tx_Sr <= F_TxB; else Tx_Sr <= "00" & Tx_Sr(7 downto 2); end if; if Sm_Tx = sPre then Tx_En <= '1'; elsif Sm_Tx = sIdle or (Sm_Tx = sJam and Tx_Time = '1') then Tx_En <= '0'; end if; if Sm_Tx = sPre and Tx_Time = '1' and Dibl_Cnt = "11" then Tx_Dat <= "11"; elsif Sm_Tx = sPre then Tx_Dat <= "01"; elsif Sm_Tx = sTxd then Tx_Dat <= CrcDin; elsif Sm_Tx = sCrc then Tx_Dat <= not Crc(30) & not Crc(31); elsif Sm_Tx = sCol or Sm_Tx = sJam then Tx_Dat <= "11"; else Tx_Dat <= "00"; end if; end if; end process pTxCtl; pBackDel: process ( iClk, iRst ) is begin if iRst = '1' then Rnd_Num <= (others => '0'); Col_Cnt <= (others => '0'); Retry_Cnt <= (others => '0'); elsif rising_edge( iClk ) then Rnd_Num <= Rnd_Num(8 downto 0) & (Rnd_Num(9) xor not Rnd_Num(2)); if ClrCol = '1' then Col_Cnt <= x"0"; elsif Dibl_Cnt = "11" and Sm_Tx = sCol then Col_Cnt <= Col_Cnt + 1; end if; if Dibl_Cnt = "11" then if Tx_On = '0' or Auto_Coll = '1' then Retry_Cnt <= (others => '0'); elsif Sm_Tx = sCol then for i in 0 to 9 loop if Col_Cnt >= i then Retry_Cnt(i) <= Rnd_Num(i); else Retry_Cnt(i) <= '0'; end if; end loop; elsif Sm_Tx /= sJam and Tx_Time = '1' and Retry_Cnt /= 0 then Retry_Cnt <= Retry_Cnt - 1; end if; end if; end if; end process pBackDel; CrcDin <= Tx_Sr(1 downto 0); Calc: process ( iClk, Crc, CrcDin, Sm_Tx ) is variable H : std_logic_vector(1 downto 0); begin H(0) := Crc(31) xor CrcDin(0); H(1) := Crc(30) xor CrcDin(1); if rising_edge( iClk ) then if Sm_Tx = sPre then Crc <= x"FFFFFFFF"; elsif Sm_Tx = sCrc then Crc <= Crc(29 downto 0) & "00"; else Crc( 0) <= H(1); Crc( 1) <= H(0) xor H(1); Crc( 2) <= Crc( 0) xor H(0) xor H(1); Crc( 3) <= Crc( 1) xor H(0) ; Crc( 4) <= Crc( 2) xor H(1); Crc( 5) <= Crc( 3) xor H(0) xor H(1); Crc( 6) <= Crc( 4) xor H(0) ; Crc( 7) <= Crc( 5) xor H(1); Crc( 8) <= Crc( 6) xor H(0) xor H(1); Crc( 9) <= Crc( 7) xor H(0) ; Crc(10) <= Crc( 8) xor H(1); Crc(11) <= Crc( 9) xor H(0) xor H(1); Crc(12) <= Crc(10) xor H(0) xor H(1); Crc(13) <= Crc(11) xor H(0) ; Crc(14) <= Crc(12) ; Crc(15) <= Crc(13) ; Crc(16) <= Crc(14) xor H(1); Crc(17) <= Crc(15) xor H(0) ; Crc(18) <= Crc(16) ; Crc(19) <= Crc(17) ; Crc(20) <= Crc(18) ; Crc(21) <= Crc(19) ; Crc(22) <= Crc(20) xor H(1); Crc(23) <= Crc(21) xor H(0) xor H(1); Crc(24) <= Crc(22) xor H(0) ; Crc(25) <= Crc(23) ; Crc(26) <= Crc(24) xor H(1); Crc(27) <= Crc(25) xor H(0) ; Crc(28) <= Crc(26) ; Crc(29) <= Crc(27) ; Crc(30) <= Crc(28) ; Crc(31) <= Crc(29) ; end if; end if; end process Calc; bTxDesc: block type tDescState is ( sIdle, sLen, sTimL, sTimH, sAdrH, sAdrL, sReq, sBegL, sBegH, sDel, sData, sStat, sColl ); signal Dsm : tDescState; signal Tx_Dsm_Next : tDescState; signal DescRam_Out : std_logic_vector(15 downto 0); signal DescRam_In : std_logic_vector(15 downto 0); alias TX_LEN : std_logic_vector(11 downto 0) is DescRam_Out(11 downto 0); alias TX_OWN : std_logic is DescRam_Out(8); alias TX_LAST : std_logic is DescRam_Out(9); alias TX_READY : std_logic is DescRam_Out(10); alias TX_BEGDEL : std_logic is DescRam_Out(12); alias TX_BEGON : std_logic is DescRam_Out(13); alias TX_TIME : std_logic is DescRam_Out(14); alias TX_RETRY : std_logic_vector( 3 downto 0) is DescRam_Out(3 downto 0); signal Ram_Be : std_logic_vector( 1 downto 0); signal Ram_Wr : std_logic; signal Desc_We : std_logic; signal Last_Desc : std_logic; signal ZeitL : std_logic_vector(15 downto 0); signal Tx_Ie : std_logic; signal Tx_Wait : std_logic; signal Tx_BegInt : std_logic; signal Tx_BegSet : std_logic; signal Tx_Early : std_logic; signal Tx_Del : std_logic; signal Ext_Tx : std_logic; signal Ext_Ack : std_logic; signal Tx_Desc : std_logic_vector(Auto_Desc'range); signal Tx_Desc_One : std_logic_vector(Tx_Desc'range); signal Ext_Desc : std_logic_vector(Tx_Desc'range); signal DescIdx : std_logic_vector( 2 downto 0); signal Desc_Addr : std_logic_vector(Tx_Desc'length + DescIdx'length - 1 downto 0); signal Tx_Icnt : std_logic_vector( 4 downto 0); signal Tx_SoftInt : std_logic; signal Sel_TxH : std_logic; signal Sel_TxL : std_logic; signal H_Byte : std_logic; signal Tx_Buf : std_logic_vector( 7 downto 0); signal Tx_Idle : std_logic; signal TxInt : std_logic; signal Tx_Beg : std_logic; signal Tx_Sync : std_logic; signal Tx_Ident : std_logic_vector( 1 downto 0); signal Tx_Cmp_High : std_logic_vector(15 downto 0); signal Start_TxS : std_logic; signal Tx_Dma_Out : std_logic; signal Tx_Del_Cnt : std_logic_vector(32 downto 0); alias Tx_Del_End : std_logic is Tx_Del_Cnt(Tx_Del_Cnt'high); signal Tx_Del_Run : std_logic; signal Tx_Done : std_logic; begin oDmaReadDone <= Tx_Done; Tx_Done <= '1' when Dsm = sStat or Dsm = sColl else '0'; Tx_Dma_Very1stOverflow <= cActivated when Dibl_Cnt = "01" and Sm_Tx = sPre and Tx_Timer(7) = '1' else cInactivated; Ram_Wr <= '1' when inWrite = '0' and iSelectRam = '1' and iAddress(10 downto 9) = "11" else '0'; Ram_Be(1) <= '1' when inWrite = '1' or inByteenable(1) = '0' else '0'; Ram_Be(0) <= '1' when inWrite = '1' or inByteenable(0) = '0' else '0'; DescIdx <= "000" when Desc_We = '0' and Tx_Dsm_Next = sIdle else "000" when Desc_We = '1' and Dsm = sIdle else "001" when Desc_We = '0' and Tx_Dsm_Next = sLen else "001" when Desc_We = '1' and Dsm = sLen else "010" when Desc_We = '0' and Tx_Dsm_Next = sAdrH else "010" when Desc_We = '1' and Dsm = sAdrH else "011" when Desc_We = '0' and Tx_Dsm_Next = sAdrL else "011" when Desc_We = '1' and Dsm = sAdrL else "100" when Desc_We = '0' and Tx_Dsm_Next = sBegH else "100" when Desc_We = '1' and Dsm = sBegH else "101" when Desc_We = '0' and Tx_Dsm_Next = sBegL else "101" when Desc_We = '1' and Dsm = sBegL else "110" when Desc_We = '0' and Tx_Dsm_Next = sTimH else "110" when Desc_We = '1' and Dsm = sTimH else "111" when Desc_We = '0' and Tx_Dsm_Next = sTimL else "111" when Desc_We = '1' and Dsm = sTimL else "111" when Desc_We = '0' and Tx_Dsm_Next = sData else "111" when Desc_We = '1' and Dsm = sData else "000"; Desc_We <= '1' when Dsm = sTimL or Dsm = sTimH or Dsm = sStat else '0'; Desc_Addr <= Tx_Desc & DescIdx when Ext_Tx = '0' else Ext_Desc & DescIdx; gTxTime: if gTimerEnable generate DescRam_In <= Zeit(15 downto 0) when Dsm = sTimH else ZeitL when Dsm = sTimL else x"000" & "01" & Tx_Ident when Dsm = sBegL else Tx_Dma_Out & Tx_Sync & "00" & "0100" & "00" & "0" & "0" & Col_Cnt; end generate; gnTxTime: if not gTimerEnable generate DescRam_In <= x"000" & "01" & Tx_Ident when Dsm = sBegL else Tx_Dma_Out & Tx_Sync & "00" & "0100" & "00" & "0" & "0" & Col_Cnt; end generate; --! This DPRAM holds the Tx descriptor accessible by the host and the DMA. TXRAM : entity work.dpRamOpenmac generic map ( gWordWidth => iWritedata'length, gNumberOfWords => 256, gInitFile => "UNUSED" ) port map ( iClk_A => iClk, iEnable_A => cActivated, iWriteEnable_A => Ram_Wr, iAddress_A => iAddress(8 downto 1), iByteenable_A => Ram_Be, iWritedata_A => iWritedata, oReaddata_A => Tx_Ram_Dat, iClk_B => iClk, iEnable_B => cActivated, iWriteEnable_B => Desc_We, iByteenable_B => (others => cActivated), iAddress_B => Desc_Addr, iWritedata_B => DescRam_In, oReaddata_B => DescRam_Out ); assert not( gTimerTrigTx and not gTimerEnable ) report "Time Triggered Tx needs Timer!" severity failure; pTxSm: process( Dsm, Tx_On, TX_OWN, Retry_Cnt, Ext_Tx, Tx_Wait, Tx_Sync, Sm_Tx, F_End, Tx_Col, Ext_Ack, Tx_Del, Tx_Beg, Tx_Half, Tx_Del_End, iRxDv ) begin Tx_Dsm_Next <= Dsm; case Dsm is when sIdle => if Tx_On = '1' and TX_OWN = '1' and Retry_Cnt = 0 then if (Ext_Tx = '1' and Ext_Ack = '0') or Tx_Wait = '0' then Tx_Dsm_Next <= sAdrH; --sLen; end if; end if; when sLen => if Tx_Sync = '0' then Tx_Dsm_Next <= sReq; --sAdrH; else Tx_Dsm_Next <= sBegH; end if; when sBegH => Tx_Dsm_Next <= sBegL; when sBegL => if Tx_On = '0' then Tx_Dsm_Next <= sIdle; elsif Tx_Sync = '0' then if Tx_Del = '1' then Tx_Dsm_Next <= sDel; elsif Sm_Tx = sPre then Tx_Dsm_Next <= sTimH; end if; elsif Tx_Sync = '1' and Tx_Beg = '1' and Tx_Half = '1' and iRxDv = '1' then Tx_Dsm_Next <= sColl; elsif Tx_Beg = '1' then Tx_Dsm_Next <= sReq; end if; when sDel => if Tx_On = '0' then Tx_Dsm_Next <= sIdle; --avoid FSM hang elsif Tx_Del_End = '1' then Tx_Dsm_Next <= sTimH; end if; when sAdrH => Tx_Dsm_Next <= sAdrL; when sAdrL => Tx_Dsm_Next <= sLen; --sReq; --leaving sAdrL and entering sReq leads to the very first Tx_Dma_Req -- this enables early dma req at the beginning of IPG (auto-resp) when sReq => if Tx_On = '0' then Tx_Dsm_Next <= sIdle; elsif Tx_Del = '1' then Tx_Dsm_Next <= sBegH; elsif Tx_Sync = '0' then Tx_Dsm_Next <= sBegL; elsif Sm_Tx = sBop then Tx_Dsm_Next <= sTimH; end if; when sTimH => Tx_Dsm_Next <= sTimL; when sTimL => Tx_Dsm_Next <= sData; when sData => if F_End = '1' then Tx_Dsm_Next <= sStat; elsif Tx_Col = '1' then Tx_Dsm_Next <= sColl; end if; when sStat => Tx_Dsm_Next <= sIdle; when sColl => if sm_tx = sIdle then if Tx_Sync = '1' then Tx_Dsm_Next <= sStat; else Tx_Dsm_Next <= sIdle; end if; end if; when others => end case; end process pTxSm; pTxSmClk : process(iRst, iClk) begin if iRst = cActivated then Dsm <= sIdle; elsif rising_edge(iClk) then Dsm <= Tx_Dsm_Next; end if; end process pTxSmClk; pTxControl: process( iRst, iClk ) begin if iRst = '1' then Last_Desc <= '0'; Start_TxS <= '0'; Tx_Dma_Req <= '0'; H_Byte <= '0'; Tx_Beg <= '0'; Tx_BegSet <= '0'; Tx_Early <= '0'; Auto_Coll <= '0'; Tx_Dma_Out <= '0'; Ext_Tx <= '0'; Ext_Ack <= '0'; ClrCol <= '0'; Ext_Desc <= (others => '0'); Tx_Sync <= '0'; Max_Retry <= (others => '0'); ZeitL <= (others => '0'); Tx_Count <= (others => '0'); Tx_Ident <= "00"; Dma_Tx_Addr <= (others => '0'); Tx_Cmp_High <= (others => '0'); Tx_Del_Run <= '0'; Tx_Del <= '0'; Tx_Del_Cnt <= (others => '0'); Tx_Dma_Len <= (others => '0'); elsif rising_edge( iClk ) then if gTimerTrigTx = true then if Tx_Sync = '1' and Dsm = sBegL and (DescRam_Out & Tx_Cmp_High ) = Zeit then Tx_Beg <= '1'; else Tx_Beg <= '0'; end if; end if; if Dsm = sStat and Desc_We = '1' then ClrCol <= '1'; else ClrCol <= '0'; end if; if gTimerEnable then if Dsm = sTimH then ZeitL <= Zeit(31 downto 16); end if; end if; if Ext_Ack = '0' and R_Req = '1' then Ext_Desc <= Auto_Desc; Ext_Ack <= '1'; elsif Ext_Tx = '1' or Tx_On = '0' then Ext_Ack <= '0'; end if; if Dsm = sIdle and Ext_Ack = '1' then Ext_Tx <= '1'; elsif Dsm = sStat or Tx_Col = '1' or Tx_On = '0' then Ext_Tx <= '0'; end if; if (F_End = '1' or Tx_On = '0' or (Tx_Col = '1' and Ext_Tx = '1' ) or dsm = sColl ) then Start_TxS <= '0'; Auto_Coll <= Auto_Coll or (Tx_Col and Ext_Tx); elsif Dsm = sReq and Tx_Del = '0' then Start_TxS <= '1'; elsif Dsm = sDel and Tx_Del_End = '1' then Start_TxS <= '1'; elsif Sm_Tx = sIdle then Auto_Coll <= '0'; end if; if Dsm = sIdle then Last_Desc <= TX_LAST; end if; if Dsm = sLen then Tx_Count <= TX_LEN; Tx_Dma_Len <= TX_LEN; --add CRC elsif F_Val = '1' then Tx_Count <= Tx_Count - 1; end if; if Dsm = sBegH then Tx_Cmp_High <= DescRam_Out; end if; if Dsm = sIdle and Tx_On = '1' and TX_OWN = '1' and Retry_Cnt = 0 then if Ext_Tx = '1' or Tx_Wait = '0' then if gTimerTrigTx then Tx_Sync <= TX_TIME; else Tx_Sync <= '0'; end if; Max_Retry <= TX_RETRY; Tx_Early <= TX_BEGON; if gAutoTxDel = true then Tx_Del <= TX_BEGDEL; end if; end if; elsif Dsm = sTimH then Tx_BegSet <= Tx_Early; elsif Dsm = sTimL then Tx_BegSet <= '0'; elsif Dsm = sIdle then Tx_Del <= '0'; end if; if gAutoTxDel = true and Tx_Del = '1' then if Dsm = sBegH then Tx_Del_Cnt(Tx_Del_Cnt'high) <= '0'; Tx_Del_Cnt(15 downto 0) <= DescRam_Out; elsif Dsm = sBegL then Tx_Del_Cnt(31 downto 16) <= DescRam_Out; elsif Dsm = sDel and Tx_Del_Run = '1' then Tx_Del_Cnt <= Tx_Del_Cnt - 1; end if; if Tx_Del_Run = '0' and Dsm = sDel then Tx_Del_Run <= '1'; --don't consider Ipg elsif Tx_Del_End = '1' then Tx_Del_Run <= '0'; end if; end if; if Dsm = sAdrL then --Dma_Tx_Addr(15 downto 1) <= DescRam_Out(15 downto 1); Dma_Tx_Addr(oDmaAddress'high downto 16) <= DescRam_Out(oDmaAddress'high-16 downto 0); Tx_Ident <= DescRam_Out(15 downto 14); elsif Tx_Dma_Ack = '1' then Dma_Tx_Addr(15 downto 1) <= Dma_Tx_Addr(15 downto 1) + 1; end if; if Dsm = sAdrH then Dma_Tx_Addr(15 downto 1) <= DescRam_Out(15 downto 1); -- Dma_Tx_Addr(oDmaAddress'high downto 16) <= DescRam_Out(oDmaAddress'high-16 downto 0); -- Tx_Ident <= DescRam_Out(15 downto 14); elsif Tx_Dma_Ack = '1' and Dma_Tx_Addr(15 downto 1) = x"FFF" & "111" then Dma_Tx_Addr(oDmaAddress'high downto 16) <= Dma_Tx_Addr(oDmaAddress'high downto 16) + 1; end if; if DSM = sAdrL or (F_Val = '1' and H_Byte = '0') then Tx_Dma_Req <= '1'; elsif Tx_Dma_Ack = '1' then Tx_Dma_Req <= '0'; end if; if Sm_Tx = sBop then H_Byte <= '0'; elsif F_Val = '1' then H_Byte <= not H_Byte; end if; if F_Val = '1' then Tx_Buf <= Tx_LatchL; end if; if H_Byte = '0' and F_Val = '1' and Tx_Dma_Req = '1' then Tx_Dma_Out <= '1'; elsif Sm_Tx = sBop then Tx_Dma_Out <= '0'; end if; end if; end process pTxControl; Start_Tx <= '1' when Start_TxS = '1' and Block_Col = '0' else '1' when not gAutoTxDel and not gTimerTrigTx and R_Req = '1' else '0'; F_TxB <= Tx_LatchH when H_Byte = '0' else Tx_Buf; onTxIrq <= '1' when (Tx_Icnt = 0 and Tx_SoftInt = '0') or Tx_Ie = '0' else '0'; Tx_Idle <= '1' when Sm_Tx = sIdle and Dsm = sIdle else '0'; Tx_Reg <= Tx_Ie & Tx_SoftInt & Tx_Half & Tx_Wait & (Tx_Icnt(4) or Tx_Icnt(3)) & Tx_Icnt(2 downto 0) & Tx_On & Tx_BegInt & Tx_Idle & Tx_Desc; Sel_TxH <= '1' when inWrite = '0' and iSelectCont = '1' and iAddress(3) = '0' and Ram_Be(1) = '1' else '0'; Sel_TxL <= '1' when inWrite = '0' and iSelectCont = '1' and iAddress(3) = '0' and Ram_Be(0) = '1' else '0'; Tx_Desc <= Tx_Desc_One; Tx_SoftInt <= '0'; pTxRegs: process( iRst, iClk ) begin if iRst = '1' then Tx_On <= '0'; Tx_Ie <= '0'; Tx_Half <= '0'; Tx_Wait <= '0'; onTxBegIrq <= '0'; Tx_Desc_One <= (others => '0'); Tx_Icnt <= (others => '0'); TxInt <= '0'; Tx_BegInt <= '0'; Tx_Ipg <= conv_std_logic_vector( 42, 6); elsif rising_edge( iClk ) then if Sel_TxL = '1' then if iAddress(2 downto 1) = "00" then Tx_On <= iWritedata( 7); elsif iAddress(2 downto 1) = "01" and iWritedata( 7) = '1' then Tx_On <= '1'; elsif iAddress(2 downto 1) = "10" and iWritedata( 7) = '1' then Tx_On <= '0'; end if; end if; if Tx_BegSet = '1' and Tx_Ie = '1' then Tx_BegInt <= '1'; elsif Sel_TxL = '1' and iAddress(2 downto 1) = "01" and iWritedata( 6) = '1' then Tx_BegInt <= '1'; elsif Sel_TxL = '1' and iAddress(2 downto 1) = "10" and iWritedata( 6) = '1' then Tx_BegInt <= '0'; end if; onTxBegIrq <= not Tx_BegInt; if Sel_TxL = '1' and iAddress(2 downto 1) = "11" then Tx_Desc_One <= iWritedata(Tx_Desc_One'range); elsif Dsm = sStat and Ext_Tx = '0' then if Last_Desc = '1' then Tx_Desc_One <= (others => '0'); else Tx_Desc_One <= Tx_Desc + 1; end if; end if; if Sel_TxH = '1' then if iAddress(2 downto 1) = "00" then Tx_Ie <= iWritedata(15); elsif iAddress(2 downto 1) = "01" and iWritedata(15) = '1' then Tx_Ie <= '1'; elsif iAddress(2 downto 1) = "10" and iWritedata(15) = '1' then Tx_Ie <= '0'; end if; end if; if Sel_TxH = '1' then if iAddress(2 downto 1) = "00" then Tx_Half <= iWritedata(13); elsif iAddress(2 downto 1) = "01" and iWritedata(13) = '1' then Tx_Half <= '1'; elsif iAddress(2 downto 1) = "10" and iWritedata(13) = '1' then Tx_Half <= '0'; end if; end if; if Sel_TxH = '1' then if iAddress(2 downto 1) = "00" then Tx_Wait <= iWritedata(12); elsif iAddress(2 downto 1) = "01" and iWritedata(12) = '1' then Tx_Wait <= '1'; elsif iAddress(2 downto 1) = "10" and iWritedata(12) = '1' then Tx_Wait <= '0'; end if; end if; if Sel_TxH = '1' then if iAddress(2 downto 1) = "11" and iWritedata(14) = '1' then Tx_Ipg <= iWritedata(13 downto 8); end if; end if; if Tx_Ie = '1' and Dsm = sStat and Desc_We = '1' then TxInt <= '1'; else TxInt <= '0'; end if; if Sel_TxH = '1' and iAddress(2 downto 1) = "10" and iWritedata(8) = '1' and Tx_Icnt /= 0 then Tx_Icnt <= Tx_Icnt - not TxInt; elsif TxInt = '1' and Tx_Icnt /= "11111" then Tx_Icnt <= Tx_Icnt + 1; end if; end if; end process pTxRegs; end block bTxDesc; end block b_Full_Tx; b_Full_Rx: block type tRxState is ( sIdle, sSof, sRxd ); signal Sm_Rx : tRxState; signal Rx_Dat : std_logic_vector(1 downto 0); signal Rx_DatL : std_logic_vector(1 downto 0); signal Tx_Timer : std_logic_vector(7 downto 0); signal Dibl_Cnt : std_logic_vector(1 downto 0); signal Crc : std_logic_vector(31 downto 0); signal nCrc : std_logic_vector(31 downto 0); signal CrcDin : std_logic_vector(1 downto 0); signal F_Err : std_logic; signal P_Err : std_logic; signal N_Err : std_logic; signal A_Err : std_logic; signal F_End : std_logic; signal F_Val : std_logic; signal Rx_Beg : std_logic; signal Rx_Sr : std_logic_vector(7 downto 0); signal nCrc_Ok : std_logic; signal Crc_Ok : std_logic; signal WrDescStat : std_logic; signal PreCount : std_logic_vector(4 downto 0); signal PreBeg : std_logic; signal PreErr : std_logic; signal Rx_DvL : std_logic; signal Diag : std_logic; begin Rx_Beg <= '1' when Rx_Dv = '1' and Sm_Rx = sSof and Rx_Dat = "11" else '0'; nCrc_Ok <= '1' when nCrc = x"C704DD7B" else '0'; rxsm: process ( iClk, iRst ) is begin if iRst = '1' then Sm_Rx <= sIdle; elsif rising_edge( iClk ) then if Sm_Rx = sIdle or Sm_Rx = sRxd or Sm_Rx = sSof or Dibl_Cnt = "11" then case Sm_Rx is when sIdle => if Rx_Dv = '1' then Sm_Rx <= sSof; end if; when sSof => if Rx_Dat = "11" then Sm_Rx <= sRxd; elsif Rx_Dv = '0' then Sm_Rx <= sIdle; end if; when sRxd => if Rx_Dv = '0' then Sm_Rx <= sIdle; end if; when others => NULL; end case; end if; end if; end process rxsm; pRxCtl: process ( iClk, iRst ) is variable vPreload : std_logic_vector(Tx_Timer'range); variable vLoad : std_logic; begin if iRst = '1' then Rx_DatL <= "00"; Rx_Dat <= "00"; Rx_Dv <= '0'; Dibl_Cnt <= "00"; PreCount <= (others => '0'); F_End <= '0'; F_Err <= '0'; F_Val <= '0'; Crc_Ok <= '0'; A_Err <= '0'; N_Err <= '0'; P_Err <= '0'; PreBeg <= '0'; PreErr <= '0'; elsif rising_edge( iClk ) then Rx_DatL <= iRxData; Rx_Dat <= Rx_DatL; if Rx_Dv = '0' and iRxDv = '1' then Rx_Dv <= '1'; elsif Rx_Dv = '1' and iRxDv = '0' and Dibl_Cnt(0) = '1' then Rx_Dv <= '0'; end if; if Rx_Beg = '1' then Dibl_Cnt <= "00"; else Dibl_Cnt <= Dibl_Cnt + 1; end if; Crc_Ok <= nCrc_Ok; if (Sm_Rx = sRxd and Rx_Dv = '0') then F_End <= '1'; F_Err <= not Crc_Ok; else F_End <= '0'; end if; if Dibl_Cnt = "11" and Sm_Rx = sRxd then F_Val <= '1'; else F_Val <= '0'; end if; if WrDescStat = '1' then A_Err <= '0'; elsif F_End = '1' and Dibl_Cnt /= 1 then A_Err <= '1'; end if; if Rx_Dv = '0' or Rx_Dat(0) = '0' then PreCount <= (others => '1'); else PreCount <= PreCount - 1; end if; if Rx_Dv = '0' then PreBeg <= '0'; elsif Rx_Dat = "01" then PreBeg <= '1'; end if; if WrDescStat = '1' then N_Err <= '0'; elsif Sm_Rx = sSof and Rx_Dv = '0' then N_Err <= '1'; end if; if Rx_DvL = '0' then PreErr <= '0'; elsif PreBeg = '0' and (Rx_Dat = "10" or Rx_Dat = "11") then PreErr <= '1'; elsif PreBeg = '1' and (Rx_Dat = "10" or Rx_Dat = "00") then PreErr <= '1'; end if; if WrDescStat = '1' then P_Err <= '0'; elsif Rx_Beg = '1' and PreErr = '1' then P_Err <= '1'; elsif Rx_Beg = '1' and PreCount /= 0 then P_Err <= '1'; end if; Rx_Sr <= Rx_Dat(1) & Rx_Dat(0) & Rx_Sr(7 downto 2); Rx_DvL <= Rx_Dv; end if; end process pRxCtl; CrcDin <= Rx_Dat; Calc: process ( iClk, Crc, nCrc, CrcDin, Sm_Rx ) is variable H : std_logic_vector(1 downto 0); begin H(0) := Crc(31) xor CrcDin(0); H(1) := Crc(30) xor CrcDin(1); if Sm_Rx = sSof then nCrc <= x"FFFFFFFF"; else nCrc( 0) <= H(1); nCrc( 1) <= H(0) xor H(1); nCrc( 2) <= Crc( 0) xor H(0) xor H(1); nCrc( 3) <= Crc( 1) xor H(0) ; nCrc( 4) <= Crc( 2) xor H(1); nCrc( 5) <= Crc( 3) xor H(0) xor H(1); nCrc( 6) <= Crc( 4) xor H(0) ; nCrc( 7) <= Crc( 5) xor H(1); nCrc( 8) <= Crc( 6) xor H(0) xor H(1); nCrc( 9) <= Crc( 7) xor H(0) ; nCrc(10) <= Crc( 8) xor H(1); nCrc(11) <= Crc( 9) xor H(0) xor H(1); nCrc(12) <= Crc(10) xor H(0) xor H(1); nCrc(13) <= Crc(11) xor H(0) ; nCrc(14) <= Crc(12) ; nCrc(15) <= Crc(13) ; nCrc(16) <= Crc(14) xor H(1); nCrc(17) <= Crc(15) xor H(0) ; nCrc(18) <= Crc(16) ; nCrc(19) <= Crc(17) ; nCrc(20) <= Crc(18) ; nCrc(21) <= Crc(19) ; nCrc(22) <= Crc(20) xor H(1); nCrc(23) <= Crc(21) xor H(0) xor H(1); nCrc(24) <= Crc(22) xor H(0) ; nCrc(25) <= Crc(23) ; nCrc(26) <= Crc(24) xor H(1); nCrc(27) <= Crc(25) xor H(0) ; nCrc(28) <= Crc(26) ; nCrc(29) <= Crc(27) ; nCrc(30) <= Crc(28) ; nCrc(31) <= Crc(29) ; end if; if rising_edge( iClk ) then Crc <= nCrc; end if; end process Calc; bRxDesc: block type tDescState is ( sIdle, sLen, sTimL, sTimH, sAdrH, sAdrL, sData, sOdd, sStat, sLenW ); signal Dsm : tDescState; signal Rx_Dsm_Next : tDescState; signal Rx_Buf : std_logic_vector(7 downto 0); signal Rx_LatchH : std_logic_vector(7 downto 0); signal Rx_LatchL : std_logic_vector(7 downto 0); signal Rx_Ovr : std_logic; signal DescRam_Out : std_logic_vector(15 downto 0); signal DescRam_In : std_logic_vector(15 downto 0); alias RX_LEN : std_logic_vector(11 downto 0) is DescRam_Out(11 downto 0); alias RX_OWN : std_logic is DescRam_Out(8); alias RX_LAST : std_logic is DescRam_Out(9); signal Ram_Be : std_logic_vector(1 downto 0); signal Ram_Wr : std_logic; signal Desc_We : std_logic; signal ZeitL : std_logic_vector(15 downto 0); signal Rx_On : std_logic; signal Rx_Ie : std_logic; signal Sel_RxH : std_logic; signal Sel_RxL : std_logic; signal Rx_Desc : std_logic_vector(4 downto 0); signal DescIdx : std_logic_vector(2 downto 0); signal Desc_Addr : std_logic_vector(Rx_Desc'length + DescIdx'length - 1 downto 0); signal Match_Desc : std_logic_vector(3 downto 0); -- The matching filter index signal Rx_Icnt : std_logic_vector(4 downto 0); signal Rx_Lost : std_logic; signal Last_Desc : std_logic; signal Answer_Tx : std_logic; signal Rx_Count : std_logic_vector(11 downto 0); signal Rx_Limit : std_logic_vector(11 downto 0); signal Match : std_logic; signal Filt_Cmp : std_logic; signal Rx_Idle : std_logic; signal RxInt : std_logic; signal Hub_Rx_L : std_logic_vector(1 downto 0); signal Rx_Dma_Out : std_logic; signal Rx_Done : std_logic; begin process(iRst, iClk) variable doPulse : std_logic; begin if iRst = cActivated then Rx_Done <= cInactivated; doPulse := cInactivated; elsif rising_edge(iClk) then Rx_Done <= cInactivated; if Dsm /= sIdle and Rx_Dsm_Next = sIdle then -- RX is done doPulse := cActivated; end if; if doPulse = cActivated and Rx_Dma_Req = cInactivated and Rx_Count = 0 then -- RX is done and there is no dma request Rx_Done <= cActivated; doPulse := cInactivated; end if; end if; end process; oDmaWriteDone <= Rx_Done; WrDescStat <= '1' when Dsm = sStat else '0'; Ram_Wr <= '1' when inWrite = '0' and iSelectRam = '1' and iAddress(10 downto 9) = "10" else '0'; Ram_Be(1) <= '1' when inWrite = '1' or inByteenable(1) = '0' else '0'; Ram_Be(0) <= '1' when inWrite = '1' or inByteenable(0) = '0' else '0'; DescIdx <= "001" when Desc_We = '0' and (Rx_Dsm_Next = sLen or Rx_Dsm_Next = sLenW) else "001" when Desc_We = '1' and (Dsm = sLen or Dsm = sLenW) else "010" when Desc_We = '0' and Rx_Dsm_Next = sAdrH else "010" when Desc_We = '1' and Dsm = sAdrH else "011" when Desc_We = '0' and Rx_Dsm_Next = sAdrL else "011" when Desc_We = '1' and Dsm = sAdrL else "110" when Desc_We = '0' and Rx_Dsm_Next = sTimH else "110" when Desc_We = '1' and Dsm = sTimH else "111" when Desc_We = '0' and Rx_Dsm_Next = sTimL else "111" when Desc_We = '1' and Dsm = sTimL else "000"; Desc_We <= '1' when Dsm = sTimL or Dsm = sTimH else '1' when (Dsm = sLenW or Dsm = sStat) and Match = '1' else '0'; Desc_Addr <= Rx_Desc & DescIdx; gRxTime: if gTimerEnable generate DescRam_In <= Zeit(15 downto 0) when Dsm = sTimH else ZeitL when Dsm = sTimL else x"0" & Rx_Count when Dsm = sLenW else Rx_Dma_Out & '0' & "0" & A_Err & Hub_Rx_L & "00" & Match_Desc & N_Err & P_Err & Rx_Ovr & F_Err; end generate; ngRxTime: if not gTimerEnable generate DescRam_In <= x"0" & Rx_Count when Dsm = sLenW else Rx_Dma_Out & '0' & "0" & A_Err & Hub_Rx_L & "00" & Match_Desc & N_Err & P_Err & Rx_Ovr & F_Err; end generate; --! This DPRAM holds the Rx descriptor accessible by the host and the DMA. RXRAM : entity work.dpRamOpenmac generic map ( gWordWidth => iWritedata'length, gNumberOfWords => 256, gInitFile => "UNUSED" ) port map ( iClk_A => iClk, iEnable_A => cActivated, iWriteEnable_A => Ram_Wr, iAddress_A => iAddress(8 downto 1), iByteenable_A => Ram_Be, iWritedata_A => iWritedata, oReaddata_A => Rx_Ram_Dat, iClk_B => iClk, iEnable_B => cActivated, iWriteEnable_B => Desc_We, iByteenable_B => (others => cActivated), iAddress_B => Desc_Addr, iWritedata_B => DescRam_In, oReaddata_B => DescRam_Out ); pRxSm: process( Dsm, Rx_Beg, Rx_On, RX_OWN, F_End, F_Err, Diag, Rx_Count ) begin Rx_Dsm_Next <= Dsm; case Dsm is when sIdle => if Rx_Beg = '1' and Rx_On = '1' and RX_OWN = '1' then Rx_Dsm_Next <= sLen; end if; when sLen => Rx_Dsm_Next <= sAdrH; when sAdrH => Rx_Dsm_Next <= sAdrL; when sAdrL => Rx_Dsm_Next <= sTimH; when sTimH => Rx_Dsm_Next <= sTimL; when sTimL => Rx_Dsm_Next <= sData; when sData => if F_End = '1' then if F_Err = '0' or Diag = '1' then Rx_Dsm_Next <= sStat; else Rx_Dsm_Next <= sIdle; end if; end if; when sStat => Rx_Dsm_Next <= sLenW; when sLenW => if Rx_Count(0) = '0' then Rx_Dsm_Next <= sIdle; else Rx_Dsm_Next <= sOdd; end if; when sOdd => Rx_Dsm_Next <= sIdle; when others => end case; end process pRxSm; pRxSmClk : process(iRst, iClk) begin if iRst = cActivated then Dsm <= sIdle; elsif rising_edge(iClk) then Dsm <= Rx_Dsm_Next; end if; end process pRxSmClk; pRxControl: process( iRst, iClk ) begin if iRst = '1' then Rx_Ovr <= '0'; Rx_Dma_Req <= '0'; Last_Desc <= '0'; Rx_Dma_Out <= '0'; Rx_Count <= (others => '0'); Rx_Buf <= (others => '0'); Rx_LatchL <= (others => '0'); Rx_LatchH <= (others => '0'); Dma_Rx_Addr <= (others => '0'); elsif rising_edge( iClk ) then if gTimerEnable then if Dsm = sTimH then ZeitL <= Zeit(31 downto 16); end if; end if; if Dsm = sIdle then Rx_Count <= (others => '0'); Last_Desc <= RX_LAST; elsif F_Val = '1' then Rx_Count <= Rx_Count + 1; end if; if Dsm = sLen then Rx_Limit <= RX_LEN; Hub_Rx_L <= iHubRxPort; end if; if F_Val = '1' then Rx_Buf <= Rx_Sr; end if; if (F_Val = '1' and Rx_Count(0) = '1') or Dsm = sStat then Rx_LatchH <= Rx_Buf; Rx_LatchL <= Rx_Sr; if Rx_Dma_Req = '1' and Sm_Rx /= sIdle then Rx_Dma_Out <= '1'; end if; elsif Dsm = sLen then Rx_Dma_Out <= '0'; end if; if Dsm = sLen then Rx_Ovr <= '0'; elsif F_Val = '1' and Rx_Limit = Rx_Count then Rx_Ovr <= '1'; end if; if Dsm = sAdrL then --Dma_Rx_Addr(15 downto 1) <= DescRam_Out(15 downto 1); Dma_Rx_Addr(oDmaAddress'high downto 16) <= DescRam_Out(oDmaAddress'high-16 downto 0); elsif Rx_Dma_Ack = '1' then Dma_Rx_Addr(15 downto 1) <= Dma_Rx_Addr(15 downto 1) + 1; end if; if Dsm = sAdrH then Dma_Rx_Addr(15 downto 1) <= DescRam_Out(15 downto 1); --Dma_Rx_Addr(oDmaAddress'high downto 16) <= DescRam_Out(oDmaAddress'high-16 downto 0); elsif Rx_Dma_Ack = '1' and Dma_Rx_Addr(15 downto 1) = x"FFF" & "111" then Dma_Rx_Addr(oDmaAddress'high downto 16) <= Dma_Rx_Addr(oDmaAddress'high downto 16) + 1; end if; if Filt_Cmp = '0' and Match ='0' then Rx_Dma_Req <= '0'; elsif (Dsm = sOdd and Rx_Ovr = '0') or (Dsm = sData and Rx_Ovr = '0' and F_Val = '1' and Rx_Count(0) = '1') then Rx_Dma_Req <= '1'; elsif Rx_Dma_Ack = '1' then Rx_Dma_Req <= '0'; end if; end if; end process pRxControl; oDmaWritedata <= Rx_LatchL & Rx_LatchH; --Rx_LatchH & Rx_LatchL; onRxIrq <= '1' when Rx_Icnt = 0 or Rx_Ie = '0' else '0'; Rx_Idle <= '1' when Sm_Rx = sIdle else '0'; Rx_Reg <= Rx_Ie & "000" & (Rx_Icnt(4) or Rx_Icnt(3)) & Rx_Icnt(2 downto 0) & Rx_On & Rx_Lost & Rx_Idle & Rx_Desc; bFilter: block signal Ram_Addr : std_logic_vector(7 downto 0); signal Ram_BeH : std_logic_vector(1 downto 0); signal Ram_BeL : std_logic_vector(1 downto 0); signal Ram_Wr : std_logic; signal Filter_Addr : std_logic_vector(6 downto 0); signal Filter_Out_H : std_logic_vector(31 downto 0); signal Filter_Out_L : std_logic_vector(31 downto 0); alias DIRON_0 : std_logic is Filter_Out_H(11); alias DIRON_1 : std_logic is Filter_Out_H(27); alias DIRON_2 : std_logic is Filter_Out_L(11); alias DIRON_3 : std_logic is Filter_Out_L(27); alias TX_0 : std_logic is Filter_Out_H(7); alias TX_1 : std_logic is Filter_Out_H(23); alias TX_2 : std_logic is Filter_Out_L(7); alias TX_3 : std_logic is Filter_Out_L(23); alias ON_0 : std_logic is Filter_Out_H(6); alias ON_1 : std_logic is Filter_Out_H(22); alias ON_2 : std_logic is Filter_Out_L(6); alias ON_3 : std_logic is Filter_Out_L(22); alias DESC_0 : std_logic_vector(Auto_Desc'range) is Filter_Out_H(Auto_Desc'left downto Auto_Desc'right); alias DESC_1 : std_logic_vector(Auto_Desc'range) is Filter_Out_H(Auto_Desc'left+16 downto Auto_Desc'right+16); --(19 downto 16); alias DESC_2 : std_logic_vector(Auto_Desc'range) is Filter_Out_L(Auto_Desc'left downto Auto_Desc'right); alias DESC_3 : std_logic_vector(Auto_Desc'range) is Filter_Out_L(Auto_Desc'left+16 downto Auto_Desc'right+16); --(19 downto 16); signal Byte_Cnt : std_logic_vector(4 downto 0) := (others => '0'); signal Erg0 : std_logic_vector(7 downto 0); signal Erg1 : std_logic_vector(7 downto 0); signal Erg2 : std_logic_vector(7 downto 0); signal Erg3 : std_logic_vector(7 downto 0); signal Mat_Reg : std_logic_vector(15 downto 0); signal Filt_Idx : std_logic_vector(1 downto 0); signal Mat_Sel : std_logic_vector(3 downto 0); signal M_Prio : std_logic_vector(2 downto 0); alias Found : std_logic is M_Prio(2); begin Ram_Addr <= iAddress(9 downto 8) & iAddress(5 downto 1) & iAddress(6); Ram_Wr <= '1' when inWrite = '0' and iSelectRam = '1' and iAddress(10) = '0' else '0'; Ram_BeH(1) <= '1' when inWrite = '1' or (inByteenable(1) = '0' and iAddress(7) = '0') else '0'; Ram_BeH(0) <= '1' when inWrite = '1' or (inByteenable(0) = '0' and iAddress(7) = '0') else '0'; Ram_BeL(1) <= '1' when inWrite = '1' or (inByteenable(1) = '0' and iAddress(7) = '1') else '0'; Ram_BeL(0) <= '1' when inWrite = '1' or (inByteenable(0) = '0' and iAddress(7) = '1') else '0'; Filter_Addr <= Dibl_Cnt & Byte_Cnt; --! This simplex DPRAM holds the higher dword for the Rx packet filters. FILTERRAMHIGH : entity work.dpRamSplx generic map ( gWordWidthA => iWritedata'length, gByteenableWidthA => Ram_BeH'length, gNumberOfWordsA => 256, gWordWidthB => Filter_Out_H'length, gNumberOfWordsB => 128, gInitFile => "UNUSED" ) port map ( iClk_A => iClk, iEnable_A => cActivated, iWriteEnable_A => Ram_Wr, iAddress_A => Ram_Addr, iByteenable_A => Ram_BeH, iWritedata_A => iWritedata, iClk_B => iClk, iEnable_B => cActivated, iAddress_B => Filter_Addr, oReaddata_B => Filter_Out_H ); --! This simplex DPRAM holds the lower dword for the Rx packet filters. FILTERRAMLOW : entity work.dpRamSplx generic map ( gWordWidthA => iWritedata'length, gByteenableWidthA => Ram_BeL'length, gNumberOfWordsA => 256, gWordWidthB => Filter_Out_H'length, gNumberOfWordsB => 128, gInitFile => "UNUSED" ) port map ( iClk_A => iClk, iEnable_A => cActivated, iWriteEnable_A => Ram_Wr, iAddress_A => Ram_Addr, iByteenable_A => Ram_BeL, iWritedata_A => iWritedata, iClk_B => iClk, iEnable_B => cActivated, iAddress_B => Filter_Addr, oReaddata_B => Filter_Out_L ); Erg0 <= (Rx_Buf xor Filter_Out_H( 7 downto 0)) and Filter_Out_H(15 downto 8); Erg1 <= (Rx_Buf xor Filter_Out_H(23 downto 16)) and Filter_Out_H(31 downto 24); Erg2 <= (Rx_Buf xor Filter_Out_L( 7 downto 0)) and Filter_Out_L(15 downto 8); Erg3 <= (Rx_Buf xor Filter_Out_L(23 downto 16)) and Filter_Out_L(31 downto 24); genMatSel: for i in 0 to 3 generate Mat_Sel(i) <= Mat_Reg( 0 + i) when Filt_Idx = "00" else Mat_Reg( 4 + i) when Filt_Idx = "01" else Mat_Reg( 8 + i) when Filt_Idx = "10" else Mat_Reg(12 + i); -- when Filt_Idx = "11"; end generate; M_Prio <= "000" when Filt_Cmp = '0' or Match = '1' else "100" when Mat_Sel(0) = '1' and On_0 = '1' and (DIRON_0 = '0') else "101" when Mat_Sel(1) = '1' and On_1 = '1' and (DIRON_1 = '0') else "110" when Mat_Sel(2) = '1' and On_2 = '1' and (DIRON_2 = '0') else "111" when Mat_Sel(3) = '1' and On_3 = '1' and (DIRON_3 = '0') else "000"; pFilter: process( iRst, iClk ) begin if iRst = '1' then Filt_Idx <= "00"; Match <= '0'; Filt_Cmp <= '0'; Mat_Reg <= (others => '0'); Byte_Cnt <= (others =>'0'); Match_Desc <= (others => '0');Auto_Desc <= (others =>'0'); Answer_Tx <= '0'; elsif rising_edge( iClk ) then Filt_Idx <= Dibl_Cnt; if Dibl_Cnt = "11" and Rx_Count(5) = '0' then Byte_Cnt <= Rx_Count(Byte_Cnt'range); end if; if Dsm = sTiml then Filt_Cmp <= '1'; elsif Rx_Dv = '0' or (F_Val = '1' and Rx_Count(5) = '1') then Filt_Cmp <= '0'; end if; if Dsm = sTimL then Mat_Reg <= (others => '1'); else for i in 0 to 3 loop if Erg0 /= 0 and conv_integer(Filt_Idx) = i then Mat_Reg(4*i + 0) <= '0'; end if; if Erg1 /= 0 and conv_integer(Filt_Idx) = i then Mat_Reg(4*i + 1) <= '0'; end if; if Erg2 /= 0 and conv_integer(Filt_Idx) = i then Mat_Reg(4*i + 2) <= '0'; end if; if Erg3 /= 0 and conv_integer(Filt_Idx) = i then Mat_Reg(4*i + 3) <= '0'; end if; end loop; end if; if Dsm = sTimL then Match <= '0'; elsif Found = '1' then Match <= '1'; Match_Desc <= Filt_Idx & M_Prio(1 downto 0); if M_Prio(1 downto 0) = "00" then Answer_Tx <= TX_0; Auto_Desc <= DESC_0; elsif M_Prio(1 downto 0) = "01" then Answer_Tx <= TX_1; Auto_Desc <= DESC_1; elsif M_Prio(1 downto 0) = "10" then Answer_Tx <= TX_2; Auto_Desc <= DESC_2; elsif M_Prio(1 downto 0) = "11" then Answer_Tx <= TX_3; Auto_Desc <= DESC_3; end if; elsif F_End = '1' then Answer_Tx <= '0'; end if; end if; end process pFilter; R_Req <= Answer_Tx when F_End = '1' and F_Err = '0' else '0'; end block bFilter; Sel_RxH <= '1' when inWrite = '0' and iSelectCont = '1' and iAddress(3) = '1' and inByteenable(1) = '0' else '0'; Sel_RxL <= '1' when inWrite = '0' and iSelectCont = '1' and iAddress(3) = '1' and inByteenable(0) = '0' else '0'; pRxRegs: process( iRst, iClk ) begin if iRst = '1' then Rx_Desc <= (others => '0'); Rx_On <= '0'; Rx_Ie <= '0'; Rx_Lost <= '0'; Rx_Icnt <= (others => '0'); RxInt <= '0'; Diag <= '0'; elsif rising_edge( iClk ) then if Sel_RxH = '1' then if iAddress(2 downto 1) = "00" then Rx_Ie <= iWritedata(15); elsif iAddress(2 downto 1) = "01" and iWritedata(15) = '1' then Rx_Ie <= '1'; elsif iAddress(2 downto 1) = "10" and iWritedata(15) = '1' then Rx_Ie <= '0'; end if; end if; if Sel_RxH = '1' then if iAddress(2 downto 1) = "00" then Diag <= iWritedata(12); elsif iAddress(2 downto 1) = "01" and iWritedata(12) = '1' then Diag <= '1'; elsif iAddress(2 downto 1) = "10" and iWritedata(12) = '1' then Diag <= '0'; end if; end if; if Sel_RxL = '1' then if iAddress(2 downto 1) = "00" then Rx_On <= iWritedata( 7); elsif iAddress(2 downto 1) = "01" and iWritedata( 7) = '1' then Rx_On <= '1'; elsif iAddress(2 downto 1) = "10" and iWritedata( 7) = '1' then Rx_On <= '0'; end if; end if; if Rx_Beg = '1' and (RX_OWN = '0' or Rx_On = '0') then Rx_Lost <= '1'; elsif Sel_RxL = '1' and iAddress(2 downto 1) = "10" and iWritedata( 6) = '1' then Rx_Lost <= '0'; end if; if Sel_RxL = '1' and iAddress(2 downto 1) = "11" then Rx_Desc <= iWritedata(Rx_Desc'range); elsif Dsm = sLenW and Desc_We = '1' then if Last_Desc = '1' then Rx_Desc <= (others => '0'); else Rx_Desc <= Rx_Desc + 1; end if; end if; if Rx_Ie = '1' and Desc_We = '1' and Dsm = sStat then RxInt <= '1'; else RxInt <= '0'; end if; if Sel_RxH = '1' and iAddress(2 downto 1) = "10" and iWritedata(8) = '1' and Rx_Icnt /= 0 then Rx_Icnt <= Rx_Icnt - not RxInt; elsif RxInt = '1' and Rx_Icnt /= "11111" then Rx_Icnt <= Rx_Icnt + 1; end if; end if; end process pRxRegs; end block bRxDesc; end block b_Full_Rx; end architecture struct;
gpl-2.0
1b0d3390f9b3b49c06c6333b8795958b
0.439703
3.658283
false
false
false
false
openPOWERLINK/openPOWERLINK_V2
hardware/ipcore/altera/openmac/src/alteraOpenmacTop-rtl-ea.vhd
3
21,196
------------------------------------------------------------------------------- --! @file alteraOpenmacTop-rtl-ea.vhd -- --! @brief OpenMAC toplevel for Altera -- --! @details This is the openMAC toplevel for Altera platform. ------------------------------------------------------------------------------- -- -- (c) B&R Industrial Automation GmbH, 2014 -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- 3. Neither the name of B&R nor the names of its -- contributors may be used to endorse or promote products derived -- from this software without prior written permission. For written -- permission, please contact [email protected] -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, -- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT -- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN -- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; --! Common library library libcommon; --! Use common library global package use libcommon.global.all; --! Work library library work; --! use openmac package use work.openmacPkg.all; entity alteraOpenmacTop is generic ( ----------------------------------------------------------------------- -- Phy configuration ----------------------------------------------------------------------- --! Number of Phy ports gPhyPortCount : natural := 2; --! Phy port interface type (Rmii or Mii) gPhyPortType : natural := cPhyPortRmii; --! Number of SMI phy ports gSmiPortCount : natural := 1; ----------------------------------------------------------------------- -- General configuration ----------------------------------------------------------------------- --! Endianness ("little" or "big") gEndianness : string := "little"; --! Enable packet activity generator (e.g. connect to LED) gEnableActivity : natural := cFalse; --! Enable DMA observer circuit gEnableDmaObserver : natural := cFalse; ----------------------------------------------------------------------- -- DMA configuration ----------------------------------------------------------------------- --! DMA address width (byte-addressing) gDmaAddrWidth : natural := 32; --! DMA data width gDmaDataWidth : natural := 16; --! DMA burst count width gDmaBurstCountWidth : natural := 4; --! DMA write burst length (Rx packets) [words] gDmaWriteBurstLength : natural := 16; --! DMA read burst length (Tx packets) [words] gDmaReadBurstLength : natural := 16; --! DMA write FIFO length (Rx packets) [words] gDmaWriteFifoLength : natural := 16; --! DMA read FIFO length (Tx packets) [words] gDmaReadFifoLength : natural := 16; ----------------------------------------------------------------------- -- Packet buffer configuration ----------------------------------------------------------------------- --! Packet buffer location for Tx packets gPacketBufferLocTx : natural := cPktBufLocal; --! Packet buffer location for Rx packets gPacketBufferLocRx : natural := cPktBufLocal; --! Packet buffer log2(size) [log2(bytes)] gPacketBufferLog2Size : natural := 10; ----------------------------------------------------------------------- -- MAC timer configuration ----------------------------------------------------------------------- --! Enable pulse timer gTimerEnablePulse : natural := cFalse; --! Enable timer pulse width control gTimerEnablePulseWidth : natural := cFalse; --! Timer pulse width register width gTimerPulseRegWidth : natural := 10 ); port ( ----------------------------------------------------------------------- -- Clock and reset signal pairs ----------------------------------------------------------------------- --! Main clock used for openMAC, openHUB and openFILTER (freq = 50 MHz) csi_mainClk_clock : in std_logic; --! Main reset used for openMAC, openHUB and openFILTER rsi_mainRst_reset : in std_logic; --! DMA master clock csi_dmaClk_clock : in std_logic; --! DMA master reset rsi_dmaRst_reset : in std_logic; --! Packet buffer clock csi_pktClk_clock : in std_logic; --! Packet buffer reset rsi_pktRst_reset : in std_logic; --! Twice main clock used for Rmii Tx path csi_mainClkx2_clock : in std_logic; ----------------------------------------------------------------------- -- MAC REG memory mapped slave ----------------------------------------------------------------------- --! MM slave MAC REGISTER chipselect avs_macReg_chipselect : in std_logic; --! MM slave MAC REGISTER write avs_macReg_write : in std_logic; --! MM slave MAC REGISTER read avs_macReg_read : in std_logic; --! MM slave MAC REGISTER waitrequest avs_macReg_waitrequest : out std_logic; --! MM slave MAC REGISTER byteenable avs_macReg_byteenable : in std_logic_vector(cMacRegDataWidth/cByteLength-1 downto 0); --! MM slave MAC REGISTER address avs_macReg_address : in std_logic_vector(cMacRegAddrWidth-1 downto 1); --! MM slave MAC REGISTER writedata avs_macReg_writedata : in std_logic_vector(cMacRegDataWidth-1 downto 0); --! MM slave MAC REGISTER readdata avs_macReg_readdata : out std_logic_vector(cMacRegDataWidth-1 downto 0); ----------------------------------------------------------------------- -- MAC TIMER memory mapped slave ----------------------------------------------------------------------- --! MM slave MAC TIMER chipselect avs_macTimer_chipselect : in std_logic; --! MM slave MAC TIMER write avs_macTimer_write : in std_logic; --! MM slave MAC TIMER read avs_macTimer_read : in std_logic; --! MM slave MAC TIMER waitrequest avs_macTimer_waitrequest : out std_logic; --! MM slave MAC TIMER address avs_macTimer_address : in std_logic_vector(cMacTimerAddrWidth-1 downto 2); --! MM slave MAC TIMER byteenable avs_macTimer_byteenable : in std_logic_vector(cMacTimerDataWidth/cByteLength-1 downto 0); --! MM slave MAC TIMER writedata avs_macTimer_writedata : in std_logic_vector(cMacTimerDataWidth-1 downto 0); --! MM slave MAC TIMER readdata avs_macTimer_readdata : out std_logic_vector(cMacTimerDataWidth-1 downto 0); ----------------------------------------------------------------------- -- MAC PACKET BUFFER memory mapped slave ----------------------------------------------------------------------- --! MM slave MAC PACKET BUFFER chipselect avs_pktBuf_chipselect : in std_logic; --! MM slave MAC PACKET BUFFER write avs_pktBuf_write : in std_logic; --! MM slave MAC PACKET BUFFER read avs_pktBuf_read : in std_logic; --! MM slave MAC PACKET BUFFER waitrequest avs_pktBuf_waitrequest : out std_logic; --! MM slave MAC PACKET BUFFER byteenable avs_pktBuf_byteenable : in std_logic_vector(cPktBufDataWidth/8-1 downto 0); --! MM slave MAC PACKET BUFFER address (width given by gPacketBufferLog2Size) avs_pktBuf_address : in std_logic_vector(gPacketBufferLog2Size-1 downto 2); --! MM slave MAC PACKET BUFFER writedata avs_pktBuf_writedata : in std_logic_vector(cPktBufDataWidth-1 downto 0); --! MM slave MAC PACKET BUFFER readdata avs_pktBuf_readdata : out std_logic_vector(cPktBufDataWidth-1 downto 0); ----------------------------------------------------------------------- -- MAC DMA memory mapped master ----------------------------------------------------------------------- --! MM master MAC DMA write avm_dma_write : out std_logic; --! MM master MAC DMA read avm_dma_read : out std_logic; --! MM master MAC DMA waitrequest avm_dma_waitrequest : in std_logic; --! MM master MAC DMA readdatavalid avm_dma_readdatavalid : in std_logic; --! MM master MAC DMA byteenable avm_dma_byteenable : out std_logic_vector(gDmaDataWidth/8-1 downto 0); --! MM master MAC DMA address avm_dma_address : out std_logic_vector(gDmaAddrWidth-1 downto 0); --! MM master MAC DMA burstcount avm_dma_burstcount : out std_logic_vector(gDmaBurstCountWidth-1 downto 0); --! MM master MAC DMA writedata avm_dma_writedata : out std_logic_vector(gDmaDataWidth-1 downto 0); --! MM master MAC DMA readdata avm_dma_readdata : in std_logic_vector(gDmaDataWidth-1 downto 0); ----------------------------------------------------------------------- -- Interrupts ----------------------------------------------------------------------- --! MAC TIMER interrupt ins_timerIrq_irq : out std_logic; --! MAC interrupt ins_macIrq_irq : out std_logic; --! MAC Timer pulse interrupt ins_timerPulse_irq : out std_logic; ----------------------------------------------------------------------- -- Rmii Phy ports ----------------------------------------------------------------------- --! Rmii Rx Crs data valid ports coe_rmii_rxCrsDataValid : in std_logic_vector(gPhyPortCount-1 downto 0); --! Rmii Rx data ports coe_rmii_rxData : in std_logic_vector(gPhyPortCount*2-1 downto 0); --! Rmii Rx error ports coe_rmii_rxError : in std_logic_vector(gPhyPortCount-1 downto 0); --! Rmii Tx enable ports coe_rmii_txEnable : out std_logic_vector(gPhyPortCount-1 downto 0); --! Rmii Tx data ports coe_rmii_txData : out std_logic_vector(gPhyPortCount*2-1 downto 0); ----------------------------------------------------------------------- -- Mii Phy ports ----------------------------------------------------------------------- --! Mii Rx data valid ports coe_mii_rxDataValid : in std_logic_vector(gPhyPortCount-1 downto 0); --! Mii Rx data ports coe_mii_rxData : in std_logic_vector(gPhyPortCount*4-1 downto 0); --! Mii Rx error ports coe_mii_rxError : in std_logic_vector(gPhyPortCount-1 downto 0); --! Mii Rx Clocks coe_mii_rxClk : in std_logic_vector(gPhyPortCount-1 downto 0); --! Mii Tx enable ports coe_mii_txEnable : out std_logic_vector(gPhyPortCount-1 downto 0); --! Mii Tx data ports coe_mii_txData : out std_logic_vector(gPhyPortCount*4-1 downto 0); --! Mii Tx Clocks coe_mii_txClk : in std_logic_vector(gPhyPortCount-1 downto 0); ----------------------------------------------------------------------- -- Phy management interface ----------------------------------------------------------------------- --! Phy reset (low-active) coe_smi_nPhyRst : out std_logic_vector(gSmiPortCount-1 downto 0); --! SMI clock coe_smi_clk : out std_logic_vector(gSmiPortCount-1 downto 0); --! SMI data I/OI (tri-state buffer) coe_smi_dio : inout std_logic_vector(gSmiPortCount-1 downto 0); ----------------------------------------------------------------------- -- Other ports ----------------------------------------------------------------------- --! Packet activity (enabled with gEnableActivity) coe_pktActivity : out std_logic ); end alteraOpenmacTop; architecture rtl of alteraOpenmacTop is --! Byte address of macReg signal macReg_address : std_logic_vector(avs_macReg_address'left downto 0); --! Byte address of macTimer signal macTimer_address : std_logic_vector(avs_macTimer_address'left downto 0); --! Byte address of pktBuf signal pktBuf_address : std_logic_vector(avs_pktBuf_address'left downto 0); --! Mac Tx interrupt signal macTx_interrupt : std_logic; --! Mac Rx interrupt signal macRx_interrupt : std_logic; --! Rmii Tx path signal rmiiTx : tRmiiPathArray(gPhyPortCount-1 downto 0); --! Rmii Rx path signal rmiiRx : tRmiiPathArray(gPhyPortCount-1 downto 0); --! Mii Tx path signal miiTx : tMiiPathArray(gPhyPortCount-1 downto 0); --! Mii Rx path signal miiRx : tMiiPathArray(gPhyPortCount-1 downto 0); --! Smi tri-state-buffer input signal smi_data_in : std_logic_vector(gSmiPortCount-1 downto 0); --! Smi tri-state-buffer output signal smi_data_out : std_logic_vector(gSmiPortCount-1 downto 0); --! Smi tri-state-buffer output enable signal smi_data_outEnable : std_logic; begin --------------------------------------------------------------------------- -- Map outputs --------------------------------------------------------------------------- -- Mac interrupts are or'd to single line. ins_macIrq_irq <= macTx_interrupt or macRx_interrupt; -- Phy Tx path rmiiPathArrayToStdLogicVector( iVector => rmiiTx, oEnable => coe_rmii_txEnable, oData => coe_rmii_txData ); miiPathArrayToStdLogicVector( iVector => miiTx, oEnable => coe_mii_txEnable, oData => coe_mii_txData ); --------------------------------------------------------------------------- -- Map inputs --------------------------------------------------------------------------- -- Assign byte addresses. macReg_address <= avs_macReg_address & "0"; --word to byte macTimer_address <= avs_macTimer_address & "00"; --dword to byte pktBuf_address <= avs_pktBuf_address & "00"; --dword to byte -- Phy Rx path stdLogicVectorToRmiiPathArray( iEnable => coe_rmii_rxCrsDataValid, iData => coe_rmii_rxData, oVector => rmiiRx ); stdLogicVectorToMiiPathArray( iEnable => coe_mii_rxDataValid, iData => coe_mii_rxData, oVector => miiRx ); --------------------------------------------------------------------------- -- Map IOs --------------------------------------------------------------------------- -- Assign SMI IO buffers coe_smi_dio <= smi_data_out when smi_data_outEnable = cActivated else (others => 'Z'); -- Simply assign the input vector. smi_data_in <= coe_smi_dio; --! This is the openMAC toplevel instantiation. THEOPENMACTOP : entity work.openmacTop generic map ( gPhyPortCount => gPhyPortCount, gPhyPortType => gPhyPortType, gSmiPortCount => gSmiPortCount, gEndianness => gEndianness, gEnableActivity => gEnableActivity, gEnableDmaObserver => gEnableDmaObserver, gDmaAddrWidth => gDmaAddrWidth, gDmaDataWidth => gDmaDataWidth, gDmaBurstCountWidth => gDmaBurstCountWidth, gDmaWriteBurstLength => gDmaWriteBurstLength, gDmaReadBurstLength => gDmaReadBurstLength, gDmaWriteFifoLength => gDmaWriteFifoLength, gDmaReadFifoLength => gDmaReadFifoLength, gPacketBufferLocTx => gPacketBufferLocTx, gPacketBufferLocRx => gPacketBufferLocRx, gPacketBufferLog2Size => gPacketBufferLog2Size, gTimerEnablePulse => gTimerEnablePulse, gTimerEnablePulseWidth => gTimerEnablePulseWidth, gTimerPulseRegWidth => gTimerPulseRegWidth ) port map ( iClk => csi_mainClk_clock, iRst => rsi_mainRst_reset, iDmaClk => csi_dmaClk_clock, iDmaRst => rsi_dmaRst_reset, iPktBufClk => csi_pktClk_clock, iPktBufRst => rsi_pktRst_reset, iClk2x => csi_mainClkx2_clock, iMacReg_chipselect => avs_macReg_chipselect, iMacReg_write => avs_macReg_write, iMacReg_read => avs_macReg_read, oMacReg_waitrequest => avs_macReg_waitrequest, iMacReg_byteenable => avs_macReg_byteenable, iMacReg_address => macReg_address, iMacReg_writedata => avs_macReg_writedata, oMacReg_readdata => avs_macReg_readdata, iMacTimer_chipselect => avs_macTimer_chipselect, iMacTimer_write => avs_macTimer_write, iMacTimer_read => avs_macTimer_read, oMacTimer_waitrequest => avs_macTimer_waitrequest, iMacTimer_address => macTimer_address, iMacTimer_byteenable => avs_macTimer_byteenable, iMacTimer_writedata => avs_macTimer_writedata, oMacTimer_readdata => avs_macTimer_readdata, iPktBuf_chipselect => avs_pktBuf_chipselect, iPktBuf_write => avs_pktBuf_write, iPktBuf_read => avs_pktBuf_read, oPktBuf_waitrequest => avs_pktBuf_waitrequest, iPktBuf_byteenable => avs_pktBuf_byteenable, iPktBuf_address => pktBuf_address, iPktBuf_writedata => avs_pktBuf_writedata, oPktBuf_readdata => avs_pktBuf_readdata, oDma_write => avm_dma_write, oDma_read => avm_dma_read, iDma_waitrequest => avm_dma_waitrequest, iDma_readdatavalid => avm_dma_readdatavalid, oDma_byteenable => avm_dma_byteenable, oDma_address => avm_dma_address, oDma_burstcount => avm_dma_burstcount, oDma_burstcounter => open, --current burst counter state unused oDma_writedata => avm_dma_writedata, iDma_readdata => avm_dma_readdata, oMacTimer_interrupt => ins_timerIrq_irq, oMacTimer_pulse => ins_timerPulse_irq, oMacTx_interrupt => macTx_interrupt, oMacRx_interrupt => macRx_interrupt, iRmii_Rx => rmiiRx, iRmii_RxError => coe_rmii_rxError, oRmii_Tx => rmiiTx, iMii_Rx => miiRx, iMii_RxError => coe_mii_rxError, iMii_RxClk => coe_mii_rxClk, oMii_Tx => miiTx, iMii_TxClk => coe_mii_txClk, onPhy_reset => coe_smi_nPhyRst, oSmi_clk => coe_smi_clk, oSmi_data_outEnable => smi_data_outEnable, oSmi_data_out => smi_data_out, iSmi_data_in => smi_data_in, oActivity => coe_pktActivity ); end rtl;
gpl-2.0
fef3f3e17e2d14b5ea74098f959808d8
0.501651
5.281834
false
false
false
false
hoglet67/ElectronFpga
AtomBusMon/src/T80/T80.vhd
1
40,561
-------------------------------------------------------------------------------- -- **** -- T80(c) core. Attempt to finish all undocumented features and provide -- accurate timings. -- Version 350. -- Copyright (c) 2018 Sorgelig -- Test passed: ZEXDOC, ZEXALL, Z80Full(*), Z80memptr -- (*) Currently only SCF and CCF instructions aren't passed X/Y flags check as -- correct implementation is still unclear. -- -- **** -- T80(b) core. In an effort to merge and maintain bug fixes .... -- -- Ver 303 add undocumented DDCB and FDCB opcodes by TobiFlex 20.04.2010 -- Ver 301 parity flag is just parity for 8080, also overflow for Z80, by Sean Riddle -- Ver 300 started tidyup. -- -- MikeJ March 2005 -- Latest version from www.fpgaarcade.com (original www.opencores.org) -- -- **** -- Z80 compatible microprocessor core -- -- Version : 0247 -- Copyright (c) 2001-2002 Daniel Wallner ([email protected]) -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t80/ -- -- Limitations : -- -- File history : -- -- 0208 : First complete release -- 0210 : Fixed wait and halt -- 0211 : Fixed Refresh addition and IM 1 -- 0214 : Fixed mostly flags, only the block instructions now fail the zex regression test -- 0232 : Removed refresh address output for Mode > 1 and added DJNZ M1_n fix by Mike Johnson -- 0235 : Added clock enable and IM 2 fix by Mike Johnson -- 0237 : Changed 8080 I/O address output, added IntE output -- 0238 : Fixed (IX/IY+d) timing and 16 bit ADC and SBC zero flag -- 0240 : Added interrupt ack fix by Mike Johnson, changed (IX/IY+d) timing and changed flags in GB mode -- 0242 : Added I/O wait, fixed refresh address, moved some registers to RAM -- 0247 : Fixed bus req/ack cycle -- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use IEEE.STD_LOGIC_UNSIGNED.all; use work.all; entity T80 is generic( Mode : integer := 0; -- 0 => Z80, 1 => Fast Z80, 2 => 8080, 3 => GB IOWait : integer := 0; -- 0 => Single cycle I/O, 1 => Std I/O cycle Flag_C : integer := 0; Flag_N : integer := 1; Flag_P : integer := 2; Flag_X : integer := 3; Flag_H : integer := 4; Flag_Y : integer := 5; Flag_Z : integer := 6; Flag_S : integer := 7 ); port( RESET_n : in std_logic; CLK_n : in std_logic; CEN : in std_logic; WAIT_n : in std_logic; INT_n : in std_logic; NMI_n : in std_logic; BUSRQ_n : in std_logic; M1_n : out std_logic; IORQ : out std_logic; NoRead : out std_logic; Write : out std_logic; RFSH_n : out std_logic; HALT_n : out std_logic; BUSAK_n : out std_logic; A : out std_logic_vector(15 downto 0); DInst : in std_logic_vector(7 downto 0); DI : in std_logic_vector(7 downto 0); DO : out std_logic_vector(7 downto 0); MC : out std_logic_vector(2 downto 0); TS : out std_logic_vector(2 downto 0); IntCycle_n : out std_logic; NMICycle_n : out std_logic; IntE : out std_logic; Stop : out std_logic; out0 : in std_logic := '0'; -- 0 => OUT(C),0, 1 => OUT(C),255 REG : out std_logic_vector(211 downto 0); -- IFF2, IFF1, IM, IY, HL', DE', BC', IX, HL, DE, BC, PC, SP, R, I, F', A', F, A DIRSet : in std_logic := '0'; DIR : in std_logic_vector(211 downto 0) := (others => '0') -- IFF2, IFF1, IM, IY, HL', DE', BC', IX, HL, DE, BC, PC, SP, R, I, F', A', F, A ); end T80; architecture rtl of T80 is component T80_MCode generic( Mode : integer := 0; Flag_C : integer := 0; Flag_N : integer := 1; Flag_P : integer := 2; Flag_X : integer := 3; Flag_H : integer := 4; Flag_Y : integer := 5; Flag_Z : integer := 6; Flag_S : integer := 7 ); port( IR : in std_logic_vector(7 downto 0); ISet : in std_logic_vector(1 downto 0); MCycle : in std_logic_vector(2 downto 0); F : in std_logic_vector(7 downto 0); NMICycle : in std_logic; IntCycle : in std_logic; XY_State : in std_logic_vector(1 downto 0); MCycles : out std_logic_vector(2 downto 0); TStates : out std_logic_vector(2 downto 0); Prefix : out std_logic_vector(1 downto 0); -- None,CB,ED,DD/FD Inc_PC : out std_logic; Inc_WZ : out std_logic; IncDec_16 : out std_logic_vector(3 downto 0); -- BC,DE,HL,SP 0 is inc Read_To_Reg : out std_logic; Read_To_Acc : out std_logic; Set_BusA_To : out std_logic_vector(3 downto 0); -- B,C,D,E,H,L,DI/DB,A,SP(L),SP(M),0,F Set_BusB_To : out std_logic_vector(3 downto 0); -- B,C,D,E,H,L,DI,A,SP(L),SP(M),1,F,PC(L),PC(M),0 ALU_Op : out std_logic_vector(3 downto 0); -- ADD, ADC, SUB, SBC, AND, XOR, OR, CP, ROT, BIT, SET, RES, DAA, RLD, RRD, None Save_ALU : out std_logic; PreserveC : out std_logic; Arith16 : out std_logic; Set_Addr_To : out std_logic_vector(2 downto 0); -- aNone,aXY,aIOA,aSP,aBC,aDE,aZI IORQ : out std_logic; Jump : out std_logic; JumpE : out std_logic; JumpXY : out std_logic; Call : out std_logic; RstP : out std_logic; LDZ : out std_logic; LDW : out std_logic; LDSPHL : out std_logic; Special_LD : out std_logic_vector(2 downto 0); -- A,I;A,R;I,A;R,A;None ExchangeDH : out std_logic; ExchangeRp : out std_logic; ExchangeAF : out std_logic; ExchangeRS : out std_logic; I_DJNZ : out std_logic; I_CPL : out std_logic; I_CCF : out std_logic; I_SCF : out std_logic; I_RETN : out std_logic; I_BT : out std_logic; I_BC : out std_logic; I_BTR : out std_logic; I_RLD : out std_logic; I_RRD : out std_logic; I_INRC : out std_logic; SetWZ : out std_logic_vector(1 downto 0); SetDI : out std_logic; SetEI : out std_logic; IMode : out std_logic_vector(1 downto 0); Halt : out std_logic; NoRead : out std_logic; Write : out std_logic; XYbit_undoc : out std_logic ); end component; component T80_ALU generic( Mode : integer := 0; Flag_C : integer := 0; Flag_N : integer := 1; Flag_P : integer := 2; Flag_X : integer := 3; Flag_H : integer := 4; Flag_Y : integer := 5; Flag_Z : integer := 6; Flag_S : integer := 7 ); port( Arith16 : in std_logic; Z16 : in std_logic; WZ : in std_logic_vector(15 downto 0); XY_State : in std_logic_vector(1 downto 0); ALU_Op : in std_logic_vector(3 downto 0); IR : in std_logic_vector(5 downto 0); ISet : in std_logic_vector(1 downto 0); BusA : in std_logic_vector(7 downto 0); BusB : in std_logic_vector(7 downto 0); F_In : in std_logic_vector(7 downto 0); Q : out std_logic_vector(7 downto 0); F_Out : out std_logic_vector(7 downto 0) ); end component; component T80_Reg port( Clk : in std_logic; CEN : in std_logic; WEH : in std_logic; WEL : in std_logic; AddrA : in std_logic_vector(2 downto 0); AddrB : in std_logic_vector(2 downto 0); AddrC : in std_logic_vector(2 downto 0); DIH : in std_logic_vector(7 downto 0); DIL : in std_logic_vector(7 downto 0); DOAH : out std_logic_vector(7 downto 0); DOAL : out std_logic_vector(7 downto 0); DOBH : out std_logic_vector(7 downto 0); DOBL : out std_logic_vector(7 downto 0); DOCH : out std_logic_vector(7 downto 0); DOCL : out std_logic_vector(7 downto 0); DOR : out std_logic_vector(127 downto 0); DIRSet : in std_logic; DIR : in std_logic_vector(127 downto 0) ); end component; constant aNone : std_logic_vector(2 downto 0) := "111"; constant aBC : std_logic_vector(2 downto 0) := "000"; constant aDE : std_logic_vector(2 downto 0) := "001"; constant aXY : std_logic_vector(2 downto 0) := "010"; constant aIOA : std_logic_vector(2 downto 0) := "100"; constant aSP : std_logic_vector(2 downto 0) := "101"; constant aZI : std_logic_vector(2 downto 0) := "110"; -- Registers signal ACC, F : std_logic_vector(7 downto 0); signal Ap, Fp : std_logic_vector(7 downto 0); signal I : std_logic_vector(7 downto 0); signal R : unsigned(7 downto 0); signal SP, PC : unsigned(15 downto 0); signal RegDIH : std_logic_vector(7 downto 0); signal RegDIL : std_logic_vector(7 downto 0); signal RegBusA : std_logic_vector(15 downto 0); signal RegBusB : std_logic_vector(15 downto 0); signal RegBusC : std_logic_vector(15 downto 0); signal RegAddrA_r : std_logic_vector(2 downto 0); signal RegAddrA : std_logic_vector(2 downto 0); signal RegAddrB_r : std_logic_vector(2 downto 0); signal RegAddrB : std_logic_vector(2 downto 0); signal RegAddrC : std_logic_vector(2 downto 0); signal RegWEH : std_logic; signal RegWEL : std_logic; signal Alternate : std_logic; -- Help Registers signal WZ : std_logic_vector(15 downto 0); -- MEMPTR register signal IR : std_logic_vector(7 downto 0); -- Instruction register signal ISet : std_logic_vector(1 downto 0); -- Instruction set selector signal RegBusA_r : std_logic_vector(15 downto 0); signal ID16 : signed(15 downto 0); signal Save_Mux : std_logic_vector(7 downto 0); signal TState : unsigned(2 downto 0); signal MCycle : std_logic_vector(2 downto 0); signal IntE_FF1 : std_logic; signal IntE_FF2 : std_logic; signal Halt_FF : std_logic; signal BusReq_s : std_logic; signal BusAck : std_logic; signal ClkEn : std_logic; signal NMI_s : std_logic; signal IStatus : std_logic_vector(1 downto 0); signal DI_Reg : std_logic_vector(7 downto 0); signal T_Res : std_logic; signal XY_State : std_logic_vector(1 downto 0); signal Pre_XY_F_M : std_logic_vector(2 downto 0); signal NextIs_XY_Fetch : std_logic; signal XY_Ind : std_logic; signal No_BTR : std_logic; signal BTR_r : std_logic; signal Auto_Wait : std_logic; signal Auto_Wait_t1 : std_logic; signal Auto_Wait_t2 : std_logic; signal IncDecZ : std_logic; -- ALU signals signal BusB : std_logic_vector(7 downto 0); signal BusA : std_logic_vector(7 downto 0); signal ALU_Q : std_logic_vector(7 downto 0); signal F_Out : std_logic_vector(7 downto 0); -- Registered micro code outputs signal Read_To_Reg_r : std_logic_vector(4 downto 0); signal Arith16_r : std_logic; signal Z16_r : std_logic; signal ALU_Op_r : std_logic_vector(3 downto 0); signal Save_ALU_r : std_logic; signal PreserveC_r : std_logic; signal MCycles : std_logic_vector(2 downto 0); -- Micro code outputs signal MCycles_d : std_logic_vector(2 downto 0); signal TStates : std_logic_vector(2 downto 0); signal IntCycle : std_logic; signal NMICycle : std_logic; signal Inc_PC : std_logic; signal Inc_WZ : std_logic; signal IncDec_16 : std_logic_vector(3 downto 0); signal Prefix : std_logic_vector(1 downto 0); signal Read_To_Acc : std_logic; signal Read_To_Reg : std_logic; signal Set_BusB_To : std_logic_vector(3 downto 0); signal Set_BusA_To : std_logic_vector(3 downto 0); signal ALU_Op : std_logic_vector(3 downto 0); signal Save_ALU : std_logic; signal PreserveC : std_logic; signal Arith16 : std_logic; signal Set_Addr_To : std_logic_vector(2 downto 0); signal Jump : std_logic; signal JumpE : std_logic; signal JumpXY : std_logic; signal Call : std_logic; signal RstP : std_logic; signal LDZ : std_logic; signal LDW : std_logic; signal LDSPHL : std_logic; signal IORQ_i : std_logic; signal Special_LD : std_logic_vector(2 downto 0); signal ExchangeDH : std_logic; signal ExchangeRp : std_logic; signal ExchangeAF : std_logic; signal ExchangeRS : std_logic; signal I_DJNZ : std_logic; signal I_CPL : std_logic; signal I_CCF : std_logic; signal I_SCF : std_logic; signal I_RETN : std_logic; signal I_BT : std_logic; signal I_BC : std_logic; signal I_BTR : std_logic; signal I_RLD : std_logic; signal I_RRD : std_logic; signal I_RXDD : std_logic; signal I_INRC : std_logic; signal SetWZ : std_logic_vector(1 downto 0); signal SetDI : std_logic; signal SetEI : std_logic; signal IMode : std_logic_vector(1 downto 0); signal Halt : std_logic; signal XYbit_undoc : std_logic; signal DOR : std_logic_vector(127 downto 0); begin REG <= IntE_FF2 & IntE_FF1 & IStatus & DOR & std_logic_vector(PC) & std_logic_vector(SP) & std_logic_vector(R) & I & Fp & Ap & F & ACC when Alternate = '0' else IntE_FF2 & IntE_FF1 & IStatus & DOR(127 downto 112) & DOR(47 downto 0) & DOR(63 downto 48) & DOR(111 downto 64) & std_logic_vector(PC) & std_logic_vector(SP) & std_logic_vector(R) & I & Fp & Ap & F & ACC; mcode : T80_MCode generic map( Mode => Mode, Flag_C => Flag_C, Flag_N => Flag_N, Flag_P => Flag_P, Flag_X => Flag_X, Flag_H => Flag_H, Flag_Y => Flag_Y, Flag_Z => Flag_Z, Flag_S => Flag_S) port map( IR => IR, ISet => ISet, MCycle => MCycle, F => F, NMICycle => NMICycle, IntCycle => IntCycle, XY_State => XY_State, MCycles => MCycles_d, TStates => TStates, Prefix => Prefix, Inc_PC => Inc_PC, Inc_WZ => Inc_WZ, IncDec_16 => IncDec_16, Read_To_Acc => Read_To_Acc, Read_To_Reg => Read_To_Reg, Set_BusB_To => Set_BusB_To, Set_BusA_To => Set_BusA_To, ALU_Op => ALU_Op, Save_ALU => Save_ALU, PreserveC => PreserveC, Arith16 => Arith16, Set_Addr_To => Set_Addr_To, IORQ => IORQ_i, Jump => Jump, JumpE => JumpE, JumpXY => JumpXY, Call => Call, RstP => RstP, LDZ => LDZ, LDW => LDW, LDSPHL => LDSPHL, Special_LD => Special_LD, ExchangeDH => ExchangeDH, ExchangeRp => ExchangeRp, ExchangeAF => ExchangeAF, ExchangeRS => ExchangeRS, I_DJNZ => I_DJNZ, I_CPL => I_CPL, I_CCF => I_CCF, I_SCF => I_SCF, I_RETN => I_RETN, I_BT => I_BT, I_BC => I_BC, I_BTR => I_BTR, I_RLD => I_RLD, I_RRD => I_RRD, I_INRC => I_INRC, SetWZ => SetWZ, SetDI => SetDI, SetEI => SetEI, IMode => IMode, Halt => Halt, NoRead => NoRead, Write => Write, XYbit_undoc => XYbit_undoc); alu : T80_ALU generic map( Mode => Mode, Flag_C => Flag_C, Flag_N => Flag_N, Flag_P => Flag_P, Flag_X => Flag_X, Flag_H => Flag_H, Flag_Y => Flag_Y, Flag_Z => Flag_Z, Flag_S => Flag_S) port map( Arith16 => Arith16_r, Z16 => Z16_r, WZ => WZ, XY_State=> XY_State, ALU_Op => ALU_Op_r, IR => IR(5 downto 0), ISet => ISet, BusA => BusA, BusB => BusB, F_In => F, Q => ALU_Q, F_Out => F_Out); ClkEn <= CEN and not BusAck; T_Res <= '1' when TState = unsigned(TStates) else '0'; NextIs_XY_Fetch <= '1' when XY_State /= "00" and XY_Ind = '0' and ((Set_Addr_To = aXY) or (MCycle = "001" and IR = "11001011") or (MCycle = "001" and IR = "00110110")) else '0'; Save_Mux <= BusB when ExchangeRp = '1' else DI_Reg when Save_ALU_r = '0' else ALU_Q; process (RESET_n, CLK_n) variable n : std_logic_vector(7 downto 0); variable ioq : std_logic_vector(8 downto 0); begin if RESET_n = '0' then PC <= (others => '0'); -- Program Counter A <= (others => '0'); WZ <= (others => '0'); IR <= "00000000"; ISet <= "00"; XY_State <= "00"; IStatus <= "00"; MCycles <= "000"; DO <= "00000000"; ACC <= (others => '1'); F <= (others => '1'); Ap <= (others => '1'); Fp <= (others => '1'); I <= (others => '0'); R <= (others => '0'); SP <= (others => '1'); Alternate <= '0'; Read_To_Reg_r <= "00000"; Arith16_r <= '0'; BTR_r <= '0'; Z16_r <= '0'; ALU_Op_r <= "0000"; Save_ALU_r <= '0'; PreserveC_r <= '0'; XY_Ind <= '0'; I_RXDD <= '0'; elsif rising_edge(CLK_n) then if DIRSet = '1' then ACC <= DIR( 7 downto 0); F <= DIR(15 downto 8); Ap <= DIR(23 downto 16); Fp <= DIR(31 downto 24); I <= DIR(39 downto 32); R <= unsigned(DIR(47 downto 40)); SP <= unsigned(DIR(63 downto 48)); PC <= unsigned(DIR(79 downto 64)); A <= DIR(79 downto 64); IStatus <= DIR(209 downto 208); elsif ClkEn = '1' then ALU_Op_r <= "0000"; Save_ALU_r <= '0'; Read_To_Reg_r <= "00000"; MCycles <= MCycles_d; if IMode /= "11" then IStatus <= IMode; end if; Arith16_r <= Arith16; PreserveC_r <= PreserveC; if ISet = "10" and ALU_OP(2) = '0' and ALU_OP(0) = '1' and MCycle = "011" then Z16_r <= '1'; else Z16_r <= '0'; end if; if MCycle = "001" and TState(2) = '0' then -- MCycle = 1 and TState = 1, 2, or 3 if TState = 2 and Wait_n = '1' then if Mode < 2 then A(7 downto 0) <= std_logic_vector(R); A(15 downto 8) <= I; R(6 downto 0) <= R(6 downto 0) + 1; end if; if Jump = '0' and Call = '0' and NMICycle = '0' and IntCycle = '0' and not (Halt_FF = '1' or Halt = '1') then PC <= PC + 1; end if; if IntCycle = '1' and IStatus = "01" then IR <= "11111111"; elsif Halt_FF = '1' or (IntCycle = '1' and IStatus = "10") or NMICycle = '1' then IR <= "00000000"; else IR <= DInst; end if; ISet <= "00"; if Prefix /= "00" then if Prefix = "11" then if IR(5) = '1' then XY_State <= "10"; else XY_State <= "01"; end if; else if Prefix = "10" then XY_State <= "00"; XY_Ind <= '0'; end if; ISet <= Prefix; end if; else XY_State <= "00"; XY_Ind <= '0'; end if; end if; else -- either (MCycle > 1) OR (MCycle = 1 AND TState > 3) if MCycle = "110" then XY_Ind <= '1'; if Prefix = "01" then ISet <= "01"; end if; end if; if T_Res = '1' then BTR_r <= (I_BT or I_BC or I_BTR) and not No_BTR; if Jump = '1' then A(15 downto 8) <= DI_Reg; A(7 downto 0) <= WZ(7 downto 0); PC(15 downto 8) <= unsigned(DI_Reg); PC(7 downto 0) <= unsigned(WZ(7 downto 0)); elsif JumpXY = '1' then A <= RegBusC; PC <= unsigned(RegBusC); elsif Call = '1' or RstP = '1' then A <= WZ; PC <= unsigned(WZ); elsif MCycle = MCycles and NMICycle = '1' then A <= "0000000001100110"; PC <= "0000000001100110"; elsif MCycle = "011" and IntCycle = '1' and IStatus = "10" then A(15 downto 8) <= I; A(7 downto 0) <= WZ(7 downto 0); PC(15 downto 8) <= unsigned(I); PC(7 downto 0) <= unsigned(WZ(7 downto 0)); else case Set_Addr_To is when aXY => if XY_State = "00" then A <= RegBusC; else if NextIs_XY_Fetch = '1' then A <= std_logic_vector(PC); else A <= WZ; end if; end if; when aIOA => if Mode = 3 then -- Memory map I/O on GBZ80 A(15 downto 8) <= (others => '1'); elsif Mode = 2 then -- Duplicate I/O address on 8080 A(15 downto 8) <= DI_Reg; else A(15 downto 8) <= ACC; end if; A(7 downto 0) <= DI_Reg; WZ <= (ACC & DI_Reg) + "1"; when aSP => A <= std_logic_vector(SP); when aBC => if Mode = 3 and IORQ_i = '1' then -- Memory map I/O on GBZ80 A(15 downto 8) <= (others => '1'); A(7 downto 0) <= RegBusC(7 downto 0); else A <= RegBusC; if SetWZ = "01" then WZ <= RegBusC + "1"; end if; if SetWZ = "10" then WZ(7 downto 0) <= RegBusC(7 downto 0) + "1"; WZ(15 downto 8) <= ACC; end if; end if; when aDE => A <= RegBusC; if SetWZ = "10" then WZ(7 downto 0) <= RegBusC(7 downto 0) + "1"; WZ(15 downto 8) <= ACC; end if; when aZI => if Inc_WZ = '1' then A <= std_logic_vector(unsigned(WZ) + 1); else A(15 downto 8) <= DI_Reg; A(7 downto 0) <= WZ(7 downto 0); if SetWZ = "10" then WZ(7 downto 0) <= WZ(7 downto 0) + "1"; WZ(15 downto 8) <= ACC; end if; end if; when others => A <= std_logic_vector(PC); end case; end if; if SetWZ = "11" then WZ <= std_logic_vector(ID16); end if; Save_ALU_r <= Save_ALU; ALU_Op_r <= ALU_Op; if I_CPL = '1' then -- CPL ACC <= not ACC; F(Flag_Y) <= not ACC(5); F(Flag_H) <= '1'; F(Flag_X) <= not ACC(3); F(Flag_N) <= '1'; end if; if I_CCF = '1' then -- CCF F(Flag_C) <= not F(Flag_C); F(Flag_Y) <= ACC(5); F(Flag_H) <= F(Flag_C); F(Flag_X) <= ACC(3); F(Flag_N) <= '0'; end if; if I_SCF = '1' then -- SCF F(Flag_C) <= '1'; F(Flag_Y) <= ACC(5); F(Flag_H) <= '0'; F(Flag_X) <= ACC(3); F(Flag_N) <= '0'; end if; end if; if (TState = 2 and I_BTR = '1' and IR(0) = '1') or (TState = 1 and I_BTR = '1' and IR(0) = '0') then ioq := ('0' & DI_Reg) + ('0' & std_logic_vector(ID16(7 downto 0))); F(Flag_N) <= DI_Reg(7); F(Flag_C) <= ioq(8); F(Flag_H) <= ioq(8); ioq := (ioq and ('0'&x"07")) xor ('0'&BusA); F(Flag_P) <= not (ioq(0) xor ioq(1) xor ioq(2) xor ioq(3) xor ioq(4) xor ioq(5) xor ioq(6) xor ioq(7)); end if; if TState = 2 and Wait_n = '1' then if ISet = "01" and MCycle = "111" then IR <= DInst; end if; if JumpE = '1' then PC <= unsigned(signed(PC) + signed(DI_Reg)); WZ <= std_logic_vector(signed(PC) + signed(DI_Reg)); elsif Inc_PC = '1' then PC <= PC + 1; end if; if BTR_r = '1' then PC <= PC - 2; end if; if RstP = '1' then WZ <= (others =>'0'); WZ(5 downto 3) <= IR(5 downto 3); end if; end if; if TState = 3 and MCycle = "110" then WZ <= std_logic_vector(signed(RegBusC) + signed(DI_Reg)); end if; if MCycle = "011" and TState = 4 and No_BTR = '0' then if I_BT = '1' or I_BC = '1' then WZ <= std_logic_vector(PC)-"1"; end if; end if; if (TState = 2 and Wait_n = '1') or (TState = 4 and MCycle = "001") then if IncDec_16(2 downto 0) = "111" then if IncDec_16(3) = '1' then SP <= SP - 1; else SP <= SP + 1; end if; end if; end if; if LDSPHL = '1' then SP <= unsigned(RegBusC); end if; if ExchangeAF = '1' then Ap <= ACC; ACC <= Ap; Fp <= F; F <= Fp; end if; if ExchangeRS = '1' then Alternate <= not Alternate; end if; end if; if TState = 3 then if LDZ = '1' then WZ(7 downto 0) <= DI_Reg; end if; if LDW = '1' then WZ(15 downto 8) <= DI_Reg; end if; if Special_LD(2) = '1' then case Special_LD(1 downto 0) is when "00" => ACC <= I; F(Flag_P) <= IntE_FF2; F(Flag_S) <= I(7); if I = x"00" then F(Flag_Z) <= '1'; else F(Flag_Z) <= '0'; end if; F(Flag_Y) <= I(5); F(Flag_H) <= '0'; F(Flag_X) <= I(3); F(Flag_N) <= '0'; when "01" => ACC <= std_logic_vector(R); F(Flag_P) <= IntE_FF2; F(Flag_S) <= R(7); if R = x"00" then F(Flag_Z) <= '1'; else F(Flag_Z) <= '0'; end if; F(Flag_Y) <= R(5); F(Flag_H) <= '0'; F(Flag_X) <= R(3); F(Flag_N) <= '0'; when "10" => I <= ACC; when others => R <= unsigned(ACC); end case; end if; end if; if (I_DJNZ = '0' and Save_ALU_r = '1') or ALU_Op_r = "1001" then if Mode = 3 then F(6) <= F_Out(6); F(5) <= F_Out(5); F(7) <= F_Out(7); if PreserveC_r = '0' then F(4) <= F_Out(4); end if; else F(7 downto 1) <= F_Out(7 downto 1); if PreserveC_r = '0' then F(Flag_C) <= F_Out(0); end if; end if; end if; if T_Res = '1' and I_INRC = '1' then F(Flag_H) <= '0'; F(Flag_N) <= '0'; F(Flag_X) <= DI_Reg(3); F(Flag_Y) <= DI_Reg(5); if DI_Reg(7 downto 0) = "00000000" then F(Flag_Z) <= '1'; else F(Flag_Z) <= '0'; end if; F(Flag_S) <= DI_Reg(7); F(Flag_P) <= not (DI_Reg(0) xor DI_Reg(1) xor DI_Reg(2) xor DI_Reg(3) xor DI_Reg(4) xor DI_Reg(5) xor DI_Reg(6) xor DI_Reg(7)); end if; if TState = 1 and Auto_Wait_t1 = '0' then -- Keep D0 from M3 for RLD/RRD (Sorgelig) I_RXDD <= I_RLD or I_RRD; if I_RXDD='0' then DO <= BusB; end if; if I_RLD = '1' then DO(3 downto 0) <= BusA(3 downto 0); DO(7 downto 4) <= BusB(3 downto 0); end if; if I_RRD = '1' then DO(3 downto 0) <= BusB(7 downto 4); DO(7 downto 4) <= BusA(3 downto 0); end if; end if; if T_Res = '1' then Read_To_Reg_r(3 downto 0) <= Set_BusA_To; Read_To_Reg_r(4) <= Read_To_Reg; if Read_To_Acc = '1' then Read_To_Reg_r(3 downto 0) <= "0111"; Read_To_Reg_r(4) <= '1'; end if; end if; if TState = 1 and I_BT = '1' then F(Flag_X) <= ALU_Q(3); F(Flag_Y) <= ALU_Q(1); F(Flag_H) <= '0'; F(Flag_N) <= '0'; end if; if TState = 1 and I_BC = '1' then n := ALU_Q - ("0000000" & F_Out(Flag_H)); F(Flag_X) <= n(3); F(Flag_Y) <= n(1); end if; if I_BC = '1' or I_BT = '1' then F(Flag_P) <= IncDecZ; end if; if (TState = 1 and Save_ALU_r = '0' and Auto_Wait_t1 = '0') or (Save_ALU_r = '1' and ALU_OP_r /= "0111") then case Read_To_Reg_r is when "10111" => ACC <= Save_Mux; when "10110" => DO <= Save_Mux; when "11000" => SP(7 downto 0) <= unsigned(Save_Mux); when "11001" => SP(15 downto 8) <= unsigned(Save_Mux); when "11011" => F <= Save_Mux; when others => end case; if XYbit_undoc='1' then DO <= ALU_Q; end if; end if; end if; end if; end process; --------------------------------------------------------------------------- -- -- BC('), DE('), HL('), IX and IY -- --------------------------------------------------------------------------- process (CLK_n) begin if rising_edge(CLK_n) then if ClkEn = '1' then -- Bus A / Write RegAddrA_r <= Alternate & Set_BusA_To(2 downto 1); if XY_Ind = '0' and XY_State /= "00" and Set_BusA_To(2 downto 1) = "10" then RegAddrA_r <= XY_State(1) & "11"; end if; -- Bus B RegAddrB_r <= Alternate & Set_BusB_To(2 downto 1); if XY_Ind = '0' and XY_State /= "00" and Set_BusB_To(2 downto 1) = "10" then RegAddrB_r <= XY_State(1) & "11"; end if; -- Address from register RegAddrC <= Alternate & Set_Addr_To(1 downto 0); -- Jump (HL), LD SP,HL if (JumpXY = '1' or LDSPHL = '1') then RegAddrC <= Alternate & "10"; end if; if ((JumpXY = '1' or LDSPHL = '1') and XY_State /= "00") or (MCycle = "110") then RegAddrC <= XY_State(1) & "11"; end if; if I_DJNZ = '1' and Save_ALU_r = '1' and Mode < 2 then IncDecZ <= F_Out(Flag_Z); end if; if (TState = 2 or (TState = 3 and MCycle = "001")) and IncDec_16(2 downto 0) = "100" then if ID16 = 0 then IncDecZ <= '0'; else IncDecZ <= '1'; end if; end if; RegBusA_r <= RegBusA; end if; end if; end process; RegAddrA <= -- 16 bit increment/decrement Alternate & IncDec_16(1 downto 0) when (TState = 2 or (TState = 3 and MCycle = "001" and IncDec_16(2) = '1')) and XY_State = "00" else XY_State(1) & "11" when (TState = 2 or (TState = 3 and MCycle = "001" and IncDec_16(2) = '1')) and IncDec_16(1 downto 0) = "10" else -- EX HL,DL Alternate & "10" when ExchangeDH = '1' and TState = 3 else Alternate & "01" when ExchangeDH = '1' and TState = 4 else -- Bus A / Write RegAddrA_r; RegAddrB <= -- EX HL,DL Alternate & "01" when ExchangeDH = '1' and TState = 3 else -- Bus B RegAddrB_r; ID16 <= signed(RegBusA) - 1 when IncDec_16(3) = '1' else signed(RegBusA) + 1; process (Save_ALU_r, Auto_Wait_t1, ALU_OP_r, Read_To_Reg_r, ExchangeDH, IncDec_16, MCycle, TState, Wait_n) begin RegWEH <= '0'; RegWEL <= '0'; if (TState = 1 and Save_ALU_r = '0' and Auto_Wait_t1 = '0') or (Save_ALU_r = '1' and ALU_OP_r /= "0111") then case Read_To_Reg_r is when "10000" | "10001" | "10010" | "10011" | "10100" | "10101" => RegWEH <= not Read_To_Reg_r(0); RegWEL <= Read_To_Reg_r(0); when others => end case; end if; if ExchangeDH = '1' and (TState = 3 or TState = 4) then RegWEH <= '1'; RegWEL <= '1'; end if; if IncDec_16(2) = '1' and ((TState = 2 and Wait_n = '1' and MCycle /= "001") or (TState = 3 and MCycle = "001")) then case IncDec_16(1 downto 0) is when "00" | "01" | "10" => RegWEH <= '1'; RegWEL <= '1'; when others => end case; end if; end process; process (Save_Mux, RegBusB, RegBusA_r, ID16, ExchangeDH, IncDec_16, MCycle, TState, Wait_n) begin RegDIH <= Save_Mux; RegDIL <= Save_Mux; if ExchangeDH = '1' and TState = 3 then RegDIH <= RegBusB(15 downto 8); RegDIL <= RegBusB(7 downto 0); end if; if ExchangeDH = '1' and TState = 4 then RegDIH <= RegBusA_r(15 downto 8); RegDIL <= RegBusA_r(7 downto 0); end if; if IncDec_16(2) = '1' and ((TState = 2 and MCycle /= "001") or (TState = 3 and MCycle = "001")) then RegDIH <= std_logic_vector(ID16(15 downto 8)); RegDIL <= std_logic_vector(ID16(7 downto 0)); end if; end process; Regs : T80_Reg port map( Clk => CLK_n, CEN => ClkEn, WEH => RegWEH, WEL => RegWEL, AddrA => RegAddrA, AddrB => RegAddrB, AddrC => RegAddrC, DIH => RegDIH, DIL => RegDIL, DOAH => RegBusA(15 downto 8), DOAL => RegBusA(7 downto 0), DOBH => RegBusB(15 downto 8), DOBL => RegBusB(7 downto 0), DOCH => RegBusC(15 downto 8), DOCL => RegBusC(7 downto 0), DOR => DOR, DIRSet => DIRSet, DIR => DIR(207 downto 80)); --------------------------------------------------------------------------- -- -- Buses -- --------------------------------------------------------------------------- process (CLK_n) begin if rising_edge(CLK_n) then if ClkEn = '1' then case Set_BusB_To is when "0111" => BusB <= ACC; when "0000" | "0001" | "0010" | "0011" | "0100" | "0101" => if Set_BusB_To(0) = '1' then BusB <= RegBusB(7 downto 0); else BusB <= RegBusB(15 downto 8); end if; when "0110" => BusB <= DI_Reg; when "1000" => BusB <= std_logic_vector(SP(7 downto 0)); when "1001" => BusB <= std_logic_vector(SP(15 downto 8)); when "1010" => BusB <= "00000001"; when "1011" => BusB <= F; when "1100" => BusB <= std_logic_vector(PC(7 downto 0)); when "1101" => BusB <= std_logic_vector(PC(15 downto 8)); when "1110" => if IR = x"71" and out0 = '1' then BusB <= "11111111"; else BusB <= "00000000"; end if; when others => BusB <= "--------"; end case; case Set_BusA_To is when "0111" => BusA <= ACC; when "0000" | "0001" | "0010" | "0011" | "0100" | "0101" => if Set_BusA_To(0) = '1' then BusA <= RegBusA(7 downto 0); else BusA <= RegBusA(15 downto 8); end if; when "0110" => BusA <= DI_Reg; when "1000" => BusA <= std_logic_vector(SP(7 downto 0)); when "1001" => BusA <= std_logic_vector(SP(15 downto 8)); when "1010" => BusA <= "00000000"; when others => BusA <= "--------"; end case; if XYbit_undoc='1' then BusA <= DI_Reg; BusB <= DI_Reg; end if; end if; end if; end process; --------------------------------------------------------------------------- -- -- Generate external control signals -- --------------------------------------------------------------------------- process (RESET_n,CLK_n) begin if RESET_n = '0' then RFSH_n <= '1'; elsif rising_edge(CLK_n) then if DIRSet = '0' and CEN = '1' then if MCycle = "001" and ((TState = 2 and Wait_n = '1') or TState = 3) then RFSH_n <= '0'; else RFSH_n <= '1'; end if; end if; end if; end process; MC <= std_logic_vector(MCycle); TS <= std_logic_vector(TState); DI_Reg <= DI; HALT_n <= not Halt_FF; BUSAK_n <= not BusAck; IntCycle_n <= not IntCycle; NMICycle_n <= not NMICycle; IntE <= IntE_FF1; IORQ <= IORQ_i; Stop <= I_DJNZ; ------------------------------------------------------------------------- -- -- Main state machine -- ------------------------------------------------------------------------- process (RESET_n, CLK_n) variable OldNMI_n : std_logic; begin if RESET_n = '0' then MCycle <= "001"; TState <= "000"; Pre_XY_F_M <= "000"; Halt_FF <= '0'; BusAck <= '0'; NMICycle <= '0'; IntCycle <= '0'; IntE_FF1 <= '0'; IntE_FF2 <= '0'; No_BTR <= '0'; Auto_Wait_t1 <= '0'; Auto_Wait_t2 <= '0'; M1_n <= '1'; BusReq_s <= '0'; NMI_s <= '0'; elsif rising_edge(CLK_n) then if DIRSet = '1' then IntE_FF2 <= DIR(211); IntE_FF1 <= DIR(210); else if NMI_n = '0' and OldNMI_n = '1' then NMI_s <= '1'; end if; OldNMI_n := NMI_n; if CEN = '1' then BusReq_s <= not BUSRQ_n; Auto_Wait_t2 <= Auto_Wait_t1; if T_Res = '1' then Auto_Wait_t1 <= '0'; Auto_Wait_t2 <= '0'; else Auto_Wait_t1 <= Auto_Wait or IORQ_i; end if; No_BTR <= (I_BT and (not IR(4) or not F(Flag_P))) or (I_BC and (not IR(4) or F(Flag_Z) or not F(Flag_P))) or (I_BTR and (not IR(4) or F(Flag_Z))); if TState = 2 then if SetEI = '1' then IntE_FF1 <= '1'; IntE_FF2 <= '1'; end if; if I_RETN = '1' then IntE_FF1 <= IntE_FF2; end if; end if; if TState = 3 then if SetDI = '1' then IntE_FF1 <= '0'; IntE_FF2 <= '0'; end if; end if; if IntCycle = '1' or NMICycle = '1' then Halt_FF <= '0'; end if; if MCycle = "001" and TState = 2 and Wait_n = '1' then M1_n <= '1'; end if; if BusReq_s = '1' and BusAck = '1' then else BusAck <= '0'; if TState = 2 and Wait_n = '0' then elsif T_Res = '1' then if Halt = '1' then Halt_FF <= '1'; end if; if BusReq_s = '1' then BusAck <= '1'; else TState <= "001"; if NextIs_XY_Fetch = '1' then MCycle <= "110"; Pre_XY_F_M <= MCycle; if IR = "00110110" and Mode = 0 then Pre_XY_F_M <= "010"; end if; elsif (MCycle = "111") or (MCycle = "110" and Mode = 1 and ISet /= "01") then MCycle <= std_logic_vector(unsigned(Pre_XY_F_M) + 1); elsif (MCycle = MCycles) or No_BTR = '1' or (MCycle = "010" and I_DJNZ = '1' and IncDecZ = '1') then M1_n <= '0'; MCycle <= "001"; IntCycle <= '0'; NMICycle <= '0'; if NMI_s = '1' and Prefix = "00" then NMI_s <= '0'; NMICycle <= '1'; IntE_FF1 <= '0'; elsif IntE_FF1 = '1' and INT_n='0' and Prefix = "00" and SetEI = '0' then IntCycle <= '1'; IntE_FF1 <= '0'; IntE_FF2 <= '0'; end if; else MCycle <= std_logic_vector(unsigned(MCycle) + 1); end if; end if; else if (Auto_Wait = '1' and Auto_Wait_t2 = '0') nor (IOWait = 1 and IORQ_i = '1' and Auto_Wait_t1 = '0') then TState <= TState + 1; end if; end if; end if; if TState = 0 then M1_n <= '0'; end if; end if; end if; end if; end process; Auto_Wait <= '1' when IntCycle = '1' and MCycle = "001" else '0'; end;
gpl-3.0
5cc0214841d1892f6e2d09b26b4248e9
0.497867
3.029653
false
false
false
false
DreamIP/GPStudio
support/process/sobel/hdl/sobel.vhd
1
3,863
library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; library std; entity sobel is generic ( LINE_WIDTH_MAX : integer; CLK_PROC_FREQ : integer; IN_SIZE : integer; OUT_SIZE : integer ); port ( clk_proc : in std_logic; reset_n : in std_logic; ------------------------- in flow ----------------------- in_data : in std_logic_vector(IN_SIZE-1 downto 0); in_fv : in std_logic; in_dv : in std_logic; ------------------------ out flow ----------------------- out_data : out std_logic_vector(OUT_SIZE-1 downto 0); out_fv : out std_logic; out_dv : out std_logic; --======================= Slaves ======================== ------------------------- bus_sl ------------------------ addr_rel_i : in std_logic_vector(3 downto 0); wr_i : in std_logic; rd_i : in std_logic; datawr_i : in std_logic_vector(31 downto 0); datard_o : out std_logic_vector(31 downto 0) ); end sobel; architecture rtl of sobel is component sobel_process generic ( LINE_WIDTH_MAX : integer; CLK_PROC_FREQ : integer; IN_SIZE : integer; OUT_SIZE : integer ); port ( clk_proc : in std_logic; reset_n : in std_logic; ---------------- dynamic parameters ports --------------- status_reg_enable_bit : in std_logic; widthimg_reg_width : in std_logic_vector(15 downto 0); ------------------------- in flow ----------------------- in_data : in std_logic_vector(IN_SIZE-1 downto 0); in_fv : in std_logic; in_dv : in std_logic; ------------------------ out flow ----------------------- out_data : out std_logic_vector(OUT_SIZE-1 downto 0); out_fv : out std_logic; out_dv : out std_logic ); end component; component sobel_slave generic ( CLK_PROC_FREQ : integer ); port ( clk_proc : in std_logic; reset_n : in std_logic; ---------------- dynamic parameters ports --------------- status_reg_enable_bit : out std_logic; widthimg_reg_width : out std_logic_vector(15 downto 0); --======================= Slaves ======================== ------------------------- bus_sl ------------------------ addr_rel_i : in std_logic_vector(3 downto 0); wr_i : in std_logic; rd_i : in std_logic; datawr_i : in std_logic_vector(31 downto 0); datard_o : out std_logic_vector(31 downto 0) ); end component; signal status_reg_enable_bit : std_logic; signal widthimg_reg_width : std_logic_vector (15 downto 0); begin sobel_process_inst : sobel_process generic map ( CLK_PROC_FREQ => CLK_PROC_FREQ, LINE_WIDTH_MAX => LINE_WIDTH_MAX, IN_SIZE => IN_SIZE, OUT_SIZE => OUT_SIZE ) port map ( clk_proc => clk_proc, reset_n => reset_n, status_reg_enable_bit => status_reg_enable_bit, widthimg_reg_width => widthimg_reg_width, in_data => in_data, in_fv => in_fv, in_dv => in_dv, out_data => out_data, out_fv => out_fv, out_dv => out_dv ); sobel_slave_inst : sobel_slave generic map ( CLK_PROC_FREQ => CLK_PROC_FREQ ) port map ( clk_proc => clk_proc, reset_n => reset_n, status_reg_enable_bit => status_reg_enable_bit, widthimg_reg_width => widthimg_reg_width, addr_rel_i => addr_rel_i, wr_i => wr_i, rd_i => rd_i, datawr_i => datawr_i, datard_o => datard_o ); end rtl;
gpl-3.0
5fcb8ab4850843a6f28d57d7e00c0d24
0.458711
3.385627
false
false
false
false
DreamIP/GPStudio
support/io/vga_out/hdl/vga_generate.vhd
1
6,337
------------------------------------------------------------------------------- -- Copyright Institut Pascal Equipe Dream (19-10-2016) -- Francois Berry, El Mehdi Abdali, Maxime Pelcat -- This software is a computer program whose purpose is to manage dynamic -- partial reconfiguration. -- This software is governed by the CeCILL-C license under French law and -- abiding by the rules of distribution of free software. You can use, -- modify and/ or redistribute the software under the terms of the CeCILL-C -- license as circulated by CEA, CNRS and INRIA at the following URL -- "http://www.cecill.info". -- As a counterpart to the access to the source code and rights to copy, -- modify and redistribute granted by the license, users are provided only -- with a limited warranty and the software's author, the holder of the -- economic rights, and the successive licensors have only limited -- liability. -- In this respect, the user's attention is drawn to the risks associated -- with loading, using, modifying and/or developing or reproducing the -- software by the user in light of its specific status of free software, -- that may mean that it is complicated to manipulate, and that also -- therefore means that it is reserved for developers and experienced -- professionals having in-depth computer knowledge. Users are therefore -- encouraged to load and test the software's suitability as regards their -- requirements in conditions enabling the security of their systems and/or -- data to be ensured and, more generally, to use and operate it in the -- same conditions as regards security. -- The fact that you are presently reading this means that you have had -- knowledge of the CeCILL-C license and that you accept its terms. ------------------------------------------------------------------------------- -- Doxygen Comments ----------------------------------------------------------- --! @file top_levelr.vhd -- --! @brief Testing D5M CMOS Image sensor with VGA display --! @from http://tinyvga.com/vga-timing/1280x1024@60Hz --! @author Francois Berry, El Mehdi Abdali, Maxime Pelcat --! @board SoCKit from Arrow and Terasic --! @version 1.0 --! @date 16/11/2016 ------------------------------------------------------------------------------- -- -- General timing -- Screen refresh rate 60 Hz -- Vertical refresh 63.981042654028 kHz -- Pixel freq. 108.0 MHz -- -- Horizontal timing (line) -- Scanline part Pixels Time [µs] -- Visible area 1280 11.851851851852 -- Front porch 48 0.44444444444444 -- Sync pulse 112 1.037037037037 -- Back porch 248 2.2962962962963 -- Whole line 1688 15.62962962963 -- -- Vertical timing (frame) -- Frame part Lines Time [ms] -- Visible area 1024 16.004740740741 -- Front porch 1 0.01562962962963 -- Sync pulse 3 0.046888888888889 -- Back porch 38 0.59392592592593 -- Whole frame 1066 16.661185185185 -- -- library ieee; use ieee.std_logic_1164.all; use IEEE.NUMERIC_STD.all; entity vga_generate is port( CLOCK : in std_logic; PIX_IN : in std_logic_vector(7 downto 0); RESET : in std_logic; HSYNC, VSYNC : out std_logic; SYNC, BLANK : out std_logic := '1'; RED, GREEN, BLUE : out std_logic_vector(7 downto 0); DISPLAY : out std_logic; X : out integer range 0 to 1280 := 0; Y : out integer range 0 to 1024 := 0 ); end vga_generate; architecture MAIN of vga_generate is signal HPOS : integer range 0 to 1688 := 0; signal VPOS : integer range 0 to 1066 := 0; signal GRAY : integer range 0 to 255 := 0; signal GRAYV : std_logic_vector(7 downto 0); constant HZ_SYNC : integer := 112; constant HZ_BACK_PORCH : integer := 248; constant HZ_DISP : integer := 1280; constant HZ_FRONT_PORCH : integer := 48; constant HZ_SCAN_WIDTH : integer := 1688; constant HS_POLARITY : std_logic := '0'; constant VT_SYNC : integer := 3; constant VT_BACK_PORCH : integer := 38; constant VT_DISP : integer := 1024; constant VT_FRONT_PORCH : integer := 1; constant VT_SCAN_WIDTH : integer := 1066; constant VT_POLARITY : std_logic := '0'; begin process(CLOCK, RESET) begin if (RESET = '1') then DISPLAY <= '0'; X <= 0; Y <= 0; RED <= (others => '0'); GREEN <= (others => '0'); BLUE <= (others => '0'); else if (rising_edge(CLOCK)) then if (HPOS < HZ_SCAN_WIDTH) then HPOS <= HPOS + 1; else HPOS <= 0; if (VPOS < VT_SCAN_WIDTH) then VPOS <= VPOS + 1; else VPOS <= 0; end if; end if; if (HPOS > HZ_FRONT_PORCH and HPOS < (HZ_FRONT_PORCH + HZ_SYNC)) then HSYNC <= HS_POLARITY; else HSYNC <= not HS_POLARITY; end if; if (VPOS > VT_FRONT_PORCH and VPOS < (VT_FRONT_PORCH + VT_SYNC)) then VSYNC <= VT_POLARITY; else VSYNC <= not VT_POLARITY; end if; if (HPOS > (HZ_FRONT_PORCH + HZ_SYNC + HZ_BACK_PORCH) and VPOS > (VT_FRONT_PORCH + VT_SYNC + VT_BACK_PORCH)) then DISPLAY <= '1'; X <= HPOS - (HZ_FRONT_PORCH + HZ_SYNC + HZ_BACK_PORCH - 1); Y <= VPOS - (VT_FRONT_PORCH + VT_SYNC + VT_BACK_PORCH - 1); RED <= PIX_IN; -- 255 GREEN <= PIX_IN; -- 79 BLUE <= PIX_IN; -- 0 else DISPLAY <= '0'; X <= 0; Y <= 0; RED <= (others => '0'); GREEN <= (others => '0'); BLUE <= (others => '0'); end if; end if; end if; end process; BLANK <= '1'; SYNC <= '1'; end MAIN;
gpl-3.0
2c7b983132366809cd7eeebb918c4e5f
0.529672
3.932961
false
false
false
false
openPOWERLINK/openPOWERLINK_V2
hardware/ipcore/altera/atomicmodify/src/alteraAtomicmodifyRtl.vhd
3
4,984
------------------------------------------------------------------------------- --! @file alteraAtomicmodifyRtl.vhd -- --! @brief Atomic modify toplevel for Altera -- --! @details This is the toplevel for Altera specific implementation. ------------------------------------------------------------------------------- -- -- (c) B&R Industrial Automation GmbH, 2015 -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- 3. Neither the name of B&R nor the names of its -- contributors may be used to endorse or promote products derived -- from this software without prior written permission. For written -- permission, please contact [email protected] -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, -- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT -- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN -- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; --! Common library library libcommon; --! Use common library global package use libcommon.global.all; entity alteraAtomicmodify is generic ( --! Address bus width gAddrWidth : natural := 16 ); port ( --! Clock csi_c0_clock : in std_logic; --! Reset rsi_r0_reset : in std_logic; -- Memory Mapped master --! MM master address avm_m0_address : out std_logic_vector(gAddrWidth-1 downto 0); --! MM master byteenable avm_m0_byteenable : out std_logic_vector(3 downto 0); --! MM master read avm_m0_read : out std_logic; --! MM master readdata avm_m0_readdata : in std_logic_vector(31 downto 0); --! MM master write avm_m0_write : out std_logic; --! MM master writedata avm_m0_writedata : out std_logic_vector(31 downto 0); --! MM master waitrequest avm_m0_waitrequest : in std_logic; --! MM master lock avm_m0_lock : out std_logic; -- Memory mapped slave --! Address avs_s0_address : in std_logic_vector(gAddrWidth-1 downto 2); --! Byteenable avs_s0_byteenable : in std_logic_vector(3 downto 0); --! Read strobe avs_s0_read : in std_logic; --! Readdata avs_s0_readdata : out std_logic_vector(31 downto 0); --! Write strobe avs_s0_write : in std_logic; --! Writedata avs_s0_writedata : in std_logic_vector(31 downto 0); --! Waitrequest avs_s0_waitrequest : out std_logic ); end alteraAtomicmodify; architecture rtl of alteraAtomicmodify is begin theAtomicModifyCore : entity work.atomicmodify generic map ( gAddrWidth => gAddrWidth ) port map ( iClk => csi_c0_clock, iRst => rsi_r0_reset, oMst_address => avm_m0_address, oMst_byteenable => avm_m0_byteenable, oMst_read => avm_m0_read, iMst_readdata => avm_m0_readdata, oMst_write => avm_m0_write, oMst_writedata => avm_m0_writedata, iMst_waitrequest => avm_m0_waitrequest, oMst_lock => avm_m0_lock, iSlv_address => avs_s0_address, iSlv_byteenable => avs_s0_byteenable, iSlv_read => avs_s0_read, oSlv_readdata => avs_s0_readdata, iSlv_write => avs_s0_write, iSlv_writedata => avs_s0_writedata, oSlv_waitrequest => avs_s0_waitrequest ); end rtl;
gpl-2.0
4c0aaf1c02f9df7cc29c04a6c3c3645f
0.569823
4.322637
false
false
false
false
DreamIP/GPStudio
support/io/eth_marvell_88e1111/hdl/ICMP/ff_icmp.vhd
1
7,359
-- megafunction wizard: %FIFO% -- GENERATION: STANDARD -- VERSION: WM1.0 -- MODULE: dcfifo -- ============================================================ -- File Name: ff_icmp.vhd -- Megafunction Name(s): -- dcfifo -- -- Simulation Library Files(s): -- altera_mf -- ============================================================ -- ************************************************************ -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -- -- 13.1.0 Build 162 10/23/2013 SJ Full Version -- ************************************************************ --Copyright (C) 1991-2013 Altera Corporation --Your use of Altera Corporation's design tools, logic functions --and other software and tools, and its AMPP partner logic --functions, and any output files from any of the foregoing --(including device programming or simulation files), and any --associated documentation or information are expressly subject --to the terms and conditions of the Altera Program License --Subscription Agreement, Altera MegaCore Function License --Agreement, or other applicable license agreement, including, --without limitation, that your use is for the sole purpose of --programming logic devices manufactured by Altera and sold by --Altera or its authorized distributors. Please refer to the --applicable agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY altera_mf; USE altera_mf.all; ENTITY ff_icmp IS PORT ( aclr : IN STD_LOGIC := '0'; data : IN STD_LOGIC_VECTOR (7 DOWNTO 0); rdclk : IN STD_LOGIC ; rdreq : IN STD_LOGIC ; wrclk : IN STD_LOGIC ; wrreq : IN STD_LOGIC ; q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0); rdempty : OUT STD_LOGIC ; wrfull : OUT STD_LOGIC ); END ff_icmp; ARCHITECTURE SYN OF ff_icmp IS SIGNAL sub_wire0 : STD_LOGIC ; SIGNAL sub_wire1 : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL sub_wire2 : STD_LOGIC ; COMPONENT dcfifo GENERIC ( intended_device_family : STRING; lpm_numwords : NATURAL; lpm_showahead : STRING; lpm_type : STRING; lpm_width : NATURAL; lpm_widthu : NATURAL; overflow_checking : STRING; rdsync_delaypipe : NATURAL; read_aclr_synch : STRING; underflow_checking : STRING; use_eab : STRING; write_aclr_synch : STRING; wrsync_delaypipe : NATURAL ); PORT ( rdclk : IN STD_LOGIC ; wrfull : OUT STD_LOGIC ; q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0); rdempty : OUT STD_LOGIC ; wrclk : IN STD_LOGIC ; wrreq : IN STD_LOGIC ; aclr : IN STD_LOGIC ; data : IN STD_LOGIC_VECTOR (7 DOWNTO 0); rdreq : IN STD_LOGIC ); END COMPONENT; BEGIN wrfull <= sub_wire0; q <= sub_wire1(7 DOWNTO 0); rdempty <= sub_wire2; dcfifo_component : dcfifo GENERIC MAP ( intended_device_family => "Cyclone III", lpm_numwords => 256, lpm_showahead => "OFF", lpm_type => "dcfifo", lpm_width => 8, lpm_widthu => 8, overflow_checking => "ON", rdsync_delaypipe => 4, read_aclr_synch => "OFF", underflow_checking => "ON", use_eab => "ON", write_aclr_synch => "OFF", wrsync_delaypipe => 4 ) PORT MAP ( rdclk => rdclk, wrclk => wrclk, wrreq => wrreq, aclr => aclr, data => data, rdreq => rdreq, wrfull => sub_wire0, q => sub_wire1, rdempty => sub_wire2 ); END SYN; -- ============================================================ -- CNX file retrieval info -- ============================================================ -- Retrieval info: PRIVATE: AlmostEmpty NUMERIC "0" -- Retrieval info: PRIVATE: AlmostEmptyThr NUMERIC "-1" -- Retrieval info: PRIVATE: AlmostFull NUMERIC "0" -- Retrieval info: PRIVATE: AlmostFullThr NUMERIC "-1" -- Retrieval info: PRIVATE: CLOCKS_ARE_SYNCHRONIZED NUMERIC "0" -- Retrieval info: PRIVATE: Clock NUMERIC "4" -- Retrieval info: PRIVATE: Depth NUMERIC "256" -- Retrieval info: PRIVATE: Empty NUMERIC "1" -- Retrieval info: PRIVATE: Full NUMERIC "1" -- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III" -- Retrieval info: PRIVATE: LE_BasedFIFO NUMERIC "0" -- Retrieval info: PRIVATE: LegacyRREQ NUMERIC "1" -- Retrieval info: PRIVATE: MAX_DEPTH_BY_9 NUMERIC "0" -- Retrieval info: PRIVATE: OVERFLOW_CHECKING NUMERIC "0" -- Retrieval info: PRIVATE: Optimize NUMERIC "0" -- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0" -- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" -- Retrieval info: PRIVATE: UNDERFLOW_CHECKING NUMERIC "0" -- Retrieval info: PRIVATE: UsedW NUMERIC "1" -- Retrieval info: PRIVATE: Width NUMERIC "8" -- Retrieval info: PRIVATE: dc_aclr NUMERIC "1" -- Retrieval info: PRIVATE: diff_widths NUMERIC "0" -- Retrieval info: PRIVATE: msb_usedw NUMERIC "0" -- Retrieval info: PRIVATE: output_width NUMERIC "8" -- Retrieval info: PRIVATE: rsEmpty NUMERIC "1" -- Retrieval info: PRIVATE: rsFull NUMERIC "0" -- Retrieval info: PRIVATE: rsUsedW NUMERIC "0" -- Retrieval info: PRIVATE: sc_aclr NUMERIC "0" -- Retrieval info: PRIVATE: sc_sclr NUMERIC "0" -- Retrieval info: PRIVATE: wsEmpty NUMERIC "0" -- Retrieval info: PRIVATE: wsFull NUMERIC "1" -- Retrieval info: PRIVATE: wsUsedW NUMERIC "0" -- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone III" -- Retrieval info: CONSTANT: LPM_NUMWORDS NUMERIC "256" -- Retrieval info: CONSTANT: LPM_SHOWAHEAD STRING "OFF" -- Retrieval info: CONSTANT: LPM_TYPE STRING "dcfifo" -- Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "8" -- Retrieval info: CONSTANT: LPM_WIDTHU NUMERIC "8" -- Retrieval info: CONSTANT: OVERFLOW_CHECKING STRING "ON" -- Retrieval info: CONSTANT: RDSYNC_DELAYPIPE NUMERIC "4" -- Retrieval info: CONSTANT: READ_ACLR_SYNCH STRING "OFF" -- Retrieval info: CONSTANT: UNDERFLOW_CHECKING STRING "ON" -- Retrieval info: CONSTANT: USE_EAB STRING "ON" -- Retrieval info: CONSTANT: WRITE_ACLR_SYNCH STRING "OFF" -- Retrieval info: CONSTANT: WRSYNC_DELAYPIPE NUMERIC "4" -- Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT GND "aclr" -- Retrieval info: USED_PORT: data 0 0 8 0 INPUT NODEFVAL "data[7..0]" -- Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL "q[7..0]" -- Retrieval info: USED_PORT: rdclk 0 0 0 0 INPUT NODEFVAL "rdclk" -- Retrieval info: USED_PORT: rdempty 0 0 0 0 OUTPUT NODEFVAL "rdempty" -- Retrieval info: USED_PORT: rdreq 0 0 0 0 INPUT NODEFVAL "rdreq" -- Retrieval info: USED_PORT: wrclk 0 0 0 0 INPUT NODEFVAL "wrclk" -- Retrieval info: USED_PORT: wrfull 0 0 0 0 OUTPUT NODEFVAL "wrfull" -- Retrieval info: USED_PORT: wrreq 0 0 0 0 INPUT NODEFVAL "wrreq" -- Retrieval info: CONNECT: @aclr 0 0 0 0 aclr 0 0 0 0 -- Retrieval info: CONNECT: @data 0 0 8 0 data 0 0 8 0 -- Retrieval info: CONNECT: @rdclk 0 0 0 0 rdclk 0 0 0 0 -- Retrieval info: CONNECT: @rdreq 0 0 0 0 rdreq 0 0 0 0 -- Retrieval info: CONNECT: @wrclk 0 0 0 0 wrclk 0 0 0 0 -- Retrieval info: CONNECT: @wrreq 0 0 0 0 wrreq 0 0 0 0 -- Retrieval info: CONNECT: q 0 0 8 0 @q 0 0 8 0 -- Retrieval info: CONNECT: rdempty 0 0 0 0 @rdempty 0 0 0 0 -- Retrieval info: CONNECT: wrfull 0 0 0 0 @wrfull 0 0 0 0 -- Retrieval info: GEN_FILE: TYPE_NORMAL ff_icmp.vhd TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL ff_icmp.inc FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL ff_icmp.cmp TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL ff_icmp.bsf FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL ff_icmp_inst.vhd TRUE -- Retrieval info: LIB_FILE: altera_mf
gpl-3.0
562baa42f939a80077c163f14f039bef
0.664221
3.512649
false
false
false
false
shailcoolboy/Warp-Trinity
edk_user_repository/WARP/pcores/warp_timer_plbw_v1_00_a/hdl/vhdl/warp_timer_plbw.vhd
4
10,879
------------------------------------------------------------------- -- System Generator version 10.1.00 VHDL source file. -- -- Copyright(C) 2007 by Xilinx, Inc. All rights reserved. This -- text/file contains proprietary, confidential information of Xilinx, -- Inc., is distributed under license from Xilinx, Inc., and may be used, -- copied and/or disclosed only pursuant to the terms of a valid license -- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use -- this text/file solely for design, simulation, implementation and -- creation of design files limited to Xilinx devices or technologies. -- Use with non-Xilinx devices or technologies is expressly prohibited -- and immediately terminates your license unless covered by a separate -- agreement. -- -- Xilinx is providing this design, code, or information "as is" solely -- for use in developing programs and solutions for Xilinx devices. By -- providing this design, code, or information as one possible -- implementation of this feature, application or standard, Xilinx is -- making no representation that this implementation is free from any -- claims of infringement. You are responsible for obtaining any rights -- you may require for your implementation. Xilinx expressly disclaims -- any warranty whatsoever with respect to the adequacy of the -- implementation, including but not limited to warranties of -- merchantability or fitness for a particular purpose. -- -- Xilinx products are not intended for use in life support appliances, -- devices, or systems. Use in such applications is expressly prohibited. -- -- Any modifications that are made to the source code are done at the user's -- sole risk and will be unsupported. -- -- This copyright and support notice must be retained as part of this -- text at all times. (c) Copyright 1995-2007 Xilinx, Inc. All rights -- reserved. ------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; entity plbaddrpref is generic ( C_BASEADDR : std_logic_vector(31 downto 0) := X"80000000"; C_HIGHADDR : std_logic_vector(31 downto 0) := X"8000FFFF"; C_SPLB_DWIDTH : integer range 32 to 128 := 32; C_SPLB_NATIVE_DWIDTH : integer range 32 to 32 := 32 ); port ( addrpref : out std_logic_vector(20-1 downto 0); sl_rddbus : out std_logic_vector(0 to C_SPLB_DWIDTH-1); plb_wrdbus : in std_logic_vector(0 to C_SPLB_DWIDTH-1); sgsl_rddbus : in std_logic_vector(0 to C_SPLB_NATIVE_DWIDTH-1); sgplb_wrdbus : out std_logic_vector(0 to C_SPLB_NATIVE_DWIDTH-1) ); end plbaddrpref; architecture behavior of plbaddrpref is signal sl_rddbus_i : std_logic_vector(0 to C_SPLB_DWIDTH-1); begin addrpref <= C_BASEADDR(32-1 downto 12); ------------------------------------------------------------------------------- -- Mux/Steer data/be's correctly for connect 32-bit slave to 128-bit plb ------------------------------------------------------------------------------- GEN_128_TO_32_SLAVE : if C_SPLB_NATIVE_DWIDTH = 32 and C_SPLB_DWIDTH = 128 generate begin ----------------------------------------------------------------------- -- Map lower rd data to each quarter of the plb slave read bus ----------------------------------------------------------------------- sl_rddbus_i(0 to 31) <= sgsl_rddbus(0 to C_SPLB_NATIVE_DWIDTH-1); sl_rddbus_i(32 to 63) <= sgsl_rddbus(0 to C_SPLB_NATIVE_DWIDTH-1); sl_rddbus_i(64 to 95) <= sgsl_rddbus(0 to C_SPLB_NATIVE_DWIDTH-1); sl_rddbus_i(96 to 127) <= sgsl_rddbus(0 to C_SPLB_NATIVE_DWIDTH-1); end generate GEN_128_TO_32_SLAVE; ------------------------------------------------------------------------------- -- Mux/Steer data/be's correctly for connect 32-bit slave to 64-bit plb ------------------------------------------------------------------------------- GEN_64_TO_32_SLAVE : if C_SPLB_NATIVE_DWIDTH = 32 and C_SPLB_DWIDTH = 64 generate begin --------------------------------------------------------------------------- -- Map lower rd data to upper and lower halves of plb slave read bus --------------------------------------------------------------------------- sl_rddbus_i(0 to 31) <= sgsl_rddbus(0 to C_SPLB_NATIVE_DWIDTH-1); sl_rddbus_i(32 to 63) <= sgsl_rddbus(0 to C_SPLB_NATIVE_DWIDTH-1); end generate GEN_64_TO_32_SLAVE; ------------------------------------------------------------------------------- -- IPIF DWidth = PLB DWidth -- If IPIF Slave Data width is equal to the PLB Bus Data Width -- Then BE and Read Data Bus map directly to eachother. ------------------------------------------------------------------------------- GEN_FOR_EQUAL_SLAVE : if C_SPLB_NATIVE_DWIDTH = C_SPLB_DWIDTH generate sl_rddbus_i <= sgsl_rddbus; end generate GEN_FOR_EQUAL_SLAVE; sl_rddbus <= sl_rddbus_i; sgplb_wrdbus <= plb_wrdbus(0 to C_SPLB_NATIVE_DWIDTH-1); end behavior; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; entity warp_timer_plbw is generic ( C_BASEADDR: std_logic_vector(31 downto 0) := X"80000000"; C_HIGHADDR: std_logic_vector(31 downto 0) := X"80000FFF"; C_SPLB_DWIDTH: integer range 32 to 128 := 32; C_SPLB_NATIVE_DWIDTH: integer range 32 to 32 := 32; C_SPLB_AWIDTH: integer := 0; C_SPLB_P2P: integer := 0; C_SPLB_MID_WIDTH: integer := 0; C_SPLB_NUM_MASTERS: integer := 0; C_SPLB_SUPPORT_BURSTS: integer := 0; C_MEMMAP_TIMER0_TIMELEFT: integer := 0; C_MEMMAP_TIMER0_TIMELEFT_N_BITS: integer := 0; C_MEMMAP_TIMER0_TIMELEFT_BIN_PT: integer := 0; C_MEMMAP_TIMER1_TIMELEFT: integer := 0; C_MEMMAP_TIMER1_TIMELEFT_N_BITS: integer := 0; C_MEMMAP_TIMER1_TIMELEFT_BIN_PT: integer := 0; C_MEMMAP_TIMER2_TIMELEFT: integer := 0; C_MEMMAP_TIMER2_TIMELEFT_N_BITS: integer := 0; C_MEMMAP_TIMER2_TIMELEFT_BIN_PT: integer := 0; C_MEMMAP_TIMER3_TIMELEFT: integer := 0; C_MEMMAP_TIMER3_TIMELEFT_N_BITS: integer := 0; C_MEMMAP_TIMER3_TIMELEFT_BIN_PT: integer := 0; C_MEMMAP_TIMER_CONTROL_R: integer := 0; C_MEMMAP_TIMER_CONTROL_R_N_BITS: integer := 0; C_MEMMAP_TIMER_CONTROL_R_BIN_PT: integer := 0; C_MEMMAP_TIMER_STATUS: integer := 0; C_MEMMAP_TIMER_STATUS_N_BITS: integer := 0; C_MEMMAP_TIMER_STATUS_BIN_PT: integer := 0; C_MEMMAP_TIMER0_COUNTTO: integer := 0; C_MEMMAP_TIMER0_COUNTTO_N_BITS: integer := 0; C_MEMMAP_TIMER0_COUNTTO_BIN_PT: integer := 0; C_MEMMAP_TIMER1_COUNTTO: integer := 0; C_MEMMAP_TIMER1_COUNTTO_N_BITS: integer := 0; C_MEMMAP_TIMER1_COUNTTO_BIN_PT: integer := 0; C_MEMMAP_TIMER2_COUNTTO: integer := 0; C_MEMMAP_TIMER2_COUNTTO_N_BITS: integer := 0; C_MEMMAP_TIMER2_COUNTTO_BIN_PT: integer := 0; C_MEMMAP_TIMER3_COUNTTO: integer := 0; C_MEMMAP_TIMER3_COUNTTO_N_BITS: integer := 0; C_MEMMAP_TIMER3_COUNTTO_BIN_PT: integer := 0; C_MEMMAP_TIMER_CONTROL_W: integer := 0; C_MEMMAP_TIMER_CONTROL_W_N_BITS: integer := 0; C_MEMMAP_TIMER_CONTROL_W_BIN_PT: integer := 0 ); port ( ce: in std_logic; idlefordifs: in std_logic; plb_abus: in std_logic_vector(0 to 31); plb_pavalid: in std_logic; plb_rnw: in std_logic; plb_wrdbus: in std_logic_vector(0 to C_SPLB_DWIDTH-1); splb_clk: in std_logic; splb_rst: in std_logic; sl_addrack: out std_logic; sl_rdcomp: out std_logic; sl_rddack: out std_logic; sl_rddbus: out std_logic_vector(0 to C_SPLB_DWIDTH-1); sl_wait: out std_logic; sl_wrcomp: out std_logic; sl_wrdack: out std_logic; timer0_active: out std_logic; timer1_active: out std_logic; timer2_active: out std_logic; timer3_active: out std_logic; timerexpire: out std_logic ); end warp_timer_plbw; architecture structural of warp_timer_plbw is signal ce_x0: std_logic; signal clk: std_logic; signal idlefordifs_x0: std_logic; signal plb_abus_x0: std_logic_vector(31 downto 0); signal plb_pavalid_x0: std_logic; signal plb_rnw_x0: std_logic; signal plbaddrpref_addrpref_net: std_logic_vector(19 downto 0); signal plbaddrpref_plb_wrdbus_net: std_logic_vector(C_SPLB_DWIDTH-1 downto 0); signal plbaddrpref_sgplb_wrdbus_net: std_logic_vector(31 downto 0); signal plbaddrpref_sgsl_rddbus_net: std_logic_vector(31 downto 0); signal plbaddrpref_sl_rddbus_net: std_logic_vector(C_SPLB_DWIDTH-1 downto 0); signal sl_addrack_x0: std_logic; signal sl_rdcomp_x0: std_logic; signal sl_rddack_x0: std_logic; signal sl_wait_x0: std_logic; signal sl_wrcomp_x0: std_logic; signal sl_wrdack_x0: std_logic; signal splb_rst_x0: std_logic; signal timer0_active_x0: std_logic; signal timer1_active_x0: std_logic; signal timer2_active_x0: std_logic; signal timer3_active_x0: std_logic; signal timerexpire_x0: std_logic; begin ce_x0 <= ce; idlefordifs_x0 <= idlefordifs; plb_abus_x0 <= plb_abus; plb_pavalid_x0 <= plb_pavalid; plb_rnw_x0 <= plb_rnw; plbaddrpref_plb_wrdbus_net <= plb_wrdbus; clk <= splb_clk; splb_rst_x0 <= splb_rst; sl_addrack <= sl_addrack_x0; sl_rdcomp <= sl_rdcomp_x0; sl_rddack <= sl_rddack_x0; sl_rddbus <= plbaddrpref_sl_rddbus_net; sl_wait <= sl_wait_x0; sl_wrcomp <= sl_wrcomp_x0; sl_wrdack <= sl_wrdack_x0; timer0_active <= timer0_active_x0; timer1_active <= timer1_active_x0; timer2_active <= timer2_active_x0; timer3_active <= timer3_active_x0; timerexpire <= timerexpire_x0; plbaddrpref_x0: entity work.plbaddrpref generic map ( C_BASEADDR => C_BASEADDR, C_HIGHADDR => C_HIGHADDR, C_SPLB_DWIDTH => C_SPLB_DWIDTH, C_SPLB_NATIVE_DWIDTH => C_SPLB_NATIVE_DWIDTH ) port map ( plb_wrdbus => plbaddrpref_plb_wrdbus_net, sgsl_rddbus => plbaddrpref_sgsl_rddbus_net, addrpref => plbaddrpref_addrpref_net, sgplb_wrdbus => plbaddrpref_sgplb_wrdbus_net, sl_rddbus => plbaddrpref_sl_rddbus_net ); sysgen_dut: entity work.warp_timer_cw port map ( ce => ce_x0, clk => clk, idlefordifs => idlefordifs_x0, plb_abus => plb_abus_x0, plb_pavalid => plb_pavalid_x0, plb_rnw => plb_rnw_x0, plb_wrdbus => plbaddrpref_sgplb_wrdbus_net, sg_plb_addrpref => plbaddrpref_addrpref_net, splb_rst => splb_rst_x0, sl_addrack => sl_addrack_x0, sl_rdcomp => sl_rdcomp_x0, sl_rddack => sl_rddack_x0, sl_rddbus => plbaddrpref_sgsl_rddbus_net, sl_wait => sl_wait_x0, sl_wrcomp => sl_wrcomp_x0, sl_wrdack => sl_wrdack_x0, timer0_active => timer0_active_x0, timer1_active => timer1_active_x0, timer2_active => timer2_active_x0, timer3_active => timer3_active_x0, timerexpire => timerexpire_x0 ); end structural;
bsd-2-clause
7fb05a690e413f187f99478ca0c098e6
0.615498
3.296667
false
false
false
false
timvideos/HDMI2USB-jahanzeb-firmware
hdl/jpeg_encoder/design/BUF_FIFO.vhd
3
13,583
------------------------------------------------------------------------------- -- File Name : BUF_FIFO.vhd -- -- Project : JPEG_ENC -- -- Module : BUF_FIFO -- -- Content : Input FIFO Buffer -- -- Description : -- -- Spec. : -- -- Author : Michal Krepa -- ------------------------------------------------------------------------------- -- History : -- 20090311: (MK): Initial Creation. ------------------------------------------------------------------------------- -- ////////////////////////////////////////////////////////////////////////////// -- /// Copyright (c) 2013, Jahanzeb Ahmad -- /// All rights reserved. -- /// -- /// Redistribution and use in source and binary forms, with or without modification, -- /// are permitted provided that the following conditions are met: -- /// -- /// * Redistributions of source code must retain the above copyright notice, -- /// this list of conditions and the following disclaimer. -- /// * Redistributions in binary form must reproduce the above copyright notice, -- /// this list of conditions and the following disclaimer in the documentation and/or -- /// other materials provided with the distribution. -- /// -- /// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY -- /// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES -- /// OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT -- /// SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- /// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT -- /// LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR -- /// PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, -- /// WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- /// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- /// POSSIBILITY OF SUCH DAMAGE. -- /// -- /// -- /// * http://opensource.org/licenses/MIT -- /// * http://copyfree.org/licenses/mit/license.txt -- /// -- ////////////////////////////////////////////////////////////////////////////// ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ----------------------------------- LIBRARY/PACKAGE --------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- generic packages/libraries: ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; ------------------------------------------------------------------------------- -- user packages/libraries: ------------------------------------------------------------------------------- library work; use work.JPEG_PKG.all; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ----------------------------------- ENTITY ------------------------------------ ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- entity BUF_FIFO is port ( CLK : in std_logic; RST : in std_logic; -- HOST PROG img_size_x : in std_logic_vector(15 downto 0); img_size_y : in std_logic_vector(15 downto 0); sof : in std_logic; -- HOST DATA iram_wren : in std_logic; iram_wdata : in std_logic_vector(C_PIXEL_BITS-1 downto 0); fifo_almost_full : out std_logic; -- FDCT fdct_fifo_rd : in std_logic; fdct_fifo_q : out std_logic_vector(23 downto 0); fdct_fifo_hf_full : out std_logic ); end entity BUF_FIFO; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ----------------------------------- ARCHITECTURE ------------------------------ ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- architecture RTL of BUF_FIFO is constant C_NUM_LINES : integer := 8 + C_EXTRA_LINES; signal pixel_cnt : unsigned(15 downto 0):=(others=>'0'); signal line_cnt : unsigned(15 downto 0):=(others=>'0'); signal ramq : STD_LOGIC_VECTOR(C_PIXEL_BITS-1 downto 0):=(others=>'0'); signal ramd : STD_LOGIC_VECTOR(C_PIXEL_BITS-1 downto 0):=(others=>'0'); signal ramwaddr : unsigned(log2(C_MAX_LINE_WIDTH*C_NUM_LINES)-1 downto 0):=(others=>'0'); signal ramenw : STD_LOGIC:='0'; signal ramraddr : unsigned(log2(C_MAX_LINE_WIDTH*C_NUM_LINES)-1 downto 0):=(others=>'0'); signal pix_inblk_cnt : unsigned(3 downto 0):=(others=>'0'); signal pix_inblk_cnt_d1 : unsigned(3 downto 0):=(others=>'0'); signal line_inblk_cnt : unsigned(2 downto 0):=(others=>'0'); signal read_block_cnt : unsigned(12 downto 0):=(others=>'0'); signal read_block_cnt_d1 : unsigned(12 downto 0):=(others=>'0'); signal write_block_cnt : unsigned(12 downto 0):=(others=>'0'); signal ramraddr_int : unsigned(16+log2(C_NUM_LINES)-1 downto 0):=(others=>'0'); signal raddr_base_line : unsigned(16+log2(C_NUM_LINES)-1 downto 0):=(others=>'0'); signal raddr_tmp : unsigned(15 downto 0):=(others=>'0'); signal ramwaddr_d1 : unsigned(ramwaddr'range):=(others=>'0'); signal line_lock : unsigned(log2(C_NUM_LINES)-1 downto 0):=(others=>'0'); signal memwr_line_cnt : unsigned(log2(C_NUM_LINES)-1 downto 0):=(others=>'0'); signal memrd_offs_cnt : unsigned(log2(C_NUM_LINES)-1+1 downto 0):=(others=>'0'); signal memrd_line : unsigned(log2(C_NUM_LINES)-1 downto 0):=(others=>'0'); signal wr_line_idx : unsigned(15 downto 0):=(others=>'0'); signal rd_line_idx : unsigned(15 downto 0):=(others=>'0'); signal image_write_end : std_logic:='0'; ------------------------------------------------------------------------------- -- Architecture: begin ------------------------------------------------------------------------------- begin ------------------------------------------------------------------- -- RAM for SUB_FIFOs ------------------------------------------------------------------- U_SUB_RAMZ : entity work.SUB_RAMZ generic map ( RAMADDR_W => log2( C_MAX_LINE_WIDTH*C_NUM_LINES ), RAMDATA_W => C_PIXEL_BITS ) port map ( d => ramd, waddr => std_logic_vector(ramwaddr_d1), raddr => std_logic_vector(ramraddr), we => ramenw, clk => clk, q => ramq ); ------------------------------------------------------------------- -- register RAM data input ------------------------------------------------------------------- p_mux1 : process(CLK, RST) begin if RST = '1' then ramenw <= '0'; ramd <= (others => '0'); elsif CLK'event and CLK = '1' then ramd <= iram_wdata; ramenw <= iram_wren; end if; end process; ------------------------------------------------------------------- -- resolve RAM write address ------------------------------------------------------------------- p_pixel_cnt : process(CLK, RST) begin if RST = '1' then pixel_cnt <= (others => '0'); memwr_line_cnt <= (others => '0'); wr_line_idx <= (others => '0'); ramwaddr <= (others => '0'); ramwaddr_d1 <= (others => '0'); image_write_end <= '0'; elsif CLK'event and CLK = '1' then ramwaddr_d1 <= ramwaddr; if iram_wren = '1' then -- end of line if pixel_cnt = unsigned(img_size_x)-1 then pixel_cnt <= (others => '0'); -- absolute write line index wr_line_idx <= wr_line_idx + 1; if wr_line_idx = unsigned(img_size_y)-1 then image_write_end <= '1'; end if; -- memory line index if memwr_line_cnt = C_NUM_LINES-1 then memwr_line_cnt <= (others => '0'); ramwaddr <= (others => '0'); else memwr_line_cnt <= memwr_line_cnt + 1; ramwaddr <= ramwaddr + 1; end if; else pixel_cnt <= pixel_cnt + 1; ramwaddr <= ramwaddr + 1; end if; end if; if sof = '1' then pixel_cnt <= (others => '0'); ramwaddr <= (others => '0'); memwr_line_cnt <= (others => '0'); wr_line_idx <= (others => '0'); image_write_end <= '0'; end if; end if; end process; ------------------------------------------------------------------- -- FIFO half full / almost full flag generation ------------------------------------------------------------------- p_mux3 : process(CLK, RST) begin if RST = '1' then fdct_fifo_hf_full <= '0'; fifo_almost_full <= '0'; elsif CLK'event and CLK = '1' then if rd_line_idx + 8 <= wr_line_idx then fdct_fifo_hf_full <= '1'; else fdct_fifo_hf_full <= '0'; end if; fifo_almost_full <= '0'; if wr_line_idx = rd_line_idx + C_NUM_LINES-1 then if pixel_cnt >= unsigned(img_size_x)-1-1 then fifo_almost_full <= '1'; end if; elsif wr_line_idx > rd_line_idx + C_NUM_LINES-1 then fifo_almost_full <= '1'; end if; end if; end process; ------------------------------------------------------------------- -- read side ------------------------------------------------------------------- p_mux5 : process(CLK, RST) begin if RST = '1' then memrd_offs_cnt <= (others => '0'); read_block_cnt <= (others => '0'); pix_inblk_cnt <= (others => '0'); line_inblk_cnt <= (others => '0'); rd_line_idx <= (others => '0'); pix_inblk_cnt_d1 <= (others => '0'); read_block_cnt_d1 <= (others => '0'); elsif CLK'event and CLK = '1' then pix_inblk_cnt_d1 <= pix_inblk_cnt; read_block_cnt_d1 <= read_block_cnt; -- BUF FIFO read if fdct_fifo_rd = '1' then -- last pixel in block if pix_inblk_cnt = 8-1 then pix_inblk_cnt <= (others => '0'); -- last line in 8 if line_inblk_cnt = 8-1 then line_inblk_cnt <= (others => '0'); -- last block in last line if read_block_cnt = unsigned(img_size_x(15 downto 3))-1 then read_block_cnt <= (others => '0'); rd_line_idx <= rd_line_idx + 8; if memrd_offs_cnt + 8 > C_NUM_LINES-1 then memrd_offs_cnt <= memrd_offs_cnt + 8 - C_NUM_LINES; else memrd_offs_cnt <= memrd_offs_cnt + 8; end if; else read_block_cnt <= read_block_cnt + 1; end if; else line_inblk_cnt <= line_inblk_cnt + 1; end if; else pix_inblk_cnt <= pix_inblk_cnt + 1; end if; end if; if memrd_offs_cnt + (line_inblk_cnt) > C_NUM_LINES-1 then memrd_line <= memrd_offs_cnt(memrd_line'range) + (line_inblk_cnt) - (C_NUM_LINES); else memrd_line <= memrd_offs_cnt(memrd_line'range) + (line_inblk_cnt); end if; if sof = '1' then memrd_line <= (others => '0'); memrd_offs_cnt <= (others => '0'); read_block_cnt <= (others => '0'); pix_inblk_cnt <= (others => '0'); line_inblk_cnt <= (others => '0'); rd_line_idx <= (others => '0'); end if; end if; end process; -- generate RAM data output based on 16 or 24 bit mode selection fdct_fifo_q <= (ramq(15 downto 11) & "000" & ramq(10 downto 5) & "00" & ramq(4 downto 0) & "000") when C_PIXEL_BITS = 16 else std_logic_vector(resize(unsigned(ramq), 24)); ramraddr <= ramraddr_int(ramraddr'range); ------------------------------------------------------------------- -- resolve RAM read address ------------------------------------------------------------------- p_mux4 : process(CLK, RST) begin if RST = '1' then ramraddr_int <= (others => '0'); elsif CLK'event and CLK = '1' then raddr_base_line <= (memrd_line) * unsigned(img_size_x); raddr_tmp <= (read_block_cnt_d1 & "000") + pix_inblk_cnt_d1; ramraddr_int <= raddr_tmp + raddr_base_line; end if; end process; end architecture RTL; ------------------------------------------------------------------------------- -- Architecture: end -------------------------------------------------------------------------------
bsd-2-clause
c7639a7508badbef7f1fba1fb92f44e7
0.415372
4.388691
false
false
false
false
fpgaminer/Open-Source-FPGA-Bitcoin-Miner
projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/ramfifo/rd_logic.vhd
9
47,892
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block M+oydB095QYDH+vyUhURTzxlYD8HMWbndSWBf+lp4xpB5oOxg3YicUF2Q9KedlmxOMTg3wmCZI5D RG7ltvcyYg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block K2aSx8ywXf1IFN66BHtfnucJd2fCkt3r2o6KViyAkT+dL1fNG5mFhdiXH6G5WBSiD4za2Zst4bDR G9XRxswf6jPAeS//8zMCqQE6etgaKhoXZJaKmp8Htju+Vk2CYxXV7P/K3QD+tR/sva7Tcf+wj2hZ e3lgGlvm3RNNTRDm22k= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Q5HgaVER+pCNAo30aCnSX8m0VPzSp86zu01Yq1i+B71FgvVDrRWShoItjPJ/gyZhDOvKgS9v1Xc2 XRn3nvVrONwOoLpLtfhrzGbdSfJDetWE7/OmIWzXMIDdFpyZ71ndr4RKAh3WlQCaFfFKf6fyg/Wx i9fUXE7ExWd8SZIbuKWFP7LrqfyVvR9n5uuseX1W34GaOZP+Yn+SYFrvbzuEelBQp1TNdVWtrKPI 0jZ6HCtWeu/aHllBUFEApHcwkLyYVofb7/TOde3WpgCY0JhZl0uk9mgAG/k/VJa5T3C910bPEaD+ llvwpqSNiG5ljqybXWcvt97NGnMTwctrqh4yQw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block oBCgP4+a/pWX9INZPBQQd4QFLBk2VI8KwnT/F4HQnWl/qoU+Pph9dfqJROEdxO2mfYlu7PYqVAok gWpiVZhRbDgVtRKhxGtAXPeP3/8+innbKX/MbWcusqK3h69w+iSYvbVVAaFmVovJ0i0egY3pvJ9L HiEhEeUjDeP1+/rVha4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block YSizUeoisGFH/s4sh6bzurR1HXZKBvBkJEmDYEv+SZP9ZruMsxBxHdqJ5GkqYFfsHXvepiZUVwEq /vH2qddvVSi78iHcxIGrACA/EuHHpuJ1QEU0X3taqgMcZnLK7lAB1tZPYA23LMFG9RCkHqKp0Btn 9cBrz/wvlt3bgkaHT5AVsOa6nnomk261tDs5AJU1igzNbENhaQJP9FbeZxNUQxOLeJdNNGRU7M8Q Tjcd2x41AU6sEbnzb9Rflw6aseyv8nTKKc8wrcua++gx0vQECDEuYLR+T8TxWN+JW3q/2LyPUpYz 6bQ4pO8RjYkX4Yrw7029iIpovcOOW1PwXrLsqA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 33712) `protect data_block As3QQFDva2QOaRxSHwolg7hQ8npES1CdJpB9PFW+tjwdA4NeHXxx+dZpxyjTdfLqHgISjkDkRFSy +N/cMYJUNtEbZzJzkw4hsXqb5J+itYu5RVF92o8bLdY8zxOlZ+zz7Fq1UvCjAQkJVX83C5efvbMy 1zlxL2DTNlDQHnDTOAcevvN4yweEP+lNS8xERGP+vyruNztIHT+0zpF7Sc93SshhJxlSqt/2CwDs cmuvNlRASUgpSs7xTT5Z35kAa/Jl3mUiOKOKvppPWYsf+9usK2mbbq9wZIfDHPs6coDg/3khGWHJ 6vJGqVA8/Dwu3aeewpZWR0xxDQV855QeIZDP5GflicqptVYbcerSeoYCa367UhH01fYCuOHknGvB 08E9GYA6ey6TAc7ZUGQnwHjdgRZ5bu24e0mQETRwOz0KOzRSw43fJXrSAgiDHVB3kE+J4X5fwl2a OWFTbQmkK//iSOunlEu9ZGG25nQjwyN0fCmSnEZMwUVPMLG0WuCT+NB+Nqi+5SNYqdMTDTaDV5lP OHkXMtHXOkQuujsKlQPyC4iivBopMYmu4f5qPVcU5kMn6ZOWThTzWl5iMR4sY3aT5h8iOoQs38dQ 7TmkRX/jYcyMj68dXz2gZXAcTph+cKvG5s1siwfHkuWTwbBFPQ3RK0imbuzR7QOjGqT3HhbnMTq8 83h7hsb4mUxtXfkSklQYkFvMsl6GGuaB4KuZL04Ut/tyODNBOv1ex7iibKlO3Dlzl7HHdMdM3txu J1OQY8D6Zn2rvNpa6SQXE+FNTE3GDRfgvJGBFUIxxcA1tvFJIfspa47vhSIxfWEi2LgXkO9PIDKC pSM+V4I3FTC5arY9nGla3h6j3E+kqUZRIxfGYVfaUvGAh9fHutmmDf5ky+UQrSI6LX6qnkBNV2jk gJl4JuZFJ5CKkMdY3c58so3kioRmqMo6xJlZGsZIJsocoi+mcAlhMqxttbKRwW2m9kRcIKz6Nalj Ti3gRM9WHfpcTt5+un8Cp+WSLT52Qh49wmh1AKvaX/JazfmQgz3TKFHbLrhivxa2imkxPWKqF2aZ 8wmxYe7x2GH/Fb3+RTE4Y/3OhO/Nad8d3Mu2m2VPug0DGb6jFwQuI4Ccb88agWMTMTQJEQLgCwMX LnHf9nseGNj1rMS1NPcbop5vQo34ASaz2QTmZcn3KULKU84gNQNqeXIVs+HIl70bXeVytBweKJ/c NCfA/b+APS9X6xcAanrXsYiB+Gwkoxy7YAuMZKkJEApYn3PeAeUqpqkpvLAVr43wjLihvb293muF LJM1yvcH9bjYBlAEn4tb5Q0cKjwil0h3xJ3wdqXOGIJAsbOC89MsuEj6usQ56b3G8o/S2F4Ci5JC p7WiV4IPkd9nRN2A4vE0g/G3vkTG7D0XHhwFL0hBpATbD1kVpXnKKLOzVkFgh5Arz2ZqXWIwAF8A LYjNZggyC4Ei8eJkBIpI5fVVg+GKUSqAiBZvVUuXyUHDU0Q367TqfRQjwdBt2AhgQldfegfgahAm cuHHcwE9tAqSxJEu28h8TaL95BTjk/ZNFt4dVD1RknzugzdgxOJ2GMjb6ISrm2SbjN5s+lS5NFD8 jBopg8qeYr6EuqA1WrxFi233BiYWZ2F8hmTGOqYhyNqlFJyok73JnehQePm4CO8eg1hyqFmyg1FY +jmrvbwnfLIISqRnjvWy8NJmIDHwNFGUYxdSXPVcwuLhmU74r1Ss4j9Wynwv1ASRWf8N7xjkRKBi HLTjPa8PawrerRJUtAEdCeMJn6T7wi1qhcztzSudIVmvaZByecwlDGS7EgcAuK7ZOtJwD0NJBiQ7 OpOrMH5iNqrYnwfGur/OaQSq1PByV8rAHEJyCorXbTkh1dM6qROdqxZ6QeE9AhRrRoXXMA6SBlUK IitMTPo62Ckvv9QmnAwbxsnRNaAP7/K/V6UPO4pLakWoJKS8pPCcn9JnGwJlVIwOjGKYkK6BMcVU xHR4sDxT2ZXaHZRXWWj8vU8kb9o8UK8GVhQioK17pgWqMBIuzETszkwdXHId3UiclNZQTa2/UDCW Rdc3rOwVMRmU/rHeIYZzwI4BEFZrFxWq1nV8uPqjhjAGUOXKaHgoSwXp12UkqijM9pzeE5YXbyzG 6bLZYNLkPAhEi14NnTwyN+V8romrDw40Pmum/OR9bmVO3j9tJDU7xAkcPEXJtUNh+XI/JLzfqZpU 1EY+HR1ONpgVj4TSW5/0KeADQmOIaO+S0EaS7957ij/r3DWfJPQwkDWxjEL61sWJP3Jia045UnJk dpFZGTZTHexbUdZkK7/D861G5X0GSbs6hI+Pr/3wagAxM/Kvfpe+Wb/y4nrMduZIkF6Ovk/1uahy LnedzhT77FKRZZ7x3zWgCTtfPIf1Xw2SG/grp4jtHb/Q7JqQCHxyxqHTGb2skgrdQRTGx9fecanX HAmHcb3AFY7Pzs6hIhP2Q4m9gLcb06AgEvHPtXk39SUcR9tfu7jjRoO/qfyLdDcEH8BLdpUKtvto ImLHdsrHEcxtOxCRSHu9CyRMZkMHuGH9fVedJ2ZK8E23B7j+jU6bjONdRCprJ0JSC+1XMkdDui+5 07662Hy0eE3Sz4b9iD0MSUY9bI8Oq3yRg+d/ruvIjIePGCNN7n1FBVGkpJQt6yASUNvoUDQ++EtX Y/PF2FsXUortzlzWgC3x8XLlO5yw/xN7HliIUyQhM/73vcaN2MSrA9UDs9g5fdcTP9ltXkrVr4ZY zCyRWpJWRTXeoeWiuYJ7ZhUcMPrbUwB9eYfl+oDbS9yzNJIfIyN0sd0mo1mGOHSIXQ9jlejcXr97 3JgQxxoLxaOVvCTvF9zzdkuVwf0MGu4fKDTXv1kRpvVoktGRIbJ9l2IEiu4gwgvxd44WXOji+mg+ kysUlHXnefbidv/nezHef69SG8wM3V8w7C0/V0gxS2Ho9OBXgJgYEgp7gAfY6VEk/5O2Kb7rv1To e8tzkHjxNlLmsW6WZMVXe4zmEgJIgjmSVIGfbS5R/EcWyDwkVMNEVXrTLD5SXufDhfFZM2cUxgTv Euxqdc/wAWjSx4Rq4UtiVvCcoKV61bz5fxe2vIzXXAJf5yYXZJ4mpu9BWdDLATEQ8z3b31Um9ypg deNNQXqN8tC9GkGl5CF56eyzspCPOW3qV7d36Yb0fnz8IUyMb+22zKXjMT1Y643yRmo5Bb5lADkL GNlMDk3jPjOxdyD/yjLDvM0uOBMzAmTtzm2YmEEuace3jHjHklAH9CJTTmg45BdJiuYgyMVUggYV 89LZyyGL+CFaamtBRzPbc5EO9vYQmXM2vvNKw6ET5V8B+5yZA3+hI4f04sslusduljeiIMRCk0WX R/+ZJ84ubYHaqFH6JK53xT14ZjjdsBI/j1PihQiaCxld1gB7EIqRRO3NjVsL2zSlgTmNLbxy5EZo NURBFoPtIm8du7QONe01vKI3hjpuk/XwHjuSON9K3r2RCFuQyFlplzVQQeICmz4ZenYJRo4PiGVZ fovRQe4Ri4ZP4nLrk5MsAArz6g22B+M2MdXzNegtDk6xNVez9GJ4WkslNtBObgc9ABjbY72weRW6 tfSlKNijLF38FflcgQ7CG5r+/w9uW4FXmunPksPB/M/QqJQHOy0gfSRkuhL8L+zuiw7tpq7unhgy lSE0Qwj59jHBHh8V3gXbPMZkG2O2A5fDB2GXVqLSdQbTRty0r48/JXgGiCAvGjs6UO6BbVZHm7Hw 80KuGUWuUFSICW4yr3fs5aLo1bTTyPyelwO9uRxVXMIin2n12nSOjKwGQ0IPGgOraG3gW6Xz331w DX5tXjFs/WeWhGDKG9BMw55zYH8IndUAOcs0aYTsYESeuLLkrSFKEZYytjVxfm3p/DiEdiUdwnCk S4yURIjoSaPjvt5zTHdrBh0C1oT23uFVd0xNvoFWK+eWX7jQz2y8MEXQR/aJ0CeLXc/MGC4DTtb5 Z64CrggYb6vytM+y/3idYDNR+comzaZSIynY5I2nX7YhzrqV+RF8JZIObsx4ycuD2tkik74hDKeC FCXeLrlk6XYOYdH4etiKhBEL1SAFJeMRsml3rZ/KVjB1PWSNIcX0fFzyOG0v6J2iReqAmB2G7BVi B2JIlzgtmW9lYsnU9I8gslYHyWlLuBzWEmipR4nyEvCvmH+s5pKwWUVjYBIEOKV2PQCKks/8WW+z V85d4+gWadLIRDAOmN0QQrzvySXDAZ3GIf5cyLwSVudoWbrKsSrselCgZ1vrtcmNBSnLOMY392YO 0yX8mkeogXZ2S3GHYBHfw3xYQpidV0Em8CGw1r1cVhRQmhztYQV7GOBkuJ6+kNU+Q4yE6/+c42k4 1voDvESTWz3O97QA3bFfwCQUgrkoLUm+JDgSI0OVOP3k7x4IF/sTm4kbv3Cxp/IHO7xLhqdreBNz J9pT0pQ7qrVdrr6HBigx3wls/ROZLJjVOEl2L9M2FbMn0v1RaS1XPDEkMJb/kEX6S5I6fuJ7i8vv Ekycy0PezUlDb4eN7sTaRJIbcsfXdZBSOO/qJ+FjcTnfDCQP3OjT3rDq189xlRQiEQtTE3QPnorM PMp0yBL5NMKSN4jaqtY9uVcgaxIuvHdK173VeFV3pY3sU/jvvN8alRJxmEe+qH+1jv0eH3vg77Vk 9ck7MmdqMthzEAfNOl0zWqa9D/BmUnKzRoS6bAY57tI/laDn5tdJR0DTO5ivXPICaqU4aR4s4mKI YtETJYIygawG9en0DCX+UPDcju9ZZCBNDgVqHcsDEtF/U9/xvJTDH/29MWhKfkl+ZBJYBlJkRIqH S39XepK92hxmkOFh3SPIHbvw/YskxlryalXthiqs3sHMMx80oW0oisNg5HZzr+TRaK8rs3eLHwHO 5S91z5YY33/UMLsG7mmfSgEU+8FhEQ/djFJgEW+1bjRcJjnIaN7AAXm9HsPNwHfVYRUoFh4YjLvv sxkQXCFCz2c77bPJF2Ceqdi1Ps8vOsIlkXflNsRf1G8WQwIK4Akj/MGpSgTxJKNabqWxc2z8A6YI dwkekrDdbrrWMX4+F249ONyhLKih9csIpJRWFjhdKbF/rFR2JZH99kQHwNWySHrRTrQZ/2RZOWzQ ZFN6PM0kX+KukwyUqoRt8G/0I05z+kGcv3VwU1vfuEdOa6yVucEv58u+mzrPZ1JsgJVMQS1BJDIY Wv91u2ThMllsK6keUMnv48QcB+6yxT+ybUYa5ypIBNcRF0G50KP6p1sUbfagNF4segz0TkgxJ8b2 y6PhpsxKm/gr0Z/s0enlEoaA8HOCoyazBZII6/Gv2Qh31g/mKwNxlzqlksZnnk65RRZGSxH9TMJc fikZQPugsOW766tjSfx0WUYRN/8ok0+S7hyCh5wv6TyRcV/qeDK/xB4u/aSEEZZowr4yqnvk5poJ l2L9ciXwPZNF3/HfaO151uH0XyY1RP9Y5V9D2zrzCa5js720pO6nzS5gmBXRmlYQkWZYHNd5Wlky InWncE95ZeVecZDItnzXuSpNyFajDw8pXWKDHx3jSPYwQvE9hAJWLDzpuaG1c0pjVF99zv1G9x4o LFcYEr0vahq73j2eWs5YkmPj8bUnRO8ZZDBLLmEcgs36pJxN5QiUGJAtyEW8x/Vj7Ivxj85wfCLz QtP+U/Mfdb3yh6yQSuePGSXSI4QFgZ97xOnny0wfcq16wqo0uNk81ueVppUdRdpOJFd/GQhjnfsR QDEbzGRs/0eWYsMgArSHMGoQP+b5+Qzx23O6Skm2fy6cNI1au7uADg+ENc6dOSP4woR0t2DXTIQ6 pxxODG6Cno4BfufsoGMZU81Kmy27VG1pVXT65EnlCW14p3KOk99GHJ/+e92DkA6Ni9vO2h2UHcJ2 W8KzrLVKp66iP6vtAFEMHiRIncS5P352UJBxz5r6n1/oCmMYswsk/l/WcpKUhdQS3/OeegR3aIpj hE7NT2noWCM9V4lm6cE02Qe9Wsr1r/WlGeDv9SotpecSqovRTefqmANY4Xri267K0+XjobUuYGR/ A/MycW3Yn5JP3RKtiXKaJgi1IXmnIZdcc0V7pJZB5qXms+ffLaMZp0YfyGvYA/mWP7BGFXdBTvre hU4ju3UNns4np4bFv4OHRZbLpNAxNTq6+b0ZXNQ4lAi9iUohiNsc4+1EaTM/6KoNu8khV4oCgJOp ot4quxLlSnI4iZ5qnMI5327w7pwtPYELHDo8FYUiwTmlaAjeKLJAQfRMgWWNrvO/1ovdJ/7UhFzJ pwQK/lJhh/BmzcGyTiCIT8SYjtJwSz4kD/JeK/c5MIWWMIUB9f3kiORyFd02f3zePiq3HAXW7N/n W71rOGTGyI65j85+GEDm67nuM5j4RqGXSpQuZqJiO7syE0Y5B7CFx5eU8uBrzzYtUHJjHILYVMFm iRgJ8Uj1WHyIclYriFKq859/2ews/DvCj+RN0UdVzmgVCQeq4po/xfU40PA2mGM9YdGnkxl0AsQQ agAgk7mAV3yx11V4HOvVVWV2ANOZhtHb1NmXdyZeNgbo48oLnBSS5os8hxHM1bqSZx0ASEv5YDrx nt0n2NH35B1k7Ifkn9pHQxW2NbFoDzktuXyuLMgwR7sw0qsTeI6zJpQLAc7aYl9LFU5NX73dIW01 n+VArxZv1HsW8wDyWct9qc+0CbYKlEYSRQTqLxdapw5T9dJYvS0iPqeXdPIfFAHq3I7D4bUAm2QM IgD9YtwV5J8369ObuIxczvc8xbXOdQnKU6OExMVc26wOgGlR01L8rR0wUXPlcLPtsgUpU1Ks5NiR elzDg/IqRcZdxwG8usntZ4ifUOE6KrAzOPyAOmJqbZ24ZLUuT/NQb3RGhvpjhTvL9dArdUotwXea uh6CNzrLVfqemcb8FnooawKxNCyLX+5uLe2+3win65H+JULf6YZQpGZD3O+GiNU+oZUdTEjF4s8z ny8gz8oQkBVQZ8Vq647euFsJx8Uqb0/MfF0/unawxjUJGYBhfyNT+rAfzcMWSzaPZKkTopywwK7I 5mUNGfKyqc0k9OxeLfr9XfkssxVYDO2C4oVD0c7h20BMRUGZeni+yGyYS1h2omOASfzJVAG53W4O tHtZ3XdGuFQ7yQOmYoOcY598lAUBuHfbQZEOYl2unUYd85CGXK1B/6KUslSUyp9gq33mGECvHFZa kjC3u4qB0v5mT6J7JL1VNiix5ab/WV89id4pGOxb00/1t66UAVQK8EVW4AZVce+QjMYw3Wlcs5C9 t0t0v64vjvxypgzHqHkZV/halWoUQ49EVcRIGS8WGzKaBQ/69KuUlRgO2Mgz5VB4+50zTCmoGJPm KoQXvo0qwd3eTxtrHnXQTxRPuTkPCO6jP1PBDjVTwWbOQQHl+qQkvVzFHsUvTjiefUmBCDOr/M7q 9ACzCQtJmbonlzLwIwenFnJIOy6AUBwbGpuLWIFnOYSNkszi0UGMvMO5p+9ew9YShtlNYXhGJbyb XFe2Jm5o7xCb8+BKY7CChSdYiOGit1x2JezIa9q5LgNn+OE9znA39rMAzChbmEhQGOCiouxLWYc7 Y/l5FgLX5C9i3P22uj7QteZVD+T+O0sWV+j7fziDFu6875JTSlKStGH4pHKwZgCrVeG6GyHDfipd WZrRg71tVR54Y2hk9kYnfjo++tAQT771ukR+bwGsVRgIynPeg8IfwzaY7B1am4zRXVJRIBYzCpPJ BCbM56707mi6bZlpxV2K8u2xX3AVKTHFPR4ejwyxOubad99ltCbZsgKw4v06NwFzUGz1wqfJnqW6 NdgdesRbUFo8lnINw39Y09DUL6YDXUnWjY6Q8NZtR9z4cG0L0lVcgO09LOJ6/WktgsTTCd7hyIbY yt1uFFh1fMaGvtwnsm6aqf6s96xcMG9rP8RQK6HJK9QN2A2XaROdwCnsH1n+0vG6yhBdPjYkqDkA 7EnmZb3ZqmOke1bmBXzuuIZEv1t0xMZeq2QJGmRViosa4guNtLoRW9oeiPrsgVxKIYTYCn3UZnR4 7JR1M4W/Ck4SvzENipnFOLSE4HEY973M/6QKlRNWIL7ty+lB21pJZTtshfiCp82aXBEdbg++9x54 V/CDgdRRR4KChwHPqDtYN7eXGi4uP1VhfthI+UlnH0b1fNg3Fsff4nzuB1YlWm+JflpcD3HhS0nm ui7njxNTxraVtruVbRCkHx+NWBfPbDAxGkHROH4IN6t3e3BjjCOBQx0SPSmDKDdBa+gzAl95FQ/C xUqLtqcCv6zYg4Fr1g5oK6d2HRG2zW/JLHFPax+Zrm7uuPkrPvHVtGBnP1SP0IhuorDI0olVzK+A /zbBI7/h69lULTkSZivJcljQ9uSOcX6LMPeQ9ytbZFslOKe0HGlPqR1Pc1ees5J04mI2eRf8r/vU UnTurgvWDz5VvXvEEqt4i0NBS39A4q9jcFz0suno4SXP6v7rkylkCW0jZtMLnvR7ZBu5k6lYI8v8 EKnRAfa3QYQ4fhzA9sGOXMKPfZDLP4BZyq64++Z+Ckr3ruRvg+U0dDFWVj8NinRU0uuNq+S2oiae i4a1yb6lFoXRaU5tvWoCf+kVmI64B9QjsQwgc5h5AqYt78sqP6k7qqWmBgkg16k5PWAp4Ah7TfDd xdsRBsk9GHTl9Dnneoc+uxellufN1nGDsHcHom4a9476HjKrnwYA3k5fyENyYzv1cPJLuIzcDdJD VMAwOYGmljq6KGAdrdQ5pBm9k7E1UbJvvLXlhHg+94fy3UJgDXS+hFMlN0IdOF3wMeCbp6+2uLlf FN+XGsjPkoe6u8cOQyrBTPBDsbhis63XcG1R9irxK0C9cJMiU9Jivvj8mw3vhxPmjnZ76tAWzI0u TnzLrwWtB0t7go2YayNx9mZwVBHN5dOcLY1s0AbJZDurG1pJzp4kYkDxuhYqO+CSDFAGHfoo+2Ut bB3uiM79vXI1NdtC7Ay0uscyOIPTdsVlq5LAUqaMG0iv4esHIjpIkI0JS+yizqT9Y6oafKlnjoao 5Fz+/KJ5DjjC1+0mbCEQC6w+wBLRJJEOxiyGsQHeNyd5mnj2X++e3aYAwUXDgRRjkwXyBuNEOOJk PD2TkAfiicNlseut/2AGbX3o4CXf21o2FQ5bsR92QHXylXHzFAJF5Ih3lntOnrmAH3q5tmrf6Znb XrGDk6OeeLD1cAz2iHCrSeQqysZ5evPOjzQgNguMX8jZvZhaJ5iN/ipYA85VHliVR3pl5R/OlI64 stmjV26qdEjLHTogZ2Up1CkNivSdKSYpah6nzgN7x0kTe4DhG82DnxdAeQw9FIQxiP0P8MC4mAYJ j8QSfpoJOLjTZWsyxSlQo9ZvkqurrZihy/ORq35haxmqZRnYCxWgQOl3mM26J6u0MNS1zaLSU31U 9kXBLFIC7x2b2F2Akbv2WgnPtwzE8NbJp6/P7JxiUfZi0wCk5prNa6AcL4MghCZvxrFzvknD7hpF KdN+W2VsujH2FNOIGys2KHfoxk1MSfVaABVNQEC3rrca4pB3jxtLMguIs1YyLQ5b5UWURPE/s0Ea 2sjzr1hRo0901NfbOTiyTNLpU9QhLWNEEd/P8MaKCvBjPDIKY9IhPBzs81+Aq7bY0GATAF6Yo5cv LL2Tp8l1nevGjmuOfotMgPESDci74VZUfEeqc/7VW4MSU+f+I9OwAMwSdMfFqarylpxjiscTNiti t7gaN3LkW8zCgqMGtCvY9dV4CZMjEVxgcD+SP/LHJkRydo///2rYs6dAW3EuQtzAcjtnJy6kZM4M fiDAAVte1RPVsGsSmwdZNxTSsQAHfATABL9lR3jS2DKUXC2fVqdlTiCACTs/O+9Pe9lbabbAaQcI vF7luJ3DByVkyeByEoM1UNHufsYtpOiJ1VLcdxsXOsWbB2OcO+Uyf8ybR03OYuqZYdVXgyqwPTpG ihNAPw9pUseZgayaN7EaO1ZnJIE7BdvhLHZZnttY7Gipc1MKdLdTDjwv+C6XZIvQABGqm45TrO/e O16ClA56BB0jrOkHAKpiK53cDuWuzeWpx/zH6vUvD0Ya7JFEurp9KVvWM0mWSBdHZKyTkFJt+CIJ /ilFL0I09yiXh32lHn3BC6jZTash/aq0qlYbmB/3LejfCIhF7P9dazNXf6UTwXDIbONwM5VNIxaG 32a9rJoEftl3uticQiv+oN2M5x5rK0kkzEOdIN/7B3981v7teIkBWl4XGf5mnFleCPd/vmQ+41zl 7F4bIpUrQ9Yt2erIX5PgvRHWgspzIakhmvn/Cr1z4Pnnfm9apQ2/7kvP5fdByHOxP4pDdUfuJcwu RYKsgtb1TUcBEz2GXWtMBVgfYUioe/cLxlNwakj57xByGjBrW2dGmn/y1z599cCMZkL4TtVjq1+7 Wg0+XJLK5sh4mNblsR5Heu26j0FE5tzPlWaKCi58u6j27Pt28AgiYLQHC3CDodrQAjoEtrfHmW4w LEVJgMEHRxBextZgf65Ook51/RS4R6XgVfS5MeGKvNbIj23P2Rsb+XMOBF1nEYNOfZdjy2u3pG1b zC3gYE5UaHg21g0SVk/OZh1coVkQhqGjQ+wHtWgYbr7hWw4WDnWybqCJeQ8rfLaWoL4Jim1KsBtp dRGwzGzsoBSMpYqBaq4GWLPw3/8NtggQ5s9gK5XYU2PDabcLsgT1utWccBQDkUSUIfLEmUO3/sMa 6z0Atu4tVfbrAGCCQ+628UnflJXwC2ShjrrhvHC1TrrXqonQi1e/QRbIxhDfA1ta0bXKbOELkHnS KXm44KfaNzZPM6ph8GZ/8StqxkhUvqUNgmNfRXltp9HGkmterAGFD+5IVj4mNRgw8hVBn0wOrIjD UMB6I9UgY0VOTbPl7FaVburhHN7XQY6r7GHwYXlQsKf5LmQ+a8ok5ADPa1l5FwXO8Hx71HNRqyE3 /E5G8O1n3YKfrhqZ/H8Yqw6S2jYdj5xEs1hteyPs04yiGMYf7c3OslEpPhoI6+5mIMYS0KOyKzdY 2uT2eS5WbpacaIDCfxEpXazt/0+hwdHS/JEGHr4hoR8RtaEorPFTRUI0SpElJPKugIg6t+MxpTbt /1rEaew3rdnS6cejBEuf0/H5x3H/+WRNBY5lHuu20//HwMD3NbQmNF+L4gIQcUCQgGumqk/wFg90 fAtlRSQNFm/oHXB6/Xb3kuvCWdI0WdpDgcf8mQvVJCXUVOBH8brrFWZbguKwUQaURlTgf/wrbpGW C44IVD5sv4B032kYLRwNPGiGD9qUkIgA3OYUSpWFlDVp9ws43Uj6Na2IgWUIBv21YYvCrY6TFHzZ N+HXyDMOSdueqcTZHuGLL691IVWdILkWUcNAW54ifDBuCKSC9M7kw6htwtj8I6F4G6oJVN6BYb18 W/isT0D+b6ksgaeSkCdY4nqW/8pAqONVepL0j3lEZDjVMcPWnckNY7ifGLs5fVDJf/ue1iSCXQDk TlvuDzYTFReo6dRZNTFESz7xznzJqsdH3lywtxxfwopgMj5AfxnDfaFaAUtbFRARYfkTak/BHYP3 XGNl/cxvh/95EPNQWsytdM3nIC15y9bahBlwBU/Us1IIbAOK6Z0cjcONjmJ3znTzA98YAlShRD06 x3CSt9lZIAaluAvD0aqaTfvIDcmtnfKehSazkNh8FMVU/Y3Ro8ODLPusN/UkEsEN9sP6K5M1y8Qr y32b2y3BElzbwLRd/krClC5rlbNz+KxUK5OQsPTU+fWEZB3zIylOXXi2slZ7Ng4qiD4T8V4AMFNB 4w4rGCNq1oAf1T/V+UTuRLERvijKpdhUXdNdERFwdmJdHNmUYbXkWzdrEoca+S6lOaVzlnEIc4tu dlu4XeI6M5C+WDAzNXK7pWNCBS91IjthHTuiURuD7qq0P3fcrvQ+lick3PdKrGxKUZwpp2iRYPLB NBpp534E8n3KxzhXXC3IO2a77yFnKHkXOMCMehqn7k6ppiiTJeg3usUH5ejHmxdm/vO1Odhhab7V BH5A914KrHKyZO2VT7CqxEZpn2s6BqHsnHhcKbXDpFa6hhP+75KVcisfJgsL4UMF/e2ClPeq0qP2 COoB2+JIzpngfaTqiIqsfEHN1Q+i/rVELReng/9dQATJd2BGxFRmPpx88q4eoqESl5liHM1BYc4h 8Xpv+FV0U85mZ9PjdZORtpThmhcD8cp2br6pziJeEpzrXkeJrmA59rqiD3gw5gTO5MXJ9Fx+ZyMx wIuNJfZtu6uaRe4l5UecmW9xwwf2MLc5xtoxHAie2MKHihT5eqok1NFDn8KWN8A/ZBRbGmjkPBML R2MxjeF+07S0DS/9B1I3LIVKC78ZDFqi8auSJPp1VtEvUQauJ8ekawTJczB8kuScgjVjngDx06DI ezJeVgHgG3Y11Z8W1v0d49hvIsCytLrZi+jPGHcnGaUCN8WHCbErZifu2AML60Q1v36iXLekuyx5 fubnAEa0akTw0kS0i/uGfhSF77SVuCyR2DFltbhnxaEVFVCjf5X4RUtY1jYvs6qYlTY7Zks9t5IC s3of4fpZTrXqo4GBMBTR6FFKaCzNcC8ghOVspO0EABVlctZL+xGYYMNXLlotQCdUWkXnryXKfnez /D+esXEDCguSH3rZubxSMqJamH1Sy+hknl9l1sMTcul5BEV2aH8VYWiMUWFEmKmL2z2VsClo0hg9 8IlSfaljnMqo+BY1NtNf7RSqMNivWqERf3BQ0ECEQFnhA4cwsmUB051y8jwwIl3i5VpGItZ2Ctsd TH6DBopDMAVPhp0feacaj5Wit39IaSGeP4E+BAulWETUS+kGOmBK796VlmPWd5DK7CAagWoplSDN ChT60A0gwp3mNz1vuISdAhjWODSPIMJWqIGpIfHGXes+ggkzilcXuiEx41NJK9x6QyXoOlc1kV6A u96VoyhoNz80BAvTX2p6chAyelTOaP+dpUqSMTAkeZNtBrei9DqwlHHxSp5ccwt5Hpt/JfBTLQLw 1UW64Trg80Hzr1/on0ucHxXsWbDxjdfoE1ThqmcsVNWLrAGFOm0f6Yj8VqvmrF7kKM4ffBaYNbSO eV4dSmBPyt8yB9Bjs4fXaGgBezKfQoIvwve3SCVuP6w6goOYfIYPVtQlyj6tx3UvnWTH+X6R37CH 96lZacQJRma2NcsGjQ2uGq/RnNLQgrMzzCPlCxOuKjNGG0QI4xKrhxd9NXzKQMxIdTzsfL4ZmMN1 SzfW3i0ihaWQ9lOML21zNP0rBON9DJVyRfD2ZMx80NKA2fH/UCnfIo/bYa8NXQlRxcTgcRZuRmvP Y5Ykjj8l18XxCvaHC+bFT9bnhivyojx4BRoUVlSMkSVtqn4Ymmz0Lzbragwcljbj6Lng0aX/CD28 HUoiJswRUJdNrsg90l2RztEYwfqUf0oNIS2yIAy2YAd8OnyXYVv2ql4B4xUawg3XEFPNzlN3L5LT 8fOgpscgO8+rkeyhhdM/zfuMV9YOrFvfLPg6M9+kB9hT6zM5t07UbxGHt3dJgLEQnyFdfd1ZNd43 wDAaHjOIyLsu1VMXCQ0hoGuvAhDt+ndNmNV5PJnhmRbqkdIqgdnn8x+IYKREPnq6JbdcDPSusHCn N2WL84N1yO8dwFQQfsKcpbXLWwhN96vF7yHJX2Hc7McycEdaRhdmZgovPHV6VFj9L5pBd/C7jwUB QE1vki11aiqVUd547I1GF0iE2nwf30vjm0IRGCkKnO4sTgNoKKQqGD8JOdp34/b9h9g14WM2Sl08 mMdstombjV9v91Nm8PF8ygAp8X4MSQx0zuZgXZo/pFQx5OLx2RYu1/PjB5Sy4LtwJ9x1UVqMp4K1 hsMqr+pj5a5KjmD0cmGZaPVAO58DZXhaM11XaLQKQuJoN0dhr7lSl+azYfaY+ncq3SEx0H+4VIsj ASG1vbB6vibaCWci3j7uyiHnde8wn26QIu0K9lltQOIcO8t2v/I+BAR/5cEtfCsDDSH340KEsnmj 3OfakjHIxrZ/m4iPgQfUEjOK4KKOd/yVtQkTbJF2RzOoKKgttdhpqVKSe3nWdQ/pjURAsAhu1mJz H4GbKj8prpNl8Z+nLkEl96jFaXyBvo/83ooVZ1WgNkuRxt1nR8/MsODLRPVaJKd2cj6EgDBJvB+p I04WV3rg9EW62flr8L6R+8CPipKLu0LuPd7nkZC4gXf8fn9dwtS7UqC1Zf7kjbXLxpmFV0KU1KII F/aeQ7d0HtesG51fDlxdS8OQXaW8jhKhf4VB5if/CAW/PPLyeuYxHEq7+qM5kI3Y6D6+NcVtjAXt PHiff8b0imFBLqrtDJ9xzx7hSX9pJhSfCkP/txPsOhGFwNI52CnDBvL6eeanqa3YB2qazy3EDRno 5heroWibBwWKWwpfJntrNpMu6nS2FXL/ObpI6VqZkLqBLqVizJxTsYUF+WVqXk3R+zUp8n4RICWz pQtfM/5vL/ua8AJ238ClRcAU1i4HcmYRN4U/nu/6fBb3rC3/n/AizZu/1Jh16tOY8RlnkGQ8GP+I 9CYhR4BUiBQGuBYeKPv+dsoxJZ/AD2jim6hJILxKRXJMJ3BU3jdsTFeRXU8TfFBvNzPn5JisM/Ao /fTqfEeJJPFt1B97jK04ugirqNjRLHYGG4b5opOnfDPAO0E3zq10kwaV+bTZogImqpXkPujQhu9k Jg7gL7vr/LTFbYIQ0MRVbXOyrXBwL756cnY/7pzMU/vasfQDMCrXTOEW+sLas19mb2gt2rG6E8Zl dh7+mFekc6MZpsK7d8LL5S7F7SYtVEa5aqQrMXrjM58Fa0miJi8SaCBIlBoRRSG9xbQnQmgkkCcY hcNsUBInFqb+5hx+2Gsuw6jgyvRonT3rIx5BkTUwMgh7jgJtSRuGKiNSzfDp3R/qtDcXfiwbS+rY SdWZNeRt0d+IGH/MpmA6qzStwsrDIc2zVBmqj38n0CuJKXPGBWpjb4+Wv7FdAPJfbuTHZ3WJpAI7 MKVH7NrXsNhM3cxwA+olB8NoKl9fcr0e7YRBuSy4XNqo/q90Auhmxr3gsvtDK+90ougz0b23pNqp E3W2C6F2nPfKHy2Bv2UzQ0TMW9xOM/sfHVejc/AEMNJ0fd68/Xo+8Sob1JNq4QIqyn3OsQVQTalD QHQRr52PuWqPhFf2rfp4uNTFyjYiltaRCmYHps2TIbiGg6wDx4TxCgs9E08rXxOIHujXfJJFv63P s05gjFuutjmQCpZ29TdsvOC3X1ZrKmdC5yZ7KOVENRAAI9sPzgmacSSuP/ZbDYwTV/0F3TB/QDOv Ghl1KRKm+aT5RSCmUVVtf4Fe7+nEjF8SCVAh2uWl4eqvJszfWBn1pg8LQTsi/KQskfmqpJeNIxnK kja/hOhTQK2zwFEH2KBqXAQeZwDhDOV0UCTDdcPHHriHPYJKWCf0tIgOS4v3SbZQTJzKZo8RWb4t KIJK6ZPRLHrqNMegy6MsKSZ6OM5/u0kJA9ErW9Dg+gZob6LTC4toO232QTACv+ud9Fy1SpRU77Qf 8bGF8vvwodGEJyQcvNzBNvD9Z1QAfBJ3hujqha3MZC1DXKZVXSf8oaJKGlfhohF+q8JPr/2iJhY/ peAukktkQQrDoD7bGrOGjVTEkAbS04xP5WAVV341mqsuQbn/xq4dVhqpxXEO110Se1lKdAyYB7aC upKX/TxtMPw2KMnx7XkYui8SyDClN1BpMNVMFNzJp48Jp2MIcG9Y/WcAECOnB7o3cGw65pY6aHE+ sHBC5/N8mhAsz1dV+w6bZ3VSV8EYH2b8l6hJJPCVIlZmS6878mWKXOC5kmqSQHJuo1FvTDj44nsF aQNk7Xq31N+Q+4hBk11t4UHbFCkcRmoDLlivcAhBfoY11Qg6AFDMqCg6WO1HFJeYfPEmzY7ZJ6NO 4loXFP8ZN5NDpPx6z5dHvTFuxQM2blmXprRBCxrrUhMEtnsu8K1g5IqAE07mvghQ8Pe2Cc3NeTlH QviB2iiFjUVbEnlk/7dxTski9IfLmIfPzxINKEMQ7i8k5n17mwtVFrn3yxU8zsNtRJg8DQe6N3n8 hFo9jRgnEey6xt8U5H7JBS++Nm8m+QuxBDKDyq7AXzNS+WPClZq5BgdUGmLK6kZgZQ7F35HHLJs2 8vu0rdBBcIVgdeixbtgQPKQaxNSs8rgDM/8zPvDq7fyUyENHbI430g/SQS26tazxe+aFQ4olhpIQ TBh4QWidEWcDp7wGkL4/Oa8WiSRWt+9VcQt334SKcnOhpqrQNgrg2r+d8Of+QGkSXKumUkioG6lp +HyksijjURH9LM5eM6cnaP+hwZlmVSsZkRMQcgGYFzDHbYQVAOh0SuylxBW3d+RpNWYXWacWU/Xd RQcZXSmHlsURska9HZJPhRKb83vRsBIK5gGaWrQGR9X+xQBh/4bxCyfXonVuqyMTysKqwNv2kYTA 59brcdKjCU90D1snOA75AOsFWDGuQkYkkhzfgZCTl+09lQwWy9JYytxMeCc18Z0LczgLnfbzWjbn fpN42db6wXaUVl53mL72i1OUVrxvN3cJZL8pJw1+F4J8kHKxS5s3zM6Nn/QlKoozXxOZRlWlQhah YsQyk0+nkgipNewJdjn6PFjxCxrZbcrjoLE64vFGgOFTtQxGptuJ4Nnc2HIM1HAX1N7G49cUUumz Byrvh4OKxegF549LhtYo+vjNOoMNJdhEsQprF1chBpsFzrteYc7wT3jADVg1agk8QKsVC6+L7LsG BndgdlMXsTMPp9zJyRrK9isbO66RWj2dO9o1ih0a59m2IzLan2toBlsgwaZSmiuwLx2v9YfSlIFQ 1rdhGPgdi/IC5tDbCBpTVL+0/qIpYFoZNwfS7U8rJrgKrJv/LtK4AT5tozDiLyxziFk2qQrXYbof +inu6ZrfKJePVYaHqRuwjfuxIgJA1Fi0bkBIofRA3reot0WYsCdIhRUGCq7WhcVVd8IeS0y3Vzau Ca5Un0txh6zuEoTzGzL0h/rIhNwa71Bv426ox4dQR2Nc2BLkB1cllgrpuy9aVIoaJ7k4VdH8EUGl p1+ZhuErzzwv18UvMcqFyo2AumwtTsxpjbmwucc3MAyhHNgd/aMNbTaUwa/YZPRT6pDZ3z+sFjx0 A9qTVX8Tehq8Wx1yRLtCkxF9HbIfYy8NtXpc9DbDgQ/VSIsBNdLdjlTYKqrGmT23EGaWLkk9Ycql GNI3ZJIks1hOrQMt7Jvwyu//76O5nwGxw7OgA0jyQqyd9IHYjcTCxk5zHWJCiJzz611XhzKGqsJH 3vNucqL1iEQBXlCAz3d1HadmB2WBhTO9HHQLtrbPUw1di+2PDjLIE1gzYjxiEbUYXNAr27UuQXB1 8Hsm5uLp3zcNGazmI2AhKm4S8eMQGxrd09k5TBGjBI8VdGMPj7QCCP6KEYpWRY1npVYhBuPCvBEN 3FbnYS9+KDEX5r5qY0cYg2mxgPAQTUgM+iRwDZTiC/KemRPeIz3de1CPLdP/2q5Qbjl6IzCTNho9 zq+1Kh5NjhDciwV5Mx3t8V5bSi59IvxvZqW30oTRUS5jjlQXl7OoG34HC3RfZNmsiJb2aRDMuSzv Mepc+6yrlt+pRLLREe2zBgDsClm2MvR2ciWUwOtCdZtCB8ermfS14qK5tyHFRi7BuL3SekNqb+oT dNNNXE6vQwBI+7LV5z1Ygy6GXAvTwqPS7Sko3w2OSYK1mI105cU6KKayUyfGBptgCilwtjLSlr/Q b8QuJ1ba/AGpKNnPRERu7VlDiOycxgWlXsx5b5uvaXDCjkyjTEY1a9GgbpraCSjEyrK1pDq/LTYG NcP2be0vzDpJhOdwdB5jGGLeOjDDTJoZBUAWbHrtDhLRwsvXGRGJ0MasL0GlYU28enesMR3PDB6E xifdRbIaBky+wCVlfcEcGCzwv6ApgSbcdq8w+yLnPhh5VBIuwXvsFjlaUYk4zl+Y1bGI45KDcxR8 lHkkwI0bK3UG1xiKFa9wa7BTsqmnWZuD+oRyeOPgZT+0d2GZTEPPv9sDjpK+80BpBeRPzb0/93UF Mdq/Ovae8gqZ/yhplsHMMbZXnut7CkE/fECLApl7FXBmqedx/XcxGM/TFHdtf9eb0ECfZ9iPnxUF OK9AebNMSpSzvZ6aF3DHPK03PrDTAEYkAwhw7wDEDz2eGIjVnuVuSM603B+kTGuP26AKTsG3XP6F HAml17U6HYKj4kSvUNTimin5ovdkLbG3ZeD9j+pAnwJkuq+VJqKvm3pupCY1Efz4bYgJDpNkIDDW e3QpT+lQF8pfrWC7ogq7K4Kh6xoGbCPledOoR0v/yVMzDQM9wZ1CXk5+qxbaR/KQZVrY/sJCVeuC VQpOnSjTvzB+DtT9JUivg/qaG2cw/+aeP02PFoYAjjUrpPIb8p2MFHPdpSnCN75sjaalCn8Fiwuq pWtDItVx/Ra8F3bngy8RGAAhXzksGGdrFHu+B0aNwI+u1ay2X6jZqr1i6Rdjune+USHjDXjJs2lu U6FgkCFBaAsWre2XNrgKdNT0hWEqv/qk/NAxuvA5O5N9P223+MPTLbwNdDADVRR+JBFGMo+p+iI1 Lad80g+LNy4+qoMpcB8ruGRnutyOj6tWdTDL6aqhBQ/eodTu20iQFvwwIjSEv8kKBuEvge+HgHlB C9EO6eGNP9pxeqzt3PqUom9AG9XzTg9Bde1CwjtSFhSweXstgoQT9LoYiBEQdTFBztgXKgGoT5Ts jyqnN5FSD/apZ2MMEfKS2FC4v1yicpqT1y9n9vmJKOIS1yms/Y2upVHzSalScHzKp2Y1Qnx3EX3I cjWHwpA0qAQZVc+6X896zXfwC0wncH4/e0hUlY9wxVe7ogLUKMTWtultcALQIcLZWNwTrg9PYjKj zts516slxPruPE79S6U6sWbHre37lxhdEUrcGYW6clLAZjoMNq51n3WnabpRNKd4lJeSS+Hoc8RB wIOdirx+HW1FzCT6UQvMsAlMDlVwUxVbtW+Vn1S+VXR1IGazUN1MColNeiI2nsfp5E+1WSnulrlf f6be0CrJINRrNNLapCgmEGEZPSDn16dI+qmeZN7AQP56r4bT/Y34ec+h3vDbEpYHY6RBP7SpQngl 5FU8iT1QVp9Di5PF9pBHHTxyQNh3X8YFtx51X/pZhbiRhlhDQN7kt0/g09Pxg+gkixL5s2llxvM7 Id2Qqg+Ao0bby0zWVHTZpi12oE0z8gBwR3ZhdKyfuzseApvck7FGX2Nm4cbeTTbG2TxDz05BVHQC uc4oB+ZZ2s9XPA1ODAYs18tYTxppXZQO8QcLxmKAA/QyatT1JB7fNttmzvkYKT3T6rwd1kRbuhsg 2sQD+JZNRf8S3HpxJjbsdY3ZeE0tmu3wZzXWlOezD3ERJS6oc+nerQV8yxmvBrrmApeqeNc2W4D5 fU2Ior2BuTVzeD5WHDGRjrn7b64O3ygi2UyvPxij2RJPAD1xYmPKxWH7uMn4P5jHueFo4wXo0JMq D4aDgY6Jpc46Gw4QmXqwAiog9mTEcg6aMoTDsGaWVwJuqrjmMJdvabHAIOytZ72jRwqdAYoYqVhc +h7IucujoOoeYC50uMvEVohFL72Kbrx6V9sgEk2QGdXcFb9hyicY3ulLgHexAL0WMx2qReyt51TM qqJQaVf5sp7LI/okl7xf8tNSUoRxOWHagRZdK5ou1ABVuf9F+2in/n+GHHdT8dn8SxqvtQMMPCgz NKAAJjNUiygSqt2tRGv15rm8YCh+J9lVl+vblcTq1KzpRZXHdiDqspoJv5bWwt0BsRB2kzD3GyT9 as6axkAe2RORCKkbGLnTqakAwYcwDEQ1Po6ZDjGNeHVNaHRx4o0Hluc4mXYH6jWjFiJHT5vE0De3 OHlPdcYWEcqDQFRfUmuc0/4lkCObhNM+sZAYQfgFOmEZr9e9KWklS+ZvxlBMwzFEfENgRARjHg4p M1Tn9U9UNMJsDort5IfT/abQM+G0Kpy6Zfl+SaEa+RIHan0jDc/fReJLUggG2CEdKGAzLOB3MRWV zjOFfnTlitHOxGgcV5uOJQ/0gGk2SuvMT26zaFWkVkxjRqrKnAkfTozJzLFR8BuJ4YnGSfBLWz57 1Ic7e9hw5SVeP9Oiur5ZcFW4mODPRezyeZ+gJX34TI+WOUl8noxJMFC52m2rMFDDqFs7GztOSJhK NU9o8UFdVYCRhuG5JWb/vm9uAmW2RgbpdvxldVDxb2yNHJpdmKs+wXIzZcWgmIHuw4m/+JyyqQfb Ad1OXrHtri/QSLYWk42xL6x1fqrqCZWb3ShRDvCOTpYDnUHcFEBKYQOAmZXSZa9tN7nZZ4VSHd4F LkPjzo0NpWG9nseZ3wQvM1Qc57hBfOo3FO6YJMz9oJvwpX6A5B1DXUUbmlq8O/hYfvSKdCzlUefz G+CH6Sa9Pwlhx2aNEQSpiS7bcy9Vyy8JJUm+ignPGVVHw/xN36fXpqJRy2zLpEeK033OpLnB02eZ OxWc+yl429zkdzRfcIDdKwhPtYVjeXKYdPvI3UfYA+5lksXT8BVo0HEamZAv8ZtShDrodANC0QMu N+G8SarNW4F1rmhvyttMpggVQpix2oHl/quSly/FFnoJapH9Ra8/7ddWD7NLY3AGY+gn5jvBMGCa NwReaOzC3VaLbE0ixHKodcelNPo1TOjuIaD98Eu7ma9+/FvM04wzPZLDgzMPPuiIN3xahJZbJF12 quvXV46Bcx4otDF3nnYZq5QqbR26qYaA20cqB2rjisPVXrb9Y+XIGT/DmlTXrz7AOKSXssLDbrLd tgeO7dLGSQjbFZiksU4imp5kMEEAUQAWIXJlPbpXwHxGW1q5vEOj4Iu7sXref77ndaEuejAUYC7P 3JA5RbJrqXTZmkk9QY3elzFrmJHkV8yWE1uDbENH9pTV+mGmEv97fthro9ahFQm+j6z3kckeUNz3 blMIFNe/hvbdnBeAzOahaKzEnk4V0UBhphXRLa/XNbalJiuSqHkpvegbIHyRJXP6BvA6CzlWCjTF RVYkir6ygOHGVfp9ZjLb6WIi2HDZgOK3SpdyhwMDmIxtb8xoPWufgYGGBOzIGdESmsYOeg6RFcsd HnE8OOT8YkTN8OPdkfWSLKXG0DDFopmO0ZxlATliD3BgUeqm7sxDcTDe6l3uOvOz5fIpIxVVbnAt DMPO6ww0nwBKYyI1DdclG8shD/LKpQpMrDzAh2Gdtr1DggT4Fye6TZBq3pFgWSOQalBArJ61lxD1 ossBDrcG4/52O0BjYQguob5LF1aPaDvZjwyzo0lUGD8gHrD5oA6zy0kQ56RWdII9TgZPGNIlbBJ0 VczG0kUNvNhmsVbeDCCliwX4UQXXEv5UHSopqiCcLxJdr3HmY41Divm38VQ7heS8exKPUYrYglCL lo1h+SFdGJPWKhhX/XPbNimQr+WDzeuj6pmN1akC5NXpA6z5Ffea5IXVGmtwGfq4t3kQY5dtjGSm ewIERiqkSNv2tL4p2WUSj/TwaExT13Qhv48NUEW7unBIZmzLsJI0LPIpn3ya9//m7uQ4mhSCitLh t8z9AUf4emraYO/QCGFkTdP6uuVjWNK8goIrgvUnX1xo0tufi57/JMQnXwOGdfRe6zExsQTf6OJv gxStuxzYUm5NJCN265hwsaacZ6f8oDjPRgJMPzmp4sdJXhJnnbE1ABy/W1t9frrxQOw3c05KUXJ5 mhJnEZjyymtr5hGLs4kQg2Vf+cdbiEkiPzdbtAGdXKNCBi8fnWzMBw6CcNQ9XB58pdiHzpGtCm2k z0PrtFgj/4fuZvrU+Lowbwrli8TKGjrCmWB1kiu322CG4qpKWke7mZXADope7wyMVQUdP1/ANKJw +wJ8crtgdF/o16A3z+6i6/n8s6eUFhcmBBzd211sGYkJHWCddAIKUCq5wBsQnjMBsJ0tp3NoINAP DPg5JWvrz+27CjHfQNAtpP4IgUX/SMw9hkn6JxEkyo2BAXRBgcOZjcP96RO+4+QqeVk9CzMrB0hy a1WxnwLyg+vE+/WfNSaUSr4brt0Jmc6PFwz1Y2x2Uj+kzMtYh+qAkjWV/UU4DmgXZy30YA9IClH5 73wwa1EaBt4kQNx/P/Iub1aeo1Mnc+BzeCfoMgafUONFxb5SELOq18eCDhvR8bIfMaE/ZXzMSzJK TY8gjSB2AHUja0K1aZWofRkoJ6+FW/WGkYuHWsXwtEPtcnMC2UhRNw2SF3YPe1R6FX2xKZKDoEVA DNrrG31vPAcxcryASOzoEftotGSe6ID7LQJYqhIr5B3VF5MMTSiiIDsQqyWUdeMRDylStq01lU7z 8XQRphUKjyRkeAOUaOfuzUAhu//zCjSt8NOXh8nhHVm9Rrpu9oKODb1Ncz/qg2vukmH+FwdkpzWX F0iGIj4b/6WNzepa4uiN36C8AqnsNM77+aMZTLG4oQihkEq0YlrQhH2pWusrETAopTsOkEsAEdn6 76hICcsBVhr8J0VtUUjKFzufBv/2C9BDHFClFTsfaQRKEod87QtdkIdmOusLFhDNYDouD6IucPqX 5kHUd42vEpHVf7x158zpjy5d258zc8fsI5FYBq8EF/e9atpTB4zLcBjk15mu+R0Ij9qQzGt37Fii 9XMTvIaoG+KKnXFS2wlZvijqsxBOyub4pR88Voi2zS0FN93Gwiw5QYFqKoy/I5QadD1siePcRDMv WDlwq23PAhu3XZCVEFKAt9Qs+SYLoWgrQX3TF5Hk8m3LmASfPswLKp9RHOOwLRpuagnqzm1QOyzi RB3HzrS7RyTHL3DWkq/u7jBaFjBncbHbBUQmZpiTlpzOXrUHZyDHT6M3nNHaJIWS5N9qXtfZG8ht JbqmzdUvI1F3ZohwuMpovMrcu1chmHn4Bdzv/kwXsEQd119C+JY2vKLijEB/FAxG39eOcu3pxIrU cm6t/ckZGW+oQxLBOOThMc/+0esGOf7/tSD8Jr3L/Yk2WRUuYXoFPD6SoEyZ5JV9pv2LwrxvGUme I/hUx5wp7ZwmIz28YN1PLep9Eoaf0I9Zfn9PhW2r+JX+uc51e/HXBXaaaRlbUgZj7eVnI33FFbtN X4DSW0a0VJrtgDaBaJrlbC9eEkN5ZYRhEzjaWUZaWHSXE7Gti9qAYZzXyqlXp6EmeNUEF5Nm1GrW sjxUN4lgoSkdpJj+U+wE2TCsIdInbLsyxCutXDod+UwK6bBcYp/6VmWl8E9TOU6XgZFdJo2pV/f0 VkrP1sapYAnE5SxoTPIbzhh/fJrMMvSydIdnRXtzE2fiJEymN1alrOYlqPjFBi9m9OGNsRS6EbVE cCkkpSZ5r/AO5kFrF3boALtBwzY0yKYuUlbq+fqQEEDVmD2hsFv9G8zPGcVMF6KWw4m0b8dGTcip xWCf+myG2U6BBMtKZW0FByd2Ap3TANUKacUj6H7muG0VijiMLcCEjs3c4kU0Hf6aVdB6JT/peMGZ XhJULuKJBwPhTJm105JvgrEdygadH3nHy8AfcayrLCwe7rLB5doqeaKnOuJJ98ipoHcjfTE+1Q79 y1Hr15xf06MC0ChGCSk5LuyLhe3DniDDJUoKPzA0iK74D6lI2JzYLleaOcrkPfLoNeKuzFL9w2q9 X5+zerBmMKNMV93y4IKhtozcmtO/6vm1n3AsLVcIUh9DM71JxfDdeu3uCFkAYivRVyD0uCOUf5TK gA6Y4a/crcmwtNxi09PIARueLSe+hYEp4MAsACDh5/cWdZGkw4VMcZSzxCi4/YWsn0kqEMy3xkcX SYxVts5EwHLWXtVd8Xwtxqh3taNH19/wrEwCE6v44+PeSytSs4XBlLFF2uWvPWgbtJ32EOAkccdn 63NNipxE0IduWjnayp94oNPa7hViTacFJ5B7mS7kfIinBGdwel7oaAIRQvUAuyO6jG7wFyogMMe6 J41TVLEYUFgxrd7ncSeyyRvbc/ZM8G1kyJC0VRKsbqOg5QhfJrcx3YjPFVsReCuH9YFG8aOZykVT EB83jiysb81h9AnSH7IcDJ67sOZLOLfa4CswQAv1wQhBTfax18rbInXIo70QU9bF5ppGwT/I3l6o /DdhaM1h3yvkv6a33Y+rbH1PD46aqt7+jbWfCAK26Cs7OCzND7OC2sqrfiRM1L/VSnbNre6h4P+K wLui9DrhHIoX/DtiL9PRAMGgYIjobUPrpXSUOaU+4dSmfIPrMvA4dqlCvfQNNd20U1+qsIJYICPz NrL9Q9/2SL53wkdyDJtojh4M23FtKGhWPzBTZLPu7GrfwCTOKsycrRgs2LN+++4sZSe/bdSy0Dzo MF+9EefXWYwlez56Bt2RvWIpGBrzIFOFqUpRWjoS8qWNVHLq8O8SEA+K1DwEofuc/HHf/JILX7C4 v9TLIpTLB7Ck8glfBNazaJM3istEAp2Ql2nUCIvPC8Mg57/qtnd26UiP8UmVnkgP4lioRAU4hBXl wxGfkB9MAFJtSBUcispknAiYXxsAPPe3Ws2P+016l70ewZ2XUtW5/xK96E9vZ9shLk3Ge9hGNJwV TYvoVecENSvZBitaMsu2mr5ugkbe0Qmgk569jkIhob6xqwa8K5bIlvb1abzQKqBlJPW5hy49CEaN QbiTRXKxsHY/vafdnmmmNsfI71/HWVVHvvjIGTZHOZCVvYpfwQ0q8tcZwbp4+yZJYvUjkUGEiXdn XTlB9clBzNEgaYYaVFfE5l5DhreJ85qPQIgGp9lnBhsDOMVO5LzKSv2XpQozQq3qxReW/KGZSeMq PhXbDriST71nRga1493/uidKeoY9vWVzoKpK5kx4RTbYpb21W+ychxHdl8E7HgNPAyAi8So0cuaZ DUycWJWh3asc8hJ8LgudQEibHGekAwPxxU4X70CIsSl419XZy6L5WwWDpTZub00G1zdggzTAAUZo bALK0lGFq6mFHbT4MnpXt0qDaGdlHmR3ay1rXnFIj3lMyfBBcRc1KXUU9a/rSIbYA8tCBL1MMvn4 n9jPceJDFaa0uHFYhS8fjDAAgXBEkfuiyd0+choDeqLxJ/ERtPgbzQ1KeFb5eeP7hY7MW7jyQbsM TRUcsutzHLZ31jH45Mme2DNu11B9Naxj6e5eC56lKMwNtSg4D2FVEPsh+JL4NM1lUyRpITWPa72h 2ocnwaTe95o49Xp2S8EZ9OZthl71eqKWTq317UMIZkuVsRSToSZDUuXpTnvAnZMm6qs2PbeN343W dKfwglV0z+66wdHSzGtuUgw7oG9YnaNVJeThdh12xRWJa4Gy+ytO1pg8P73YNQ4N2e6czqYx/gPo uufXevjIPb0ZnxpGH1DTrZyt5zEmgo0O2TqyB81zMD/r8ArAYhuh5XB2NJGde2/Y9K9jkL6iyZFi nzV+Nj0IY23c434aSqtV2qVa0ZHfeXZpgvGLU74//W+nUfZSXaid+/gQeZWcF+sCvp3X7xroDhvK 8UglX+tm2n10eEYUrk58TSO7Xz9J8awbaI2RvPy3Cn4jTM8YVtexDwO1bOYnD1pCFS5IbEpxKyfJ +sSc6sHVSR2o5KcrNZ3jNkpGVL+EuVopCCXVZsXrqT8S1B0Hiey7OXaYpnQTYrCS1LQG2pq5qWy5 Xc4Cvf3EDGEZk8shRIV0PvJJtMOlDb4eo2RuDfY5xxQtgtiR9H2YZWnWyL1feTwQBDB5g530vRq7 LUvVz7dUBihr/p7f2cug7Ifz8/8UZJC4wzoV2TiffXYoJx4TL92qzLh2nhlzI4IpD+WXIEwV0N36 hR2oF5gWcZJZDONVo9NY6mESdd7jJeWbT6vAfu5bZfZDry1pZLvUTSM8xyf4r98JqYR5FUxdJivS rp0gMxrThKEFoV/bQLeNGv2hvFU1ra+FKdj5mM6Z1dRChfXIiUJajdQGOH+yPdaa8EaH6HA3Vx53 To/lWiQzhzhTWhSS7cUuLrKLBR2wfI+975iGe9DUkjKdBxm6q8Xo22MsmT2YABrpMFvkO9UTLmcd Pr6MljeyyuCG8MLfK+/4AolfyDP0mRA3fqS/7rDaKivbUIyHJl6GFqeo5TxCgamnsQagX5P1lsHh 86lL79giYfUA3XhUAjKl5OTpihYqTbqdeFYdVNzyfG2w7NGqv8qKZuhCQm3savc5DbHI+5Opr6Ad PIiJxb8S5WU23V2LVCI/xcLhAOAK7BCzFgUs6rfXeouLYYeS2NK7PJ+zmvnJv0mycXTuQ84erDyj aOwYd7lan4HU8DBZxE4hootuPQdwdSZPC6PbGMj5gKS97PS5BJ07A3g/ElL6UhSkfF89Norl7xAt tU0x8kfg+GFFbu1Kx+5ARNRKm319vClF/3dQCdTgX+Av7yV69WR7VUjPvgiJRUHRjnMj8Jw7We2J 5VMD5fzjWzDTM1poRgtVZTXGum6iBcfghLvkyIbRY/MmDoP4wsJ6NkrdzI5lwBoQUirzE4YjTqQR OJPRD9EXqGLu6/UPOEsyMl10bOHksdZWe5xTsvvCFN4mAG7qgjj/KEg64jOHjEXWsnS7+zWH/Brn vMVgptl/cORJ01nMOOGyOkT6PqzYfFlzMQTzn4ovu3JWC2t56D4FRJzvDFyZg+U+3/+4HI+TAv99 2VrNv6oeOgFE/RGSU9no6CXZrp3Aj26lAQE9jPwTZuDLxHSg68f//Wr52mcvP3GuXUX5jtEeMkxM pL++fLqzNMzB2B07RR4hZD9+1ttV9Te/uLdrWavmSo4IxFG/oUkcL6ESwb0KTChRlaQy/dOansU2 PfRSZew7y/ta3fpAdPEs/CIvAlH06mGoC0g2nA4zcoVA9aBsP5rllirnmYO66L83Vg207Sc+dDSl 3D5pVzvJdHZYg5TYWLoApJhgma42Q1qvX6m8+BFdfxMA66kmNQH9ZX/37kGsV+85p4MpT1/VOMVz M58O2On4A9Xu3YWOyKFHWY8TbXXNnrIcerYN8O8J3lIzzq0GVomTvkUGlZG59RTwPYqXXKQII4fk TEe2IEAGmEQdlosAujxqW44Nr2RUefA0HIupZw3NuR0q5+nWglzkKG8i4fmYbixMC00bor1xNRHg xnyzZtng5b9pAA6U3jFNzFQHT7dh9Hgp6nkeaEUe5L1qS4LwoW5eMuUMMiGcdR8l1PQ5otlq2YyZ Vv6EbsDSiMatddrr9f9VuK5zizgZCkraRhXwEhLUi9dfrNdoY95B7ZDJxFjR3PjXMZFNA5COX8Ug dc6fJGmZGN9O8RinK1EB7PswJTHredAvXdzo5+TIq35aljhAVMh7VMVJKfWxsycvDh/vMXcyGgsR VoyKkrmi71FlPONNpgKzGDiKgcYtGvwLrrEd2GCeuWacnLesndV7khVTgY8pa1rSbrp/G5Iw+L8x oWfnRBSOn80Sw+8eu52K9frwer8XXbR3bqGanAzXQBKsIrI4uJpuGO4UphNtR8HeyLknYaE8i/hS lUJjouSkNorFF7Y9cNTut6Pg2y1MupmDktnhHtOPePrGN7jf5rRvrQUbdP0Updrvi5Dsx/icmDdP aWsawRYoIjUUNcLwT34DIrTTMCdUOhJMDXTiVnStlHJz1sa4y566bZLP18jM6TwdSo0Kfc4nIVuV tzWEvegNspaxRfQi5tI4ohumaTLwD6huWTLqGSu+ZeSxE8FVbI85amlhDN85Xj9BfzpiEwEuHPRL k7H94QJjypTMtfJgDA/oJ+UvHqSjaUHd64V8gKV+e7Y2cFS2b+vKdnIyARQ8Pn8ZAuBKtxaGp+6Q DMluUEJRy3+fVkkZ4oAwYbrYU7oa9TIL+Q5BrY3+0zfBMOOdEGWvOVsKhGJZajZt15eNgiIDYNyT lUPCpLKAlZXzc7C9p4Y85GqEO3aO+lP0Gkkq0vYJz35DgJZJVadM1MvEeLKyFfU04ITbv5Fsfdbd JANLTOuYzRCbvxyh57sy96etk2HYe/0v8RPPRT5ztXVb1bm8G4f5673I9r7yWwpHcBa2KPQOJf+2 4407ed0FhmyntvPqfhT8C4C5D7IMOkvaE+H/6Th6mOyjoOCFvrk+UudXtRk1ctAcsjBkVrJfkXfX AbDzNxvjq9XAQ+7lzhI3D/TEKXzxPd1q2iIuaNxDEIVO5zfP0QEkFayDyA659Cbd6E2rmy0eyElX rk8YdYp6lGee4iWMpsk70OleefwUX+JLw9rINDl0HOx04oGQRagt5qohzM4nxRLYKoO8VucC8ka4 qnQMKM3Hma2tvTomyfUmWH6Vwja/K9uO7Uj+zfne6ktHK5OVwE7eOqdsjUFENlOkMo+ihOu2M7LC K5EvfbNn06GSoVWmab2eTCPP9T3NcT3d2yvBeA0K6xSgT1GGUUbi2j1dhI5AJ1UKN6mICLMAljZJ xMKDN1dRfoHwB5NuWoqu+nQ0xAICpGBYeRT23yRJyuIPCQx9ZsU6M+jiLU7SS5ORmwvsovo53RMc EQp0uX0Cuj4Vc9DBy7AQcPFnMUgBNPXC9B8dmp4RhmcnZcSyix8jMzhJyYATqQiyc39k7xPKgDja pNW9OdpNs0YWFXKIZqrGhksF7WffG+e+5M8QGj7RNScBrS4JyzzgG2kM2LRbVkz+PYwxH60VfF8X eEzzpU06AC0rzHmCLRQxwEYCPrlsgFfY/ejtNlc/c17Jwoq9c5btqt1oRR2JP0+17d8OQLkpDe3F 4m2NIwN5STPY0bM1VokWffrmi/OuNJYOkC6dyVeQH2vcF2MdMhGbMCkjIk4qkf5AKqu5RiIxvzc/ 2ju+QBrqb+IOPZq8T9uOnHA2cH5DPf5EWFRWJPXTVvs2mWciM9h3vXuguH5wWAWuBKh5rh9iFtDJ bXoxB+ns6RrCRjrdqcqy726M1oBgj3h+xpXb0mttF1QGhlaoVocfn+ZlpyjKX8FIILBpXZtf7jIU 3IMCSK5RkQZrI5hEDr/Soc3WmgAAwDSvuaoFKof1I+HhxzI5xKpodjXj41NaK093w/qOsxJAPIuD IhhYKdb7KHYomekViHPokG9n6Snj89zpzZt16Hr6ceNX8jkFpkr3p6aCrJ8oDwrubGlqNJUZtV+x HVm9tkk6hD2uTrl1s9GdW6j/uG6QQkat7GQt3wXBd/IJT8gYOyooTs7ms73WgerJGz9nBChtJEYP yEL8BhybTX6JBKY54qbKeS5mMiohFshmNkvhYxd4DyrhGpzjf+vYYQwgLllKzvj+9L3Z2rYGUTi6 Zh8z/wCEzH6JiDT/3jD57jVCvVcn8t77dHN0xJc+SdPYXA7aHj2hQqyVHABuLUigN9zxGO+5xm2c RdyMK6maBwdl9fHKwK0mLSCEOnSJq3AcpkKsyk9sz+38w5L6ALZfh0KEcoib/5AA5WvyRwQkhiNH HE0lAT8VtCUC0lUETkrkjWVVXH2ZGuFMrM6KuoPS8vntXaH2VTKUqB2q+WUh1+SatL/9KjCkJ6Oc 0AG5CuotgygkcRyedzFsaFQGQTFvvOvJOU45vmHynaEiL7l3+UKaXgKwCo6NMfFmS4g6KdAR8RTp /IoWnqoUVg9wE3vh67ZLNIeiBkK1DHse1QDcz4dMERGY9TM3/++gFkyEmVFdSTQyyxyL+g3fphDY T26RKI/ju/AagxQIxp9M0yDWHfSWhKhycSJ7kLx5fpq34QMTjEKMPteYl7jQXHXuQWraos5RH8Y5 xf1xj3HwSSJlwjO9abcxnI3OSEjzUVT2yuIun8ZSiKcU4B5eIuS3bDsyBG9hyTw5wvtbINLKsUwb OJskWgstGlsP1KXS9wzCLapku2NpdBM2oINOdGL6vgYWkyvta0CcreBVAsdS6BHNsubzdAqqL/ZP ODsUBka7awJ2G1x3e8gu3gMtoKWqB01Ye8+lbtJDHhtAPyrZpRr76mE4SYP07CXsNudF8brPNRJp ZM8BOMAA9bp1Jp+kDAHU5F5A0EBBLUPUK2+Mg+OTk52mn1399R+VhCT0nWCp5YUhX0HrUORMxFqI lHMh4dyruhuswu6rsNVVMZIb75svqhiCslhltcj8Lv+2BGqL3xe4rwsCRohAa2C58Oxzs2sfMY05 xv5c174zl+N5xIxf4ne+O4CVLvue0RhHKqSY6TTR7NiTBljcqt7HYIq258xg1wdCwIQAvgSdpGeH 1/KglraOCaF4xyIugqPEXllEh9uC3U91fRSjIz2D2f9H1fIyQaFdrDVSteWkX3MganP/8XYpJ4XR 4No9mIU0KdVOA0urCF544ngQb7t5KXokiBNXgSdShlgC6VaC+krL47GbBlz5TJUqA19IVHOtbth3 cPKWeYM/BSgmXNgxDE7IUfskX+X/lZ7mr6i1LkPX4/vwPWB0GR9q5mCXq0tNHcTt+tPrwL5crKcV owhDXJPhKE1xE3VA+YRw3DuDCsprri49dm4SnNtabX47C1bqKY/A1Q0uOl7nui5kDQoiZa/Jgzwb 3ePGuGfgysa5oVoVMA1NBRJNixZRoSXUZjH49UgCUx1Je79rYJOWnOUIqU8/voKBqXH2byV6oY6b ugLcDgp2+waWnP3JNIDLgTU9Jx1BjXp19L4bIAoAwlxu5WEIuBmEZp8GkeoflLDiB9rRFrX44y6B HS4Z8gzKEudoxbKi6D8/0wWGN1rmVtf98jWJKM+J2DpDYH1SqprPZ2yoE5g9GedCsJfjF2Y6n1iz x885Qb78yqQd5rNkV2cCypn5qublohV1+BMKJUHxaQ7U5cyJxPYNjYHi1fGyV8ffaDhdpAglcVHf n7bU4WXbMOkgxAdlJF2RfUDO1bYiSGCidINFt1B7wA+xXvzRbT/6f69G2mj4q9WLQvMdYRU3ssAJ m6bSTD8+1g6ToJrprUJ2aqzE/x7QjwitCDMtBpwE1P5/KOoU71vv1GP9SsXdd3UHvopT6ih8H02a nVGg2mSYTHMCHEDU2O441hFmADOBqfe6y62+tvePzGFWUZA8sGhobfASYEH1at2obE49+zdKwzwO bX4Yi3xX5BBPNVlmHSTZcXNnPdH1mdCPhRLM7tZSgHWsu4nplgZ5b8Mwy0PJ6NJDLfWPEhvRMnB/ l7KTSlXlLRM0QJluW7pEUKasIbL7N7bI6jfRScdJEdQdTV9TmLFat1QzLsgWIcaWbu/mmxeltGGQ logkmT+iF3+k4OOamfituFVkuRFRuKCHQOszIKFeFne5jQOsCMpxNScde28zysMFW+tE7anQZcKh yvqOJKYrHkFiukG8yIgpsDPomgVhM5zOTLgpYP+nvCwXJjZ4nLvN/O74HxKmWxJvAPN+Y4yX53sa zKyrfAiGh9LgZc+xAu7oUac0MkcvEFAFWP7XmRYLUv9Syyc7uQww926DSd3GBiyFhNDSSSkRAkF7 cqh89rmLFiF8H7gpBfYluCZqMT4GIFn9ObDAxaoY2hfpuYCalF6Yw8ixw4mWrrqNUnJsYaPlJX0K YVVwTvR5O9s/fIByV+en+9b+3tMsGTvEasrqS5HOXAD+Vm/4QJo5gYDMaHg279E58/WP4BoYaCh3 8E+y6E4cDL0I0unDCkGg3ADOqu5vYZB9cnYrXAK2rplFyF0Eo2BGyJxJGzbeFNc8HnGgXU143sq4 XwUo/U9um6JyymA3byuJZ74/X9m4/uNXkXOAbf7od98SQcy2hU0aOfcv7PwoYRYwtagnLR6cWhO3 yLE37MKco3fbvpF99gGbfF2kFwWUd8oixn0ld8W8jJ/pwiC1n0oMQrd4chPmQeZ9bLWiL6vDKjyN mKmoKoESmLR5Avj+JpGECE/W8svxNxSfugx2pfYw7HMv/v9yBSN37KE/JMYf3MYPR1AAjtlMsoyI KMVliliahedfjrjkxBkcQARmLnMQaejsoprtGf3tfSDvND8vYSnuwX/HCK7let6KjSqTedwsfuHC Q2ryVo9orJWaCT4JR5FBy8uAkeWD1E8TIAAOM4f1V16NvJjfTO6Yeq1L3EBfJQuRrdVfJtYo/ZDP LOWui8YlahpV+NXfUppDQZFtmaOofHUyKpYQn/uaZ32qjK98QW2dKWNizaJlFtw6DzFiGpC8Z7xc TQqY0Sc4Bmgn6G7j/A1Nmolvl4IfX0fUqo8T7sqmHRXLfx7H7liCdIIadxJsiJyJFASuXGYjQ+qz BuKQnt8Yb3eV84AxwloxPGK9FtJM4qE1YzanQA3eLVfWF638hb0ykso7mullMAGpFhl+O5N5Ge8a cyx6pToH+q1GeYoUmqXW1T1qMnebBZXZXVxVVDiohhVAuTGICA9QNS2L1Vjl0cyvl5WnRr9U2P15 hm/PysSbSuXkZSQhOxjzzdWZzb1ibQlp+s5pMe4ablgd/qx2MCCexeODa0vakNHKoYn+GzBgpCMJ R3SUirhDlaFlIhPq60mqX9xIAxNVNGNtN06qwy8FkwL1GNWN7C/bhtdSCNUCZzJ1N3B5lK2yoFyi 0QhBjW9UASJ4PR+naJ7SxkUG69R4ZAVOV5Pi5ir/tZBWJEHyBbCP3JGWTSC2vr+h63XKm0X7HbNw DZQzWPN+8/7p6dut0OK8zQPEl5UnqZrnrjrap0dIyVK1n5monbaQAPNfzeZmO0LWxItQoKAKleO+ QpLqZCkCE/IlI6XOyA8SpmO+83g6GnK/hh6kfhcz9/Z34IPwrlZKS7djdGkNf0x/a5KV9Y+GqJa1 4d8ZU9Kg85I1Xp/2fLYQXczLb6kqpNURm4Itip/aJ60lnw3UW91vDoZYgG7UigiuPKVxkm9xE1Ul gCtM5ltjj4Sj7/1GWy2ZHsq2IiYJz820Dzwhf/eaGkGfSpsecoga3GEDBq9MWFvAz2wy7yjX0wFl OMfckRjC/ZaFn8X2Z71ewHK7E3D+hEDNu45DmigrZqU1lgKqCvnZn0zp4PZLJY6QR+Utnc6kUoXg Z7mMbdF4bgCi/dUH1D2BMKOfSasTw5TyM+xkFCoT0uOHUN0VDnfGWt+tFI49SWlZBUiK/odU2WO8 UDdf3K9NU49ZNCsRjnWT+PkaSAdJtWoppR13RYqSjN68rXZQL475oaYfV/whz1karsCZ0MPIAntA rVKYTBbf8KRHb3dn9QrD6DMCRAKWPQfM0PQouwKtDTAaO44p4NXL1X5mHhEPnNQNukLjEKmvufqk CiuVa2cMGm+CmLzg4QLntk565BaoRkoEyN5ggMlqLfUeuByeKC1NBjRrXNLX22X6uJf4bdFot3zE iGY57siaKcQoY7aMTO19AyMC9HKPE9t4JYzkgvSoqxM5c6fNb2TQCbPlf7raRSaNQuaHsBg3pkZk wAq4GhztZt2RFkDxThf5PuwvbM01f0uSBO/dU/CIhf9Ftu7Ee0UAu2EoBG0oR8Mgq6i8bmVOlVh6 XMVQfwpUt0wwwm2DvsuQqFnUm92YLf5fppZCsNIyuAMEmuWzlSkbTc6SVS4d0hqHWWbgidz+9NUx YXufrTWsbmmNgbBwxZsPjPs7R7OY73oGXKGqxRYjoowJu7zmCs1LsWFZOoV3EQOVwoGd+OzIKSFX kanirvnHcTo+u2/XJdTForZfrnwDKwZCQY/Uv/3fVzbKUO9Wsre3WTkx0Vpfp7S0jv1GT5CwRXNi zZchmAyGwBVciTR32a5Cn0MtuBMAytCrDyqobVotZYHisL38o1qXHP4ZPXOu12NAILxnPS/RVp/N adQGkG/aev/JL84V1YZaFzQj+ys8Ar/FtmD1UN1BpTjpr4Gs+iCiNKOQSYpRuDco+jT/tBMKwQY+ iJmRl7fIuV1L3uMDmTozoLRka9bLqUyLN9D//Clnuh8rvAKUog5EvZHep4d1NUSsS2MYQ7ln4jFe fDIW4f6szcekpmdZuTug0u5YDYHO0GILHq1LBxff33Rz7F8gokqpE/o1iYf78jyFiL4U2XZuy2Vc nOTIhWJmIMO59sQ2iq91h3IC7aSj9N+Kpi6vxoVmW6AKql3uFh3N+rPNDGygUOFGGH/6ycSe21YY Ohu9Vnyoq85mgT2gczmrFquUvp7mQqMXh+4lxx3owsgvwfprreLcJ858+CrjARD0qFHTfHmWJ9Dj vz76bdcyUdjdKH+Sgv11YNgA1530DSRvDXyFZSlfVZ8PIYUZxh89NF6P+yclxz6iZVKkdVPT6hJN D981eZWdAKmIRDyzvzj6Wmj5F3kLnFWFiZWXgdJbPWKeZUsQAlJIGYRUYlmTCnkSFLUU4FMamX9g zxZP+mLvrXmyq09pAE3W/BUVi2LPLBLKONoeq7yoqOp7/pIgi43XssNbkhPNXqrmOA8KHvLZtbn4 bSeMZK1kN04MWwrnLhl7Mim2IvW3EbTMRC0j7iBxtvYzgWNnsFEIxLzdic4r+FLU8VtaUKU6aQrZ Pw0+7Fpo7Dj8nrqLUKcA5Kq8bDCZ1be74SOqqmhxzwan17v7uUiX/PI8oPl91N7NzuNOD+CQikPJ or9N6uTFtL+AyJekZZpfvTCwzKZQ6auIaLI7VunCtuYE3lh9J9/VExw2NoOUc1Q7/4/xrfc78wD1 4QPKSAYtQ2rJdtbumnG6GnrhlFjVJamfEXsVN0gWQqPFtnCZ6isl4yCijX6VjmSgweHeqy+WQH/4 yKiCFEdH4U8wD/DsiJ5QkXPyWosZUnd98sViEN4infz1gV25GFiQY3OC7letNqQSsrh1MIRES4iP kV/iqwl3//RnIDqP7APOKjBBSxh2IldqO89TyDNdOwXPUIQVmbQyyPHmjTjYyW6BQJhxETLq+Sfn K1JOPqjvOXl/DKVWJskijNAZGZbS+cxx+WUGqaadck0f3KwdrHn11HeWRIErf2P/TrvMJ+jwNb38 ZNNbEs6orNpAFXS6nzNHLkkdSQpzVb342oJpOk7nWQYmtbMSTk7TPppu52EznOgMTOnqx+Dbwqj3 D5XLwHUK1SJCN0caNOxCLus9m/sQHaMoIG2lIL51HzymI/skbD1PfuLClwEw/RQb9TwIrhfRwipd bzepNttBJofiPLfKaoXbxePgoanZFwDUIRgy0GOjFC09K0h/64ogFR0qnQ6+J90/8kcE564eMQ8h YTeUGR4sKFPFOQp1DEkrQ9ZA0RplOD7s+JMx4yNjHRVjkxZPrRGo3Ei9H22fFv18PPWdMghftn0y +IOln76fWLcu+K7adqen6ehc+lvy4aYWiepKqGTXzhjbQvDDS0Fa9KAjusgtZ/suDYe+UzNAyMBg MKgzvRUjpSuz926+maHZN7/0wz1oDYRrb/X4QQZq0EZc1rOjr6cgQIFZEB/4g+YLVlwTAPh8Whrg sZjnsohq6mC6OeCGMy2xhrAdIpl9dRrqjYXbDRFb5McwLRq6C7IkEfbg4zfGJnJvhjRJ12sfbiq3 MJbu0zcxwXDrJB2UTDSLnx18q//xVHJU2kiM6KhsyA2XfND+6Jl6XM9I4D3I0oTXLTsIecFdlQGW s8ApGGBtiRilM1743eIi95Z+5CapqriWR7vi3ibA7g9nUZLAX+c/ntMbCVLT4sGyZC9NsaAVQDZW pcfDbOJOdWzo6pp/ecN7F6BefdkzOpXnHmeBkPKjTAlWL7xh6Tt9csLRupZCRMCrScNdEf6xZIGc Su8PD9ndq9msiKE+fsm6VYX1qZRNW5rHI3J8lF4VKHf9eSfQOTbXcldyZgDd5UTiTBwTlZcYPaP+ 3l6hkKjjkRMJnVadeRyM/gP4d+b+sF77CXfijKX9lcgtvDco5l2ECVUCIebaZr5WN7dIOQieMVzQ Ws0r7vh7RCFhd8+d2G4g0phGhxf+iqS64LEhXgDskUwm2WmVCxA620vhi/yMGtX/tCCWUsRZfxGW UTK/5RARdk1CxoJVHvJCC091XKANfXP5iWUtI1C5slDp/YGlWfwyGGWtVr34eivdVA7hWDxtAvvc OTOnX/GQRKEaeD6GdFlOQrqOPT3wzn1ZLa7uJfkQVe2Av7Ir/dKqhM6yPuqewgEz9YGIv5wP4M7I icIs3FqHOahRCK0DNrwH26A8l3zEHfHmgu0xG8v3yh74bO30uT134jwRUx2hMwFbbkh4ZK1rLsh9 vc6Z7z8VRQopLgZQny0HrMZGskIHIsE2HivyMUWljF5kCJntVF+7mc5K2By68J/LJpAP/uEvOXlO 9FjzwofLIsiYuvOUIFKbBM76nAbOpy6Re+1rNID7iMaPdkNu3a9lrIka3C1XunnCUk5st31H6pmQ oeUWhArqNhsY4hUlEjLkhUwMWskeWfa+vfh43n+WYjvMdc+Kea8sFSCcRSVuHpJ1pAyRXVgUFUq8 6NBkmcbB0t//C2F5rHEEDrn3kDS49OocKsA2R+Ni0shXeo3mrhNN7qupa/dp/qQJk2B7iSVqNOMI Pk3b7I4xK47jMdiKOZOsI92eTdX32YA7d9iB9cQojch+5UA70h8Kvug0NtKUSa3NcHYGYPoaCmxy D8zMB58XawRBMJ2a8TaCfkvd7RB2MaI4uQGgz6T6oBPxJxxTiDneuE2OgH94eKe4UcLNyePAYvuu X97kMtXBLN7GrxOvvxuCGf4PsZ9f96V0jlxKpUNxy3DdO8h5V+2A3M2pM5vd0UIyTBNan4eYp0L1 +Yw22s9rX2xy2amlSP4Pp/1/EAyhvOfMcbbru2queCQm2LMUGXOYZzxs9KxNT3rZnahK9yr4kxmP vPV30dwco9wtyFb0NiOQ+OpF+zoCvOKjWZxpKjOIw9yO9cE41az9zU5eK3P9WScitII+NAcDtQuK hGsCShqAA3ko0htblwS/dgydKH8R5OoEDgdBYt4EVFdI+RyJ3TQh1Y0w6m5GktANTUwufRbtY3qe IBopHl+a3JZOibI8tXGZA8PeXYX/w+Up6DUxN3Zik++M/y55bsM/2QEB9x0BfeYtzLod7VvwyBXp fVY1ETCv+BGphEDM72oqa7j7FP/GNF/2Z49IYWXI6AOmfJ+C75sB010ttTlsyv7ZPG8AhWaydx6J 86Q0z8fBIwVK1Dv3kVPWcOCFqDkfLnn0D9yqPKx3GHSaQ+QMty1jGXMpn8W/nfMDt3gAof98NXxY v6ETUp4kBLGpC5zLGhYQGgC6h1BjJkGbrhx/W0OVK3Q8+4g09fzmugixu3jbi8Xzkf8EfnUPBaEF iNLGKBarKCDkvdDVL/lhjTpKlHy/C/qNoeb+yB/Xf/yBEaMwhkjeid54Yv3cfv5qBwJTkt23a1Cf GttifH5BSBdvvNAfNEwGH8/ZBS6XuyiTAg6tydnHKp2jOxk7ptNPc5NaWbJV8mng9vw595OczTjo mv6w9jCgxGnRDifOt9KtZi+2lSpXdO0h1rmKRTH+KIMP425mLRoqTpu3LUNP9aT64RTCv9NYepJv 6DqIlJ0e7WO2QfDBzuKaMPA8nZOb4zWTtI5YNargfUvXS2MAZh5DY1FnlLw+tHxJ8mImqHtk6PkV bWcpxOzESYJD/GhgY8yZBN5/krs8ww6EySLz1LQL0mYGs+MPJxM/G56arSbfRjGsKvG2zO3rELBu W5PACDPtN/alxkVk+URVf6MJ/9Tt2Zt8PAyn0u2N4ppDhRt5e+Nw5zjFSCuOQxh5yedPj1Cl8Tbp 7mfAbjSdZjy6wPALYUdyzm3bmdsUn9Vyy5GO/kBTj1Lzp43UIuwsqpXa3Me9q+9BAPHs8NMTZBzw ouBv9McHZ0bI4F8OWd84hIWq4VLNYuh1bi9NkFcCQoVjY/1h1WsQb92iGQnEVzKnX+DiZ5ruPT0F zS03iRF7J8cM1C8Uf/4gsMCGnNIdMtTLOltuThhzYf5i67h332ZdnPPJKDHr0FkaCjtYeJ94QLsa Z94ONAFj2Qtbb2qfk30PEolyKPaPnIbHSO21nl9PhFB/cpsK4PhORpRlGaVutIhu85logVYii1gI rCz1/u72ztDvjShlgkKb+5pirctvKhJvVDGJWU1SM7sg2Aw9CmQhgE1DMYMHv81xwZRG7DbGhCXM O+YFJ1pui37lMcpi2ea4ULiqgPRYKxzI6nOk44cylgnsvFjKPgp0smsWbFzRJy6zMVGogMjZJElG sthX7HosfxM44TisQjLDvrMYlRXkFmoSB3vbdpVWZisC3skqtbztyOaAEvo4of9Le9x5JLhlk77u jocKg9ciKaLxtFOm1WbPASZ4lih/WKUbJjL1wnOtF1WaJzrhGmWv08mg3xnFNezDkqe4q5YhAPz+ gPhRtZyfWASHMhc6RIa1LEUjBAhskpDLnN7kX8XjVPdMYlZC1oQ+Nnqh1GD7Qlxnn6QEYyV9WXwx 6SO39MEliNcFVkgILMoiHEKh7WXwZUcMzF77d7f8AA6ZLQWhTimDiza41roraPuDhBQNaGed07ri USf64i2O3SdxuCA6Q3rFaLUBPMVhAzbH5rfnIJ0xKBFoKqTfwmwKOlyVc5BXwUCxyjllTcAkIt2K eSKhbZ64Tf34wW1RdV8Hg/36JhHB0oweqhLSqPNZo8QIllhZGC4D7TU4qHv/8I36/aZCWFhBI4Ak iI+9n7PF9qzYP1dE6yY/b4WQgHTTuiOSsE/o2z0tHJXkb5flq1UeYm+RVHzJVUsrFBmYHCKdMXkN jZpDJDhc5HWL81cjcWsTnDiFe5La/NTkeO5dPEy92Y2YYVSOdGi0+3OvaANpdHuqYBIQNdVxzJtT L6YQp2hy16Xb+31KPpCoVUJD8j39rLYGxkfAJlrMJFfg8xwGa3jEvALlIMpJ5Q51w/7ddebBR10J yY6UqjJ7TNp73DvAz19yUr9gD7NJRz8YWqkg9OByLRl0rsc8qlYkb5u516J/qYTnhhFpzG3oevdr BpGebn7bGd0a6bfif6yl9dig7PDP1KV/eXhtiJT4pa9ldgnifbBNau7BDR6jzQehkcILVDwiIXnj dypACCOq2DFXLDH5C6bMlxCO+1zHO3ipiQWX6C9Z1Qzqbp4VG4XiNb0fPe2uwBkJeG4JU/fpOevO GVVJXOdvxdpPMz/5UMN94gg3+mr+E4UhtckDwhjWYQ+CYYeW1qcY6V/tom2AD7VAoCj5SQ1gWz/h cgUOXPxFWEGb0lbCehwxhpU9bn0d3+XsMcF9jYcUT0CcjlnPdXzl/qQyho2e3EnhEMm2oA56EjWx Z8D/1fmSqKzBBc+CwPbYHz3vFKAhtNY9PG4g/ocQwdn3FHeMgSnUDspoFgVdAlVFrMMMR5Jnuvil KyluV9vNsqtGMr9ytp9PNk9rxBeFzq4MV2i83Dh5wtZbLcopG+V5CBFEVuP0BcfzYyIp59qKf/nX RaLiqAXUwD2z5YAIMX3e4QJp905kg7YD6tbIQE1wXz5c/hUBjFn4+vf2cDitdugf4uat91wVEG7y i5Apy7HFyf6YZ2ROUF5G/Q190jfN0CVgkkx7Z/VikOk5OHX9Z++N4UKIwbtJbxmituz0H4p7WWrR V5Ppxku+ePqgm1q063cE1ibYFS9lxE1V3RtREoJ6tL56ydeQ1h7X9zGNKzypco82EqFbBlQmmS3i UP6fvc7PxkMLs+GfXO1w3qzIMQsZ3Re1o30cj+rGje78bBymZxE9M50PsbQFzk2u4uhFA1s2GVFI IU6Sxa0vvBLOiHjD8uNpWsVzthuR1apu9F/6MYz0c28BlevpOv6FW4DLYnoGn+T7hLWdzUvhLCJl LM2f/Tc9k0TP65vdvNDvK7Y7j9HDJ7q/9xKItSETKWt9eh6C4H3k6QCQbRr/wRoBhcO05onRAumj XfH1uJ8x0MJLnLUrpwCgBqKDV5VwIDT9ZHon5nRsLo79ukALaIOqC4QP1sa6uXpa1edQgOLVxpPq z+VA2dwcARGxCfDxfFxc/L4SR9I5jdZ3lSQwqDeIZYotS1gj76nNo7CPXaO2KTVZ0uTUImXplEMY X0Iic89N/Do6AEIUySUMh3faK5T4I/hRwQkawrzMLEXzMM0YqJYNBrRQaMArSsFYf/kKQzgKxQEA ZRib+fjKMHIn0NId2sQT4G0gYYvQlLDJE8D/M6qpH2lHq671u/zUXVtrHDj9JDvpkwh2BjaUt+sO Ya3SMMpC3tQ2ICoo43QHK7FapX6dqiehin9qP2T8oBW3sw93q6cJ58lrpUrMawxcRccmoGe06oFN 27Md7G9579Get2KoylLIJK1TcOHKnqNeBTJYRKQxeM6XqX/KHtYyPHQVR9k9AhM9Ohtsh6aApb/T a1A685Qs357h+Ezbd6hHdRd52pjvWlpeTgZEzBr2TcqK5BnSocthcOp8kFuqH9h7Wt7BjTSARBOW Dat5OgojXEAoCWYhG9cWW5kp1fOUAHv3/feGh51hH859A7JxDH6jf917NhXjWdTygcRf9LvuGF+/ +qx2G1NbZ5Wusuivdo2p0FVAvQ64HF/7XxM1XRoGeSvctLa/RW59dOIInurORgqVptjfIq2/okCp 7/Ul7Isy1DFnopUvVLeBiqM2mCseYsSvuTFGqiPtk50c+mHMp7hKv65sCZR3rJ2vU9HY/QKIqbrS kQS3/1qd/aSJkihigvn7inzX7ZD0jj0/1yXmkk7yq9QaPoFh4V6xFfRuU3MCFwKNsoepxQVzDsFV SA04guEn6y6huZ6eaU1CPxbp6VJUW1WoDxDa/JFTfZ0BblifLRCIaFukCUgPN26z3SnulXhyZKXR 9TueJhRWwzhAxUlfWrrKPCz0vxE3+D0M4s3SU8UBTHKCt0BRelc99AL7Wsn/Wac/D4Yfqr7q7Slp qqcsCOlVoZuWeDUhvDdNjcU7nP/V65W1sDzGUskhgCcUVPo8yMe8+1Ku+cuXKJPVkUFLI3Svy2Et d24gQ0SqnA5B/+CWNfgtS3OvG7Tsr0vlfLSsLHHgdgqTYkOka89NDuj2DCTuDu9WNe8PJMEWVHOG uyXoqkS3mOf99SBdQySMtQDCdObg+MY9eTT6HDdSMY3v3wuUctSw9ySpYsIZgCFarLWVe0mfcvg7 ObLeb6ZghTCI1hHlkpRI1vmQLjitRIrVx+9SKPrv9xLBEZqWsr0ai1SPCMzCHp4ruoD/gUiFuID7 Uuag9ioxGXTcWygEj9G8/7T1iZg4Wr0rQnbJxdjqYunUCTSs9762giaqF95dg+y8VXAAVOjoTflr udP5lG+mJwf/HKTb8fK8nnlykbzR6br6oS0D1E0ufoxgsWIDK/AeIhCeAraWEUGHYWZi8KodGm15 nhW8FEIDwHoHrfXem7lvPB6bwAe0gzkx8GxCbn+3s7mLGpoNem+2F1q+eXqUQ0a+r50pYiwo2kLd sHgLvBkUDd/cLPC9OrcW6MDj/inkJGwUAjw1GxzLS4I9kN2/qcKsjgAYqeWWC0uJU58VL6v+nOfs 2/+Hs6q+aYNXyjZ4S1YHz8TZWbxKqm8lF017uYxBw1M+Nto8qYEiOT/0LWmAIXZiwB7Kn0oz/Y8x b3LJwvWpl32JW80PYdacz0Rxoqeh2SUclogw+W1zFczK/j3/x5mqoQbTeqy/7gLV9MuL/jJyOLd9 7I65VPYxGaWNov5q21Or2lMcZ9jZmofDhjU36QLggR8ldIqLtnv6APojqbAYzoOoVSwmb+dSk+Na YJzkhIuZ0D483ZLNLj0CAXQRIzTsydSgAoJlX1/vqvxxvwf7B+UM50ZDNbmL+lBYQxytTpzvwyOl Arzm2DDp2JT/ZNRBLBJH32s57GM15uEwaZqq0cIo59EYYGlEUqnDg9SQmXMumtlmWmyZjdFy0TMZ c8um9CFtKZ9LcHikfOLtFAIcpcuV6S+duRzzFtiC/Qf7SiEOW2o2g9F0iBqLXX+wOebMQbkHBB1R HSZn6Sif+QD3Kp9BjHUvBFb2MUx24tTz1wHzb4Ca1ovpSiRRBCHgoIKKLedVd7y2sJl5pbNXi3eJ o69TSxM9RpoAmqrCbqwy0y58bUzr4IrAA5ALEmBbUvs2BJSqJwJiSbMiecnRYM+YcSCLCgUaz2fs oF3Dq6AR2DMAC6rjsjreWMSzHIKQQFaLLAeYUuXOgLChWlXKmdmbyf+33iOfEd06EWMtHC+VZwan HcRRfhX4hn5D3SPqFVhs6/YoiYLbZ8ynhJi30pc/Ewl2mibUUAC4D7Xo/VZKNEybPrtyRjqpLJ3m iw+Z2QiHTzlqrawyNyJYVBkRiEdMs/jP3SetVueUMepTqn8Tk+gE0AaTIgbdn/HH0bTWE/4OA/uP 5Ytmr9zr+fPGWI5P9Z9gRjqYRyRA5P9vONrCBO9u1W9EODnBRad9IFA3R9UU9luczSARG9Fzlclu lbKO+cv5qLtBy7O5ppl3kPDjO2EcmjhAOFnlKWcRRGoIL3eshDplHDM+A8q4l9JMaDMcl7UQwH4j mY+CawudAxrJut6hlNApHg2fUeH86JDeQE6k5wh4HpAzja5SA+2lV7tO0yFBOHEWPVM9dW1+7HHq M2NL5z8m6MzvRxhfw8xs5G/oY3HPkUAWta/QwbQ+Vhw7tbkdQShdtwySOPpEvF5dZg4FYgDymaN8 WXrSs3mBI/nNqszHtGe8zTZFMJWn+7CpI7fqEi8yDfFqfQmze3V2WReLxtQ0SwSC8K3Q9wjKIJH3 T6+OZHC3NgibFShxEo9x8dOe6wITxwLWVE9eoh2vcGcfLmflHb+Z352GDFWmQ8a7sgyBf170ycMD YDdoDN83TRKDhGHricrHSFnziEQ6991/3WtRFlSC0CVQsqGY0GPtOKjrM2FyLhdYyFkXw9oSTScE Tcqo6F2c2i8ALheETYwD81a4GUrpEznyvLwXTb/92rmT0MBkHIctgxZx9Y80ir9L8i8cHfchfysG sHGB6vpSOvH+RMdDEUoDOu8qk1v6RCjget306EunBTGaV+9WUAyzt/gIAmvwpAGoEwPmS36twi+i jmuzdZxE/YX074X2If6LdJbh8sgBmrdVeu88yjl+J5TJWxttCtUtW1904ztd8tWf9efcPy33+0hs Cx2jVu+38I1pUVT4FA9wfAc6vUcOeK4l6TWw7zFyP9N/JM3ieLgx5Lwebb4tarboCnRgE/rQDKWb N3tXSAZunUzy2rMemJsAMOI7iXGnkdOm5RAHPzY3tNoc0opbBIDaIPH3+jQCUVUwiZ/2isBX3GN4 HBMCdybsWXLf85tUSz31lPQ8V7dpfxicgs0P9ISjiGyZjorPBgfk1+ivOvSNVMmitRUULvYw/Ln8 MCTI0RKYtOH8GZxbQJvcE76Za7ZP75Q/6Y5xa/X0C841FaUgP1rSkFswBM7gnJTc9MEq4V+cB+w3 DrNNKGt1RqfxCcINLhWX1qpSqEdhgfSoNLPyVi0D4e48yzlbBGWFEKu0J++tNJsJqBBtveMTifLP H+dv3jhHv4bABrS65BY8nEf7RzoDTiDwKCveQArA0ZnL7Kp66aXNkvULwz0kleKwHvPPDiyMQqBJ RysERiS2PySBqSGySzIQzvgfzsi7yPv6bn+SpgcimwdoBCi1ETEYTNF0tulIOPGi9zzdrMRlbnq1 5J8WQZUBfyUYpStadTtuMF4tx7hfOKs+pQRImopiwKJUPLGw71CjcVE9YcdiAvNzCZDmFQMLwYj1 B4DrhB9IDxsDLDJIbgbumPjtm4ty5OyZsE7cZeEwfa/m9oxWTGuFgvfEPg6AaqOsbSH7gsPxq/3Z gvK3382fjzrcbTsUauvp7rHGe14LwJX8DwyL7hxnUUygIks7Yl/kOR7avzlaRFZHKncI8+5e3LdB yJ9HX7rLsBMyXcNcG2QWL41Sne8wZ88ZN5j+cd5LzcGt6ksz1ZdNueboY/FScxBOsygC/rIYq1Qr heNFcWpGpDe28p8ootBwzhgb44vnO05ve33cAfLTJ6Rq3uXdkGmsUSUSejGaq7LtmGjOIw8oEuZ9 VwMVkyj1G50hdSCQPdx4SncJFUz46RV9AefLdyP86+4hM0favp/7E8fgfYxqMgEPW5RuJuOQT0U/ 2dK0EO4BTwTgwOknd0MAy6YRFEqZBKbQY/3VdNU4sYYRlu7dhD/DmKZtuBW8ocMJ6iNCrBLi1XED XREGh5dP+HtyEtlpR5zbUWjlPhEjWlCL8SsEol9OmG9Ex0uqSujm1pBrJ2er0KYSEBQD3Uwk/9/T vBU0FN3fojI+Pw+qr2UC1EC701XX+ypJIBvbwgICOe5KarXWQcnnu2J39lDnzmPoktYwQUM2AUzp KyTR9Fjh9cBl7TjjwCIci5O5p+8UHgrDPZS52PSM1p9xQh3S7S9IUQkj5nDAVPZAEdtHNkDw9HKD mVStCjXhFiud+kZ6WmWMIzN133tFyNUFeJlZZ39d+Ivj98Z8ItaA5DN4MyEC2y0Rlu/9b0MpmqD7 QUX6WyZUv+/EQWFEO2MCuieRcQnZqkHCuPt4ZQ+YTZYiTJ2YbVmHy5iG3EzDO1fWKTgFPR0gK9BM MUfS5Ys6FIt0SnC9WBBYP/o6fdxd2mJYRpirxjeatT3tZbM0nJfTMnxwyXQdORG7hBwGGa0+bysL dlNkqjVaWMFODhwG3oXA8BP1dRbq2GOb+TB2kr4Oinh1QIzUBlc+gfKIcAg+Yg/dsg3vCYFhlwBr negApSYpN2h9XG2kYvsI7buLNToDLlm5aC8RlSfQRMrFCdg8ZWRVYmopp7H274qiUsv430dcYlaq 0sBPUfsvAECP/lK1cQ4NW6TQSX50yEEQYy9J4kmOOZrhxXNqYzj9vbNH8ve9354trzAqWr0lTqAQ 0uj2m3PN8EuIGWfsgiHcBup7XpiF1l+IYYmrOsi2PT8xTt0we5zX4HRsyvy4XQX+zK0csq1JnQEA qMkzIoTTKgjFReA37U6wxKO5zbDSOlifrWpQOBGzY12WPKoM37FokFSP3Vet6BpryuZftYpw1VLL UndvV6Mu6G698KIY7YEV+Xvvv+sSFzBSpLJGM3PxTJysg3aQrBHi5REpUsIqtGzypjXHdLb5RijJ 3PV6FtFi2nEzsyERwNDY3hJVMankJSNr/ThA/9KaFDRTmnJXoWC5iq14aG703uhNFTShiK6UYiX4 KgIeHExVDMWf0TBbPpe8/cnNls8PzK6av6ny3K7xxskugIeF9yFdYEphfWpCKBTX/oKE+ww8DfwS qMd8+Y5QysNetvpKdZgdVtSaJgitPYI/1TbTR4Y5glZRKeIphFPrL6YCgFhNTDbCXlw27MEOSmGP BoqLs76h95GMTTIP6PdRmrEvZHh7H4hCXwQtSZyTNG5u5Fl3DrAJssk0bkCJsh1FafZA/RjEqJDt rnJj/uqrQ2IB7Tjmep/g82Di19A8lxsibA== `protect end_protected
gpl-3.0
d09e7341d6bfd2c563c7d3158f04a86e
0.951036
1.824805
false
false
false
false
fpgaminer/Open-Source-FPGA-Bitcoin-Miner
projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/fifo16_patch/fifo_generator_v10_0_fifo16_patch.vhd
9
19,208
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block im+Re3zyEMFP0GjtdusUVfPnucCOHfkN4Sbd7ALvfmTKU8Ca2sguqJ2FzObGp00cqpMg/nOcyEEc 13eRloFkXQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block hiFEg9u3xM4+Uk0j2shDGkshLcNzeMyGNkik5UzOo922eYMZYNMXijDMMnM0YBuqGsqmLJGE2tKx RF17ntWPHHkOITY3OBn/k/PoY8ZrhwkoNZElRKnsB1cvyaXrKCHIgE4gzm8uIx5eNJM0o3lqkaPh 6g20tOF6o/MZvUwJwCc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block k4WcDtZjzOCFfru0fx4q/o5WQQ6kmgloUmnefzdfrjOwRSDiWv/9qTm7a1+mtuxj3S25KDiVpcG6 2yvOi4H1yXwmT8g1Eaz/9iQpIcePpbF0q31janadsi7nPYS886bDh1M5TOaFZTYzc4NP7tmWvFA5 3mem9zaVrX/wZRtMXX5cVTldiiWIUmvYBarU6z8w9WjOPYCoMfMiC2nFEm03i6fv4c+XOkNR87rQ JGBr74hQp6WqSeNO9swoLoXiq3gITDvdvvowTG06vA/dXCTp+9zZYYHkpSz9OdWB/lM7kq/73fTV Z8sU1z7pZ2jQPg2RiQSgGjbWKIGIBrwHWcDTBA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block uao9SZ7OH1YycNnk/kth3xbibSlgos+tgXj4eNAufOTsY9dHWsLqra8d3lVWNenKzUaquZI0H19E KswlH7mshOdNHkewECmRQdFPMdPozUfKoqfOXi+XOlBvuYIh25NQrPUYxFbLGtZDZAzwHtdCaYYo EapMyF60cvjNovNV3MU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qCPNyiMsL7aP+mSD2Y4DsjVUv2SLfEPWyUW09TfLnd2yHeoOSqGGAl6UGak5pvRUsp6j2O7gLU1+ UPazUKmV8m/RES9/gad8NH4T1h4UbEQpbv74S9Plq4a1YE248+8R8pxbZejf3Fx/QkdaqfgdU6m7 Wvl8A4rb4zUyAC+buvRKdUGuubabq7OMO4JRJcq6/zp9GpGWTTNKYLgB55Tf5oONDJ6bMtVvycku DC+zyIxKQ8ePv0Wc9wfkU5A3FxC+swev8URj39X2hHR3wyq4tQGG6HnN7rT9ahns1ktoVy1wIU1o vstCGVTZ6bw+/mLoAhtuDn6Uu734NuTTpZa8GA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12480) `protect data_block zfv0X6M049ZqGizgDl4ctCvzWefJsYVVLgroE8omFEH+ZbWcYwS158/Tn1WAnz1PoDSvAcaq/ZuP 42lNXzuvTI0GdCKj3QzaSQPd6hpUfbQL22SWEOzs52ouNA1H2iu52GWBZSKJyIlEk8cUc3WSVbT5 XKFO4Z0goiuWo5tmFJ+A6Em5+PL4wYqa95KN6GL71k/azQ6KD2munXVmxT371GCBlfTaW62MGg8i 0Ju/Fawjgwbhvc0y+ME6LAVVJfGtbM4cHhk/POvLmSVUcqMPboa8Fdu1qcybwHtCRt2cri+rUBOR tB2MROZksXjvvy5rSk/rXvMd2f/CB9wlBf2bt18UQJVoxUOtH+NRRN3cmwsQQGoc8rd0QLAQhLhR hAT1bMrwDrWEljhl1+AMnGbB3B5sJ/75cwWLuHq1EYJIRB+/RiuHZ7nySAznKBai26oyBrXz6xgw a/bOTXdJllsH9YMtMJD2cMdX6Qb/LXidCUlDMoomG90t8+g1dlxn757SBRvP3YTLKbNbm1mGj0FH S6mBvtPuXbvzFOdrSXWUWX0X8ADAzQ7cCk3hJbWGDrJL+huamnpAD/5YjrVFslYM6BnR7+gU17Le Bq+Q4mWPLahqg39Yr8WrITbiQlmkezUMfuTtv0MqK2j4SKLiBydfZ4JWgQHkm5x16lg1RsHexsyq RcUoDfD+qGM+CsQT6A6TnBqGRcvsZ0OseSgrh2cM2U+eBfvqoizITOCMsqizgQ2yqJBQCULfZpPv GyWJff5Le118OqbjqEaozBMAXRjfawCK7YsxVgNFVvUusELuDqxvHN2zZbO131QwqFm+v2vwBtql ovbERGC4MLX1yNKjZdwTwyUe6Yk25xpftBQ1mjALFlLcKv2hWn8DHvUILBXmvCShUqcn6IQXXmjr TH6mumzsYXnMWzOF34gVeTdcOTj1BP/fmc/B+fAGszoeVnzkpWRncZfrFzKdUuLFXK26nnjgYZEw RXvIPA1Y7vZ1MJAInt+pB2BU361A7I4u4nUH3fJkWb8kdkuNEMeVPzXSLFxqiILaW2Yh+VVBZOmJ QuGztyce4CYl8KuJfA9b5xWsCN3Ckh+jERJhWIZsQvhlV61CoEOdSg323NdroijTPXKz5oKtavMO 119L0hxgigftEdg+Wa0drG9N++e5GhiT3Peb3H600JXxq/E1kgnivcZ8V94Qb9fIQb0IvH3qau1x PvOVF/90R1gF3+BQ2Eazd9Oqaty3BvXG0k0qLyEo7M58zmx54ulOGV48ibrzWXD10S6bN7r2m04k 8ai61LO2+tLLTzUnwsAcVQ04qOgHhnHn9P6mX2zoF2VFpyKKCxge4seMtYBhGaDUeQhvkcd4uSNb VrFKUyx2LkcPfky2bhBlj/kKBAvn3iw4luJyOFhYWBM+rKMubMmXPQSG9Ic0k2vNaz/1mKaSQ5+F zQU9UKRu/9tFGTU4nth5zi6pDDVZ1Ca/xe4mV+TwHGlgNDas7JTcmWCoHJXuuhD7EhKd38n3Y6zA iRI6dcI7qvHio3Fe4l7fbhUmihGmy8hXV3xQd3kxYt9XIWKJxdkXUWoRi9NDbnjgft46WIZyIwLe D6NhHxzUOjc93P4bq1sDgVirapxoyOJh42FyK6A4O5GbY348hkCQUbz/JPF+v7bSx3Q+ojo7rHdR YuRe4pKnPDPTvJtUa5aO0PsYMS6t3ouVVvT8xvdZmvb8lxXjGWScO1IgUZ0GPz/aQotN+P9tbn+e bQYnz4lsISuCZ6B0vCGS7DWE06iKNHJWf2HZbqKe6RiB9JUoyHRfUgc6Pw4ba0CCUIqBhpwVBueZ QfqgLV9PROnxnZELTMXqUYh3pwcb72Bcot6uNBObKd28CzYJKtYFlJ/K+ZYR+KBGNKbQzxxe66XK tDMiZ3qMYPgUyRzpyQp9cFxLe4YZWaE839Blz7ubCwnni0tjRHew/m+RRdzvPMyoUjpBXKpZhdx7 u1XqliE6MURnFmLtGQ0BYOnh0d+Uq7eG3HOBT5evfu6gwfnwlx6kwbAvCQtG1y5hPqu17qanSCfG Od9PbyoXd6LrMu0mpwHySAWIpYDn3uT2r5xVeHHpseIWzy19w5W2kjyOmaF/JjFaqkIQZxkFWTGw iJr4q1f2YImEgTZPR5nPXtMAkDN/s/5kMrWxvPiWeIaV7m2t9xLKB3ZjpET7gfzOoXlNTic5QA/J hfZHos1AHQ6rZzP08iK0++zNPV1FDqYNLQJ489xtvEtLog+es5mHSs+FPkC8mqLXaJsPqv9fbvs0 B+nwHro9PgwAxpdAYRb6kY0n3fode3vKxhQX6mg74CVJCBc9wERyBzLm/d0L5HY5CzWp5T4dbWL5 rMGZ47C8AuorXkoPXrXuUZlY5MsgPJjSuW7j6pTQBJsz6U7nKLpCXh2psyaM+d/ygUbS/6MzfkuN cfnkchFi34BXg6/DYfALvL/7BshW7i4ARhL05yCT3FWcRzDptF66aN6EhAYCGXLXYjXrOyc0a8vs ex9O1oBIeuk+miJqG+tw+D1q1Ppf1qCr1Fci2VvWvn39GtjL3hPR1odSGcACo5KUzHaXOmU16G1S iXqwdZrBRELuvvrn0ScYNYc8IPI37FDgwWWAFbPwmBHIsS94qVUemd2Qi9mW2Z8YA8YOTaX297il noedAkwU7FaZ4yvDlrBVGEg7Rzs7gM3H+M1QbytLrQsx2fMoyo5SBKXjNGiGNWBCrKrqkwl6KCiy l+ObEmCfpKUYtCD2IBXAEY2b0hAGI58AIp2K+lX1DOMWcwqipavcHSQR/XZNmQPLK+fxT/tBX/jb vXi4rqFCY6RlstdVB6LYzwqM+zGeiC7oaUReAQh/gTOtGWZFWDRU26aRM3yOQ15ywdHUGvlpPKr9 WrXZfvY7jDEgleAWtEAr16z77R4ABaXytTd+Tku9fKQp3am1vcD6ZNjoU47gx5OnaflxzTCIEeP5 vlx4w1p8ZybiTztAeXCdUhtywi1q0OCdXzUua5JseMuxnN8aT70O0G98ODLTGteTWQ5CRydn0tcC xC21Lmc5Klnd9T3PcH9tmu5Peuwta7amhNmHWBXKLpcwdNrrMdQjCEH4plF3PwAi1qGnCqehxUQH f1NoEFUuRTOwy2C3oqYaQyNjTW8xTSqYIfj9ihiIJnBKLVWSLUFDHcoSgyQ8OgHNAWv4Oftkmb94 kTFDd2IXNjPdXXyYKVqga/m0oQlm3HpFwgCfV0LIDNnfzI9A1JwsQ281Ku35DMchVirWF6w125VK WVBLUVf66KJZV9aKvYZaHqmlotbkUritO6Wm/T+0Uwdg/shDhL0Lxy7WrjRZ/9zDoFPNCAz2mhqR Ki35RRzX4xrr3ZZdKskjuA4VhlFoomJ9cI4ucKTH1yZtuugOiaJaiqpUT270W9iNr8bmhjsm7PXa WUFFbSPxadMfp0hGMZmPC+4vR+5YD1xymxkReuop4xfNKPDnWRcn1PHVDIBsTlijhHu+q6CKGuIy v9g4XIYufPdZOQ5spl6sMyLBB450jZnxICgmNEeZZWwe/wY8rLlSbe0BGV6YokJiFqsVomoV1N+1 Bb85GA7CEXLCdm0NASupYq8g0Y45r+5MiI5uuUWSHg1IStqe9xVvWN+Y6dt3RlUCiOPADd/TqUKW iKgr6EbN18e3W3zRw2uOHahwDFEqBt66/KgqpcEOwLkcO/9pussQg2R+MLBsYbydudYfStaHUp11 GIQY3Ud/EtiLNw/dr7hiq+8d3U0k8nV1GHru23j2knjw50nKY4cknYX1U2pNI+sy8GQlA6P1hXSL Zsj2Orq8O0Q1LosFvpn5b3j8ATX5rmfjZKCtUlmNILPQm9eXhk4EyqmvWDKOUWbin9KorliGxy6I chdkm6JipVxzDFlWMVWHpYu/N7WpmVbop2SdQ93BIbHFMRRtSqhnJswG4ysNLLaCisRqXaVoMzb7 8J7mjRBs4sZl3ER9SdMTNF0X4xuVDI/rX8FPVw8YfCvcBWqV0R7rlY9e+RjNEN9t68ePMcrBbahy rRIg/m/fC7kFh5pqRC9qP9labmGqd+oHvGBDGGJ36ggqe/ImWWaUl1CMFDq4GKADl2HD2lYuYGXC vcTvwkCcWGpbCvQYgw/MXf3jiUjwIuSJFdnLIZLtAEk7lQB5xQM5LLWe8U7S4N6/+rorgnthNiMK jRePw8bntddZLh0KSdz6IlEgAO1MQ7qLi4ShrfwKp0cRfcAQR0vwLhnYyBxP4Ee/AKQ7m2IJyVcT I0v9jkfYb1cG1T81n/QsTH/5xAfV5fSeFZg10riCMkcn3PVTgR28zC7so6zYP6Pk+iESe5Tptyd2 IDBwwqUp22e9Wno4tBe3q/d4RpeJdZFrLaVj/2EAyMQlSFRekippyIpKyCIItXvc0bDkWTCRYYh4 UqBbb6MefEPYg4IQJUGwSN42GXalpiHhxVFTxy9TNLndmVmXDBSuPls8zXNmn8O/Y18WoZ8Rd+mu nh/mJzmuRni5C0q51TMDppnThC8YFZnmS24EDUdbZaKDG3PurKhCQs6Lpcg3vBNATFN/A5U+fWhM T+TG2EP99p+D3p9k/GOYlJcNHo0nJLimcmW9RAi+iIBBMD4GSfO5xN5NJOLqJnUD1NEIuAR7rX0Q Fjax/I4BICMtjNH+4ts986/a2P/K9Eb4wk1fMT1xDWxJa6kiWj3noExRU9ohhpTTBOnfK8qnYZ6E Y8JM4ieRfO1Z0IyUoavSK8yUe2qE3vu7kTPn3D4z15ojERK1dW2Iv1U2DRwqihBQgVSil3CSmUdi FqVH9QRwpiadRKR6+aPI/4XZnCKtx3bUaPjI+PFGAFPQcHZHy9qmq9t/irurd4soLXkGUcLvnpyC +gx8uHe8emImc6N5w2QpM+IZ9fulrAau0TG0N58aVGINHfpVV5EZEs+x2aI1j6KJH3f7aRJlRky1 p1nWFgYLG8/mwJM1fK+L197m+pahO3Lcyj7t3lWUgbK3WXvqCUrjYeKXx9iy0NYB6Sg1usfxGVfO OY/0mQiMSXuM1pXeYsWPorF+QDyeiMTYEDFywb8Y/KIiEhfd+k0SDUAc7jSVu8LTJ0jrwEjLQPIn VbLfa27HFwVOtjGSIcPzaThTXn3RiXZbWCoj/egOa9FGzCNKIO0MjjbhuskBP7n61CeAGzZzqHWT Usq5vSh4cgffB+dUHvZKqAy2aT4PuRxbsTCaPn+xMJyolAFqANPXhOikDNS10iMAn4O3rWD/fCVc ammHZjXPLLuXaZ55TU+hum+9lhBhVp+ofzC2js6Fu4Q2iXvT2HzW1+is0oxkogTdsDeREokFZUT/ 5v4tO8I/MhK/BW2OWLCqNjCTuqsPtLdjrSqZUfWACBwZv3GJizx5x2BytXrTHxUeAZ/4rV8cT+2p psDHSgXN9Rk428/+bZ+pOfPbWzO57eArURv2G5ir7sxiZg5wrN6Ogrqh3QvzuLgw2dgLbgbMPxfP o8CVuX4orvbT/jjj81JfQJ9iKkNw/TbZCAQnXajOvXn9RdQaU32DLDCJq2G1uqrfFU6r+aSSaSue 3F615wK/hua8+k4uS39OG2vZ6gGlESopERvf224mHEf3O0uGo2mthtkVueKrKPcwvcYzf9uLiRZr 5zq+iBbEaKfRyLz2+wyGAB2yEnDKG30a/vCortBBQBU632Ag08mmv7SU12aOqL9XvIenQnl8rCWm ngx0OpTm1wL/1FVamSzpWGuaEfA6THLR23dW9rCKDabvI2S1vhwicPXMJcVPyTH/0L0dae+EKnf1 7ibLPRZB0fm9xPxYM/adfzd1/TfQgsxiDk/BMi9G9MJCqWqFO5TSt+gCGxX0T5q34jHxe5NoMhNb yHzQufvYwnFvwBYIJMOUIeRkR5vvx/9hQHqBVcGv4VvtQkNhb/VQWKiKfZ8UUgxGKWrji7T//8CB HRht2KhD+pRVxX2EgmFRQIkfxXUTMjSmZ+gK64kUfuEIU2W8rzuw17UvKO9SctZIiti02+ZpMpvQ 9LqOR1iK9CfAxRmV0HDGbx0zo9xhpzZz2r2pVawjux+Slpgozf8pm9X+AuzTLMotsaq4j23Bjcub kL2v5CsgWOcfDBdH8PLyCYExlK+zXwZvvOYgK2pM7s/Z9Munj5pkW8qtwqmmhFKNV7o1m7v3S70g mQrioGgHQZ3p/gAyBy2/n4Z5x9oxkOSrydfdYUM7OaGfsu4l3o3H1W7g8gmQkdbqgLlPy0U3BG4j PQU2gF8Wq6D0ANOxKFXGHcm68mofwMZxHolHamqspKgXHyi1CnbDv+nY6nFkN4fh8XqCVaoFWVlD lYd2kSUsm5nZmlY95rDWDqsJoYMC03HQ5XA8gJqHdLuJdYqa9ywxKeVJR9O3AQlFuBYxvm1Szukn XBRDvsxFURPtVD4JmOxS6CEgczWs3y7gRPSLYvwQYRx/2crldUI4Mqj7oUE537nc1EA67+0g0rKL Chcb7Raft5k1NIZLfQOUPIYyJfagdF1S488pQZh4CAzFIKjjcmHXHYGjDPMEpkXUNnjGdO8LLr8m 6Z6tURy238BLyKi/8ieoSk8KBW99/x+MGRLagj5isYoLtgKGgwyNcxunvn/RddQ3vbD1gb+jEdrE H98M/nx93uCZ2MDZPRHVBgd7GdLuujB7zdp+nd968sq7ezCY6uBZrAQhO3YskZctf7cAv2y8Hz9M 7FSeHlSM0e7G0c1Mbe2l5QxngaGYbVtwJzHpkija+0LJfd+2p2WtAPFw88QYepm/0khmm7oMse9y keT39qoxQeGJG1CCxDyRMYdu1PXxwlIzROdVyP3JLM6vuTm6folLlxyy2ZQhPR379xEkLuSW/GId wGlrghxAWDijfHL2Cm/7YO+XKWZN/WAMUOJoRYZ7l0AYOUteu5v/y15Ttmz0wZVmpSNNjEgaSK4M 3Ar1PUVAru7uhQx2kBgDfsjJuYUl6ClNQheJfB2d96I4WPdbg0Rojx2pQ3MYWtmnY6bFO4A/AtaL E0iv8ZqUeWp2m/qRjjbyq3DI5xAwDVmNmSS8UcOlUP5CjCeqxTJgqyRQvcvpouJ6v9sKz7ebumRN JQZy+3qmRTDuUnxGUEiqB2MsUFJ0V3JYGbGE9S6hwo8GvoLTR1Gi4giRgxuLLFcVx1JlaS4pFB8k yVSIXIk4dPOrDXmnCyObVzbL4zN+xZpwrlI0Xvi+8psQydGo1vUcIBFId38PQeixXsZQcNBwltLF 92uRnYTLKGZLU6guLFe63F9pEWOhBStwXurQiWhy73q3m7I2h282c8j2OisKx8rSuLTDmCil3fAN 4KILX4We2SPQB08GycR6zb2qVBC5LfKbNhLOgLH0vCcQXjifWnoth4gSl1ROWlfhRYwj/5rKViEx 4mORAHyf4KHB0gIk2kfj4c3IGpuAooTuG4pnOmobrtxTFzPmQ1KOanW4h579LX/RCDf9ROAJ2Lpl IhhSfcojehLsUqeO1WVWlXMA+8OqlfSAhBIowN8skdtlwHwL+GfgywRsvheraftn7OhWiHW5rDmJ 3XrpXG1Hh/cjMvldJU/wg5fggsYEuiFfPkIxWqZXGpSCXKK00p7eyHLWqycZxADmxPn6Hne5g10j 0Zj8TYUKfhy4J8saeCXYT0iQm+12RKLIsGYkbCZ99v42Ddds9lPu0MPuAPUvDv4bEtDs/23fzn97 /0ejDSzOuq68fPLMlkj9sPvQS8VBGX9DQJzcyZGnz4HCOXPtHq9bitmAQdefparLjAqvr6K0uUu0 WLVAmUu3vkNurLmUZaqgc0/HvhIJfSZfgj1tOeJmkV3uS6hUnbPHQ59G/YH6z/m7xk/3VYz7+imx NcicbahhW6eyIkQjvPziv/6yNq7yOBwxu/mdr2qwdNYX0wDslUjRKCnMPGFTFbtTZgk35D6/tWwi 4LCzGdXalL/idDrkcfTodJaj/3E6eI6WaHNnXZTt8hi0vcIpVF5icNTImtDsX2CQstr9IAFMx1Ra 6BNfJ7c07bnFj2y8G6c7NehXRMTVmCX9m+lLK3pGd/Kbxury6aIKruwLvyoBCu5xVoFX0YtCGIEs ip37JhbX9Pgd6gAVrf7OnjkpdxAHlVtS9SughARCORG6hbOZU9YM2H4y0BhuVFRrJ7KLKHEogyF6 9Yy0AlyxpIQfENLGfPogARpkl7iBOTu3puIKyqnCE2dIgsMBzPaULzgMx2R92ka/NMHsiO28AMHc +j2aAl2D7tLgczJcoAjjqYgY8LHWeLtsJhYQMtX344lWkd6OnTY3p7Zib2pV6ZEA4mkJE2uF1nwQ bbFvOU1sP3fPPYEgZLHhzdC0WGL4AUCGnr3O3yfxW7d8SLxlx/yJslT8cf7FxEv2SlxN0cI3gm4E ahA3jmYCNptkp/FkDC6ctMIw3ZVzC1fk5WaONCa7tR70cwlG2OWOUBjNhfnJor18jaEMpzNuJ6rw OzIYxqdRU+NonM2PtsydHdOVAHISLl453UmR3F0L4ko6U98iU88lQCV+4KU1ZfjFw+3oTAj1InWK 8UiPIgC/d7pJdIOos1utuQ3foRluOqekVdjr0NsXZx8VdCVNmURbKjRStSl08tHXGyrBNg5sD2oC +ol/OuUXZlak3FaaZ0ozR+giX1mNTx5cftiT9qmRY+ijvFF9FeVQ/X9xFCFcpNnL4O2OEj+3Vbcj Yhse0guwT4fZ9mCmEyZEltZUALYols+NlesJUdhoPKpNgItY3tp8LA4uHR/FnjlJujWx8xooYzQD Lxtua8EG9NVUNI9o5NoyS+RQd7/P69T2L06XgOAWwm/gE7tbnkPqU0eyqbBFj6lVkIy4MO5k5te9 z1hjtEakBASd2MhlMRNCYxj4uzwwHbZqRoKDsMK0tkowVmGK39IgAprxwAFO/uCwzo1fPpUDoq1k zGjeroGOIRmPc93Qk8WOMHGooKGvC7k6T32vSI8tMfODDgXQAp+T/0uiCVVoOptExOlpvbgVV3u+ m5sYCKsTrFu3C1fwDZrWnwI5b62N73vLZjklpJP39xnQUsFIVhK5gHpMl4QTEuosHBiCPU7AyUz/ beZc7emGd1KKCpA3VsMJLZq32AlkyMCRxKZY3mBlEQS0ZlBMVZqocKc+Vdrval7QT6kEVQmCE1DA CrKhUlkdqFSkY3BduUe1SrhAjKodjBc1a9zrLodOeCt34iZ9bLDpDp2F7ftbeoC6TU4Uc/MArAsB obZwiB2n7i3E1VTAGDtC9Pzv43S66Gd1AShL5QpjgE2EFLxTSA2IsnpI/pHxuxkRThbsOhi+gLHZ ttONctRWAs3oKypdtDKQi7N0gpjBbEHMA1TWxeGIqyPxjJby9gD3TXXIs0xnHrZmU5d1LHnPRjEc 6laY/NisQu4mev+W6cwJ/w/onPELoADmRCvTpRw6yGDoM/sOy7h16J0lQQV6yXrYMwV0kMeQwFtZ Epu2QRdfLY9RNdOBT8412tOqSAwhMlCpIxHVhiq6LGEvG1vauD963Q8pb3Tj35T95bYUGrvIsjs3 KM/C9a+PeXhwSE5F2y58AZ5lkLmNKGfRpWwecBxNRM077x8lMX4iT2QEQFTKRd9gIsL/I3oTVBSd dhvOpT23VhJ+uOiVjUuNBuyrVicZxQQBWKt0zQMZHQ8ILKj0Ee4uyRRgLQDdlwnKVWtkH2MONE+E pP8/m+R9Qz7xl9ODD+JUeCABX71s3dcDFQiR5+eDSeNQyLFdBU5eQqhNibuOnvKi6LWeDxlEGOyS +qV4SFAQDFFul2R1Fy3qylVr+rXiFsN2RnvXNpGnO47jpio7Ub0hAaCFa9x/82inCetXDXY3BSmy gj9vJLljBG1G9LozlAtZaERUTmRjZ6cspVGUPowsktahlomPygNfw3Zijnh578G9Tq2g5+yUkyyd 9hjKB8dJjOCcAvuwpNRXnPoYP1lmk/AvEsIeecxI0V3ICCDFD8N46XrTnCA+RPrOzgNRSyzDSZ28 e97dfYsmzFWf06KfCQuTd7gE+Ur+b55KUxrxLeRcvvF/FchFwytZtz0s+lvvYn5K2CWVL8dxds+n En3HfyUUBtFvO407wMmqEs17wTs8TM5FTlNGNQABJ648l9ny4WFpvddIrY2o4LUIBHg44KzjFddE N0rdEjbFUmoKg4JfpZPa97gc2lYFMVyJi26gHRX+l0yMPKEQYVbUYQ/spTjHNiA3THJ+TA1GdFfr h54TPXk0Uf2eVeywbNTiQCD1HQWWelrpxA7FoVeV3cPFaorY1xwYfyV5b40MXM+BHUwonfE9u3Wn dukJWb8dEExKjlhbBBA0XMHBidpjQrq4g8ULfgg0/m0RR8h96Hfi/7duxanBbEWRG0+DrfJFkjVo DqDbv95zNhQnzosLMpiJa3ecUefKHBQPY1aPv+IzB0Ga+udcqrlzIaTHiaP3L6hKTANJnytNRRMf P4rAcbziDRVkixH2HDWd5X1KzCs/yuRTaZsSLLzMzy0XXNKhjQo9qMcGCzS2YIQ2+aicZlUISEBg pkIvMWBp2Xql111GX7ljhQMYz3kq/1nuZXLblwLOhaC1friERN9lFCcUzIIqfK3cbCGYaBWxPAaE bc7Ion1VtaW9HYbotAsVYoVSiOM04bQIKfqM5KJDXdE9U0zeK1t0gepMEBq7yB2svdRYSXH353ve p2V8UVb3O3sSJIesKAyzr9o3BUqK7tTuW2To3ndyMlnHZCAddkTq4xz8Cd3oRTSt1xl5XBnnBEKg VTIMRaasgyCoMM0gZb2U+MRNUJvrB9+HqP5g8MW6tZUvf1PB5/EzHcOg7g30Md9h/y9wHAypWaRh 0lYGZicfUJHDePUlzXiehqlDmsTAu2Ny+AFMF3kKeGNYCfX/iZmOqTzNGUEnymLafu+SkTCLgcCk r2xUl3peHlsbofBsYdSyY4ospHKajkrp5nCdMLwUcSYaMqiTu82iQ+9Zkl4FTDeRko4u8Cg/FL9K +l5pkQ39XLz2oUEABGZ9Lp6jUGVNHm/XOAOcXNWGz7vQPlHvhzR/DfsdH6yTPqYSB+CdTqwQiq2h AUmY7xOhhQhHvJoZCN7XeBYGxdaX5dfZn9UguGh8/KcmXQ2gLxG5uhxJymDFuXFZdDJ3mGuOJwQw Y8MEJnKmb0ZgFwqfpro8tA92dSJDEWu4fCyAj96RG04k31x0FjzgrxQZijO0AednXAbpalzuVloC rYVbOZDwMvsLNipt1bs7U0RRC54Xd3ptKGy1Fc4Ndd7yLwTJCstnd4aJAwpomYCfnUXFN4AgU6pI FdP3qwUKADDvUu+zRr83lqylApzoOi3F7HtA+LUM139LbuazU+FvE7WQ76vZq41kp8A6QuWpur3y utDFMFWiz5JZnIYHg7SaCsOZk2zS6ysdd9AUPyKUrTQQCb1kFLD9jgPweadsvtLHtoqq/iYVM2iJ TSF6oN2QDfZjhVXYVunRdemcxNLDvurT9oqbor2XUNpk3E46N0nPB9o7MRkAoB6ovnmDhS8MDzsR njCKsaPBG8ONB1lWtnOEP8J41UMzf52FiH7eVCapoxPYArhQWut0XRoPqhbm7PvC3ruB6QoJnHYs HDbcMOQmNYEipNXkluUGhpUOe6EVoaLiVOzwMEwTlTxqBkAdVBMsQSVx8kDtI6Yc3XeyhcTOnD5J BYsZumdNmoLRlznGQY6MVT9f0g2V0/l7bc1Gk5uY7NUaU/CoAvoj3TbuoZD8Pwf1D3SjOivkSuZ9 f29JIpQ3GQoGjRgg5nVa3yare+hfufpVbTMWmuKxS9u1N27S+cHZULBaPEfJuiqspY4ls/Zh/fcp NbYo47y2iLnvoD2u7tl6hf0xIFm6/vNRw9ss7ySUVmL98Yctz0K9FS0tYtO6CL3QxbYESZDx8uAt LIySxZMjg+bxYUBMQhRVFfhMK0JactJe+mw0iJzv4bFJILwzpdGptUryMliA0w+lWMKZDT43VvfV Dc070TyAq88Q2POPCOLmdJEbevfDrBndWZrorNoKmjtTJLrNnEiHwOjtQKKRhv8uuNtd11PtuEae rf8tZqJch8Rf4B51bJrcnMqNL5u2evywvsjs+X9mhg5lA54bsL/coTRDzGSmV88IP2r8IKxFvCC7 oAT1plUtc9tE4MJCkuiFb8Yn+u8tlsxHBtzukTjvV2VqgljG5TssN0Z5CduJa68Nll0Jsva5OwLj 1+YHpPlTj3o6pCmrToXsA6pRPiJDDjbqFk1V6zXFd65YAW4AUx2UBSLGk3XNljJ5wbJcnxrNoqFp mtCX2mf9Pnm4eDhfzM+7l/26ecDT5Jr6ooubEwb20Z/07/ezMnmnCDOvTODTTphmOvoPNp5v0deE 0QWxArFcpgukrLYqaq1VA+eWWaSZBc+iaP7KbTtib45ra5KdlS9X/Bm6jmLOx+tkCgkwrzDFEzJs IMRjDK3i/63IMUy+rTCKj6/JGx3D+BgNE737M3I6+wxa90mekPR4kmms/5GH7kBa6NPjsykahddx pe6/QUGZPLO2ZnMzu4dFVSQT1LwJws71gvevrQP45zu2heIzxBTJU17BE2ZjLuJWIXxgKQCIqBLD PcdkE+266pNQ0E8Y2t9dHWNawl/x1e5Rmicf2HJvZ15/QSpqsVi50TpeDSylkJO7YtzWSYgvP3ff +9N3NEBQ0oqilbsw4I3pJAKmcKofgMYtyOzVMuqeAHBb5frAawo2FeNd5KqAbGzQbGZ8UaLkpul4 97p25z1tNFssDsyl4pcsadVpDbXq8K8ey7vHxQ96eFmZkiPMJB1Ffyvs/gjZG0mKFMnt/sgrMdhM JrHOQRMoSV67vWVnKdvFfB6sfbQB1ef2yBW+sRetceXJ5eySFmpk5gO/vHTfmZ3/z03O58qHjDHI AnPHuUpdIOFdfijWPJ850LnKCvSdTW+UZu/E0w61l5Ho5fvJqn+qBhztWY+RW9+fkZyIxYc0qG+l 7ErmGY3fCwS9cR+cAM12sJICjauECNxt7cNnHsbNTOk1qvwRgASBvq+gr21VgSsFnurJ0cCpMT4g iSy8r4thrp0cAKNQZAk6TwdsTOwtI7hQo9mt2JdqbLwH9/0qlMACdfyl3GIEwnGdAO1YZVq1h4Fi uipG7MCGRIvJiosuiBBtoF7K1ufAG/LsX9fcVnnsR2aXwjw7IHmCOnQlNwqztglCICWT4ZJo9ky8 kjsHkczGaqc5eDR7zf//XEqHNf02hEu2dQECH1yaQlkGsgWAkiyO5L8+8eHvrUHARpbg1G6UbyjX 9QVMe8Mwt//n9OcYRqbDA7AE6QEppVhsQ7ucc5lJ3/XjrW72oEQaz/C36wnZ/PmZ/AYwSJuQPJiR DsGa2KOSpLEicrTthbhoYNOI6zcUscm03OUnAOrQ3MkBvfNQPROOyHgR6eCC++DU3IpRCuFc/+aU cNvUG17SaR7e0tbGn4UyAQB8c+ZoVuU2nZM81ElUw+T9iZMl6xusdUj39f8gpVxs49BsVmnOVZeK ZvmKzRGIZ4ZGm/hB2wMtZqjm7ywoRb7hLZXWJNVSXPNm0P99lsJvZ9x2RgKGtqD3wC8JKv14wH0m MgVc5w8kNSFMiJzLph1Y44q1z0/++yDSZh9X+07odeg0hY8MebezPkBDlr+Z8gZbrmL+0/8cVQDM TqEqiPRoBq2yGg7LyTHUQNkbgGYQxB/lQHyYMEJf/rHsDGk2f9plwS88eZvrBKA8TxzBF1QKaoxa vEQdfnjDlN0QOtxS24FD67M9kPjiN1ARwIlbpl/mGFmB3jivAFFpUY+hyLVEbMvthE3uCfiCWdDL /iRoXqAcPdNTv+4EPpbbo3wRUy880IWNmVZ3R9NwTvGLdSObqz8tepruDenTWlwXwVJlRrpks5al vC+CKCX4loQUdjeMf8cn/ixIS9WK7Au1F2Ah6ubkuw4lgCZ6r3vJ4OIH9Nf+olUNwR+tPGmzD2/z A2FrVcjABd4yIVeWrcRpQe6AAIgsfcpMk+1Iy5sYhn91X5oiiQLVTm5KCqtwXb7A37dBPu9egVPw S+2IhWOJ+uryYYpCCnnYn6wH48KM0CCwWwb97O1vLaXdlXSazFQ3LZIN4QycLAxbNw3kOaXHhc4Z cubixNi8GVcUSmjDwcX2AYAG+NgmH/oh7GRj9dS9xsn/yYWJG5Svfl0eBboU8FXUyXg9Jg3rix4g OWsnqmKIxNScS10dZGVHNreoXnNGZuKKLIw3yJKQivN0ETQgozAa9LMtHPOJaFJz5oGPV8erq1G7 J0jUoN+BicNUwrOWC6lLdO8jtwCLNJWHUFmQKqh68DxbJbHbdsW4tM7o94TvuLFxEE7VEsBxhMnp I9hAbRS669m89e7mObFvx5J2loCA5mc9kH3h4RXxATxQq4IpO8jicss0vaRbP/Gm89W9+0ctYVYY Ipn442G5Eez3XAWW2CeQfWE11WaWBCs62cmggrDMzbpzidOt6wFjWBKe3rhWqw2+uxpYpitF7Q1R grYuJaKvOpPHD0a5IBsbMkCZBac19TWn8ANMFPMmmwVII8OKFi/OsP9EtrWxUGus+4J++yGH9XoR Iq5QTJ84U4bUo7gs9n28jo44fxrb3TXDoXU9gWEUHaA6AMbkhjYssh0PDWxX+K1eSmTFvLmmuALs EU6dr5O/c7/WY/dvOek8vJUtHnF5LNzjmjQwPnRQG/XPpf4B05jA1ctyFfy3hLP2/2dOU3putWom jhby28Uydl2JuALrfc1rAtMaR1w3hzgRYU7DNKj+4MpGj2o5tChTsMY4kHOf3wkod/HDICFgrLS6 d8z4T4cEDPIFzXnXyx6DoHoRWim1mh7ikifmI2xEjHWdhtc5PdXYW7o7JnGeo+27ZK9a/19FXhbd Aq8AEm22R71IHbIyMxf5OXmZpoUQvAsgxYiCMbKW9DYJJpj2na1r7nfD0GG0cWiVLlFoXXQu0np6 j05JOYYNmuYXxALwTrKMdQUkislfySnPtCVmcbqXSkltvA+Im1WMBSEaazvJISZkTSzJPyOnk3B1 pJlDXCMKJnse3cjtAt1SJ706ieAV0D+MaByedSd8kxYBqKmpfkvFjK/CrGNEEMIatFG+YYsc8kKm tyk8G4y5PbDsUVXFEAnt48AbPyvk0HVStHWpO92PwN4Pma4URQ9OShLL/VThxaYfxdgRub879ifk ENk3jK1p7ZlD5bKj6N493aL6erxCpQ8GgVX88OaixrfvwikdUdrn9MnGe8likesXqehreIYcibGi fN9QifhtxBek/E9QKofZBVz6kPRjP5yA8qtyhNsgjji9vJJyPUd2TQx3cKpIr/p0Mhnj7UfFZvEG t0yoBsk1idyaQ1gBt3lt+CTsONS2G414zz4ImwUbQ67OY5Bq6G4ZGXazurZEx36ro/qfTSpdexzi ortIPhJ0juvccn2DvO9/o/dn+IWdDYTSF2O3MxCJ9UMG+KhGJL9nD1Sr05RcoOhuxR8tXZDS1mwQ wuoGBacIxcgru3aZbCBvg0/HHPuz0IVU7HS59OQKUYF9p5bto5G7yyg1mPU2pUA236d4RYdeHm+/ sjxqtgxLEswpXykYfGsEKKeXSakqzHHl61JOzwm4FW7syt46zAp9mOise/1MdRk7wZgfWjFklIpI fjjaf9POrZthKX8ENyVXYfT+4J/T353+n5EJ/6lCyShOuM4OYbXHqzJ2lT4DzHtUWqnCoVWgg03R 23jvh62spSAK5hmMNQfxp1W72CaFII7XzJQh26jat2p/kFrk2p2OL1Y+CcOOmEmM5s77TlhYiX+9 h7xfdpp1/l5mryJprmWvl6CmD4WWP7Hd+/tefZJoWyGGa43Uxi0JCT76dckjkgQRdEBSLBFptRtm IeD4xT9W88kZmQhaZCVi64tdTXiF58eQEf4fqOt+/TF7Ny2K5UHidrdLsZMADxp8O3LUYdNjBXkw OSNvaOQYcx7ykUibG6e8/ItF2qd+HxmleO4m9KTNEX9dqYKvaCldZ24jGZx191eMHMLeO21zR7Yv FIApBHMQBSM5FxNTYNMuAlQcH2PXmSDxDUp3ZXnzt4ugZajVMDp036M/aWxrFEqGq4pMEsyKkIhR AedFEJuiUGC6X0S+LEaXD1sMBtG8R5tbxeUK7vixQXv6zeD5Xecucfj97mwSzsFbzTiOLOASGjX/ Rnmh5AACN019k9sf3i+uTHw1n9uEJbJMqaIpDAm/hZiFqi6hrx3l7/Pp3UNxAYuEeb3mpMqoE5G8 2jStUCDoXTJuQ9U/fXj7pFbjX7qCI3+qW3s6UH1YNAsTk4i6zs5Wi0wMVhTgv0DBJPoIPU6t/ouV wVDaqYiuOgqIDCR8RgMCrVm9Gj1eYl4SeZNNJQIRm2tEhjCpK7S1ad77Ln+dSMFskzuKfMQnyK1p jJWVXhX/802+lt5WlUyAHgZZEQEvVv3mPlxKcAnHJVherSCHGv3NFf644isJEgdgvzVU8GOkoJA0 TKT8WlCYp+mPGA/lPr/tePLo2zC1qo8d68zdfWruFLoRrPjicglxh093RxV/ibKarCikmWkrvhm1 dtkVHfVQ3JARuzxzFoLSL38YCQOb6NvJ3QoujvdEj8RjwKLlNAGzsoqKBCVISiwkbEn3fy7tAHc1 jh789qBPbfmV2aIX83Q2DXk+t4Is1ULPFtikYTwVwVi5mkQGURMxkN+1YmKTj6mW lbAFklJM `protect end_protected
gpl-3.0
2ddc7017d2af0a135f7ad10e7f676172
0.942212
1.860519
false
false
false
false
shailcoolboy/Warp-Trinity
edk_user_repository/WARP/pcores/user_io_board_controller_plbw_v1_01_a/hdl/vhdl/dual_port_block_memory_virtex2p_6_3_25371f622c89ba44.vhd
4
5,975
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used -- -- solely for design, simulation, implementation and creation of -- -- design files limited to Xilinx devices or technologies. Use -- -- with non-Xilinx devices or technologies is expressly prohibited -- -- and immediately terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" -- -- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR -- -- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION -- -- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION -- -- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS -- -- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, -- -- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE -- -- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY -- -- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- -- FOR A PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support -- -- appliances, devices, or systems. Use in such applications are -- -- expressly prohibited. -- -- -- -- (c) Copyright 1995-2007 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -- You must compile the wrapper file dual_port_block_memory_virtex2p_6_3_25371f622c89ba44.vhd when simulating -- the core, dual_port_block_memory_virtex2p_6_3_25371f622c89ba44. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off Library XilinxCoreLib; -- synthesis translate_on ENTITY dual_port_block_memory_virtex2p_6_3_25371f622c89ba44 IS port ( addra: IN std_logic_VECTOR(8 downto 0); addrb: IN std_logic_VECTOR(8 downto 0); clka: IN std_logic; clkb: IN std_logic; dina: IN std_logic_VECTOR(31 downto 0); dinb: IN std_logic_VECTOR(31 downto 0); douta: OUT std_logic_VECTOR(31 downto 0); doutb: OUT std_logic_VECTOR(31 downto 0); ena: IN std_logic; enb: IN std_logic; wea: IN std_logic; web: IN std_logic); END dual_port_block_memory_virtex2p_6_3_25371f622c89ba44; ARCHITECTURE dual_port_block_memory_virtex2p_6_3_25371f622c89ba44_a OF dual_port_block_memory_virtex2p_6_3_25371f622c89ba44 IS -- synthesis translate_off component wrapped_dual_port_block_memory_virtex2p_6_3_25371f622c89ba44 port ( addra: IN std_logic_VECTOR(8 downto 0); addrb: IN std_logic_VECTOR(8 downto 0); clka: IN std_logic; clkb: IN std_logic; dina: IN std_logic_VECTOR(31 downto 0); dinb: IN std_logic_VECTOR(31 downto 0); douta: OUT std_logic_VECTOR(31 downto 0); doutb: OUT std_logic_VECTOR(31 downto 0); ena: IN std_logic; enb: IN std_logic; wea: IN std_logic; web: IN std_logic); end component; -- Configuration specification for all : wrapped_dual_port_block_memory_virtex2p_6_3_25371f622c89ba44 use entity XilinxCoreLib.blkmemdp_v6_3(behavioral) generic map( c_reg_inputsb => 0, c_reg_inputsa => 0, c_has_ndb => 0, c_has_nda => 0, c_ytop_addr => "1024", c_has_rfdb => 0, c_has_rfda => 0, c_ywea_is_high => 1, c_yena_is_high => 1, c_yclka_is_rising => 1, c_yhierarchy => "hierarchy1", c_ysinita_is_high => 1, c_ybottom_addr => "0", c_width_b => 32, c_width_a => 32, c_sinita_value => "0", c_sinitb_value => "0", c_limit_data_pitch => 18, c_write_modeb => 0, c_write_modea => 0, c_has_rdyb => 0, c_yuse_single_primitive => 0, c_has_rdya => 0, c_addra_width => 9, c_addrb_width => 9, c_has_limit_data_pitch => 0, c_default_data => "0", c_pipe_stages_b => 0, c_yweb_is_high => 1, c_yenb_is_high => 1, c_pipe_stages_a => 0, c_yclkb_is_rising => 1, c_yydisable_warnings => 1, c_enable_rlocs => 0, c_ysinitb_is_high => 1, c_has_web => 1, c_has_default_data => 0, c_has_sinitb => 0, c_has_wea => 1, c_has_sinita => 0, c_has_dinb => 1, c_has_dina => 1, c_ymake_bmm => 0, c_sim_collision_check => "NONE", c_has_enb => 1, c_has_ena => 1, c_mem_init_file => "dual_port_block_memory_virtex2p_6_3_25371f622c89ba44.mif", c_depth_b => 512, c_depth_a => 512, c_has_doutb => 1, c_has_douta => 1, c_yprimitive_type => "16kx1"); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_dual_port_block_memory_virtex2p_6_3_25371f622c89ba44 port map ( addra => addra, addrb => addrb, clka => clka, clkb => clkb, dina => dina, dinb => dinb, douta => douta, doutb => doutb, ena => ena, enb => enb, wea => wea, web => web); -- synthesis translate_on END dual_port_block_memory_virtex2p_6_3_25371f622c89ba44_a;
bsd-2-clause
4f41a1149d5b8453b9295a2cdbd26a55
0.583598
3.343593
false
false
false
false
timvideos/HDMI2USB-jahanzeb-firmware
ipcore_dir/bytefifo/simulation/bytefifo_tb.vhd
3
6,343
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: bytefifo_tb.vhd -- -- Description: -- This is the demo testbench top file for fifo_generator core. -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; LIBRARY std; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.ALL; USE IEEE.std_logic_arith.ALL; USE IEEE.std_logic_misc.ALL; USE ieee.numeric_std.ALL; USE ieee.std_logic_textio.ALL; USE std.textio.ALL; LIBRARY work; USE work.bytefifo_pkg.ALL; ENTITY bytefifo_tb IS END ENTITY; ARCHITECTURE bytefifo_arch OF bytefifo_tb IS SIGNAL status : STD_LOGIC_VECTOR(7 DOWNTO 0) := "00000000"; SIGNAL wr_clk : STD_LOGIC; SIGNAL rd_clk : STD_LOGIC; SIGNAL reset : STD_LOGIC; SIGNAL sim_done : STD_LOGIC := '0'; SIGNAL end_of_sim : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); -- Write and Read clock periods CONSTANT wr_clk_period_by_2 : TIME := 100 ns; CONSTANT rd_clk_period_by_2 : TIME := 200 ns; -- Procedures to display strings PROCEDURE disp_str(CONSTANT str:IN STRING) IS variable dp_l : line := null; BEGIN write(dp_l,str); writeline(output,dp_l); END PROCEDURE; PROCEDURE disp_hex(signal hex:IN STD_LOGIC_VECTOR(7 DOWNTO 0)) IS variable dp_lx : line := null; BEGIN hwrite(dp_lx,hex); writeline(output,dp_lx); END PROCEDURE; BEGIN -- Generation of clock PROCESS BEGIN WAIT FOR 200 ns; -- Wait for global reset WHILE 1 = 1 LOOP wr_clk <= '0'; WAIT FOR wr_clk_period_by_2; wr_clk <= '1'; WAIT FOR wr_clk_period_by_2; END LOOP; END PROCESS; PROCESS BEGIN WAIT FOR 400 ns;-- Wait for global reset WHILE 1 = 1 LOOP rd_clk <= '0'; WAIT FOR rd_clk_period_by_2; rd_clk <= '1'; WAIT FOR rd_clk_period_by_2; END LOOP; END PROCESS; -- Generation of Reset PROCESS BEGIN reset <= '1'; WAIT FOR 4200 ns; reset <= '0'; WAIT; END PROCESS; -- Error message printing based on STATUS signal from bytefifo_synth PROCESS(status) BEGIN IF(status /= "0" AND status /= "1") THEN disp_str("STATUS:"); disp_hex(status); END IF; IF(status(7) = '1') THEN assert false report "Data mismatch found" severity error; END IF; IF(status(1) = '1') THEN END IF; IF(status(3) = '1') THEN assert false report "Almost Empty flag Mismatch/timeout" severity error; END IF; IF(status(4) = '1') THEN assert false report "Almost Full flag Mismatch/timeout" severity error; END IF; IF(status(5) = '1') THEN assert false report "Empty flag Mismatch/timeout" severity error; END IF; IF(status(6) = '1') THEN assert false report "Full Flag Mismatch/timeout" severity error; END IF; END PROCESS; PROCESS BEGIN wait until sim_done = '1'; IF(status /= "0" AND status /= "1") THEN assert false report "Simulation failed" severity failure; ELSE assert false report "Test Completed Successfully" severity failure; END IF; END PROCESS; PROCESS BEGIN wait for 400 ms; assert false report "Test bench timed out" severity failure; END PROCESS; -- Instance of bytefifo_synth bytefifo_synth_inst:bytefifo_synth GENERIC MAP( FREEZEON_ERROR => 0, TB_STOP_CNT => 2, TB_SEED => 12 ) PORT MAP( WR_CLK => wr_clk, RD_CLK => rd_clk, RESET => reset, SIM_DONE => sim_done, STATUS => status ); END ARCHITECTURE;
bsd-2-clause
d2f9f09d3e28040d05a76542385fe17a
0.612486
4.175774
false
false
false
false
shailcoolboy/Warp-Trinity
PlatformSupport/Deprecated/pcores/radio_controller_v1_00_a/hdl/vhdl/radio_controller.vhd
2
23,552
------------------------------------------------------------------------------ -- radio_controller.vhd - entity/architecture pair ------------------------------------------------------------------------------ -- IMPORTANT: -- DO NOT MODIFY THIS FILE EXCEPT IN THE DESIGNATED SECTIONS. -- -- SEARCH FOR --USER TO DETERMINE WHERE CHANGES ARE ALLOWED. -- -- TYPICALLY, THE ONLY ACCEPTABLE CHANGES INVOLVE ADDING NEW -- PORTS AND GENERICS THAT GET PASSED THROUGH TO THE INSTANTIATION -- OF THE USER_LOGIC ENTITY. ------------------------------------------------------------------------------ -- -- *************************************************************************** -- ** Copyright (c) 1995-2005 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** Xilinx, Inc. ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" ** -- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND ** -- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, ** -- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, ** -- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION ** -- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, ** -- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE ** -- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY ** -- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE ** -- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR ** -- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF ** -- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ** -- ** FOR A PARTICULAR PURPOSE. ** -- ** ** -- ** YOU MAY COPY AND MODIFY THESE FILES FOR YOUR OWN INTERNAL USE SOLELY ** -- ** WITH XILINX PROGRAMMABLE LOGIC DEVICES AND XILINX EDK SYSTEM OR ** -- ** CREATE IP MODULES SOLELY FOR XILINX PROGRAMMABLE LOGIC DEVICES AND ** -- ** XILINX EDK SYSTEM. NO RIGHTS ARE GRANTED TO DISTRIBUTE ANY FILES ** -- ** UNLESS THEY ARE DISTRIBUTED IN XILINX PROGRAMMABLE LOGIC DEVICES. ** -- ** ** -- *************************************************************************** -- ------------------------------------------------------------------------------ -- Filename: radio_controller.vhd -- Version: 1.00.a -- Description: Top level design, instantiates IPIF and user logic. -- Date: Fri Jun 24 10:11:25 2005 (by Create and Import Peripheral Wizard) -- VHDL Standard: VHDL'93 ------------------------------------------------------------------------------ -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port: "*_i" -- device pins: "*_pin" -- ports: "- Names begin with Uppercase" -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC>" ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library proc_common_v2_00_a; use proc_common_v2_00_a.proc_common_pkg.all; use proc_common_v2_00_a.ipif_pkg.all; library opb_ipif_v3_01_a; use opb_ipif_v3_01_a.all; library radio_controller_v1_00_a; use radio_controller_v1_00_a.all; ------------------------------------------------------------------------------ -- Entity section ------------------------------------------------------------------------------ -- Definition of Generics: -- C_BASEADDR -- User logic base address -- C_HIGHADDR -- User logic high address -- C_OPB_AWIDTH -- OPB address bus width -- C_OPB_DWIDTH -- OPB data bus width -- C_FAMILY -- Target FPGA architecture -- -- Definition of Ports: -- OPB_Clk -- OPB Clock -- OPB_Rst -- OPB Reset -- Sl_DBus -- Slave data bus -- Sl_errAck -- Slave error acknowledge -- Sl_retry -- Slave retry -- Sl_toutSup -- Slave timeout suppress -- Sl_xferAck -- Slave transfer acknowledge -- OPB_ABus -- OPB address bus -- OPB_BE -- OPB byte enable -- OPB_DBus -- OPB data bus -- OPB_RNW -- OPB read/not write -- OPB_select -- OPB select -- OPB_seqAddr -- OPB sequential address ------------------------------------------------------------------------------ entity radio_controller is generic ( -- ADD USER GENERICS BELOW THIS LINE --------------- --USER generics added here -- ADD USER GENERICS ABOVE THIS LINE --------------- -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol parameters, do not add to or delete C_BASEADDR : std_logic_vector := X"00000000"; C_HIGHADDR : std_logic_vector := X"0000FFFF"; C_OPB_AWIDTH : integer := 32; C_OPB_DWIDTH : integer := 32; C_FAMILY : string := "virtex2p" -- DO NOT EDIT ABOVE THIS LINE --------------------- ); port ( -- ADD USER PORTS BELOW THIS LINE ------------------ --USER ports added here -- ADD USER PORTS ABOVE THIS LINE ------------------ radio1shdn : out std_logic; radio2shdn : out std_logic; radio3shdn : out std_logic; radio4shdn : out std_logic; radio1txen : out std_logic; radio2txen : out std_logic; radio3txen : out std_logic; radio4txen : out std_logic; radio1rxen : out std_logic; radio2rxen : out std_logic; radio3rxen : out std_logic; radio4rxen : out std_logic; radio1ld : in std_logic; radio2ld : in std_logic; radio3ld : in std_logic; radio4ld : in std_logic; -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol ports, do not add to or delete OPB_Clk : in std_logic; OPB_Rst : in std_logic; Sl_DBus : out std_logic_vector(0 to C_OPB_DWIDTH-1); Sl_errAck : out std_logic; Sl_retry : out std_logic; Sl_toutSup : out std_logic; Sl_xferAck : out std_logic; OPB_ABus : in std_logic_vector(0 to C_OPB_AWIDTH-1); OPB_BE : in std_logic_vector(0 to C_OPB_DWIDTH/8-1); OPB_DBus : in std_logic_vector(0 to C_OPB_DWIDTH-1); OPB_RNW : in std_logic; OPB_select : in std_logic; OPB_seqAddr : in std_logic -- DO NOT EDIT ABOVE THIS LINE --------------------- ); attribute SIGIS : string; attribute SIGIS of OPB_Clk : signal is "Clk"; attribute SIGIS of OPB_Rst : signal is "Rst"; end entity radio_controller; ------------------------------------------------------------------------------ -- Architecture section ------------------------------------------------------------------------------ architecture IMP of radio_controller is ------------------------------------------ -- Constant: array of address range identifiers ------------------------------------------ constant ARD_ID_ARRAY : INTEGER_ARRAY_TYPE := ( 0 => USER_00 -- user logic S/W register address space ); ------------------------------------------ -- Constant: array of address pairs for each address range ------------------------------------------ constant ZERO_ADDR_PAD : std_logic_vector(0 to 64-C_OPB_AWIDTH-1) := (others => '0'); constant USER_BASEADDR : std_logic_vector := C_BASEADDR; constant USER_HIGHADDR : std_logic_vector := C_HIGHADDR; constant ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE := ( ZERO_ADDR_PAD & USER_BASEADDR, -- user logic base address ZERO_ADDR_PAD & USER_HIGHADDR -- user logic high address ); ------------------------------------------ -- Constant: array of data widths for each target address range ------------------------------------------ constant USER_DWIDTH : integer := 32; constant ARD_DWIDTH_ARRAY : INTEGER_ARRAY_TYPE := ( 0 => USER_DWIDTH -- user logic data width ); ------------------------------------------ -- Constant: array of desired number of chip enables for each address range ------------------------------------------ constant USER_NUM_CE : integer := 1; constant ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE := ( 0 => pad_power2(USER_NUM_CE) -- user logic number of CEs ); ------------------------------------------ -- Constant: array of unique properties for each address range ------------------------------------------ constant ARD_DEPENDENT_PROPS_ARRAY : DEPENDENT_PROPS_ARRAY_TYPE := ( 0 => (others => 0) -- user logic slave space dependent properties (none defined) ); ------------------------------------------ -- Constant: pipeline mode -- 1 = include OPB-In pipeline registers -- 2 = include IP pipeline registers -- 3 = include OPB-In and IP pipeline registers -- 4 = include OPB-Out pipeline registers -- 5 = include OPB-In and OPB-Out pipeline registers -- 6 = include IP and OPB-Out pipeline registers -- 7 = include OPB-In, IP, and OPB-Out pipeline registers -- Note: -- only mode 4, 5, 7 are supported for this release ------------------------------------------ constant PIPELINE_MODEL : integer := 5; ------------------------------------------ -- Constant: user core ID code ------------------------------------------ constant DEV_BLK_ID : integer := 0; ------------------------------------------ -- Constant: enable MIR/Reset register ------------------------------------------ constant DEV_MIR_ENABLE : integer := 0; ------------------------------------------ -- Constant: array of IP interrupt mode -- 1 = Active-high interrupt condition -- 2 = Active-low interrupt condition -- 3 = Active-high pulse interrupt event -- 4 = Active-low pulse interrupt event -- 5 = Positive-edge interrupt event -- 6 = Negative-edge interrupt event ------------------------------------------ constant IP_INTR_MODE_ARRAY : INTEGER_ARRAY_TYPE := ( 0 => 0 -- not used ); ------------------------------------------ -- Constant: enable device burst ------------------------------------------ constant DEV_BURST_ENABLE : integer := 0; ------------------------------------------ -- Constant: include address counter for burst transfers ------------------------------------------ constant INCLUDE_ADDR_CNTR : integer := 0; ------------------------------------------ -- Constant: include write buffer that decouples OPB and IPIC write transactions ------------------------------------------ constant INCLUDE_WR_BUF : integer := 0; ------------------------------------------ -- Constant: index for CS/CE ------------------------------------------ constant USER00_CS_INDEX : integer := get_id_index(ARD_ID_ARRAY, USER_00); constant USER00_CE_INDEX : integer := calc_start_ce_index(ARD_NUM_CE_ARRAY, USER00_CS_INDEX); ------------------------------------------ -- IP Interconnect (IPIC) signal declarations -- do not delete -- prefix 'i' stands for IPIF while prefix 'u' stands for user logic -- typically user logic will be hooked up to IPIF directly via i<sig> -- unless signal slicing and muxing are needed via u<sig> ------------------------------------------ signal iBus2IP_RdCE : std_logic_vector(0 to calc_num_ce(ARD_NUM_CE_ARRAY)-1); signal iBus2IP_WrCE : std_logic_vector(0 to calc_num_ce(ARD_NUM_CE_ARRAY)-1); signal iBus2IP_Data : std_logic_vector(0 to C_OPB_DWIDTH-1); signal iBus2IP_BE : std_logic_vector(0 to C_OPB_DWIDTH/8-1); signal iIP2Bus_Data : std_logic_vector(0 to C_OPB_DWIDTH-1) := (others => '0'); signal iIP2Bus_Ack : std_logic := '0'; signal iIP2Bus_Error : std_logic := '0'; signal iIP2Bus_Retry : std_logic := '0'; signal iIP2Bus_ToutSup : std_logic := '0'; signal ZERO_IP2Bus_PostedWrInh : std_logic_vector(0 to ARD_ID_ARRAY'length-1) := (others => '0'); -- work around for XST not taking (others => '0') in port mapping signal ZERO_IP2RFIFO_Data : std_logic_vector(0 to ARD_DWIDTH_ARRAY(get_id_index_iboe(ARD_ID_ARRAY, IPIF_RDFIFO_DATA))-1) := (others => '0'); -- work around for XST not taking (others => '0') in port mapping signal ZERO_WFIFO2IP_Data : std_logic_vector(0 to ARD_DWIDTH_ARRAY(get_id_index_iboe(ARD_ID_ARRAY, IPIF_WRFIFO_DATA))-1) := (others => '0'); -- work around for XST not taking (others => '0') in port mapping signal ZERO_IP2Bus_IntrEvent : std_logic_vector(0 to IP_INTR_MODE_ARRAY'length-1) := (others => '0'); -- work around for XST not taking (others => '0') in port mapping signal iBus2IP_Clk : std_logic; signal iBus2IP_Reset : std_logic; signal uBus2IP_Data : std_logic_vector(0 to USER_DWIDTH-1); signal uBus2IP_BE : std_logic_vector(0 to USER_DWIDTH/8-1); signal uBus2IP_RdCE : std_logic_vector(0 to USER_NUM_CE-1); signal uBus2IP_WrCE : std_logic_vector(0 to USER_NUM_CE-1); signal uIP2Bus_Data : std_logic_vector(0 to USER_DWIDTH-1); ------------------------------------------ -- Component declaration for verilog user logic ------------------------------------------ component user_logic is generic ( -- ADD USER GENERICS BELOW THIS LINE --------------- --USER generics added here -- ADD USER GENERICS ABOVE THIS LINE --------------- -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol parameters, do not add to or delete C_DWIDTH : integer := 32; C_NUM_CE : integer := 1 -- DO NOT EDIT ABOVE THIS LINE --------------------- ); port ( -- ADD USER PORTS BELOW THIS LINE ------------------ --USER ports added here Radio1SHDN : out std_logic; Radio2SHDN : out std_logic; Radio3SHDN : out std_logic; Radio4SHDN : out std_logic; Radio1TxEn : out std_logic; Radio2TxEn : out std_logic; Radio3TxEn : out std_logic; Radio4TxEn : out std_logic; Radio1RxEn : out std_logic; Radio2RxEn : out std_logic; Radio3RxEn : out std_logic; Radio4RxEn : out std_logic; Radio1LD : in std_logic; Radio2LD : in std_logic; Radio3LD : in std_logic; Radio4LD : in std_logic; -- ADD USER PORTS ABOVE THIS LINE ------------------ -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol ports, do not add to or delete Bus2IP_Clk : in std_logic; Bus2IP_Reset : in std_logic; Bus2IP_Data : in std_logic_vector(0 to C_DWIDTH-1); Bus2IP_BE : in std_logic_vector(0 to C_DWIDTH/8-1); Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_CE-1); Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_CE-1); IP2Bus_Data : out std_logic_vector(0 to C_DWIDTH-1); IP2Bus_Ack : out std_logic; IP2Bus_Retry : out std_logic; IP2Bus_Error : out std_logic; IP2Bus_ToutSup : out std_logic -- DO NOT EDIT ABOVE THIS LINE --------------------- ); end component user_logic; begin ------------------------------------------ -- instantiate the OPB IPIF ------------------------------------------ OPB_IPIF_I : entity opb_ipif_v3_01_a.opb_ipif generic map ( C_ARD_ID_ARRAY => ARD_ID_ARRAY, C_ARD_ADDR_RANGE_ARRAY => ARD_ADDR_RANGE_ARRAY, C_ARD_DWIDTH_ARRAY => ARD_DWIDTH_ARRAY, C_ARD_NUM_CE_ARRAY => ARD_NUM_CE_ARRAY, C_ARD_DEPENDENT_PROPS_ARRAY => ARD_DEPENDENT_PROPS_ARRAY, C_PIPELINE_MODEL => PIPELINE_MODEL, C_DEV_BLK_ID => DEV_BLK_ID, C_DEV_MIR_ENABLE => DEV_MIR_ENABLE, C_OPB_AWIDTH => C_OPB_AWIDTH, C_OPB_DWIDTH => C_OPB_DWIDTH, C_FAMILY => C_FAMILY, C_IP_INTR_MODE_ARRAY => IP_INTR_MODE_ARRAY, C_DEV_BURST_ENABLE => DEV_BURST_ENABLE, C_INCLUDE_ADDR_CNTR => INCLUDE_ADDR_CNTR, C_INCLUDE_WR_BUF => INCLUDE_WR_BUF ) port map ( OPB_select => OPB_select, OPB_DBus => OPB_DBus, OPB_ABus => OPB_ABus, OPB_BE => OPB_BE, OPB_RNW => OPB_RNW, OPB_seqAddr => OPB_seqAddr, Sln_DBus => Sl_DBus, Sln_xferAck => Sl_xferAck, Sln_errAck => Sl_errAck, Sln_retry => Sl_retry, Sln_toutSup => Sl_toutSup, Bus2IP_CS => open, Bus2IP_CE => open, Bus2IP_RdCE => iBus2IP_RdCE, Bus2IP_WrCE => iBus2IP_WrCE, Bus2IP_Data => iBus2IP_Data, Bus2IP_Addr => open, Bus2IP_AddrValid => open, Bus2IP_BE => iBus2IP_BE, Bus2IP_RNW => open, Bus2IP_Burst => open, IP2Bus_Data => iIP2Bus_Data, IP2Bus_Ack => iIP2Bus_Ack, IP2Bus_AddrAck => '0', IP2Bus_Error => iIP2Bus_Error, IP2Bus_Retry => iIP2Bus_Retry, IP2Bus_ToutSup => iIP2Bus_ToutSup, IP2Bus_PostedWrInh => ZERO_IP2Bus_PostedWrInh, IP2RFIFO_Data => ZERO_IP2RFIFO_Data, IP2RFIFO_WrMark => '0', IP2RFIFO_WrRelease => '0', IP2RFIFO_WrReq => '0', IP2RFIFO_WrRestore => '0', RFIFO2IP_AlmostFull => open, RFIFO2IP_Full => open, RFIFO2IP_Vacancy => open, RFIFO2IP_WrAck => open, IP2WFIFO_RdMark => '0', IP2WFIFO_RdRelease => '0', IP2WFIFO_RdReq => '0', IP2WFIFO_RdRestore => '0', WFIFO2IP_AlmostEmpty => open, WFIFO2IP_Data => ZERO_WFIFO2IP_Data, WFIFO2IP_Empty => open, WFIFO2IP_Occupancy => open, WFIFO2IP_RdAck => open, IP2Bus_IntrEvent => ZERO_IP2Bus_IntrEvent, IP2INTC_Irpt => open, Freeze => '0', Bus2IP_Freeze => open, OPB_Clk => OPB_Clk, Bus2IP_Clk => iBus2IP_Clk, IP2Bus_Clk => '0', Reset => OPB_Rst, Bus2IP_Reset => iBus2IP_Reset ); ------------------------------------------ -- instantiate the User Logic ------------------------------------------ USER_LOGIC_I : component user_logic generic map ( -- MAP USER GENERICS BELOW THIS LINE --------------- --USER generics mapped here -- MAP USER GENERICS ABOVE THIS LINE --------------- C_DWIDTH => USER_DWIDTH, C_NUM_CE => USER_NUM_CE ) port map ( -- MAP USER PORTS BELOW THIS LINE ------------------ --USER ports mapped here Radio1SHDN => radio1shdn, Radio2SHDN => radio2shdn, Radio3SHDN => radio3shdn, Radio4SHDN => radio4shdn, Radio1TxEn => radio1txen, Radio2TxEn => radio2txen, Radio3TxEn => radio3txen, Radio4TxEn => radio4txen, Radio1RxEn => radio1rxen, Radio2RxEn => radio2rxen, Radio3RxEn => radio3rxen, Radio4RxEn => radio4rxen, Radio1LD => radio1ld, Radio2LD => radio2ld, Radio3LD => radio3ld, Radio4LD => radio4ld, -- MAP USER PORTS ABOVE THIS LINE ------------------ Bus2IP_Clk => iBus2IP_Clk, Bus2IP_Reset => iBus2IP_Reset, Bus2IP_Data => uBus2IP_Data, Bus2IP_BE => uBus2IP_BE, Bus2IP_RdCE => uBus2IP_RdCE, Bus2IP_WrCE => uBus2IP_WrCE, IP2Bus_Data => uIP2Bus_Data, IP2Bus_Ack => iIP2Bus_Ack, IP2Bus_Retry => iIP2Bus_Retry, IP2Bus_Error => iIP2Bus_Error, IP2Bus_ToutSup => iIP2Bus_ToutSup ); ------------------------------------------ -- hooking up signal slicing ------------------------------------------ uBus2IP_BE <= iBus2IP_BE(0 to USER_DWIDTH/8-1); uBus2IP_Data <= iBus2IP_Data(0 to USER_DWIDTH-1); uBus2IP_RdCE <= iBus2IP_RdCE(USER00_CE_INDEX to USER00_CE_INDEX+USER_NUM_CE-1); uBus2IP_WrCE <= iBus2IP_WrCE(USER00_CE_INDEX to USER00_CE_INDEX+USER_NUM_CE-1); iIP2Bus_Data(0 to USER_DWIDTH-1) <= uIP2Bus_Data; end IMP;
bsd-2-clause
187501f558279ebb101189418ae4ca1b
0.449898
4.37363
false
false
false
false
Given-Jiang/Gray_Processing
tb_Gray_Processing/db/alt_dspbuilder_barrelshifter.vhd
4
2,402
-- This file is not intended for synthesis, is is present so that simulators -- see a complete view of the system. -- You may use the entity declaration from this file as the basis for a -- component declaration in a VHDL file instantiating this entity. library IEEE; use IEEE.std_logic_1164.all; use IEEE.NUMERIC_STD.all; entity alt_dspbuilder_barrelshifter is generic ( DISTANCE_WIDTH : natural := 3; NDIRECTION : natural := 0; SIGNED : integer := 1; USE_DEDICATED_CIRCUITRY : string := "false"; PIPELINE : natural := 0; WIDTH : natural := 8 ); port ( user_aclr : in std_logic := '0'; distance : in std_logic_vector(DISTANCE_WIDTH-1 downto 0) := (others=>'0'); r : out std_logic_vector(WIDTH-1 downto 0); clock : in std_logic := '0'; direction : in std_logic := '0'; a : in std_logic_vector(WIDTH-1 downto 0) := (others=>'0'); aclr : in std_logic := '0'; ena : in std_logic := '0' ); end entity alt_dspbuilder_barrelshifter; architecture rtl of alt_dspbuilder_barrelshifter is component alt_dspbuilder_barrelshifter_GNV5DVAGHT is generic ( DISTANCE_WIDTH : natural := 4; NDIRECTION : natural := 1; SIGNED : integer := 0; USE_DEDICATED_CIRCUITRY : string := "false"; PIPELINE : natural := 0; WIDTH : natural := 18 ); port ( a : in std_logic_vector(18-1 downto 0) := (others=>'0'); aclr : in std_logic := '0'; clock : in std_logic := '0'; distance : in std_logic_vector(4-1 downto 0) := (others=>'0'); ena : in std_logic := '0'; r : out std_logic_vector(18-1 downto 0); user_aclr : in std_logic := '0' ); end component alt_dspbuilder_barrelshifter_GNV5DVAGHT; begin alt_dspbuilder_barrelshifter_GNV5DVAGHT_0: if ((DISTANCE_WIDTH = 4) and (NDIRECTION = 1) and (SIGNED = 0) and (USE_DEDICATED_CIRCUITRY = "false") and (PIPELINE = 0) and (WIDTH = 18)) generate inst_alt_dspbuilder_barrelshifter_GNV5DVAGHT_0: alt_dspbuilder_barrelshifter_GNV5DVAGHT generic map(DISTANCE_WIDTH => 4, NDIRECTION => 1, SIGNED => 0, USE_DEDICATED_CIRCUITRY => "false", PIPELINE => 0, WIDTH => 18) port map(a => a, aclr => aclr, clock => clock, distance => distance, ena => ena, r => r, user_aclr => user_aclr); end generate; assert not (((DISTANCE_WIDTH = 4) and (NDIRECTION = 1) and (SIGNED = 0) and (USE_DEDICATED_CIRCUITRY = "false") and (PIPELINE = 0) and (WIDTH = 18))) report "Please run generate again" severity error; end architecture rtl;
mit
20e893199ade285a5f868348a835d774
0.672773
3.103359
false
false
false
false
timvideos/HDMI2USB-jahanzeb-firmware
hdl/DEBUG/counters.vhd
3
5,954
-- ////////////////////////////////////////////////////////////////////////////// -- /// Copyright (c) 2014, Ajit Mathew <[email protected]> -- /// All rights reserved. -- /// -- // Redistribution and use in source and binary forms, with or without modification, -- /// are permitted provided that the following conditions are met: -- /// -- /// * Redistributions of source code must retain the above copyright notice, -- /// this list of conditions and the following disclaimer. -- /// * Redistributions in binary form must reproduce the above copyright notice, -- /// this list of conditions and the following disclaimer in the documentation and/or -- /// other materials provided with the distribution. -- /// -- /// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY -- /// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES -- /// OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT -- /// SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- /// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT -- /// LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR -- /// PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, -- /// WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- /// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- /// POSSIBILITY OF SUCH DAMAGE. -- /// -- /// -- /// * http://opensource.org/licenses/MIT -- /// * http://copyfree.org/licenses/mit/license.txt -- /// -- ////////////////////////////////////////////////////////////////////////////// library IEEE; use IEEE.STD_LOGIC_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity counters is port( clk : in std_logic; clk_ms : in std_logic; clk_1hz : in std_logic; rst : in std_logic; vsync : in std_logic; no_frame_read : in std_logic; write_img : in std_logic; pktend : in std_logic; jpg_busy : in std_logic; proc_time : out std_logic_vector(7 downto 0); frame_write_time : out std_logic_vector(7 downto 0); frame_rate : out std_logic_vector(7 downto 0); in_frame_rate : out std_logic_vector(7 downto 0); frame_drop_cnt : out std_logic_vector(7 downto 0) ); end counters; architecture Behavioral of counters is signal f_cnt : std_logic_vector(7 downto 0); signal w_time : std_logic_vector(7 downto 0); signal p_time : std_logic_vector(7 downto 0); signal frame_rate_cnt : std_logic_vector(7 downto 0); signal in_frame_cnt : std_logic_vector(7 downto 0); signal write_img_q : std_logic; signal jpg_busy_q : std_logic; signal clk_1hz_q : std_logic; signal pktend_q : std_logic; signal vsync_q : std_logic; begin -- Counts the number of frames dropped f_drop_cntr : process(vsync, rst) begin if rst = '1' then f_cnt <= (others => '0'); elsif rising_edge(vsync) then if no_frame_read = '1' then f_cnt <= f_cnt+1; else f_cnt <= (others => '0'); end if; end if; end process; latch : process(no_frame_read, rst) begin if rst = '1' then frame_drop_cnt <= (others => '0'); elsif falling_edge(no_frame_read) then frame_drop_cnt <= f_cnt; end if; end process; --Counts the milliseconds it took to clock frame into DDR frame_write_cntr : process(clk_ms, rst) begin if rst = '1' then w_time <= (others => '0'); frame_write_time <= (others => '0'); write_img_q <= '0'; elsif rising_edge(clk_ms) then write_img_q <= write_img; if write_img = '1' then w_time <= w_time+1; elsif write_img_q = '1' then frame_write_time <= w_time; w_time <= (others => '0'); end if; end if; end process; --Counts the milliseconds it took to process the frame once written into ddr processing_time : process(clk_ms, rst) begin if rst = '1' then p_time <= (others => '0'); proc_time <= (others => '0'); jpg_busy_q <= '0'; elsif rising_edge(clk_ms) then jpg_busy_q <= jpg_busy; if jpg_busy = '1' then p_time <= p_time+1; elsif jpg_busy_q = '1' then proc_time <= p_time; p_time <= (others => '0'); end if; end if; end process; --Output frame rate out_frame_cntr : process(clk, rst) begin if rst = '1' then frame_rate_cnt <= (others => '0'); elsif rising_edge(clk) then clk_1hz_q <= clk_1hz; pktend_q <= pktend; if (clk_1hz_q = '0' and clk_1hz = '1')then frame_rate_cnt <= (others => '0'); elsif (pktend_q = '0' and pktend = '1') then frame_rate_cnt <= frame_rate_cnt+1; end if; end if; end process; process(clk_1hz, rst) begin if rst = '1' then frame_rate <= (others => '0'); elsif rising_edge(clk_1hz) then frame_rate <= frame_rate_cnt; end if; end process; --input frame rate input_frame_cntr : process(clk, rst) begin if rst = '1' then in_frame_cnt <= (others => '0'); vsync_q <= '0'; elsif rising_edge(clk) then vsync_q <= vsync; if (clk_1hz_q = '0' and clk_1hz = '1') then in_frame_cnt <= (others => '0'); elsif(vsync_q = '0' and vsync = '1') then in_frame_cnt <= in_frame_cnt+1; end if; end if; end process; process(clk_1hz, rst) begin if rst = '1' then in_frame_rate <= (others => '0'); elsif rising_edge(clk_1hz) then in_frame_rate <= in_frame_cnt; end if; end process; end Behavioral;
bsd-2-clause
f06597a5a1612ae0a7fb4e7ca427f43f
0.572388
3.475773
false
false
false
false
biximilien/ArithmeticLogicUnit
arithmetic_logic_unit.vhd
1
3,495
------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Cadre : GEN1333 - Conception des circuits integrés -- -- : Projet de conception individuel 1 -- -- Par : Maxime Gauthier -- -- Date : 03 / 21 / 2015 -- -- Fichier : arithmetic_logic_unit.vhd -- -- Description : VHDL pour une unité arithmétique logique générique (n bits) -- -- : basé sur du matériel de cours fourni par Ahmed Lakhsassi -- -- : et du code originellement écrit par Antoine Shaneen -- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- librairie a inclure library ieee; use ieee.std_logic_1164.all; -- déclaration de l'entité de l'unité arithmétique logique générique (n bits) paramétrable entity arithmetic_logic_unit is generic ( N : integer := 8); port ( operand_a, operand_b : in std_logic_vector (N downto 1); mode_selector : in std_logic_vector (3 downto 1); result : out std_logic_vector (N downto 1); overflow_flag, carry_flag, zero_flag, sign_flag, parity_flag : out std_logic ); end arithmetic_logic_unit; -- architecture structurelle de l'additionneur générique (n bits). architecture arithmetic_logic_unit_impl of arithmetic_logic_unit is -- declaration des composants component adder_n port( augend, addend : in std_logic_vector ( N downto 1 ); sum : out std_logic_vector ( N downto 1 ); carry_flag : out std_logic ); end component; component subtractor_n port( minuend, subtrahend : in std_logic_vector ( N downto 1 ); difference : out std_logic_vector ( N downto 1 ); overflow_flag : out std_logic ); end component; component decoder38 port( decoder_in : in std_logic_vector ( 3 downto 1 ); decoder_out : out std_logic_vector ( 8 downto 1 ) ); end component; component right_shift_n port ( rs_in : in std_logic_vector ( N downto 1 ); rs_out : out std_logic_vector ( N downto 1 ) ); end component; component left_shift_n port ( ls_in : in std_logic_vector ( N downto 1 ); ls_out : out std_logic_vector ( N downto 1 ) ); end component; component comparator_n port ( a, b : in std_logic_vector ( N downto 1 ); gt, eq : out std_logic ); end component; begin -- Ca marche pas! s1 <= operand_a, s2 <= operand_b when mode_selector = "00000000", s3 <= operand_a, s4 <= operand_b when mode_selector = "00000010", s5 <= operand_a when mode_selector = "00010000", s6 <= operand_a when mode_selector = "00100000", s7 <= operand_b when mode_selector = "01000000", s8 <= operand_b when others; adder: adder_n port map (s1, s2, result, carry_flag); subtractor: subtractor_n port map (s3, s4, result, overflow_flag); rs_a: right_shift_n port map (s5, result); ls_a: left_shift_n port map (s6, result); rs_b: right_shift_n port map (s7, result); ls_b: left_shift_n port map (s8, result); end arithmetic_logic_unit_impl;
mit
7433246721d0972b4bdc09882f88f83f
0.52904
3.894737
false
false
false
false
ymei/TMSPlane
Firmware/src/ten_gig_eth/TE07412C1/fifo/ten_gig_eth_mac_0_fifo_ram.vhd
3
5,184
---------------------------------------------------------------------------- -- Title : FIFO BRAM -- Project : Ten Gigabit Ethernet MAC core ---------------------------------------------------------------------------- -- File : fifo_ram.vhd -- Author : Xilinx, Inc. ---------------------------------------------------------------------------- -- Description: BRAM used by tx and rx FIFOs ------------------------------------------------------------------------------- -- (c) Copyright 2004-2014 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity ten_gig_eth_mac_0_fifo_ram is generic ( ADDR_WIDTH : integer := 9); port ( wr_clk : in std_logic; wr_addr : in std_logic_vector(ADDR_WIDTH-1 downto 0); data_in : in std_logic_vector(63 downto 0); ctrl_in : in std_logic_vector(3 downto 0); wr_allow : in std_logic; rd_clk : in std_logic; rd_sreset : in std_logic; rd_addr : in std_logic_vector(ADDR_WIDTH-1 downto 0); data_out : out std_logic_vector(63 downto 0); ctrl_out : out std_logic_vector(3 downto 0); rd_allow : in std_logic); end ten_gig_eth_mac_0_fifo_ram; library ieee; use ieee.numeric_std.all; architecture rtl of ten_gig_eth_mac_0_fifo_ram is constant RAM_DEPTH : integer := 2 ** ADDR_WIDTH; type ram_typ is array (RAM_DEPTH-1 downto 0) of std_logic_vector(67 downto 0); signal ram : ram_typ; signal wr_data : std_logic_vector(67 downto 0); signal rd_data : std_logic_vector(67 downto 0); signal rd_addr_int, wr_addr_int : unsigned(ADDR_WIDTH-1 downto 0); signal rd_allow_int : std_logic; attribute ram_style : string; attribute ram_style of ram : signal is "block"; begin wr_data(63 downto 0) <= data_in; wr_data(67 downto 64) <= ctrl_in; data_out <= rd_data(63 downto 0); ctrl_out <= rd_data(67 downto 64); -- Type conversion to allow RAM indexing to work rd_addr_int <= unsigned(rd_addr); wr_addr_int <= unsigned(wr_addr); --Block RAM must be enabled for synchronous reset to work. rd_allow_int <= rd_allow or rd_sreset; ------------------------------------------------------------------------ -- Infer BRAMs and connect them -- appropriately. ------------------------------------------------------------------------ p_write_ram : process (wr_clk) begin if rising_edge(wr_clk) then if wr_allow = '1' then ram(TO_INTEGER(wr_addr_int)) <= wr_data; end if; end if; end process p_write_ram; p_read_ram : process (rd_clk) begin if rising_edge(rd_clk) then if rd_allow_int = '1' then if rd_sreset = '1' then rd_data <= (others => '0'); else rd_data <= ram(TO_INTEGER(rd_addr_int)); end if; end if; end if; end process p_read_ram; end rtl;
bsd-3-clause
545b0ebf5308494dcc2ff6275375f1a7
0.605517
4.098024
false
false
false
false
ymei/TMSPlane
Firmware/src/ten_gig_eth/TE07412C1/pcs_pma/ten_gig_eth_pcs_pma_0_shared_clock_and_reset.vhd
2
8,108
------------------------------------------------------------------------------- -- Title : Shared clocking and resets -- Project : 10GBASE-R ------------------------------------------------------------------------------- -- File : ten_gig_eth_pcs_pma_0_shared_clock_and_reset.vhd ------------------------------------------------------------------------------- -- Description: This file contains the -- 10GBASE-R clocking and reset logic which can be shared between multiple cores ------------------------------------------------------------------------------- -- (c) Copyright 2009 - 2014 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity ten_gig_eth_pcs_pma_0_shared_clock_and_reset is port ( areset : in std_logic; refclk_p : in std_logic; refclk_n : in std_logic; refclk : out std_logic; txoutclk : in std_logic; coreclk : out std_logic; dclk : out std_logic; -- ymei qplllock : in std_logic; areset_coreclk : out std_logic; gttxreset : out std_logic; gtrxreset : out std_logic; txuserrdy : out std_logic := '0'; txusrclk : out std_logic; txusrclk2 : out std_logic; qpllreset : out std_logic; reset_counter_done : out std_logic ); end entity ten_gig_eth_pcs_pma_0_shared_clock_and_reset; architecture wrapper of ten_gig_eth_pcs_pma_0_shared_clock_and_reset is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of wrapper : architecture is "yes"; component ten_gig_eth_pcs_pma_0_ff_synchronizer_rst2 generic ( C_NUM_SYNC_REGS : integer := 3; C_RVAL : std_logic := '0' ); port ( clk : in std_logic; rst : in std_logic; data_in : in std_logic; data_out : out std_logic ); end component; signal coreclk_buf : std_logic; signal coreclk_int : std_logic; signal refclk_i : std_logic; signal txusrclk_i : std_logic; signal txusrclk2_i : std_logic; signal gttxreset_i : std_logic; signal reset_i : std_logic; signal areset_coreclk_i : std_logic; signal qplllock_txusrclk2_rst : std_logic; signal qplllock_txusrclk2_i : std_logic; signal gttxreset_txusrclk2_i : std_logic; signal reset_counter : std_logic_vector(8 downto 0) := "000000000"; signal reset_pulse : std_logic_vector(3 downto 0) := "1110"; begin reset_counter_done <= reset_counter(8); -- ymei -- ibufds_inst : IBUFDS -- GENERIC MAP ( -- DIFF_TERM => true, -- Differential Termination -- IBUF_LOW_PWR => false, -- Low power (TRUE) vs. performance (FALSE) setting for referenced I/O standards -- IOSTANDARD => "LVDS" -- ) -- PORT MAP ( -- O => refclk_i, -- Buffer output -- I => refclk_p, -- Diff_p buffer input (connect directly to top-level port) -- IB => refclk_n -- Diff_n buffer input (connect directly to top-level port) -- ); ibufds_inst : IBUFDS_GTE2 port map ( O => refclk_i, ODIV2 => open, CEB => '0', I => refclk_p, IB => refclk_n ); refclk <= refclk_i; txoutclk_bufg_i : BUFG port map ( I => txoutclk, O => txusrclk_i ); coreclk_bufg_i : BUFG port map ( I => refclk_i, O => coreclk_int ); dclk <= coreclk_int; -- ymei txusrclk2 <= txusrclk_i; txusrclk2_i <= txusrclk_i; coreclk <= coreclk_int; txusrclk <= txusrclk_i; reset_i <= not qplllock ; areset_coreclk <= areset_coreclk_i; -- Asynch reset synchronizers... areset_coreclk_sync_i : ten_gig_eth_pcs_pma_0_ff_synchronizer_rst2 generic map( C_NUM_SYNC_REGS => 5, C_RVAL => '1') port map( clk => coreclk_int, rst => areset, data_in => '0', data_out => areset_coreclk_i ); qplllock_txusrclk2_rst <= not(qplllock); qplllock_txusrclk2_sync_i : ten_gig_eth_pcs_pma_0_ff_synchronizer_rst2 generic map( C_NUM_SYNC_REGS => 5, C_RVAL => '0') port map( clk => txusrclk2_i, rst => qplllock_txusrclk2_rst, data_in => '1', data_out => qplllock_txusrclk2_i ); gttxreset_txusrclk2_sync_i : ten_gig_eth_pcs_pma_0_ff_synchronizer_rst2 generic map( C_NUM_SYNC_REGS => 5, C_RVAL => '1') port map( clk => txusrclk2_i, rst => gttxreset_i, data_in => '0', data_out => gttxreset_txusrclk2_i ); -- Hold off release the GT resets until 500ns after configuration. -- 256 ticks at the minimum possible 2.56ns period (390MHz) will be >> 500 ns. reset_proc1: process (coreclk_int) begin if(coreclk_int'event and coreclk_int = '1') then if(reset_counter(8) = '0') then reset_counter <= reset_counter + 1; else reset_counter <= reset_counter; end if; end if; end process; reset_proc2: process (coreclk_int) begin if(coreclk_int'event and coreclk_int = '1') then if(areset_coreclk_i = '1') then reset_pulse <= "1110"; elsif(reset_counter(8) = '1') then reset_pulse(3) <= '0'; reset_pulse(2 downto 0) <= reset_pulse(3 downto 1); end if; end if; end process; gttxreset_i <= reset_pulse(0); gttxreset <= gttxreset_i; gtrxreset <= reset_pulse(0); qpllreset <= reset_pulse(0); reset_proc5 : process (txusrclk2_i, gttxreset_txusrclk2_i) begin if(gttxreset_txusrclk2_i = '1') then txuserrdy <= '0'; elsif(txusrclk2_i'event and txusrclk2_i = '1') then txuserrdy <= qplllock_txusrclk2_i; end if; end process; end wrapper;
bsd-3-clause
b5a0547849e1ff4ee33f012335b6e8c3
0.608781
3.76941
false
false
false
false
timvideos/HDMI2USB-jahanzeb-firmware
hdl/jpeg_encoder/design/DC_ROM.vhd
3
6,487
------------------------------------------------------------------------------- -- File Name : DC_ROM.vhd -- -- Project : JPEG_ENC -- -- Module : DC_ROM -- -- Content : DC_ROM Luminance -- -- Description : -- -- Spec. : -- -- Author : Michal Krepa -- ------------------------------------------------------------------------------- -- History : -- 20090228: (MK): Initial Creation. ------------------------------------------------------------------------------- -- ////////////////////////////////////////////////////////////////////////////// -- /// Copyright (c) 2013, Jahanzeb Ahmad -- /// All rights reserved. -- /// -- /// Redistribution and use in source and binary forms, with or without modification, -- /// are permitted provided that the following conditions are met: -- /// -- /// * Redistributions of source code must retain the above copyright notice, -- /// this list of conditions and the following disclaimer. -- /// * Redistributions in binary form must reproduce the above copyright notice, -- /// this list of conditions and the following disclaimer in the documentation and/or -- /// other materials provided with the distribution. -- /// -- /// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY -- /// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES -- /// OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT -- /// SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- /// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT -- /// LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR -- /// PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, -- /// WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- /// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- /// POSSIBILITY OF SUCH DAMAGE. -- /// -- /// -- /// * http://opensource.org/licenses/MIT -- /// * http://copyfree.org/licenses/mit/license.txt -- /// -- ////////////////////////////////////////////////////////////////////////////// ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ----------------------------------- LIBRARY/PACKAGE --------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- generic packages/libraries: ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; ------------------------------------------------------------------------------- -- user packages/libraries: ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ----------------------------------- ENTITY ------------------------------------ ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- entity DC_ROM is port ( CLK : in std_logic; RST : in std_logic; VLI_size : in std_logic_vector(3 downto 0); VLC_DC_size : out std_logic_vector(3 downto 0); VLC_DC : out unsigned(8 downto 0) ); end entity DC_ROM; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ----------------------------------- ARCHITECTURE ------------------------------ ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- architecture RTL of DC_ROM is ------------------------------------------------------------------------------- -- Architecture: begin ------------------------------------------------------------------------------- begin ------------------------------------------------------------------- -- DC-ROM ------------------------------------------------------------------- p_dc_rom : process(CLK, RST) begin if RST = '1' then VLC_DC_size <= X"0"; VLC_DC <= (others => '0'); elsif CLK'event and CLK = '1' then case VLI_size is when X"0" => VLC_DC_size <= X"2"; VLC_DC <= resize("00", VLC_DC'length); when X"1" => VLC_DC_size <= X"3"; VLC_DC <= resize("010", VLC_DC'length); when X"2" => VLC_DC_size <= X"3"; VLC_DC <= resize("011", VLC_DC'length); when X"3" => VLC_DC_size <= X"3"; VLC_DC <= resize("100", VLC_DC'length); when X"4" => VLC_DC_size <= X"3"; VLC_DC <= resize("101", VLC_DC'length); when X"5" => VLC_DC_size <= X"3"; VLC_DC <= resize("110", VLC_DC'length); when X"6" => VLC_DC_size <= X"4"; VLC_DC <= resize("1110", VLC_DC'length); when X"7" => VLC_DC_size <= X"5"; VLC_DC <= resize("11110", VLC_DC'length); when X"8" => VLC_DC_size <= X"6"; VLC_DC <= resize("111110", VLC_DC'length); when X"9" => VLC_DC_size <= X"7"; VLC_DC <= resize("1111110", VLC_DC'length); when X"A" => VLC_DC_size <= X"8"; VLC_DC <= resize("11111110", VLC_DC'length); when X"B" => VLC_DC_size <= X"9"; VLC_DC <= resize("111111110", VLC_DC'length); when others => VLC_DC_size <= X"0"; VLC_DC <= (others => '0'); end case; end if; end process; end architecture RTL; ------------------------------------------------------------------------------- -- Architecture: end -------------------------------------------------------------------------------
bsd-2-clause
0063edd82da8fea40cdb138d1c558624
0.35101
5.419382
false
false
false
false
Siliciumer/DOS-Mario-FPGA
DOS_Mario.srcs/sources_1/ip/dist_mem_gen_1/synth/dist_mem_gen_1.vhd
1
7,005
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:dist_mem_gen:8.0 -- IP Revision: 10 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY dist_mem_gen_v8_0_10; USE dist_mem_gen_v8_0_10.dist_mem_gen_v8_0_10; ENTITY dist_mem_gen_1 IS PORT ( a : IN STD_LOGIC_VECTOR(11 DOWNTO 0); d : IN STD_LOGIC_VECTOR(5 DOWNTO 0); dpra : IN STD_LOGIC_VECTOR(11 DOWNTO 0); clk : IN STD_LOGIC; we : IN STD_LOGIC; spo : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); dpo : OUT STD_LOGIC_VECTOR(5 DOWNTO 0) ); END dist_mem_gen_1; ARCHITECTURE dist_mem_gen_1_arch OF dist_mem_gen_1 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF dist_mem_gen_1_arch: ARCHITECTURE IS "yes"; COMPONENT dist_mem_gen_v8_0_10 IS GENERIC ( C_FAMILY : STRING; C_ADDR_WIDTH : INTEGER; C_DEFAULT_DATA : STRING; C_DEPTH : INTEGER; C_HAS_CLK : INTEGER; C_HAS_D : INTEGER; C_HAS_DPO : INTEGER; C_HAS_DPRA : INTEGER; C_HAS_I_CE : INTEGER; C_HAS_QDPO : INTEGER; C_HAS_QDPO_CE : INTEGER; C_HAS_QDPO_CLK : INTEGER; C_HAS_QDPO_RST : INTEGER; C_HAS_QDPO_SRST : INTEGER; C_HAS_QSPO : INTEGER; C_HAS_QSPO_CE : INTEGER; C_HAS_QSPO_RST : INTEGER; C_HAS_QSPO_SRST : INTEGER; C_HAS_SPO : INTEGER; C_HAS_WE : INTEGER; C_MEM_INIT_FILE : STRING; C_ELABORATION_DIR : STRING; C_MEM_TYPE : INTEGER; C_PIPELINE_STAGES : INTEGER; C_QCE_JOINED : INTEGER; C_QUALIFY_WE : INTEGER; C_READ_MIF : INTEGER; C_REG_A_D_INPUTS : INTEGER; C_REG_DPRA_INPUT : INTEGER; C_SYNC_ENABLE : INTEGER; C_WIDTH : INTEGER; C_PARSER_TYPE : INTEGER ); PORT ( a : IN STD_LOGIC_VECTOR(11 DOWNTO 0); d : IN STD_LOGIC_VECTOR(5 DOWNTO 0); dpra : IN STD_LOGIC_VECTOR(11 DOWNTO 0); clk : IN STD_LOGIC; we : IN STD_LOGIC; i_ce : IN STD_LOGIC; qspo_ce : IN STD_LOGIC; qdpo_ce : IN STD_LOGIC; qdpo_clk : IN STD_LOGIC; qspo_rst : IN STD_LOGIC; qdpo_rst : IN STD_LOGIC; qspo_srst : IN STD_LOGIC; qdpo_srst : IN STD_LOGIC; spo : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); dpo : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); qspo : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); qdpo : OUT STD_LOGIC_VECTOR(5 DOWNTO 0) ); END COMPONENT dist_mem_gen_v8_0_10; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF dist_mem_gen_1_arch: ARCHITECTURE IS "dist_mem_gen_v8_0_10,Vivado 2016.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF dist_mem_gen_1_arch : ARCHITECTURE IS "dist_mem_gen_1,dist_mem_gen_v8_0_10,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF dist_mem_gen_1_arch: ARCHITECTURE IS "dist_mem_gen_1,dist_mem_gen_v8_0_10,{x_ipProduct=Vivado 2016.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=dist_mem_gen,x_ipVersion=8.0,x_ipCoreRevision=10,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=artix7,C_ADDR_WIDTH=12,C_DEFAULT_DATA=0,C_DEPTH=2160,C_HAS_CLK=1,C_HAS_D=1,C_HAS_DPO=1,C_HAS_DPRA=1,C_HAS_I_CE=0,C_HAS_QDPO=0,C_HAS_QDPO_CE=0,C_HAS_QDPO_CLK=0,C_HAS_QDPO_RST=0,C_HAS_QDPO_SRST=0,C_HAS_QSPO=0,C_HAS_QSPO_CE=0,C_HAS_QSPO_RST=0,C_HAS_QSPO_SRST=0,C_HAS_SPO=1,C_HAS_WE=1,C_MEM_INI" & "T_FILE=dist_mem_gen_1.mif,C_ELABORATION_DIR=./,C_MEM_TYPE=2,C_PIPELINE_STAGES=0,C_QCE_JOINED=0,C_QUALIFY_WE=0,C_READ_MIF=1,C_REG_A_D_INPUTS=0,C_REG_DPRA_INPUT=0,C_SYNC_ENABLE=1,C_WIDTH=6,C_PARSER_TYPE=1}"; BEGIN U0 : dist_mem_gen_v8_0_10 GENERIC MAP ( C_FAMILY => "artix7", C_ADDR_WIDTH => 12, C_DEFAULT_DATA => "0", C_DEPTH => 2160, C_HAS_CLK => 1, C_HAS_D => 1, C_HAS_DPO => 1, C_HAS_DPRA => 1, C_HAS_I_CE => 0, C_HAS_QDPO => 0, C_HAS_QDPO_CE => 0, C_HAS_QDPO_CLK => 0, C_HAS_QDPO_RST => 0, C_HAS_QDPO_SRST => 0, C_HAS_QSPO => 0, C_HAS_QSPO_CE => 0, C_HAS_QSPO_RST => 0, C_HAS_QSPO_SRST => 0, C_HAS_SPO => 1, C_HAS_WE => 1, C_MEM_INIT_FILE => "dist_mem_gen_1.mif", C_ELABORATION_DIR => "./", C_MEM_TYPE => 2, C_PIPELINE_STAGES => 0, C_QCE_JOINED => 0, C_QUALIFY_WE => 0, C_READ_MIF => 1, C_REG_A_D_INPUTS => 0, C_REG_DPRA_INPUT => 0, C_SYNC_ENABLE => 1, C_WIDTH => 6, C_PARSER_TYPE => 1 ) PORT MAP ( a => a, d => d, dpra => dpra, clk => clk, we => we, i_ce => '1', qspo_ce => '1', qdpo_ce => '1', qdpo_clk => '0', qspo_rst => '0', qdpo_rst => '0', qspo_srst => '0', qdpo_srst => '0', spo => spo, dpo => dpo ); END dist_mem_gen_1_arch;
mit
ea56fcba6fd2bea2037162075c4f77fb
0.640828
3.121658
false
false
false
false
timvideos/HDMI2USB-jahanzeb-firmware
ipcore_dir/cdcfifo/simulation/cdcfifo_tb.vhd
3
6,334
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: cdcfifo_tb.vhd -- -- Description: -- This is the demo testbench top file for fifo_generator core. -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; LIBRARY std; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.ALL; USE IEEE.std_logic_arith.ALL; USE IEEE.std_logic_misc.ALL; USE ieee.numeric_std.ALL; USE ieee.std_logic_textio.ALL; USE std.textio.ALL; LIBRARY work; USE work.cdcfifo_pkg.ALL; ENTITY cdcfifo_tb IS END ENTITY; ARCHITECTURE cdcfifo_arch OF cdcfifo_tb IS SIGNAL status : STD_LOGIC_VECTOR(7 DOWNTO 0) := "00000000"; SIGNAL wr_clk : STD_LOGIC; SIGNAL rd_clk : STD_LOGIC; SIGNAL reset : STD_LOGIC; SIGNAL sim_done : STD_LOGIC := '0'; SIGNAL end_of_sim : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); -- Write and Read clock periods CONSTANT wr_clk_period_by_2 : TIME := 200 ns; CONSTANT rd_clk_period_by_2 : TIME := 100 ns; -- Procedures to display strings PROCEDURE disp_str(CONSTANT str:IN STRING) IS variable dp_l : line := null; BEGIN write(dp_l,str); writeline(output,dp_l); END PROCEDURE; PROCEDURE disp_hex(signal hex:IN STD_LOGIC_VECTOR(7 DOWNTO 0)) IS variable dp_lx : line := null; BEGIN hwrite(dp_lx,hex); writeline(output,dp_lx); END PROCEDURE; BEGIN -- Generation of clock PROCESS BEGIN WAIT FOR 400 ns; -- Wait for global reset WHILE 1 = 1 LOOP wr_clk <= '0'; WAIT FOR wr_clk_period_by_2; wr_clk <= '1'; WAIT FOR wr_clk_period_by_2; END LOOP; END PROCESS; PROCESS BEGIN WAIT FOR 200 ns;-- Wait for global reset WHILE 1 = 1 LOOP rd_clk <= '0'; WAIT FOR rd_clk_period_by_2; rd_clk <= '1'; WAIT FOR rd_clk_period_by_2; END LOOP; END PROCESS; -- Generation of Reset PROCESS BEGIN reset <= '1'; WAIT FOR 4200 ns; reset <= '0'; WAIT; END PROCESS; -- Error message printing based on STATUS signal from cdcfifo_synth PROCESS(status) BEGIN IF(status /= "0" AND status /= "1") THEN disp_str("STATUS:"); disp_hex(status); END IF; IF(status(7) = '1') THEN assert false report "Data mismatch found" severity error; END IF; IF(status(1) = '1') THEN END IF; IF(status(3) = '1') THEN assert false report "Almost Empty flag Mismatch/timeout" severity error; END IF; IF(status(4) = '1') THEN assert false report "Almost Full flag Mismatch/timeout" severity error; END IF; IF(status(5) = '1') THEN assert false report "Empty flag Mismatch/timeout" severity error; END IF; IF(status(6) = '1') THEN assert false report "Full Flag Mismatch/timeout" severity error; END IF; END PROCESS; PROCESS BEGIN wait until sim_done = '1'; IF(status /= "0" AND status /= "1") THEN assert false report "Simulation failed" severity failure; ELSE assert false report "Test Completed Successfully" severity failure; END IF; END PROCESS; PROCESS BEGIN wait for 400 ms; assert false report "Test bench timed out" severity failure; END PROCESS; -- Instance of cdcfifo_synth cdcfifo_synth_inst:cdcfifo_synth GENERIC MAP( FREEZEON_ERROR => 0, TB_STOP_CNT => 2, TB_SEED => 12 ) PORT MAP( WR_CLK => wr_clk, RD_CLK => rd_clk, RESET => reset, SIM_DONE => sim_done, STATUS => status ); END ARCHITECTURE;
bsd-2-clause
978afe9daacfe60c3a4b17483c934b45
0.611936
4.164366
false
false
false
false
shailcoolboy/Warp-Trinity
PlatformSupport/Deprecated/pcores/radio_controller_v1_20_a/hdl/vhdl/radio_controller.vhd
2
44,574
------------------------------------------------------------------------------ -- radio_controller.vhd - entity/architecture pair ------------------------------------------------------------------------------ -- IMPORTANT: -- DO NOT MODIFY THIS FILE EXCEPT IN THE DESIGNATED SECTIONS. -- -- SEARCH FOR --USER TO DETERMINE WHERE CHANGES ARE ALLOWED. -- -- TYPICALLY, THE ONLY ACCEPTABLE CHANGES INVOLVE ADDING NEW -- PORTS AND GENERICS THAT GET PASSED THROUGH TO THE INSTANTIATION -- OF THE USER_LOGIC ENTITY. ------------------------------------------------------------------------------ -- -- *************************************************************************** -- ** Copyright (c) 1995-2007 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** Xilinx, Inc. ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" ** -- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND ** -- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, ** -- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, ** -- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION ** -- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, ** -- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE ** -- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY ** -- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE ** -- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR ** -- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF ** -- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ** -- ** FOR A PARTICULAR PURPOSE. ** -- ** ** -- *************************************************************************** -- ------------------------------------------------------------------------------ -- Filename: radio_controller.vhd -- Version: 1.20.a -- Description: Top level design, instantiates library components and user logic. -- Date: Wed Feb 06 13:11:09 2008 (by Create and Import Peripheral Wizard) -- VHDL Standard: VHDL'93 ------------------------------------------------------------------------------ -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port: "*_i" -- device pins: "*_pin" -- ports: "- Names begin with Uppercase" -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC>" ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library proc_common_v2_00_a; use proc_common_v2_00_a.proc_common_pkg.all; use proc_common_v2_00_a.ipif_pkg.all; library plbv46_slave_single_v1_00_a; use plbv46_slave_single_v1_00_a.plbv46_slave_single; ------------------------------------------------------------------------------ -- Entity section ------------------------------------------------------------------------------ -- Definition of Generics: -- C_BASEADDR -- PLBv46 slave: base address -- C_HIGHADDR -- PLBv46 slave: high address -- C_SPLB_AWIDTH -- PLBv46 slave: address bus width -- C_SPLB_DWIDTH -- PLBv46 slave: data bus width -- C_SPLB_NUM_MASTERS -- PLBv46 slave: Number of masters -- C_SPLB_MID_WIDTH -- PLBv46 slave: master ID bus width -- C_SPLB_NATIVE_DWIDTH -- PLBv46 slave: internal native data bus width -- C_SPLB_P2P -- PLBv46 slave: point to point interconnect scheme -- C_SPLB_SUPPORT_BURSTS -- PLBv46 slave: support bursts -- C_SPLB_SMALLEST_MASTER -- PLBv46 slave: width of the smallest master -- C_SPLB_CLK_PERIOD_PS -- PLBv46 slave: bus clock in picoseconds -- C_FAMILY -- Xilinx FPGA family -- -- Definition of Ports: -- SPLB_Clk -- PLB main bus clock -- SPLB_Rst -- PLB main bus reset -- PLB_ABus -- PLB address bus -- PLB_UABus -- PLB upper address bus -- PLB_PAValid -- PLB primary address valid indicator -- PLB_SAValid -- PLB secondary address valid indicator -- PLB_rdPrim -- PLB secondary to primary read request indicator -- PLB_wrPrim -- PLB secondary to primary write request indicator -- PLB_masterID -- PLB current master identifier -- PLB_abort -- PLB abort request indicator -- PLB_busLock -- PLB bus lock -- PLB_RNW -- PLB read/not write -- PLB_BE -- PLB byte enables -- PLB_MSize -- PLB master data bus size -- PLB_size -- PLB transfer size -- PLB_type -- PLB transfer type -- PLB_lockErr -- PLB lock error indicator -- PLB_wrDBus -- PLB write data bus -- PLB_wrBurst -- PLB burst write transfer indicator -- PLB_rdBurst -- PLB burst read transfer indicator -- PLB_wrPendReq -- PLB write pending bus request indicator -- PLB_rdPendReq -- PLB read pending bus request indicator -- PLB_wrPendPri -- PLB write pending request priority -- PLB_rdPendPri -- PLB read pending request priority -- PLB_reqPri -- PLB current request priority -- PLB_TAttribute -- PLB transfer attribute -- Sl_addrAck -- Slave address acknowledge -- Sl_SSize -- Slave data bus size -- Sl_wait -- Slave wait indicator -- Sl_rearbitrate -- Slave re-arbitrate bus indicator -- Sl_wrDAck -- Slave write data acknowledge -- Sl_wrComp -- Slave write transfer complete indicator -- Sl_wrBTerm -- Slave terminate write burst transfer -- Sl_rdDBus -- Slave read data bus -- Sl_rdWdAddr -- Slave read word address -- Sl_rdDAck -- Slave read data acknowledge -- Sl_rdComp -- Slave read transfer complete indicator -- Sl_rdBTerm -- Slave terminate read burst transfer -- Sl_MBusy -- Slave busy indicator -- Sl_MWrErr -- Slave write error indicator -- Sl_MRdErr -- Slave read error indicator -- Sl_MIRQ -- Slave interrupt indicator ------------------------------------------------------------------------------ entity radio_controller is generic ( -- ADD USER GENERICS BELOW THIS LINE --------------- --USER generics added here -- ADD USER GENERICS ABOVE THIS LINE --------------- -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol parameters, do not add to or delete C_BASEADDR : std_logic_vector := X"FFFFFFFF"; C_HIGHADDR : std_logic_vector := X"00000000"; C_SPLB_AWIDTH : integer := 32; C_SPLB_DWIDTH : integer := 128; C_SPLB_NUM_MASTERS : integer := 8; C_SPLB_MID_WIDTH : integer := 3; C_SPLB_NATIVE_DWIDTH : integer := 32; C_SPLB_P2P : integer := 0; C_SPLB_SUPPORT_BURSTS : integer := 0; C_SPLB_SMALLEST_MASTER : integer := 32; C_SPLB_CLK_PERIOD_PS : integer := 10000; C_FAMILY : string := "virtex5" -- DO NOT EDIT ABOVE THIS LINE --------------------- ); port ( -- ADD USER PORTS BELOW THIS LINE ------------------ spi_clk : out std_logic; data_out : out std_logic; radio1_cs : out std_logic; radio2_cs : out std_logic; radio3_cs : out std_logic; radio4_cs : out std_logic; dac1_cs : out std_logic; dac2_cs : out std_logic; dac3_cs : out std_logic; dac4_cs : out std_logic; radio1_SHDN : out std_logic; radio1_TxEn : out std_logic; radio1_RxEn : out std_logic; radio1_RxHP : out std_logic; radio1_LD : in std_logic; radio1_24PA : out std_logic; radio1_5PA : out std_logic; radio1_ANTSW : out std_logic_vector(0 to 1); radio1_LED : out std_logic_vector(0 to 2); radio1_ADC_RX_DCS : out std_logic; radio1_ADC_RX_DFS : out std_logic; radio1_ADC_RX_OTRA : in std_logic; radio1_ADC_RX_OTRB : in std_logic; radio1_ADC_RX_PWDNA : out std_logic; radio1_ADC_RX_PWDNB : out std_logic; radio1_DIPSW : in std_logic_vector(0 to 3); radio1_RSSI_ADC_CLAMP : out std_logic; radio1_RSSI_ADC_HIZ : out std_logic; radio1_RSSI_ADC_OTR : in std_logic; radio1_RSSI_ADC_SLEEP : out std_logic; radio1_RSSI_ADC_D : in std_logic_vector(0 to 9); radio1_TX_DAC_PLL_LOCK : in std_logic; radio1_TX_DAC_RESET : out std_logic; radio1_SHDN_external : in std_logic; radio1_TxEn_external : in std_logic; radio1_RxEn_external : in std_logic; radio1_RxHP_external : in std_logic; radio1_TxGain : out std_logic_vector(0 to 5); radio1_TxStart : out std_logic; radio2_SHDN : out std_logic; radio2_TxEn : out std_logic; radio2_RxEn : out std_logic; radio2_RxHP : out std_logic; radio2_LD : in std_logic; radio2_24PA : out std_logic; radio2_5PA : out std_logic; radio2_ANTSW : out std_logic_vector(0 to 1); radio2_LED : out std_logic_vector(0 to 2); radio2_ADC_RX_DCS : out std_logic; radio2_ADC_RX_DFS : out std_logic; radio2_ADC_RX_OTRA : in std_logic; radio2_ADC_RX_OTRB : in std_logic; radio2_ADC_RX_PWDNA : out std_logic; radio2_ADC_RX_PWDNB : out std_logic; radio2_DIPSW : in std_logic_vector(0 to 3); radio2_RSSI_ADC_CLAMP : out std_logic; radio2_RSSI_ADC_HIZ : out std_logic; radio2_RSSI_ADC_OTR : in std_logic; radio2_RSSI_ADC_SLEEP : out std_logic; radio2_RSSI_ADC_D : in std_logic_vector(0 to 9); radio2_TX_DAC_PLL_LOCK : in std_logic; radio2_TX_DAC_RESET : out std_logic; radio2_SHDN_external : in std_logic; radio2_TxEn_external : in std_logic; radio2_RxEn_external : in std_logic; radio2_RxHP_external : in std_logic; radio2_TxGain : out std_logic_vector(0 to 5); radio2_TxStart : out std_logic; radio3_SHDN : out std_logic; radio3_TxEn : out std_logic; radio3_RxEn : out std_logic; radio3_RxHP : out std_logic; radio3_LD : in std_logic; radio3_24PA : out std_logic; radio3_5PA : out std_logic; radio3_ANTSW : out std_logic_vector(0 to 1); radio3_LED : out std_logic_vector(0 to 2); radio3_ADC_RX_DCS : out std_logic; radio3_ADC_RX_DFS : out std_logic; radio3_ADC_RX_OTRA : in std_logic; radio3_ADC_RX_OTRB : in std_logic; radio3_ADC_RX_PWDNA : out std_logic; radio3_ADC_RX_PWDNB : out std_logic; radio3_DIPSW : in std_logic_vector(0 to 3); radio3_RSSI_ADC_CLAMP : out std_logic; radio3_RSSI_ADC_HIZ : out std_logic; radio3_RSSI_ADC_OTR : in std_logic; radio3_RSSI_ADC_SLEEP : out std_logic; radio3_RSSI_ADC_D : in std_logic_vector(0 to 9); radio3_TX_DAC_PLL_LOCK : in std_logic; radio3_TX_DAC_RESET : out std_logic; radio3_SHDN_external : in std_logic; radio3_TxEn_external : in std_logic; radio3_RxEn_external : in std_logic; radio3_RxHP_external : in std_logic; radio3_TxGain : out std_logic_vector(0 to 5); radio3_TxStart : out std_logic; radio4_SHDN : out std_logic; radio4_TxEn : out std_logic; radio4_RxEn : out std_logic; radio4_RxHP : out std_logic; radio4_LD : in std_logic; radio4_24PA : out std_logic; radio4_5PA : out std_logic; radio4_ANTSW : out std_logic_vector(0 to 1); radio4_LED : out std_logic_vector(0 to 2); radio4_ADC_RX_DCS : out std_logic; radio4_ADC_RX_DFS : out std_logic; radio4_ADC_RX_OTRA : in std_logic; radio4_ADC_RX_OTRB : in std_logic; radio4_ADC_RX_PWDNA : out std_logic; radio4_ADC_RX_PWDNB : out std_logic; radio4_DIPSW : in std_logic_vector(0 to 3); radio4_RSSI_ADC_CLAMP : out std_logic; radio4_RSSI_ADC_HIZ : out std_logic; radio4_RSSI_ADC_OTR : in std_logic; radio4_RSSI_ADC_SLEEP : out std_logic; radio4_RSSI_ADC_D : in std_logic_vector(0 to 9); radio4_TX_DAC_PLL_LOCK : in std_logic; radio4_TX_DAC_RESET : out std_logic; radio4_SHDN_external : in std_logic; radio4_TxEn_external : in std_logic; radio4_RxEn_external : in std_logic; radio4_RxHP_external : in std_logic; radio4_TxGain : out std_logic_vector(0 to 5); radio4_TxStart : out std_logic; -- ADD USER PORTS ABOVE THIS LINE ------------------ -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol ports, do not add to or delete SPLB_Clk : in std_logic; SPLB_Rst : in std_logic; PLB_ABus : in std_logic_vector(0 to 31); PLB_UABus : in std_logic_vector(0 to 31); PLB_PAValid : in std_logic; PLB_SAValid : in std_logic; PLB_rdPrim : in std_logic; PLB_wrPrim : in std_logic; PLB_masterID : in std_logic_vector(0 to C_SPLB_MID_WIDTH-1); PLB_abort : in std_logic; PLB_busLock : in std_logic; PLB_RNW : in std_logic; PLB_BE : in std_logic_vector(0 to C_SPLB_DWIDTH/8-1); PLB_MSize : in std_logic_vector(0 to 1); PLB_size : in std_logic_vector(0 to 3); PLB_type : in std_logic_vector(0 to 2); PLB_lockErr : in std_logic; PLB_wrDBus : in std_logic_vector(0 to C_SPLB_DWIDTH-1); PLB_wrBurst : in std_logic; PLB_rdBurst : in std_logic; PLB_wrPendReq : in std_logic; PLB_rdPendReq : in std_logic; PLB_wrPendPri : in std_logic_vector(0 to 1); PLB_rdPendPri : in std_logic_vector(0 to 1); PLB_reqPri : in std_logic_vector(0 to 1); PLB_TAttribute : in std_logic_vector(0 to 15); Sl_addrAck : out std_logic; Sl_SSize : out std_logic_vector(0 to 1); Sl_wait : out std_logic; Sl_rearbitrate : out std_logic; Sl_wrDAck : out std_logic; Sl_wrComp : out std_logic; Sl_wrBTerm : out std_logic; Sl_rdDBus : out std_logic_vector(0 to C_SPLB_DWIDTH-1); Sl_rdWdAddr : out std_logic_vector(0 to 3); Sl_rdDAck : out std_logic; Sl_rdComp : out std_logic; Sl_rdBTerm : out std_logic; Sl_MBusy : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1); Sl_MWrErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1); Sl_MRdErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1); Sl_MIRQ : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1) -- DO NOT EDIT ABOVE THIS LINE --------------------- ); attribute SIGIS : string; attribute SIGIS of SPLB_Clk : signal is "CLK"; attribute SIGIS of SPLB_Rst : signal is "RST"; end entity radio_controller; ------------------------------------------------------------------------------ -- Architecture section ------------------------------------------------------------------------------ architecture IMP of radio_controller is ------------------------------------------ -- Array of base/high address pairs for each address range ------------------------------------------ constant ZERO_ADDR_PAD : std_logic_vector(0 to 31) := (others => '0'); constant USER_SLV_BASEADDR : std_logic_vector := C_BASEADDR; constant USER_SLV_HIGHADDR : std_logic_vector := C_HIGHADDR; constant IPIF_ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE := ( ZERO_ADDR_PAD & USER_SLV_BASEADDR, -- user logic slave space base address ZERO_ADDR_PAD & USER_SLV_HIGHADDR -- user logic slave space high address ); ------------------------------------------ -- Array of desired number of chip enables for each address range ------------------------------------------ constant USER_SLV_NUM_REG : integer := 17; constant USER_NUM_REG : integer := USER_SLV_NUM_REG; constant IPIF_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE := ( 0 => pad_power2(USER_SLV_NUM_REG) -- number of ce for user logic slave space ); ------------------------------------------ -- Ratio of bus clock to core clock (for use in dual clock systems) -- 1 = ratio is 1:1 -- 2 = ratio is 2:1 ------------------------------------------ constant IPIF_BUS2CORE_CLK_RATIO : integer := 1; ------------------------------------------ -- Width of the slave data bus (32 only) ------------------------------------------ constant USER_SLV_DWIDTH : integer := C_SPLB_NATIVE_DWIDTH; constant IPIF_SLV_DWIDTH : integer := C_SPLB_NATIVE_DWIDTH; ------------------------------------------ -- Index for CS/CE ------------------------------------------ constant USER_SLV_CS_INDEX : integer := 0; constant USER_SLV_CE_INDEX : integer := calc_start_ce_index(IPIF_ARD_NUM_CE_ARRAY, USER_SLV_CS_INDEX); constant USER_CE_INDEX : integer := USER_SLV_CE_INDEX; ------------------------------------------ -- IP Interconnect (IPIC) signal declarations ------------------------------------------ signal ipif_Bus2IP_Clk : std_logic; signal ipif_Bus2IP_Reset : std_logic; signal ipif_IP2Bus_Data : std_logic_vector(0 to IPIF_SLV_DWIDTH-1); signal ipif_IP2Bus_WrAck : std_logic; signal ipif_IP2Bus_RdAck : std_logic; signal ipif_IP2Bus_Error : std_logic; signal ipif_Bus2IP_Addr : std_logic_vector(0 to C_SPLB_AWIDTH-1); signal ipif_Bus2IP_Data : std_logic_vector(0 to IPIF_SLV_DWIDTH-1); signal ipif_Bus2IP_RNW : std_logic; signal ipif_Bus2IP_BE : std_logic_vector(0 to IPIF_SLV_DWIDTH/8-1); signal ipif_Bus2IP_CS : std_logic_vector(0 to ((IPIF_ARD_ADDR_RANGE_ARRAY'length)/2)-1); signal ipif_Bus2IP_RdCE : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1); signal ipif_Bus2IP_WrCE : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1); signal user_Bus2IP_RdCE : std_logic_vector(0 to USER_NUM_REG-1); signal user_Bus2IP_WrCE : std_logic_vector(0 to USER_NUM_REG-1); signal user_IP2Bus_Data : std_logic_vector(0 to USER_SLV_DWIDTH-1); signal user_IP2Bus_RdAck : std_logic; signal user_IP2Bus_WrAck : std_logic; signal user_IP2Bus_Error : std_logic; ------------------------------------------ -- Component declaration for verilog user logic ------------------------------------------ component user_logic is generic ( -- ADD USER GENERICS BELOW THIS LINE --------------- --USER generics added here -- ADD USER GENERICS ABOVE THIS LINE --------------- -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol parameters, do not add to or delete C_SLV_DWIDTH : integer := 32; C_NUM_REG : integer := 17 -- DO NOT EDIT ABOVE THIS LINE --------------------- ); port ( -- ADD USER PORTS BELOW THIS LINE ------------------ spi_clk : out std_logic; data_out : out std_logic; Radio1_cs : out std_logic; Radio2_cs : out std_logic; Radio3_cs : out std_logic; Radio4_cs : out std_logic; Dac1_cs : out std_logic; Dac2_cs : out std_logic; Dac3_cs : out std_logic; Dac4_cs : out std_logic; Radio1_SHDN : out std_logic; Radio1_TxEn : out std_logic; Radio1_RxEn : out std_logic; Radio1_RxHP : out std_logic; Radio1_LD : in std_logic; Radio1_24PA : out std_logic; Radio1_5PA : out std_logic; Radio1_ANTSW : out std_logic_vector(0 to 1); Radio1_LED : out std_logic_vector(0 to 2); Radio1_ADC_RX_DCS : out std_logic; Radio1_ADC_RX_DFS : out std_logic; Radio1_ADC_RX_OTRA : in std_logic; Radio1_ADC_RX_OTRB : in std_logic; Radio1_ADC_RX_PWDNA : out std_logic; Radio1_ADC_RX_PWDNB : out std_logic; Radio1_DIPSW : in std_logic_vector(0 to 3); Radio1_RSSI_ADC_CLAMP : out std_logic; Radio1_RSSI_ADC_HIZ : out std_logic; Radio1_RSSI_ADC_OTR : in std_logic; Radio1_RSSI_ADC_SLEEP : out std_logic; Radio1_RSSI_ADC_D : in std_logic_vector(0 to 9); Radio1_TX_DAC_PLL_LOCK : in std_logic; Radio1_TX_DAC_RESET : out std_logic; Radio1_SHDN_external : in std_logic; Radio1_TxEn_external : in std_logic; Radio1_RxEn_external : in std_logic; Radio1_RxHP_external : in std_logic; Radio1_TxGain : out std_logic_vector(0 to 5); Radio1_TxStart : out std_logic; Radio2_SHDN : out std_logic; Radio2_TxEn : out std_logic; Radio2_RxEn : out std_logic; Radio2_RxHP : out std_logic; Radio2_LD : in std_logic; Radio2_24PA : out std_logic; Radio2_5PA : out std_logic; Radio2_ANTSW : out std_logic_vector(0 to 1); Radio2_LED : out std_logic_vector(0 to 2); Radio2_ADC_RX_DCS : out std_logic; Radio2_ADC_RX_DFS : out std_logic; Radio2_ADC_RX_OTRA : in std_logic; Radio2_ADC_RX_OTRB : in std_logic; Radio2_ADC_RX_PWDNA : out std_logic; Radio2_ADC_RX_PWDNB : out std_logic; Radio2_DIPSW : in std_logic_vector(0 to 3); Radio2_RSSI_ADC_CLAMP : out std_logic; Radio2_RSSI_ADC_HIZ : out std_logic; Radio2_RSSI_ADC_OTR : in std_logic; Radio2_RSSI_ADC_SLEEP : out std_logic; Radio2_RSSI_ADC_D : in std_logic_vector(0 to 9); Radio2_TX_DAC_PLL_LOCK : in std_logic; Radio2_TX_DAC_RESET : out std_logic; Radio2_SHDN_external : in std_logic; Radio2_TxEn_external : in std_logic; Radio2_RxEn_external : in std_logic; Radio2_RxHP_external : in std_logic; Radio2_TxGain : out std_logic_vector(0 to 5); Radio2_TxStart : out std_logic; Radio3_SHDN : out std_logic; Radio3_TxEn : out std_logic; Radio3_RxEn : out std_logic; Radio3_RxHP : out std_logic; Radio3_LD : in std_logic; Radio3_24PA : out std_logic; Radio3_5PA : out std_logic; Radio3_ANTSW : out std_logic_vector(0 to 1); Radio3_LED : out std_logic_vector(0 to 2); Radio3_ADC_RX_DCS : out std_logic; Radio3_ADC_RX_DFS : out std_logic; Radio3_ADC_RX_OTRA : in std_logic; Radio3_ADC_RX_OTRB : in std_logic; Radio3_ADC_RX_PWDNA : out std_logic; Radio3_ADC_RX_PWDNB : out std_logic; Radio3_DIPSW : in std_logic_vector(0 to 3); Radio3_RSSI_ADC_CLAMP : out std_logic; Radio3_RSSI_ADC_HIZ : out std_logic; Radio3_RSSI_ADC_OTR : in std_logic; Radio3_RSSI_ADC_SLEEP : out std_logic; Radio3_RSSI_ADC_D : in std_logic_vector(0 to 9); Radio3_TX_DAC_PLL_LOCK : in std_logic; Radio3_TX_DAC_RESET : out std_logic; Radio3_SHDN_external : in std_logic; Radio3_TxEn_external : in std_logic; Radio3_RxEn_external : in std_logic; Radio3_RxHP_external : in std_logic; Radio3_TxGain : out std_logic_vector(0 to 5); Radio3_TxStart : out std_logic; Radio4_SHDN : out std_logic; Radio4_TxEn : out std_logic; Radio4_RxEn : out std_logic; Radio4_RxHP : out std_logic; Radio4_LD : in std_logic; Radio4_24PA : out std_logic; Radio4_5PA : out std_logic; Radio4_ANTSW : out std_logic_vector(0 to 1); Radio4_LED : out std_logic_vector(0 to 2); Radio4_ADC_RX_DCS : out std_logic; Radio4_ADC_RX_DFS : out std_logic; Radio4_ADC_RX_OTRA : in std_logic; Radio4_ADC_RX_OTRB : in std_logic; Radio4_ADC_RX_PWDNA : out std_logic; Radio4_ADC_RX_PWDNB : out std_logic; Radio4_DIPSW : in std_logic_vector(0 to 3); Radio4_RSSI_ADC_CLAMP : out std_logic; Radio4_RSSI_ADC_HIZ : out std_logic; Radio4_RSSI_ADC_OTR : in std_logic; Radio4_RSSI_ADC_SLEEP : out std_logic; Radio4_RSSI_ADC_D : in std_logic_vector(0 to 9); Radio4_TX_DAC_PLL_LOCK : in std_logic; Radio4_TX_DAC_RESET : out std_logic; Radio4_SHDN_external : in std_logic; Radio4_TxEn_external : in std_logic; Radio4_RxEn_external : in std_logic; Radio4_RxHP_external : in std_logic; Radio4_TxGain : out std_logic_vector(0 to 5); Radio4_TxStart : out std_logic; -- ADD USER PORTS ABOVE THIS LINE ------------------ -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol ports, do not add to or delete Bus2IP_Clk : in std_logic; Bus2IP_Reset : in std_logic; Bus2IP_Data : in std_logic_vector(0 to C_SLV_DWIDTH-1); Bus2IP_BE : in std_logic_vector(0 to C_SLV_DWIDTH/8-1); Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_REG-1); Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_REG-1); IP2Bus_Data : out std_logic_vector(0 to C_SLV_DWIDTH-1); IP2Bus_RdAck : out std_logic; IP2Bus_WrAck : out std_logic; IP2Bus_Error : out std_logic -- DO NOT EDIT ABOVE THIS LINE --------------------- ); end component user_logic; begin ------------------------------------------ -- instantiate plbv46_slave_single ------------------------------------------ PLBV46_SLAVE_SINGLE_I : entity plbv46_slave_single_v1_00_a.plbv46_slave_single generic map ( C_ARD_ADDR_RANGE_ARRAY => IPIF_ARD_ADDR_RANGE_ARRAY, C_ARD_NUM_CE_ARRAY => IPIF_ARD_NUM_CE_ARRAY, C_SPLB_P2P => C_SPLB_P2P, C_BUS2CORE_CLK_RATIO => IPIF_BUS2CORE_CLK_RATIO, C_SPLB_MID_WIDTH => C_SPLB_MID_WIDTH, C_SPLB_NUM_MASTERS => C_SPLB_NUM_MASTERS, C_SPLB_AWIDTH => C_SPLB_AWIDTH, C_SPLB_DWIDTH => C_SPLB_DWIDTH, C_SIPIF_DWIDTH => IPIF_SLV_DWIDTH, C_FAMILY => C_FAMILY ) port map ( SPLB_Clk => SPLB_Clk, SPLB_Rst => SPLB_Rst, PLB_ABus => PLB_ABus, PLB_UABus => PLB_UABus, PLB_PAValid => PLB_PAValid, PLB_SAValid => PLB_SAValid, PLB_rdPrim => PLB_rdPrim, PLB_wrPrim => PLB_wrPrim, PLB_masterID => PLB_masterID, PLB_abort => PLB_abort, PLB_busLock => PLB_busLock, PLB_RNW => PLB_RNW, PLB_BE => PLB_BE, PLB_MSize => PLB_MSize, PLB_size => PLB_size, PLB_type => PLB_type, PLB_lockErr => PLB_lockErr, PLB_wrDBus => PLB_wrDBus, PLB_wrBurst => PLB_wrBurst, PLB_rdBurst => PLB_rdBurst, PLB_wrPendReq => PLB_wrPendReq, PLB_rdPendReq => PLB_rdPendReq, PLB_wrPendPri => PLB_wrPendPri, PLB_rdPendPri => PLB_rdPendPri, PLB_reqPri => PLB_reqPri, PLB_TAttribute => PLB_TAttribute, Sl_addrAck => Sl_addrAck, Sl_SSize => Sl_SSize, Sl_wait => Sl_wait, Sl_rearbitrate => Sl_rearbitrate, Sl_wrDAck => Sl_wrDAck, Sl_wrComp => Sl_wrComp, Sl_wrBTerm => Sl_wrBTerm, Sl_rdDBus => Sl_rdDBus, Sl_rdWdAddr => Sl_rdWdAddr, Sl_rdDAck => Sl_rdDAck, Sl_rdComp => Sl_rdComp, Sl_rdBTerm => Sl_rdBTerm, Sl_MBusy => Sl_MBusy, Sl_MWrErr => Sl_MWrErr, Sl_MRdErr => Sl_MRdErr, Sl_MIRQ => Sl_MIRQ, Bus2IP_Clk => ipif_Bus2IP_Clk, Bus2IP_Reset => ipif_Bus2IP_Reset, IP2Bus_Data => ipif_IP2Bus_Data, IP2Bus_WrAck => ipif_IP2Bus_WrAck, IP2Bus_RdAck => ipif_IP2Bus_RdAck, IP2Bus_Error => ipif_IP2Bus_Error, Bus2IP_Addr => ipif_Bus2IP_Addr, Bus2IP_Data => ipif_Bus2IP_Data, Bus2IP_RNW => ipif_Bus2IP_RNW, Bus2IP_BE => ipif_Bus2IP_BE, Bus2IP_CS => ipif_Bus2IP_CS, Bus2IP_RdCE => ipif_Bus2IP_RdCE, Bus2IP_WrCE => ipif_Bus2IP_WrCE ); ------------------------------------------ -- instantiate User Logic ------------------------------------------ USER_LOGIC_I : component user_logic generic map ( -- MAP USER GENERICS BELOW THIS LINE --------------- --USER generics mapped here -- MAP USER GENERICS ABOVE THIS LINE --------------- C_SLV_DWIDTH => USER_SLV_DWIDTH, C_NUM_REG => USER_NUM_REG ) port map ( -- MAP USER PORTS BELOW THIS LINE ------------------ spi_clk => spi_clk, data_out => data_out, Radio1_cs => radio1_cs, Radio2_cs => radio2_cs, Radio3_cs => radio3_cs, Radio4_cs => radio4_cs, Dac1_cs => dac1_cs, Dac2_cs => dac2_cs, Dac3_cs => dac3_cs, Dac4_cs => dac4_cs, Radio1_SHDN => radio1_SHDN, Radio1_TxEn => radio1_TxEn, Radio1_RxEn => radio1_RxEn, Radio1_RxHP => radio1_RxHP, Radio1_LD => radio1_LD, Radio1_24PA => radio1_24PA, Radio1_5PA => radio1_5PA, Radio1_ANTSW => radio1_ANTSW, Radio1_LED => radio1_LED, Radio1_ADC_RX_DCS => radio1_ADC_RX_DCS, Radio1_ADC_RX_DFS => radio1_ADC_RX_DFS, Radio1_ADC_RX_OTRA => radio1_ADC_RX_OTRA, Radio1_ADC_RX_OTRB => radio1_ADC_RX_OTRB, Radio1_ADC_RX_PWDNA => radio1_ADC_RX_PWDNA, Radio1_ADC_RX_PWDNB => radio1_ADC_RX_PWDNB, Radio1_DIPSW => radio1_DIPSW, Radio1_RSSI_ADC_CLAMP => radio1_RSSI_ADC_CLAMP, Radio1_RSSI_ADC_HIZ => radio1_RSSI_ADC_HIZ, Radio1_RSSI_ADC_OTR => radio1_RSSI_ADC_OTR, Radio1_RSSI_ADC_SLEEP => radio1_RSSI_ADC_SLEEP, Radio1_RSSI_ADC_D => radio1_RSSI_ADC_D, Radio1_TX_DAC_PLL_LOCK => radio1_TX_DAC_PLL_LOCK, Radio1_TX_DAC_RESET => radio1_TX_DAC_RESET, Radio1_SHDN_external => radio1_SHDN_external, Radio1_TxEn_external => radio1_TxEn_external, Radio1_RxEn_external => radio1_RxEn_external, Radio1_RxHP_external => radio1_RxHP_external, Radio1_TxGain => radio1_TxGain, Radio1_TxStart => radio1_TxStart, Radio2_SHDN => radio2_SHDN, Radio2_TxEn => radio2_TxEn, Radio2_RxEn => radio2_RxEn, Radio2_RxHP => radio2_RxHP, Radio2_LD => radio2_LD, Radio2_24PA => radio2_24PA, Radio2_5PA => radio2_5PA, Radio2_ANTSW => radio2_ANTSW, Radio2_LED => radio2_LED, Radio2_ADC_RX_DCS => radio2_ADC_RX_DCS, Radio2_ADC_RX_DFS => radio2_ADC_RX_DFS, Radio2_ADC_RX_OTRA => radio2_ADC_RX_OTRA, Radio2_ADC_RX_OTRB => radio2_ADC_RX_OTRB, Radio2_ADC_RX_PWDNA => radio2_ADC_RX_PWDNA, Radio2_ADC_RX_PWDNB => radio2_ADC_RX_PWDNB, Radio2_DIPSW => radio2_DIPSW, Radio2_RSSI_ADC_CLAMP => radio2_RSSI_ADC_CLAMP, Radio2_RSSI_ADC_HIZ => radio2_RSSI_ADC_HIZ, Radio2_RSSI_ADC_OTR => radio2_RSSI_ADC_OTR, Radio2_RSSI_ADC_SLEEP => radio2_RSSI_ADC_SLEEP, Radio2_RSSI_ADC_D => radio2_RSSI_ADC_D, Radio2_TX_DAC_PLL_LOCK => radio2_TX_DAC_PLL_LOCK, Radio2_TX_DAC_RESET => radio2_TX_DAC_RESET, Radio2_SHDN_external => radio2_SHDN_external, Radio2_TxEn_external => radio2_TxEn_external, Radio2_RxEn_external => radio2_RxEn_external, Radio2_RxHP_external => radio2_RxHP_external, Radio2_TxGain => radio2_TxGain, Radio2_TxStart => radio2_TxStart, Radio3_SHDN => radio3_SHDN, Radio3_TxEn => radio3_TxEn, Radio3_RxEn => radio3_RxEn, Radio3_RxHP => radio3_RxHP, Radio3_LD => radio3_LD, Radio3_24PA => radio3_24PA, Radio3_5PA => radio3_5PA, Radio3_ANTSW => radio3_ANTSW, Radio3_LED => radio3_LED, Radio3_ADC_RX_DCS => radio3_ADC_RX_DCS, Radio3_ADC_RX_DFS => radio3_ADC_RX_DFS, Radio3_ADC_RX_OTRA => radio3_ADC_RX_OTRA, Radio3_ADC_RX_OTRB => radio3_ADC_RX_OTRB, Radio3_ADC_RX_PWDNA => radio3_ADC_RX_PWDNA, Radio3_ADC_RX_PWDNB => radio3_ADC_RX_PWDNB, Radio3_DIPSW => radio3_DIPSW, Radio3_RSSI_ADC_CLAMP => radio3_RSSI_ADC_CLAMP, Radio3_RSSI_ADC_HIZ => radio3_RSSI_ADC_HIZ, Radio3_RSSI_ADC_OTR => radio3_RSSI_ADC_OTR, Radio3_RSSI_ADC_SLEEP => radio3_RSSI_ADC_SLEEP, Radio3_RSSI_ADC_D => radio3_RSSI_ADC_D, Radio3_TX_DAC_PLL_LOCK => radio3_TX_DAC_PLL_LOCK, Radio3_TX_DAC_RESET => radio3_TX_DAC_RESET, Radio3_SHDN_external => radio3_SHDN_external, Radio3_TxEn_external => radio3_TxEn_external, Radio3_RxEn_external => radio3_RxEn_external, Radio3_RxHP_external => radio3_RxHP_external, Radio3_TxGain => radio3_TxGain, Radio3_TxStart => radio3_TxStart, Radio4_SHDN => radio4_SHDN, Radio4_TxEn => radio4_TxEn, Radio4_RxEn => radio4_RxEn, Radio4_RxHP => radio4_RxHP, Radio4_LD => radio4_LD, Radio4_24PA => radio4_24PA, Radio4_5PA => radio4_5PA, Radio4_ANTSW => radio4_ANTSW, Radio4_LED => radio4_LED, Radio4_ADC_RX_DCS => radio4_ADC_RX_DCS, Radio4_ADC_RX_DFS => radio4_ADC_RX_DFS, Radio4_ADC_RX_OTRA => radio4_ADC_RX_OTRA, Radio4_ADC_RX_OTRB => radio4_ADC_RX_OTRB, Radio4_ADC_RX_PWDNA => radio4_ADC_RX_PWDNA, Radio4_ADC_RX_PWDNB => radio4_ADC_RX_PWDNB, Radio4_DIPSW => radio4_DIPSW, Radio4_RSSI_ADC_CLAMP => radio4_RSSI_ADC_CLAMP, Radio4_RSSI_ADC_HIZ => radio4_RSSI_ADC_HIZ, Radio4_RSSI_ADC_OTR => radio4_RSSI_ADC_OTR, Radio4_RSSI_ADC_SLEEP => radio4_RSSI_ADC_SLEEP, Radio4_RSSI_ADC_D => radio4_RSSI_ADC_D, Radio4_TX_DAC_PLL_LOCK => radio4_TX_DAC_PLL_LOCK, Radio4_TX_DAC_RESET => radio4_TX_DAC_RESET, Radio4_SHDN_external => radio4_SHDN_external, Radio4_TxEn_external => radio4_TxEn_external, Radio4_RxEn_external => radio4_RxEn_external, Radio4_RxHP_external => radio4_RxHP_external, Radio4_TxGain => radio4_TxGain, Radio4_TxStart => radio4_TxStart, -- MAP USER PORTS ABOVE THIS LINE ------------------ Bus2IP_Clk => ipif_Bus2IP_Clk, Bus2IP_Reset => ipif_Bus2IP_Reset, Bus2IP_Data => ipif_Bus2IP_Data, Bus2IP_BE => ipif_Bus2IP_BE, Bus2IP_RdCE => user_Bus2IP_RdCE, Bus2IP_WrCE => user_Bus2IP_WrCE, IP2Bus_Data => user_IP2Bus_Data, IP2Bus_RdAck => user_IP2Bus_RdAck, IP2Bus_WrAck => user_IP2Bus_WrAck, IP2Bus_Error => user_IP2Bus_Error ); ------------------------------------------ -- connect internal signals ------------------------------------------ ipif_IP2Bus_Data <= user_IP2Bus_Data; ipif_IP2Bus_WrAck <= user_IP2Bus_WrAck; ipif_IP2Bus_RdAck <= user_IP2Bus_RdAck; ipif_IP2Bus_Error <= user_IP2Bus_Error; user_Bus2IP_RdCE <= ipif_Bus2IP_RdCE(USER_CE_INDEX to USER_CE_INDEX+USER_NUM_REG-1); user_Bus2IP_WrCE <= ipif_Bus2IP_WrCE(USER_CE_INDEX to USER_CE_INDEX+USER_NUM_REG-1); end IMP;
bsd-2-clause
3bebab8a4e2e3b791ca6442c8ed345a0
0.447862
3.952297
false
false
false
false
shailcoolboy/Warp-Trinity
edk_user_repository/WARP/pcores/linkport_v1_00_a/hdl/vhdl/channel_error_detect.vhd
4
4,721
-- -- Project: Aurora Module Generator version 2.4 -- -- Date: $Date: 2005/11/07 21:30:51 $ -- Tag: $Name: i+IP+98818 $ -- File: $RCSfile: channel_error_detect_vhd.ejava,v $ -- Rev: $Revision: 1.1.2.4 $ -- -- Company: Xilinx -- Contributors: R. K. Awalt, B. L. Woodard, N. Gulstone -- -- Disclaimer: XILINX IS PROVIDING THIS DESIGN, CODE, OR -- INFORMATION "AS IS" SOLELY FOR USE IN DEVELOPING -- PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS -- ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, -- APPLICATION OR STANDARD, XILINX IS MAKING NO -- REPRESENTATION THAT THIS IMPLEMENTATION IS FREE -- FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE -- RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY -- REQUIRE FOR YOUR IMPLEMENTATION. XILINX -- EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH -- RESPECT TO THE ADEQUACY OF THE IMPLEMENTATION, -- INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE -- FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES -- OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE. -- -- (c) Copyright 2004 Xilinx, Inc. -- All rights reserved. -- -- -- CHANNEL_ERROR_DETECT -- -- Author: Nigel Gulstone -- Xilinx - Embedded Networking System Engineering Group -- -- Description: the CHANNEL_ERROR_DETECT module monitors the error signals -- from the Aurora Lanes in the channel. If one or more errors -- are detected, the error is reported as a channel error. If -- a hard error is detected, it sends a message to the channel -- initialization state machine to reset the channel. -- -- This module supports 1 2-byte lane designs -- library IEEE; use IEEE.STD_LOGIC_1164.all; entity CHANNEL_ERROR_DETECT is port ( -- Aurora Lane Interface SOFT_ERROR : in std_logic; HARD_ERROR : in std_logic; LANE_UP : in std_logic; -- System Interface USER_CLK : in std_logic; POWER_DOWN : in std_logic; CHANNEL_SOFT_ERROR : out std_logic; CHANNEL_HARD_ERROR : out std_logic; -- Channel Init SM Interface RESET_CHANNEL : out std_logic ); end CHANNEL_ERROR_DETECT; architecture RTL of CHANNEL_ERROR_DETECT is -- Parameter Declarations -- constant DLY : time := 1 ns; -- External Register Declarations -- signal CHANNEL_SOFT_ERROR_Buffer : std_logic := '1'; signal CHANNEL_HARD_ERROR_Buffer : std_logic := '1'; signal RESET_CHANNEL_Buffer : std_logic := '1'; -- Internal Register Declarations -- signal soft_error_r : std_logic; signal hard_error_r : std_logic; -- Wire Declarations -- signal channel_soft_error_c : std_logic; signal channel_hard_error_c : std_logic; signal reset_channel_c : std_logic; begin CHANNEL_SOFT_ERROR <= CHANNEL_SOFT_ERROR_Buffer; CHANNEL_HARD_ERROR <= CHANNEL_HARD_ERROR_Buffer; RESET_CHANNEL <= RESET_CHANNEL_Buffer; -- Main Body of Code -- -- Register all of the incoming error signals. This is neccessary for timing. process (USER_CLK) begin if (USER_CLK 'event and USER_CLK = '1') then soft_error_r <= SOFT_ERROR after DLY; hard_error_r <= HARD_ERROR after DLY; end if; end process; -- Assert Channel soft error if any of the soft error signals are asserted. channel_soft_error_c <= soft_error_r; process (USER_CLK) begin if (USER_CLK 'event and USER_CLK = '1') then CHANNEL_SOFT_ERROR_Buffer <= channel_soft_error_c after DLY; end if; end process; -- Assert Channel hard error if any of the hard error signals are asserted. channel_hard_error_c <= hard_error_r; process (USER_CLK) begin if (USER_CLK 'event and USER_CLK = '1') then CHANNEL_HARD_ERROR_Buffer <= channel_hard_error_c after DLY; end if; end process; -- "reset_channel_r" is asserted when any of the LANE_UP signals are low. reset_channel_c <= not LANE_UP; process (USER_CLK) begin if (USER_CLK 'event and USER_CLK = '1') then RESET_CHANNEL_Buffer <= reset_channel_c or POWER_DOWN after DLY; end if; end process; end RTL;
bsd-2-clause
73be7530aeecb3d6b1298018c6d053b2
0.593942
4.052361
false
false
false
false
shailcoolboy/Warp-Trinity
edk_user_repository/WARP/pcores/linkport_v1_00_a/hdl/vhdl/aurora_lane.vhd
4
22,612
-- -- Project: Aurora Module Generator version 2.4 -- -- Date: $Date: 2005/11/16 00:32:42 $ -- Tag: $Name: i+IP+98818 $ -- File: $RCSfile: aurora_lane_vhd.ejava,v $ -- Rev: $Revision: 1.1.2.2 $ -- -- Company: Xilinx -- Contributors: R. K. Awalt, B. L. Woodard, N. Gulstone -- -- Disclaimer: XILINX IS PROVIDING THIS DESIGN, CODE, OR -- INFORMATION "AS IS" SOLELY FOR USE IN DEVELOPING -- PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS -- ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, -- APPLICATION OR STANDARD, XILINX IS MAKING NO -- REPRESENTATION THAT THIS IMPLEMENTATION IS FREE -- FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE -- RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY -- REQUIRE FOR YOUR IMPLEMENTATION. XILINX -- EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH -- RESPECT TO THE ADEQUACY OF THE IMPLEMENTATION, -- INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE -- FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES -- OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE. -- -- (c) Copyright 2004 Xilinx, Inc. -- All rights reserved. -- -- -- AURORA_LANE -- -- Author: Nigel Gulstone -- Xilinx - Embedded Networking System Engineering Group -- -- VHDL Translation: Brian Woodard -- Xilinx - Garden Valley Design Team -- -- Description: The AURORA_LANE module provides a full duplex 2-byte aurora -- lane connection using a single MGT. The module handles lane -- initialization, symbol generation and decoding as well as -- error detection. It also decodes some of the channel bonding -- indicator signals needed by the Global logic. -- -- * Supports Virtex 2 Pro -- library IEEE; use IEEE.STD_LOGIC_1164.all; entity AURORA_LANE is generic ( EXTEND_WATCHDOGS : boolean := FALSE ); port ( -- MGT Interface RX_DATA : in std_logic_vector(15 downto 0); -- 2-byte data bus from the MGT. RX_NOT_IN_TABLE : in std_logic_vector(1 downto 0); -- Invalid 10-bit code was recieved. RX_DISP_ERR : in std_logic_vector(1 downto 0); -- Disparity error detected on RX interface. RX_CHAR_IS_K : in std_logic_vector(1 downto 0); -- Indicates which bytes of RX_DATA are control. RX_CHAR_IS_COMMA : in std_logic_vector(1 downto 0); -- Comma received on given byte. RX_BUF_STATUS : in std_logic; -- Overflow/Underflow of RX buffer detected. TX_BUF_ERR : in std_logic; -- Overflow/Underflow of TX buffer detected. TX_K_ERR : in std_logic_vector(1 downto 0); -- Attempt to send bad control byte detected. RX_CLK_COR_CNT : in std_logic_vector(2 downto 0); -- Value used to determine channel bonding status. RX_REALIGN : in std_logic; -- SERDES was realigned because of a new comma. RX_POLARITY : out std_logic; -- Controls interpreted polarity of serial data inputs. RX_RESET : out std_logic; -- Reset RX side of MGT logic. TX_CHAR_IS_K : out std_logic_vector(1 downto 0); -- TX_DATA byte is a control character. TX_DATA : out std_logic_vector(15 downto 0); -- 2-byte data bus to the MGT. TX_RESET : out std_logic; -- Reset TX side of MGT logic. -- Comma Detect Phase Align Interface ENA_COMMA_ALIGN : out std_logic; -- Request comma alignment. -- TX_LL Interface GEN_SCP : in std_logic; -- SCP generation request from TX_LL. GEN_ECP : in std_logic; -- ECP generation request from TX_LL. GEN_SNF : in std_logic; -- SNF generation request from TX_LL. GEN_PAD : in std_logic; -- PAD generation request from TX_LL. FC_NB : in std_logic_vector(0 to 3); -- Size code for SUF and SNF messages. TX_PE_DATA : in std_logic_vector(0 to 15); -- Data from TX_LL to send over lane. TX_PE_DATA_V : in std_logic; -- Indicates TX_PE_DATA is Valid. GEN_CC : in std_logic; -- CC generation request from TX_LL. -- RX_LL Interface RX_PAD : out std_logic; -- Indicates lane received PAD. RX_PE_DATA : out std_logic_vector(0 to 15); -- RX data from lane to RX_LL. RX_PE_DATA_V : out std_logic; -- RX_PE_DATA is data, not control symbol. RX_SCP : out std_logic; -- Indicates lane received SCP. RX_ECP : out std_logic; -- Indicates lane received ECP. RX_SNF : out std_logic; -- Indicates lane received SNF. RX_FC_NB : out std_logic_vector(0 to 3); -- Size code for SNF or SUF. -- Global Logic Interface GEN_A : in std_logic; -- 'A character' generation request from Global Logic. GEN_K : in std_logic_vector(0 to 1); -- 'K character' generation request from Global Logic. GEN_R : in std_logic_vector(0 to 1); -- 'R character' generation request from Global Logic. GEN_V : in std_logic_vector(0 to 1); -- Verification data generation request. LANE_UP : out std_logic; -- Lane is ready for bonding and verification. SOFT_ERROR : out std_logic; -- Soft error detected. HARD_ERROR : out std_logic; -- Hard error detected. CHANNEL_BOND_LOAD : out std_logic; -- Channel Bonding done code received. GOT_A : out std_logic_vector(0 to 1); -- Indicates lane recieved 'A character' bytes. GOT_V : out std_logic; -- Verification symbols received. -- System Interface USER_CLK : in std_logic; -- System clock for all non-MGT Aurora Logic. RESET : in std_logic -- Reset the lane. ); end AURORA_LANE; architecture MAPPED of AURORA_LANE is -- External Register Declarations -- signal RX_POLARITY_Buffer : std_logic; signal RX_RESET_Buffer : std_logic; signal TX_CHAR_IS_K_Buffer : std_logic_vector(1 downto 0); signal TX_DATA_Buffer : std_logic_vector(15 downto 0); signal TX_RESET_Buffer : std_logic; signal RX_PAD_Buffer : std_logic; signal RX_PE_DATA_Buffer : std_logic_vector(0 to 15); signal RX_PE_DATA_V_Buffer : std_logic; signal RX_SCP_Buffer : std_logic; signal RX_ECP_Buffer : std_logic; signal RX_SNF_Buffer : std_logic; signal RX_FC_NB_Buffer : std_logic_vector(0 to 3); signal LANE_UP_Buffer : std_logic; signal SOFT_ERROR_Buffer : std_logic; signal HARD_ERROR_Buffer : std_logic; signal CHANNEL_BOND_LOAD_Buffer : std_logic; signal GOT_A_Buffer : std_logic_vector(0 to 1); signal GOT_V_Buffer : std_logic; -- Wire Declarations -- signal gen_k_i : std_logic; signal gen_sp_data_i : std_logic_vector(0 to 1); signal gen_spa_data_i : std_logic_vector(0 to 1); signal rx_sp_i : std_logic; signal rx_spa_i : std_logic; signal rx_neg_i : std_logic; signal enable_error_detect_i : std_logic; signal do_word_align_i : std_logic; signal hard_error_reset_i : std_logic; -- Component Declarations -- component LANE_INIT_SM generic ( EXTEND_WATCHDOGS : boolean := FALSE ); port ( -- MGT Interface RX_NOT_IN_TABLE : in std_logic_vector(1 downto 0); -- MGT received invalid 10b code. RX_DISP_ERR : in std_logic_vector(1 downto 0); -- MGT received 10b code w/ wrong disparity. RX_CHAR_IS_COMMA : in std_logic_vector(1 downto 0); -- MGT received a Comma. RX_REALIGN : in std_logic; -- MGT had to change alignment due to new comma. RX_RESET : out std_logic; -- Reset the RX side of the MGT. TX_RESET : out std_logic; -- Reset the TX side of the MGT. RX_POLARITY : out std_logic; -- Sets polarity used to interpet rx'ed symbols. -- Comma Detect Phase Alignment Interface ENA_COMMA_ALIGN : out std_logic; -- Turn on SERDES Alignment in MGT. -- Symbol Generator Interface GEN_K : out std_logic; -- Generate a comma on the MSByte of the Lane. GEN_SP_DATA : out std_logic_vector(0 to 1); -- Generate SP data symbol on selected byte(s). GEN_SPA_DATA : out std_logic_vector(0 to 1); -- Generate SPA data symbol on selected byte(s). -- Symbol Decoder Interface RX_SP : in std_logic; -- Lane rx'ed SP sequence w/ + or - data. RX_SPA : in std_logic; -- Lane rx'ed SPA sequence. RX_NEG : in std_logic; -- Lane rx'ed inverted SP or SPA data. DO_WORD_ALIGN : out std_logic; -- Enable word alignment. -- Error Detection Logic Interface ENABLE_ERROR_DETECT : out std_logic; -- Turn on Soft Error detection. HARD_ERROR_RESET : in std_logic; -- Reset lane due to hard error. -- Global Logic Interface LANE_UP : out std_logic; -- Lane is initialized. -- System Interface USER_CLK : in std_logic; -- Clock for all non-MGT Aurora logic. RESET : in std_logic -- Reset Aurora Lane. ); end component; component CHBOND_COUNT_DEC port ( RX_CLK_COR_CNT : in std_logic_vector(2 downto 0); CHANNEL_BOND_LOAD : out std_logic; USER_CLK : in std_logic ); end component; component SYM_GEN port ( -- TX_LL Interface -- See description for info about GEN_PAD and TX_PE_DATA_V. GEN_SCP : in std_logic; -- Generate SCP. GEN_ECP : in std_logic; -- Generate ECP. GEN_SNF : in std_logic; -- Generate SNF using code given by FC_NB. GEN_PAD : in std_logic; -- Replace LSB with Pad character. FC_NB : in std_logic_vector(0 to 3); -- Size code for Flow Control messages. TX_PE_DATA : in std_logic_vector(0 to 15); -- Data. Transmitted when TX_PE_DATA_V is asserted. TX_PE_DATA_V : in std_logic; -- Transmit data. GEN_CC : in std_logic; -- Generate Clock Correction symbols. -- Global Logic Interface -- See description for info about GEN_K,GEN_R and GEN_A. GEN_A : in std_logic; -- Generate A character for selected bytes. GEN_K : in std_logic_vector(0 to 1); -- Generate K character for selected bytes. GEN_R : in std_logic_vector(0 to 1); -- Generate R character for selected bytes. GEN_V : in std_logic_vector(0 to 1); -- Generate Ver data character on selected bytes. -- Lane Init SM Interface GEN_K_FSM : in std_logic; -- Generate K character on byte 0. GEN_SP_DATA : in std_logic_vector(0 to 1); -- Generate SP data character on selected bytes. GEN_SPA_DATA : in std_logic_vector(0 to 1); -- Generate SPA data character on selected bytes. -- MGT Interface TX_CHAR_IS_K : out std_logic_vector(1 downto 0); -- Transmit TX_DATA as a control character. TX_DATA : out std_logic_vector(15 downto 0); -- Data to MGT for transmission to channel partner. -- System Interface USER_CLK : in std_logic -- Clock for all non-MGT Aurora Logic. ); end component; component SYM_DEC port ( -- RX_LL Interface RX_PAD : out std_logic; -- LSByte is PAD. RX_PE_DATA : out std_logic_vector(0 to 15); -- Word aligned data from channel partner. RX_PE_DATA_V : out std_logic; -- Data is valid data and not a control character. RX_SCP : out std_logic; -- SCP symbol received. RX_ECP : out std_logic; -- ECP symbol received. RX_SNF : out std_logic; -- SNF symbol received. RX_FC_NB : out std_logic_vector(0 to 3); -- Flow Control size code. Valid with RX_SNF or RX_SUF. -- Lane Init SM Interface DO_WORD_ALIGN : in std_logic; -- Word alignment is allowed. RX_SP : out std_logic; -- SP sequence received with positive or negative data. RX_SPA : out std_logic; -- SPA sequence received. RX_NEG : out std_logic; -- Intverted data for SP or SPA received. -- Global Logic Interface GOT_A : out std_logic_vector(0 to 1); -- A character received on indicated byte(s). GOT_V : out std_logic; -- V sequence received. -- MGT Interface RX_DATA : in std_logic_vector(15 downto 0); -- Raw RX data from MGT. RX_CHAR_IS_K : in std_logic_vector(1 downto 0); -- Bits indicating which bytes are control characters. RX_CHAR_IS_COMMA : in std_logic_vector(1 downto 0); -- Rx'ed a comma. -- System Interface USER_CLK : in std_logic; -- System clock for all non-MGT Aurora Logic. RESET : in std_logic ); end component; component ERROR_DETECT port ( -- Lane Init SM Interface ENABLE_ERROR_DETECT : in std_logic; HARD_ERROR_RESET : out std_logic; -- Global Logic Interface SOFT_ERROR : out std_logic; HARD_ERROR : out std_logic; -- MGT Interface RX_DISP_ERR : in std_logic_vector(1 downto 0); TX_K_ERR : in std_logic_vector(1 downto 0); RX_NOT_IN_TABLE : in std_logic_vector(1 downto 0); RX_BUF_STATUS : in std_logic; TX_BUF_ERR : in std_logic; RX_REALIGN : in std_logic; -- System Interface USER_CLK : in std_logic ); end component; begin RX_POLARITY <= RX_POLARITY_Buffer; RX_RESET <= RX_RESET_Buffer; TX_CHAR_IS_K <= TX_CHAR_IS_K_Buffer; TX_DATA <= TX_DATA_Buffer; TX_RESET <= TX_RESET_Buffer; RX_PAD <= RX_PAD_Buffer; RX_PE_DATA <= RX_PE_DATA_Buffer; RX_PE_DATA_V <= RX_PE_DATA_V_Buffer; RX_SCP <= RX_SCP_Buffer; RX_ECP <= RX_ECP_Buffer; RX_SNF <= RX_SNF_Buffer; RX_FC_NB <= RX_FC_NB_Buffer; LANE_UP <= LANE_UP_Buffer; SOFT_ERROR <= SOFT_ERROR_Buffer; HARD_ERROR <= HARD_ERROR_Buffer; CHANNEL_BOND_LOAD <= CHANNEL_BOND_LOAD_Buffer; GOT_A <= GOT_A_Buffer; GOT_V <= GOT_V_Buffer; -- Main Body of Code -- -- Lane Initialization state machine lane_init_sm_i : LANE_INIT_SM generic map ( EXTEND_WATCHDOGS => EXTEND_WATCHDOGS ) port map ( -- MGT Interface RX_NOT_IN_TABLE => RX_NOT_IN_TABLE, RX_DISP_ERR => RX_DISP_ERR, RX_CHAR_IS_COMMA => RX_CHAR_IS_COMMA, RX_REALIGN => RX_REALIGN, RX_RESET => RX_RESET_Buffer, TX_RESET => TX_RESET_Buffer, RX_POLARITY => RX_POLARITY_Buffer, -- Comma Detect Phase Alignment Interface ENA_COMMA_ALIGN => ENA_COMMA_ALIGN, -- Symbol Generator Interface GEN_K => gen_k_i, GEN_SP_DATA => gen_sp_data_i, GEN_SPA_DATA => gen_spa_data_i, -- Symbol Decoder Interface RX_SP => rx_sp_i, RX_SPA => rx_spa_i, RX_NEG => rx_neg_i, DO_WORD_ALIGN => do_word_align_i, -- Error Detection Logic Interface HARD_ERROR_RESET => hard_error_reset_i, ENABLE_ERROR_DETECT => enable_error_detect_i, -- Global Logic Interface LANE_UP => LANE_UP_Buffer, -- System Interface USER_CLK => USER_CLK, RESET => RESET ); -- Channel Bonding Count Decode module chbond_count_dec_i : CHBOND_COUNT_DEC port map ( RX_CLK_COR_CNT => RX_CLK_COR_CNT, CHANNEL_BOND_LOAD => CHANNEL_BOND_LOAD_Buffer, USER_CLK => USER_CLK ); -- Symbol Generation module sym_gen_i : SYM_GEN port map ( -- TX_LL Interface GEN_SCP => GEN_SCP, GEN_ECP => GEN_ECP, GEN_SNF => GEN_SNF, GEN_PAD => GEN_PAD, FC_NB => FC_NB, TX_PE_DATA => TX_PE_DATA, TX_PE_DATA_V => TX_PE_DATA_V, GEN_CC => GEN_CC, -- Global Logic Interface GEN_A => GEN_A, GEN_K => GEN_K, GEN_R => GEN_R, GEN_V => GEN_V, -- Lane Init SM Interface GEN_K_FSM => gen_k_i, GEN_SP_DATA => gen_sp_data_i, GEN_SPA_DATA => gen_spa_data_i, -- MGT Interface TX_CHAR_IS_K => TX_CHAR_IS_K_Buffer, TX_DATA => TX_DATA_Buffer, -- System Interface USER_CLK => USER_CLK ); -- Symbol Decode module sym_dec_i : SYM_DEC port map ( -- RX_LL Interface RX_PAD => RX_PAD_Buffer, RX_PE_DATA => RX_PE_DATA_Buffer, RX_PE_DATA_V => RX_PE_DATA_V_Buffer, RX_SCP => RX_SCP_Buffer, RX_ECP => RX_ECP_Buffer, RX_SNF => RX_SNF_Buffer, RX_FC_NB => RX_FC_NB_Buffer, -- Lane Init SM Interface DO_WORD_ALIGN => do_word_align_i, RX_SP => rx_sp_i, RX_SPA => rx_spa_i, RX_NEG => rx_neg_i, -- Global Logic Interface GOT_A => GOT_A_Buffer, GOT_V => GOT_V_Buffer, -- MGT Interface RX_DATA => RX_DATA, RX_CHAR_IS_K => RX_CHAR_IS_K, RX_CHAR_IS_COMMA => RX_CHAR_IS_COMMA, -- System Interface USER_CLK => USER_CLK, RESET => RESET ); -- Error Detection module error_detect_i : ERROR_DETECT port map ( -- Lane Init SM Interface ENABLE_ERROR_DETECT => enable_error_detect_i, HARD_ERROR_RESET => hard_error_reset_i, -- Global Logic Interface SOFT_ERROR => SOFT_ERROR_Buffer, HARD_ERROR => HARD_ERROR_Buffer, -- MGT Interface RX_DISP_ERR => RX_DISP_ERR, TX_K_ERR => TX_K_ERR, RX_NOT_IN_TABLE => RX_NOT_IN_TABLE, RX_BUF_STATUS => RX_BUF_STATUS, TX_BUF_ERR => TX_BUF_ERR, RX_REALIGN => RX_REALIGN, -- System Interface USER_CLK => USER_CLK ); end MAPPED;
bsd-2-clause
aff441e371cb7cbdd69d0102d10d023b
0.467053
4.345954
false
false
false
false
timvideos/HDMI2USB-jahanzeb-firmware
ipcore_dir/image_selector_fifo/simulation/image_selector_fifo_dgen.vhd
3
4,581
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: image_selector_fifo_dgen.vhd -- -- Description: -- Used for write interface stimulus generation -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.all; USE IEEE.std_logic_arith.all; USE IEEE.std_logic_misc.all; LIBRARY work; USE work.image_selector_fifo_pkg.ALL; ENTITY image_selector_fifo_dgen IS GENERIC ( C_DIN_WIDTH : INTEGER := 32; C_DOUT_WIDTH : INTEGER := 32; C_CH_TYPE : INTEGER := 0; TB_SEED : INTEGER := 2 ); PORT ( RESET : IN STD_LOGIC; WR_CLK : IN STD_LOGIC; PRC_WR_EN : IN STD_LOGIC; FULL : IN STD_LOGIC; WR_EN : OUT STD_LOGIC; WR_DATA : OUT STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0) ); END ENTITY; ARCHITECTURE fg_dg_arch OF image_selector_fifo_dgen IS CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH); CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH,8); SIGNAL pr_w_en : STD_LOGIC := '0'; SIGNAL rand_num : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 DOWNTO 0); SIGNAL wr_data_i : STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); BEGIN WR_EN <= PRC_WR_EN ; WR_DATA <= wr_data_i AFTER 100 ns; ---------------------------------------------- -- Generation of DATA ---------------------------------------------- gen_stim:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE rd_gen_inst1:image_selector_fifo_rng GENERIC MAP( WIDTH => 8, SEED => TB_SEED+N ) PORT MAP( CLK => WR_CLK, RESET => RESET, RANDOM_NUM => rand_num(8*(N+1)-1 downto 8*N), ENABLE => pr_w_en ); END GENERATE; pr_w_en <= PRC_WR_EN AND NOT FULL; wr_data_i <= rand_num(C_DIN_WIDTH-1 DOWNTO 0); END ARCHITECTURE;
bsd-2-clause
67608da96880abf42b90d7e5c89791ee
0.603362
4.237743
false
false
false
false
Andy46/OV7670-VHDL
OV7670/src/mod_VGA/VGA2.vhd
1
5,875
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 20:24:58 11/12/2013 -- Design Name: -- Module Name: VGA - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; --use IEEE.STD_LOGIC_ARITH.ALL; --use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity VGA is Port ( clk100MHz, reset : std_logic; colRed, colGreen, colBlue : in std_logic_vector(3 downto 0); mode : in std_logic_vector(1 downto 0); Hsync, Vsync : out std_logic; vgaRed, vgaGreen, vgaBlue : out std_logic_vector(3 downto 0)); end VGA; architecture Behavioral of VGA is --Divisor de frecuencia --Constantes --Componentes component Divisor50MHz is Port ( clk_in, reset : in std_logic; clk_out : out std_logic); end component; --Señales signal clk50MHz : std_logic; --Contadores vertical y horizontal --Constantes constant UNO : std_logic_vector(15 downto 0) := "0000000000000001"; --Componentes component FullAdder16bits is port ( clk, reset : std_logic; a, b : in std_logic_vector(15 downto 0); cin : in std_logic; sum : out std_logic_vector(15 downto 0); cout : out std_logic); end component; --Señales signal Vcount, Vcount_next : std_logic_vector(15 downto 0); signal Hcount, Hcount_next : std_logic_vector(15 downto 0); signal auxRed, auxGreen, auxBlue : std_logic_vector(3 downto 0); begin --Asignar colores process(clk100MHz, reset, Vcount, Hcount, auxRed, auxGreen, auxBlue) begin if (Vcount >= std_logic_vector(to_unsigned(0, 16)) and Vcount <= std_logic_vector(to_unsigned(799, 16)) and Hcount >= std_logic_vector(to_unsigned(0, 16)) and Hcount <= std_logic_vector(to_unsigned(799, 16))) then vgaRed <= auxRed; --colRed; vgaGreen <= auxGreen; --colGreen; vgaBlue <= auxBlue; --colBlue; else vgaRed <= (others => '0'); vgaGreen <= (others => '0'); vgaBlue <= (others => '0'); end if; if reset <= '0' then auxRed <= (others => '1'); auxGreen <= (others => '1'); auxBlue <= (others => '1'); elsif clk100MHz'event and clk100MHz = '1' then case mode is when "00" => auxRed <= colRed; auxGreen <= colGreen; auxBlue <= colBlue; when "01" => auxRed <= Hcount(7 downto 4); auxGreen <= (others => '0'); auxBlue <= Vcount(7 downto 4); when "10" => auxRed <= Hcount(7 downto 4); auxGreen <= Vcount(7 downto 4); auxBlue <= (others => '0'); when "11" => if hcount < std_logic_vector(to_unsigned(100, 16)) then auxRed <= (others => '0'); auxGreen <= (others => '0'); auxBlue <= (others => '0'); elsif hcount < std_logic_vector(to_unsigned(200, 16)) then auxRed <= (others => '0'); auxGreen <= (others => '0'); auxBlue <= (others => '1'); elsif hcount < std_logic_vector(to_unsigned(300, 16)) then auxRed <= (others => '1'); auxGreen <= (others => '0'); auxBlue <= (others => '0'); elsif hcount < std_logic_vector(to_unsigned(400, 16)) then auxRed <= (others => '1'); auxGreen <= (others => '0'); auxBlue <= (others => '1'); elsif hcount < std_logic_vector(to_unsigned(500, 16)) then auxRed <= (others => '0'); auxGreen <= (others => '1'); auxBlue <= (others => '0'); elsif hcount < std_logic_vector(to_unsigned(600, 16)) then auxRed <= (others => '0'); auxGreen <= (others => '1'); auxBlue <= (others => '1'); elsif hcount < std_logic_vector(to_unsigned(700, 16)) then auxRed <= (others => '1'); auxGreen <= (others => '1'); auxBlue <= (others => '0'); else auxRed <= (others => '1'); auxGreen <= (others => '1'); auxBlue <= (others => '1'); end if; when others => null; end case; end if; end process; Div_VGA: Divisor50MHz port map(clk_in => clk100MHz, reset => reset, clk_out => clk50MHz); --Process VSYNC FA_Vsync: FullAdder16bits port map(clk => clk100MHz, reset => reset, a => Vcount, b => std_logic_vector(to_unsigned(1, 16)), cin => '0', sum => Vcount_next); process(clk50MHz, reset, Hcount, Vcount) begin if reset = '0' then Vcount <= (others => '0'); VSync <= '0'; elsif clk50MHz'event and clk50MHz = '1' then if Hcount = std_logic_vector(to_unsigned(1040, 16)) then --800 | 800 if Vcount = std_logic_vector(to_unsigned(665, 16)) then --525 | 448 Vcount <= (others => '0'); else Vcount <= Vcount_next; end if; if Vcount >= std_logic_vector(to_unsigned(636, 16)) and Vcount < std_logic_vector(to_unsigned(642, 16)) then --490,492 | 386,388 Vsync <= '1'; else Vsync <= '0'; end if; end if; end if; end process; --Process HSYNC FA_Hsync: FullAdder16bits port map(clk => clk100MHz, reset => reset, a => Hcount, b => UNO, cin => '0', sum => Hcount_next); process(clk50MHz, reset) begin if reset = '0' then Hcount <= (others => '0'); Hsync <= '0'; elsif clk50MHz'event and clk50MHz = '1' then if Hcount = std_logic_vector(to_unsigned(1040, 16)) then --800 | 800 Hcount <= (others => '0'); else Hcount <= Hcount_next; end if; if Hcount >= std_logic_vector(to_unsigned(855, 16)) and Hcount < std_logic_vector(to_unsigned(975, 16)) then --656,752 | 656,752 Hsync <= '1'; else Hsync <= '0'; end if; end if; end process; end Behavioral;
mit
578eb45764f8798d94f6a02fe6e184f1
0.594894
3.07914
false
false
false
false
ymei/TMSPlane
Firmware/src/pulse2pulse.vhd
1
5,911
-------------------------------------------------------------------------------- --! @file pulse2pulse.vhd --! @brief Drive a pulse from one clock domain to another. --! --! Regardless of the duration of pulsein, the pulseout will be one out_clk --! cycle wide and synchronized to out_clk. pulsein has to be synchronized to --! in_clk already. -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all ; use ieee.std_logic_arith.all ; use ieee.std_logic_unsigned.all ; use ieee.std_logic_misc.all ; --! A module that drive a pulse from one clock domain to another. --! Regardless of the duration of pulsein, the pulseout will be one out_clk --! cycle wide and synchronized to out_clk. pulsein has to be synchronized to --! in_clk already. entity pulse2pulse is port ( in_clk :in std_logic; --! input clock out_clk :in std_logic; --! output clock rst :in std_logic; --! reset pulsein :in std_logic; --! input pulse which is synchronized to in_clk inbusy :out std_logic; --! notify input side that output is not ready yet pulseout :out std_logic --! one out_clk wide output pulse, synchronized to out_clk ); end pulse2pulse; architecture syn of pulse2pulse is ----------------------------------------------------------------------------------- --constant declarations ----------------------------------------------------------------------------------- ----------------------------------------------------------------------------------- --constant declarations ----------------------------------------------------------------------------------- ----------------------------------------------------------------------------------- --signal declarations ----------------------------------------------------------------------------------- ATTRIBUTE async_reg : string; SIGNAL out_set : std_logic; ATTRIBUTE async_reg OF out_set : SIGNAL IS "true"; SIGNAL out_set_prev : std_logic; ATTRIBUTE async_reg OF out_set_prev : SIGNAL IS "true"; SIGNAL out_set_prev2 : std_logic; ATTRIBUTE async_reg OF out_set_prev2 : SIGNAL IS "true"; SIGNAL in_set : std_logic; ATTRIBUTE async_reg OF in_set : SIGNAL IS "true"; SIGNAL outreset : std_logic; ATTRIBUTE async_reg OF outreset : SIGNAL IS "true"; SIGNAL in_reset : std_logic; ATTRIBUTE async_reg OF in_reset : SIGNAL IS "true"; SIGNAL in_reset_prev : std_logic; ATTRIBUTE async_reg OF in_reset_prev : SIGNAL IS "true"; SIGNAL in_reset_prev2 : std_logic; ATTRIBUTE async_reg OF in_reset_prev2 : SIGNAL IS "true"; ----------------------------------------------------------------------------------- --component declarations ----------------------------------------------------------------------------------- --********************************************************************************* begin --********************************************************************************* ----------------------------------------------------------------------------------- --component instantiations ----------------------------------------------------------------------------------- ----------------------------------------------------------------------------------- --synchronous processes ----------------------------------------------------------------------------------- in_proc:process(in_clk,rst) begin if(rst = '1') then in_reset <= '0'; in_reset_prev <= '0'; in_reset_prev2<= '0'; in_set <= '0'; elsif(in_clk'event and in_clk = '1') then --regitser a pulse on the pulse in port --reset the signal when the ouput has registerred the pulse if (in_reset_prev = '1' and in_reset_prev2 = '1') then in_set <= '0'; elsif (pulsein = '1') then in_set <= '1'; end if; --register the reset signal from the other clock domain --three times. double stage synchronising circuit --reduces the MTB in_reset <= outreset; in_reset_prev <= in_reset; in_reset_prev2 <= in_reset_prev; end if; end process in_proc; out_proc:process(out_clk,rst) begin if(rst = '1') then out_set <= '0'; out_set_prev <= '0'; out_set_prev2 <= '0'; outreset <= '0'; pulseout <= '0'; elsif(out_clk'event and out_clk = '1') then --generate a pulse on the output when the --set signal has travelled through the synchronising fip flops if (out_set_prev = '1' and out_set_prev2 = '0') then pulseout <= '1'; else pulseout <= '0'; end if; --feedback the corret reception of the set signal to reset the set pulse if (out_set_prev = '1' and out_set_prev2 = '1') then outreset <= '1'; elsif (out_set_prev = '0' and out_set_prev2 = '0') then outreset <= '0'; end if; --register the reset signal from the other clock domain --three times. double stage synchronising circuit --reduces the MTB out_set <= in_set; out_set_prev <= out_set; out_set_prev2 <= out_set_prev; end if; end process out_proc; ----------------------------------------------------------------------------------- --asynchronous processes ----------------------------------------------------------------------------------- ----------------------------------------------------------------------------------- --asynchronous mapping ----------------------------------------------------------------------------------- inbusy <= in_set or in_reset_prev; ------------------- ------------------- end syn;
bsd-3-clause
dd04e13a093928d16c87a96f14491071
0.423448
4.967227
false
false
false
false
Andy46/OV7670-VHDL
OV7670/src/mod_VGA/contador10bits.vhd
1
1,951
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 14:49:51 01/08/2014 -- Design Name: -- Module Name: contador10bits - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity contador10bits is Port ( A : in STD_LOGIC_VECTOR (9 downto 0); A_next : out STD_LOGIC_VECTOR (9 downto 0)); end contador10bits; architecture Behavioral of contador10bits is begin process(A) begin A_next(0) <= not A(0); if A(0) = '1' then A_next(1) <= not A(1); else A_next(1) <= A(1); end if; if A(1 downto 0) = "11" then A_next(2) <= not A(2); else A_next(2) <= A(2); end if; if A(2 downto 0) = "111" then A_next(3) <= not A(3); else A_next(3) <= A(3); end if; if A(3 downto 0) = "1111" then A_next(4) <= not A(4); else A_next(4) <= A(4); end if; if A(4 downto 0) = "11111" then A_next(5) <= not A(5); else A_next(5) <= A(5); end if; if A(5 downto 0) = "111111" then A_next(6) <= not A(6); else A_next(6) <= A(6); end if; if A(6 downto 0) = "1111111" then A_next(7) <= not A(7); else A_next(7) <= A(7); end if; if A(7 downto 0) = "11111111" then A_next(8) <= not A(8); else A_next(8) <= A(8); end if; if A(8 downto 0) = "111111111" then A_next(9) <= not A(9); else A_next(9) <= A(9); end if; end process; end Behavioral;
mit
def3a7c710720cf398f3942316a1cb0d
0.547412
2.728671
false
false
false
false
timvideos/HDMI2USB-jahanzeb-firmware
ipcore_dir/clkGen/simulation/timing/clkGen_tb.vhd
3
6,295
-- file: clkGen_tb.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- Clocking wizard demonstration testbench ------------------------------------------------------------------------------ -- This demonstration testbench instantiates the example design for the -- clocking wizard. Input clocks are toggled, which cause the clocking -- network to lock and the counters to increment. ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; use ieee.std_logic_textio.all; library std; use std.textio.all; library work; use work.all; entity clkGen_tb is end clkGen_tb; architecture test of clkGen_tb is -- Clock to Q delay of 100 ps constant TCQ : time := 100 ps; -- timescale is 1ps constant ONE_NS : time := 1 ns; -- how many cycles to run constant COUNT_PHASE : integer := 1024 + 1; -- we'll be using the period in many locations constant PER1 : time := 10.000 ns; -- Declare the input clock signals signal CLK_IN1 : std_logic := '1'; -- The high bits of the sampling counters signal COUNT : std_logic_vector(3 downto 1); signal COUNTER_RESET : std_logic := '0'; signal timeout_counter : std_logic_vector (13 downto 0) := (others => '0'); -- signal defined to stop mti simulation without severity failure in the report signal end_of_sim : std_logic := '0'; signal CLK_OUT : std_logic_vector(3 downto 1); --Freq Check using the M & D values setting and actual Frequency generated component clkGen_exdes port (-- Clock in ports CLK_IN1 : in std_logic; -- Reset that only drives logic in example design COUNTER_RESET : in std_logic; CLK_OUT : out std_logic_vector(3 downto 1) ; -- High bits of counters driven by clocks COUNT : out std_logic_vector(3 downto 1) ); end component; begin -- Input clock generation -------------------------------------- process begin CLK_IN1 <= not CLK_IN1; wait for (PER1/2); end process; -- Test sequence process procedure simtimeprint is variable outline : line; begin write(outline, string'("## SYSTEM_CYCLE_COUNTER ")); write(outline, NOW/PER1); write(outline, string'(" ns")); writeline(output,outline); end simtimeprint; procedure simfreqprint (period : time; clk_num : integer) is variable outputline : LINE; variable str1 : string(1 to 16); variable str2 : integer; variable str3 : string(1 to 2); variable str4 : integer; variable str5 : string(1 to 4); begin str1 := "Freq of CLK_OUT("; str2 := clk_num; str3 := ") "; str4 := 1000000 ps/period ; str5 := " MHz" ; write(outputline, str1 ); write(outputline, str2); write(outputline, str3); write(outputline, str4); write(outputline, str5); writeline(output, outputline); end simfreqprint; begin report "Timing checks are not valid" severity note; -- can't probe into hierarchy, wait "some time" for lock wait for (PER1*2500); wait for (PER1*20); COUNTER_RESET <= '1'; wait for (PER1*19.5); COUNTER_RESET <= '0'; wait for (PER1*1); report "Timing checks are valid" severity note; wait for (PER1*COUNT_PHASE); simtimeprint; end_of_sim <= '1'; wait for 1 ps; report "Simulation Stopped." severity failure; wait; end process; -- Instantiation of the example design containing the clock -- network and sampling counters ----------------------------------------------------------- dut : clkGen_exdes port map (-- Clock in ports CLK_IN1 => CLK_IN1, -- Reset for logic in example design COUNTER_RESET => COUNTER_RESET, CLK_OUT => CLK_OUT, -- High bits of the counters COUNT => COUNT); -- Freq Check end test;
bsd-2-clause
1508e1beb1330996a316b0a21bb14ed5
0.644003
4.247638
false
false
false
false
shailcoolboy/Warp-Trinity
edk_user_repository/WARP/pcores/linkport_v1_00_a/hdl/vhdl/rx_ll_ufc_datapath.vhd
4
5,762
-- -- Project: Aurora Module Generator version 2.4 -- -- Date: $Date: 2005/11/07 21:30:54 $ -- Tag: $Name: i+IP+98818 $ -- File: $RCSfile: rx_ll_ufc_datapath_vhd.ejava,v $ -- Rev: $Revision: 1.1.2.4 $ -- -- Company: Xilinx -- Contributors: R. K. Awalt, B. L. Woodard, N. Gulstone -- -- Disclaimer: XILINX IS PROVIDING THIS DESIGN, CODE, OR -- INFORMATION "AS IS" SOLELY FOR USE IN DEVELOPING -- PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS -- ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, -- APPLICATION OR STANDARD, XILINX IS MAKING NO -- REPRESENTATION THAT THIS IMPLEMENTATION IS FREE -- FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE -- RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY -- REQUIRE FOR YOUR IMPLEMENTATION. XILINX -- EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH -- RESPECT TO THE ADEQUACY OF THE IMPLEMENTATION, -- INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE -- FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES -- OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE. -- -- (c) Copyright 2004 Xilinx, Inc. -- All rights reserved. -- -- -- RX_LL_UFC_DATAPATH -- -- Author: Nigel Gulstone -- Xilinx - Embedded Networking System Engineering Group -- -- VHDL Translation: B. Woodard, N. Gulstone -- -- Description: the RX_LL_UFC_DATAPATH module takes UFC data in Aurora format -- and transforms it to LocalLink formatted data -- -- This module supports 1 2-byte lane designs -- library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_ARITH.all; use IEEE.STD_LOGIC_UNSIGNED.all; entity RX_LL_UFC_DATAPATH is port ( --Traffic Separator Interface UFC_DATA : in std_logic_vector(0 to 15); UFC_DATA_V : in std_logic; UFC_MESSAGE_START : in std_logic; UFC_START : in std_logic; --LocalLink UFC Interface UFC_RX_DATA : out std_logic_vector(0 to 15); UFC_RX_REM : out std_logic; UFC_RX_SRC_RDY_N : out std_logic; UFC_RX_SOF_N : out std_logic; UFC_RX_EOF_N : out std_logic; --System Interface USER_CLK : in std_logic; RESET : in std_logic ); end RX_LL_UFC_DATAPATH; architecture RTL of RX_LL_UFC_DATAPATH is -- Parameter Declarations -- constant DLY : time := 1 ns; -- External Register Declarations -- signal UFC_RX_DATA_Buffer : std_logic_vector(0 to 15); signal UFC_RX_REM_Buffer : std_logic; signal UFC_RX_SRC_RDY_N_Buffer : std_logic; signal UFC_RX_SOF_N_Buffer : std_logic; signal UFC_RX_EOF_N_Buffer : std_logic; -- Internal Register Declarations -- signal ufc_storage_data_r : std_logic_vector(0 to 15); signal ufc_storage_v_r : std_logic; signal ufc_start_r : std_logic; signal ufc_start_delayed_r : std_logic; begin UFC_RX_DATA <= UFC_RX_DATA_Buffer; UFC_RX_REM <= UFC_RX_REM_Buffer; UFC_RX_SRC_RDY_N <= UFC_RX_SRC_RDY_N_Buffer; UFC_RX_SOF_N <= UFC_RX_SOF_N_Buffer; UFC_RX_EOF_N <= UFC_RX_EOF_N_Buffer; -- Main Body of Code -- -- All input goes into a storage register before it is sent on to the output. process (USER_CLK) begin if (USER_CLK 'event and USER_CLK = '1') then ufc_storage_data_r <= UFC_DATA after DLY; end if; end process; -- Keep track of whether or not there is data in storage. process (USER_CLK) begin if (USER_CLK 'event and USER_CLK = '1') then if (RESET = '1') then ufc_storage_v_r <= '0' after DLY; else ufc_storage_v_r <= UFC_DATA_V after DLY; end if; end if; end process; -- Output data is registered. process (USER_CLK) begin if (USER_CLK 'event and USER_CLK = '1') then UFC_RX_DATA_Buffer <= ufc_storage_data_r after DLY; end if; end process; -- Assert the UFC_RX_SRC_RDY_N signal when there is data in storage. process (USER_CLK) begin if (USER_CLK 'event and USER_CLK = '1') then if (RESET = '1') then UFC_RX_SRC_RDY_N_Buffer <= '1' after DLY; else UFC_RX_SRC_RDY_N_Buffer <= not ufc_storage_v_r after DLY; end if; end if; end process; -- Hold start of frame until it can be asserted with data. process (USER_CLK) begin if (USER_CLK 'event and USER_CLK = '1') then ufc_start_r <= UFC_START after DLY; ufc_start_delayed_r <= ufc_start_r after DLY; end if; end process; -- Register the start of frame signal for use with the LocalLink output. process (USER_CLK) begin if (USER_CLK 'event and USER_CLK = '1') then UFC_RX_SOF_N_Buffer <= not ufc_start_delayed_r after DLY; end if; end process; -- Assert EOF when the storage goes from full to empty. process (USER_CLK) begin if (USER_CLK 'event and USER_CLK = '1') then UFC_RX_EOF_N_Buffer <= not (not UFC_DATA_V and ufc_storage_v_r) after DLY; end if; end process; -- REM is always high in the single lane case. UFC_RX_REM_Buffer <= '1'; end RTL;
bsd-2-clause
f33cd8d5686964a17fd7efd9c1fb1bdb
0.565949
3.660737
false
false
false
false
Andy46/OV7670-VHDL
OV7670/src/mod_7SEG/mod_7segments.vhd
1
3,355
---------------------------------------------------------------------------------- -- Company: * -- Engineer: Andres Gamboa -- -- Create Date: 08:52:16 10/15/2013 -- Design Name: -- Module Name: four7seg - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity mod_7segments is port ( clk_8KHz, reset : in std_logic; -- Entrada clk 8 KHZ, reset dig0, dig1, dig2, dig3, dig4, dig5, dig6, dig7 : in std_logic_vector(3 downto 0); -- Entrada digitos binario g : in std_logic_vector(7 downto 0); -- Entrada habilitar 7 segmentos an : out std_logic_vector(7 downto 0); -- Salida seleccion 7 segmentos segments : out std_logic_vector(6 downto 0) -- Salida 7 segmentos ); end mod_7segments; architecture Behavioral of mod_7segments is component mod_bcd port ( bcd : in std_logic_vector(3 downto 0); g : in std_logic; segment7 : out std_logic_vector(6 downto 0)); end component; signal seg0, seg1, seg2, seg3, seg4, seg5, seg6, seg7 : std_logic_vector(6 downto 0); signal estado, estado_sig : std_logic_vector(2 downto 0); begin bcd0: mod_bcd port map( bcd => dig0, g => g(0), segment7 => seg0); bcd1: mod_bcd port map( bcd => dig1, g => g(1), segment7 => seg1); bcd2: mod_bcd port map( bcd => dig2, g => g(2), segment7 => seg2); bcd3: mod_bcd port map( bcd => dig3, g => g(3), segment7 => seg3); bcd4: mod_bcd port map( bcd => dig4, g => g(4), segment7 => seg4); bcd5: mod_bcd port map( bcd => dig5, g => g(5), segment7 => seg5); bcd6: mod_bcd port map( bcd => dig6, g => g(6), segment7 => seg6); bcd7: mod_bcd port map( bcd => dig7, g => g(7), segment7 => seg7); process (clk, reset, seg1, seg2, seg3, seg4) begin if reset='0' then segments <= "0111111"; an <= "00000000"; estado <= "000"; estado_sig <= "000"; elsif clk'event and clk = '1' then estado <= estado_sig; case estado is when "000" => estado_sig <= "001"; an <= "11111110"; segments <= seg0; when "001" => estado_sig <= "010"; an <= "11111101"; segments <= seg1; when "010" => estado_sig <= "011"; an <= "11111011"; segments <= seg2; when "011" => estado_sig <= "100"; an <= "11110111"; segments <= seg3; when "100" => estado_sig <= "101"; an <= "11101111"; segments <= seg4; when "101" => estado_sig <= "110"; an <= "11011111"; segments <= seg5; when "110" => estado_sig <= "111"; an <= "10111111"; segments <= seg6; when "111" => estado_sig <= "000"; an <= "01111111"; segments <= seg7; when others => estado_sig <= "000"; an <= "00000000"; segments <= "0111111"; end case; end if; end process; end Behavioral;
mit
1172145a031ccec1aaa83397ac2b6ad9
0.561252
3.174078
false
false
false
false
ymei/TMSPlane
Firmware/test_bench/i2c/i2c_master_core_tb.vhd
1
4,841
------------------------------------------------------------------------------- -- Title : I2C master core module testbench -- Project : HFT PXL ------------------------------------------------------------------------------- -- File : i2c_master_core_tb.vhd -- Author : J. Schambach -- Company : University of Texas at Austin -- Created : 2013-11-08 -- Last update: 2013-12-02 -- Platform : Windows, Xilinx ISE / PlanAhead 14.5 -- Target : Virtex-6 (XC6VLX240T-FF1759) -- Standard : VHDL'93/02 ------------------------------------------------------------------------------- -- Description: I2C master testbench ------------------------------------------------------------------------------- -- Copyright (c) 2013 ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2013-11-08 1.0 jschamba Created ------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY i2c_master_core_tb IS END i2c_master_core_tb; ARCHITECTURE behavior OF i2c_master_core_tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT i2c_master_core GENERIC( INPUT_CLK_FREQENCY : integer := 100_000_000; -- input clock speed from user logic -- BUS CLK freqency should be divided by multiples of 4 from input frequency BUS_CLK_FREQUENCY : integer := 100_000 -- speed the i2c bus (SCL) will run at ); PORT ( CLK : IN std_logic; RESET : IN std_logic; ENA : IN std_logic; ADDR : IN std_logic_vector(6 DOWNTO 0); RW : IN std_logic; DATA_WR : IN std_logic_vector(7 DOWNTO 0); BUSY : OUT std_logic; DATA_RD : OUT std_logic_vector(7 DOWNTO 0); ACK_ERROR : OUT std_logic; SDA_in : IN std_logic; SDA_out : OUT std_logic; SDA_T : OUT std_logic; SCL : OUT std_logic ); END COMPONENT; --Inputs SIGNAL CLK : std_logic := '0'; SIGNAL RESET : std_logic := '0'; SIGNAL ENA : std_logic := '0'; SIGNAL ADDR : std_logic_vector(6 DOWNTO 0) := (OTHERS => '0'); SIGNAL RW : std_logic := '0'; SIGNAL DATA_WR : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL SDA_in : std_logic := '0'; --Outputs SIGNAL BUSY : std_logic; SIGNAL DATA_RD : std_logic_vector(7 DOWNTO 0); SIGNAL ACK_ERROR : std_logic; SIGNAL SDA_out : std_logic; SIGNAL SDA_T : std_logic; SIGNAL SCL : std_logic; -- Clock period definitions CONSTANT CLK_period : time := 20 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut : i2c_master_core GENERIC MAP ( INPUT_CLK_FREQENCY => 50_000_000, BUS_CLK_FREQUENCY => 12_500_000 ) PORT MAP ( CLK => CLK, RESET => RESET, ENA => ENA, ADDR => ADDR, RW => RW, DATA_WR => DATA_WR, BUSY => BUSY, DATA_RD => DATA_RD, ACK_ERROR => ACK_ERROR, SDA_in => SDA_in, SDA_out => SDA_out, SDA_T => SDA_T, SCL => SCL ); -- Clock process definitions CLK_process : PROCESS BEGIN CLK <= '0'; WAIT FOR CLK_period/2; CLK <= '1'; WAIT FOR CLK_period/2; END PROCESS; -- Stimulus process stim_proc : PROCESS BEGIN -- initial values: ADDR <= "1010101"; RW <= '0'; -- write DATA_WR <= "10011001"; SDA_in <= '0'; ENA <= '0'; -- hold reset state for 100 ns. RESET <= '1'; WAIT FOR 100 ns; RESET <= '0'; WAIT UNTIL (rising_edge(CLK)); WAIT FOR CLK_period*10; -- insert stimulus here -- first a write transaction: -- Command = 1010101 -- Data = 10011001 ENA <= '1'; WAIT UNTIL (rising_edge(BUSY)); WAIT UNTIL (rising_edge(CLK)); WAIT FOR CLK_period; -- next a "read" RW <= '1'; WAIT UNTIL (rising_edge(BUSY)); WAIT UNTIL (rising_edge(SDA_T)); -- I2C read: 1101_0110 (0xd6) WAIT FOR CLK_period*4; SDA_in <= '1'; WAIT FOR CLK_period*4; SDA_in <= '1'; WAIT FOR CLK_period*4; SDA_in <= '0'; WAIT FOR CLK_period*4; SDA_in <= '1'; WAIT FOR CLK_period*4; SDA_in <= '0'; WAIT FOR CLK_period*4; SDA_in <= '1'; WAIT FOR CLK_period*4; SDA_in <= '1'; WAIT FOR CLK_period*4; SDA_in <= '0'; WAIT FOR CLK_period*4; SDA_in <= '0'; -- another read WAIT UNTIL (rising_edge(BUSY)); WAIT UNTIL (rising_edge(CLK)); WAIT FOR CLK_period; -- finish after this transaction ENA <= '0'; WAIT; END PROCESS; END;
bsd-3-clause
fc2751e965554233a51682599a440ce2
0.485437
3.672989
false
false
false
false
timvideos/HDMI2USB-jahanzeb-firmware
ipcore_dir/bytefifo.vhd
3
10,632
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used solely -- -- for design, simulation, implementation and creation of design files -- -- limited to Xilinx devices or technologies. Use with non-Xilinx -- -- devices or technologies is expressly prohibited and immediately -- -- terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- -- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- -- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- -- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- -- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- -- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- -- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support appliances, -- -- devices, or systems. Use in such applications are expressly -- -- prohibited. -- -- -- -- (c) Copyright 1995-2013 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- You must compile the wrapper file bytefifo.vhd when simulating -- the core, bytefifo. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off LIBRARY XilinxCoreLib; -- synthesis translate_on ENTITY bytefifo IS PORT ( rst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; rd_clk : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(7 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); full : OUT STD_LOGIC; almost_full : OUT STD_LOGIC; overflow : OUT STD_LOGIC; empty : OUT STD_LOGIC; almost_empty : OUT STD_LOGIC; underflow : OUT STD_LOGIC; prog_full : OUT STD_LOGIC ); END bytefifo; ARCHITECTURE bytefifo_a OF bytefifo IS -- synthesis translate_off COMPONENT wrapped_bytefifo PORT ( rst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; rd_clk : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(7 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); full : OUT STD_LOGIC; almost_full : OUT STD_LOGIC; overflow : OUT STD_LOGIC; empty : OUT STD_LOGIC; almost_empty : OUT STD_LOGIC; underflow : OUT STD_LOGIC; prog_full : OUT STD_LOGIC ); END COMPONENT; -- Configuration specification FOR ALL : wrapped_bytefifo USE ENTITY XilinxCoreLib.fifo_generator_v9_2(behavioral) GENERIC MAP ( c_add_ngc_constraint => 0, c_application_type_axis => 0, c_application_type_rach => 0, c_application_type_rdch => 0, c_application_type_wach => 0, c_application_type_wdch => 0, c_application_type_wrch => 0, c_axi_addr_width => 32, c_axi_aruser_width => 1, c_axi_awuser_width => 1, c_axi_buser_width => 1, c_axi_data_width => 64, c_axi_id_width => 4, c_axi_ruser_width => 1, c_axi_type => 0, c_axi_wuser_width => 1, c_axis_tdata_width => 64, c_axis_tdest_width => 4, c_axis_tid_width => 8, c_axis_tkeep_width => 4, c_axis_tstrb_width => 4, c_axis_tuser_width => 4, c_axis_type => 0, c_common_clock => 0, c_count_type => 0, c_data_count_width => 10, c_default_value => "BlankString", c_din_width => 8, c_din_width_axis => 1, c_din_width_rach => 32, c_din_width_rdch => 64, c_din_width_wach => 32, c_din_width_wdch => 64, c_din_width_wrch => 2, c_dout_rst_val => "0", c_dout_width => 8, c_enable_rlocs => 0, c_enable_rst_sync => 1, c_error_injection_type => 0, c_error_injection_type_axis => 0, c_error_injection_type_rach => 0, c_error_injection_type_rdch => 0, c_error_injection_type_wach => 0, c_error_injection_type_wdch => 0, c_error_injection_type_wrch => 0, c_family => "spartan6", c_full_flags_rst_val => 0, c_has_almost_empty => 1, c_has_almost_full => 1, c_has_axi_aruser => 0, c_has_axi_awuser => 0, c_has_axi_buser => 0, c_has_axi_rd_channel => 0, c_has_axi_ruser => 0, c_has_axi_wr_channel => 0, c_has_axi_wuser => 0, c_has_axis_tdata => 0, c_has_axis_tdest => 0, c_has_axis_tid => 0, c_has_axis_tkeep => 0, c_has_axis_tlast => 0, c_has_axis_tready => 1, c_has_axis_tstrb => 0, c_has_axis_tuser => 0, c_has_backup => 0, c_has_data_count => 0, c_has_data_counts_axis => 0, c_has_data_counts_rach => 0, c_has_data_counts_rdch => 0, c_has_data_counts_wach => 0, c_has_data_counts_wdch => 0, c_has_data_counts_wrch => 0, c_has_int_clk => 0, c_has_master_ce => 0, c_has_meminit_file => 0, c_has_overflow => 1, c_has_prog_flags_axis => 0, c_has_prog_flags_rach => 0, c_has_prog_flags_rdch => 0, c_has_prog_flags_wach => 0, c_has_prog_flags_wdch => 0, c_has_prog_flags_wrch => 0, c_has_rd_data_count => 0, c_has_rd_rst => 0, c_has_rst => 1, c_has_slave_ce => 0, c_has_srst => 0, c_has_underflow => 1, c_has_valid => 0, c_has_wr_ack => 0, c_has_wr_data_count => 0, c_has_wr_rst => 0, c_implementation_type => 2, c_implementation_type_axis => 1, c_implementation_type_rach => 1, c_implementation_type_rdch => 1, c_implementation_type_wach => 1, c_implementation_type_wdch => 1, c_implementation_type_wrch => 1, c_init_wr_pntr_val => 0, c_interface_type => 0, c_memory_type => 1, c_mif_file_name => "BlankString", c_msgon_val => 1, c_optimization_mode => 0, c_overflow_low => 0, c_preload_latency => 0, c_preload_regs => 1, c_prim_fifo_type => "1kx18", c_prog_empty_thresh_assert_val => 4, c_prog_empty_thresh_assert_val_axis => 1022, c_prog_empty_thresh_assert_val_rach => 1022, c_prog_empty_thresh_assert_val_rdch => 1022, c_prog_empty_thresh_assert_val_wach => 1022, c_prog_empty_thresh_assert_val_wdch => 1022, c_prog_empty_thresh_assert_val_wrch => 1022, c_prog_empty_thresh_negate_val => 5, c_prog_empty_type => 0, c_prog_empty_type_axis => 0, c_prog_empty_type_rach => 0, c_prog_empty_type_rdch => 0, c_prog_empty_type_wach => 0, c_prog_empty_type_wdch => 0, c_prog_empty_type_wrch => 0, c_prog_full_thresh_assert_val => 1012, c_prog_full_thresh_assert_val_axis => 1023, c_prog_full_thresh_assert_val_rach => 1023, c_prog_full_thresh_assert_val_rdch => 1023, c_prog_full_thresh_assert_val_wach => 1023, c_prog_full_thresh_assert_val_wdch => 1023, c_prog_full_thresh_assert_val_wrch => 1023, c_prog_full_thresh_negate_val => 1011, c_prog_full_type => 1, c_prog_full_type_axis => 0, c_prog_full_type_rach => 0, c_prog_full_type_rdch => 0, c_prog_full_type_wach => 0, c_prog_full_type_wdch => 0, c_prog_full_type_wrch => 0, c_rach_type => 0, c_rd_data_count_width => 10, c_rd_depth => 1024, c_rd_freq => 1, c_rd_pntr_width => 10, c_rdch_type => 0, c_reg_slice_mode_axis => 0, c_reg_slice_mode_rach => 0, c_reg_slice_mode_rdch => 0, c_reg_slice_mode_wach => 0, c_reg_slice_mode_wdch => 0, c_reg_slice_mode_wrch => 0, c_synchronizer_stage => 2, c_underflow_low => 0, c_use_common_overflow => 0, c_use_common_underflow => 0, c_use_default_settings => 0, c_use_dout_rst => 1, c_use_ecc => 0, c_use_ecc_axis => 0, c_use_ecc_rach => 0, c_use_ecc_rdch => 0, c_use_ecc_wach => 0, c_use_ecc_wdch => 0, c_use_ecc_wrch => 0, c_use_embedded_reg => 0, c_use_fifo16_flags => 0, c_use_fwft_data_count => 0, c_valid_low => 0, c_wach_type => 0, c_wdch_type => 0, c_wr_ack_low => 0, c_wr_data_count_width => 10, c_wr_depth => 1024, c_wr_depth_axis => 1024, c_wr_depth_rach => 16, c_wr_depth_rdch => 1024, c_wr_depth_wach => 16, c_wr_depth_wdch => 1024, c_wr_depth_wrch => 16, c_wr_freq => 1, c_wr_pntr_width => 10, c_wr_pntr_width_axis => 10, c_wr_pntr_width_rach => 4, c_wr_pntr_width_rdch => 10, c_wr_pntr_width_wach => 4, c_wr_pntr_width_wdch => 10, c_wr_pntr_width_wrch => 4, c_wr_response_latency => 1, c_wrch_type => 0 ); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_bytefifo PORT MAP ( rst => rst, wr_clk => wr_clk, rd_clk => rd_clk, din => din, wr_en => wr_en, rd_en => rd_en, dout => dout, full => full, almost_full => almost_full, overflow => overflow, empty => empty, almost_empty => almost_empty, underflow => underflow, prog_full => prog_full ); -- synthesis translate_on END bytefifo_a;
bsd-2-clause
64f87fb6c7dbf56577e0b198138e0892
0.540444
3.363493
false
false
false
false
Andy46/OV7670-VHDL
OV7670/src/mod_VGA/Divisor2.vhd
1
1,195
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 14:32:05 11/08/2013 -- Design Name: -- Module Name: Divisor - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity Divisor2 is port (clk_in, reset : in std_logic; clk_out : out std_logic); end Divisor2; architecture Behavioral of Divisor2 is signal clk_aux : std_logic; begin clk_out <= clk_aux; process(clk_in, clk_aux, reset) begin if reset = '0' then clk_aux <= '0'; elsif clk_in'event and clk_in = '1' then clk_aux <= not clk_aux; end if; end process; end Behavioral;
mit
7419646b6fc8d1288a3c28a569fb4674
0.588285
3.621212
false
false
false
false
Given-Jiang/Gray_Processing
tb_Gray_Processing/db/alt_dspbuilder_delay.vhd
2
2,945
-- This file is not intended for synthesis, is is present so that simulators -- see a complete view of the system. -- You may use the entity declaration from this file as the basis for a -- component declaration in a VHDL file instantiating this entity. library IEEE; use IEEE.std_logic_1164.all; use IEEE.NUMERIC_STD.all; entity alt_dspbuilder_delay is generic ( CLOCKPHASE : string := "1"; DELAY : positive := 1; USE_INIT : natural := 0; BITPATTERN : string := "00000001"; WIDTH : positive := 8 ); port ( input : in std_logic_vector(width-1 downto 0) := (others=>'0'); clock : in std_logic := '0'; sclr : in std_logic := '0'; aclr : in std_logic := '0'; output : out std_logic_vector(width-1 downto 0); ena : in std_logic := '0' ); end entity alt_dspbuilder_delay; architecture rtl of alt_dspbuilder_delay is component alt_dspbuilder_delay_GNHYCSAEGT is generic ( CLOCKPHASE : string := "1"; DELAY : positive := 1; USE_INIT : natural := 0; BITPATTERN : string := "0"; WIDTH : positive := 1 ); port ( aclr : in std_logic := '0'; clock : in std_logic := '0'; ena : in std_logic := '0'; input : in std_logic_vector(1-1 downto 0) := (others=>'0'); output : out std_logic_vector(1-1 downto 0); sclr : in std_logic := '0' ); end component alt_dspbuilder_delay_GNHYCSAEGT; component alt_dspbuilder_delay_GNUECIBFDH is generic ( CLOCKPHASE : string := "1"; DELAY : positive := 1; USE_INIT : natural := 1; BITPATTERN : string := "0"; WIDTH : positive := 1 ); port ( aclr : in std_logic := '0'; clock : in std_logic := '0'; ena : in std_logic := '0'; input : in std_logic_vector(1-1 downto 0) := (others=>'0'); output : out std_logic_vector(1-1 downto 0); sclr : in std_logic := '0' ); end component alt_dspbuilder_delay_GNUECIBFDH; begin alt_dspbuilder_delay_GNHYCSAEGT_0: if ((CLOCKPHASE = "1") and (DELAY = 1) and (USE_INIT = 0) and (BITPATTERN = "0") and (WIDTH = 1)) generate inst_alt_dspbuilder_delay_GNHYCSAEGT_0: alt_dspbuilder_delay_GNHYCSAEGT generic map(CLOCKPHASE => "1", DELAY => 1, USE_INIT => 0, BITPATTERN => "0", WIDTH => 1) port map(aclr => aclr, clock => clock, ena => ena, input => input, output => output, sclr => sclr); end generate; alt_dspbuilder_delay_GNUECIBFDH_1: if ((CLOCKPHASE = "1") and (DELAY = 1) and (USE_INIT = 1) and (BITPATTERN = "0") and (WIDTH = 1)) generate inst_alt_dspbuilder_delay_GNUECIBFDH_1: alt_dspbuilder_delay_GNUECIBFDH generic map(CLOCKPHASE => "1", DELAY => 1, USE_INIT => 1, BITPATTERN => "0", WIDTH => 1) port map(aclr => aclr, clock => clock, ena => ena, input => input, output => output, sclr => sclr); end generate; assert not (((CLOCKPHASE = "1") and (DELAY = 1) and (USE_INIT = 0) and (BITPATTERN = "0") and (WIDTH = 1)) or ((CLOCKPHASE = "1") and (DELAY = 1) and (USE_INIT = 1) and (BITPATTERN = "0") and (WIDTH = 1))) report "Please run generate again" severity error; end architecture rtl;
mit
0f178af229c095954190d17dcb8640d4
0.64584
3.126327
false
false
false
false
Given-Jiang/Gray_Processing
tb_Gray_Processing/db/Gray_Processing.vhd
1
2,422
-- This file is not intended for synthesis, is is present so that simulators -- see a complete view of the system. -- You may use the entity declaration from this file as the basis for a -- component declaration in a VHDL file instantiating this entity. --altera translate_off library IEEE; use IEEE.std_logic_1164.all; use IEEE.NUMERIC_STD.all; entity Gray_Processing is port ( Avalon_ST_Sink_data : in std_logic_vector(24-1 downto 0) := (others=>'0'); Avalon_ST_Sink_endofpacket : in std_logic := '0'; Avalon_ST_Sink_ready : out std_logic; Avalon_ST_Sink_startofpacket : in std_logic := '0'; Avalon_ST_Sink_valid : in std_logic := '0'; Avalon_ST_Source_data : out std_logic_vector(24-1 downto 0); Avalon_ST_Source_endofpacket : out std_logic; Avalon_ST_Source_ready : in std_logic := '0'; Avalon_ST_Source_startofpacket : out std_logic; Avalon_ST_Source_valid : out std_logic; Clock : in std_logic := '0'; aclr : in std_logic := '0' ); end entity Gray_Processing; architecture rtl of Gray_Processing is component Gray_Processing_GN is port ( Avalon_ST_Sink_data : in std_logic_vector(24-1 downto 0) := (others=>'0'); Avalon_ST_Sink_endofpacket : in std_logic := '0'; Avalon_ST_Sink_ready : out std_logic; Avalon_ST_Sink_startofpacket : in std_logic := '0'; Avalon_ST_Sink_valid : in std_logic := '0'; Avalon_ST_Source_data : out std_logic_vector(24-1 downto 0); Avalon_ST_Source_endofpacket : out std_logic; Avalon_ST_Source_ready : in std_logic := '0'; Avalon_ST_Source_startofpacket : out std_logic; Avalon_ST_Source_valid : out std_logic; Clock : in std_logic := '0'; aclr : in std_logic := '0' ); end component Gray_Processing_GN; begin Gray_Processing_GN_0: if true generate inst_Gray_Processing_GN_0: Gray_Processing_GN port map(Avalon_ST_Sink_data => Avalon_ST_Sink_data, Avalon_ST_Sink_endofpacket => Avalon_ST_Sink_endofpacket, Avalon_ST_Sink_ready => Avalon_ST_Sink_ready, Avalon_ST_Sink_startofpacket => Avalon_ST_Sink_startofpacket, Avalon_ST_Sink_valid => Avalon_ST_Sink_valid, Avalon_ST_Source_data => Avalon_ST_Source_data, Avalon_ST_Source_endofpacket => Avalon_ST_Source_endofpacket, Avalon_ST_Source_ready => Avalon_ST_Source_ready, Avalon_ST_Source_startofpacket => Avalon_ST_Source_startofpacket, Avalon_ST_Source_valid => Avalon_ST_Source_valid, Clock => Clock, aclr => aclr); end generate; end architecture rtl; --altera translate_on
mit
eb9453c2937277817c404105422ff125
0.715937
3.065823
false
false
false
false
ymei/TMSPlane
Firmware/src/sdram/sdram_buffer.vhd
2
14,906
---------------------------------------------------------------------------------- -- Company: LBNL -- Engineer: Yuan Mei -- -- Create Date: 12/17/2013 07:22:25 PM -- Design Name: -- Module Name: sdram_buffer - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Interface to Xilinx MIG UI to use external sdram as a circular buffer for -- stream data input and packet output -- Currently read and write are not allowed to happen simultaneously. -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- At the 1-clk wide WR_START pulse, RD_POINTER is loaded to be the first -- address to write to. Afterwards, as writes advances, WR_POINTER increments -- accordingly. NBURST was loaded at WR_START to control the write burst size. -- When WR_POINTER wraps around and hits the original RD_POINTER, COLLISION -- asserts. Writes will continue (overwritting previous data) until WR_STOP -- (1-clk) asserts. WR_STOP can be considered as a stop trigger. -- -- AT RD_START (1-clk), RD_ADDR is loaded and a packet of NBURST is loaded into -- the read buffer. Then RD_VALID asserts. ---------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values USE ieee.numeric_std.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. LIBRARY UNISIM; USE UNISIM.VComponents.ALL; ENTITY sdram_buffer IS GENERIC ( INDATA_WIDTH : positive := 256; OUTDATA_WIDTH : positive := 64; NBURST_WIDTH : positive := 8; APP_ADDR_WIDTH : positive := 28; APP_DATA_WIDTH : positive := 512; APP_MASK_WIDTH : positive := 64 ); PORT ( CLK : IN std_logic; -- MIG UI_CLK RESET : IN std_logic; -- APP_ADDR : OUT std_logic_vector(APP_ADDR_WIDTH-1 DOWNTO 0); APP_CMD : OUT std_logic_vector(2 DOWNTO 0); APP_EN : OUT std_logic; APP_RDY : IN std_logic; APP_WDF_DATA : OUT std_logic_vector(APP_DATA_WIDTH-1 DOWNTO 0); APP_WDF_END : OUT std_logic; APP_WDF_MASK : OUT std_logic_vector(APP_MASK_WIDTH-1 DOWNTO 0); APP_WDF_WREN : OUT std_logic; APP_WDF_RDY : IN std_logic; APP_RD_DATA : IN std_logic_vector(APP_DATA_WIDTH-1 DOWNTO 0); APP_RD_DATA_END : IN std_logic; APP_RD_DATA_VALID : IN std_logic; -- RD_POINTER : IN std_logic_vector(APP_ADDR_WIDTH-1 DOWNTO 0); WR_POINTER : OUT std_logic_vector(APP_ADDR_WIDTH-1 DOWNTO 0); COLLISION : OUT std_logic; NBURST : IN std_logic_vector(NBURST_WIDTH-1 DOWNTO 0); RD_ADDR : IN std_logic_vector(APP_ADDR_WIDTH-1 DOWNTO 0); RD_START : IN std_logic; RD_VALID : OUT std_logic; WR_START : IN std_logic; WR_STOP : IN std_logic; WR_BUSY : OUT std_logic; -- INDATA_FIFO_WRCLK : IN std_logic; INDATA_FIFO_Q : IN std_logic_vector(INDATA_WIDTH-1 DOWNTO 0); INDATA_FIFO_FULL : OUT std_logic; INDATA_FIFO_WREN : IN std_logic; -- OUTDATA_BRAM_CLKB : IN std_logic; OUTDATA_BRAM_ADDRB : IN std_logic_vector(NBURST_WIDTH+3-1 DOWNTO 0); OUTDATA_BRAM_DOUTB : OUT std_logic_vector(OUTDATA_WIDTH-1 DOWNTO 0) ); END sdram_buffer; ARCHITECTURE Behavioral OF sdram_buffer IS COMPONENT fifo256to512 PORT ( RST : IN std_logic; WR_CLK : IN std_logic; RD_CLK : IN std_logic; DIN : IN std_logic_vector(255 DOWNTO 0); WR_EN : IN std_logic; RD_EN : IN std_logic; DOUT : OUT std_logic_vector(511 DOWNTO 0); FULL : OUT std_logic; EMPTY : OUT std_logic; PROG_EMPTY : OUT std_logic ); END COMPONENT; COMPONENT sdram_buffer_bram PORT ( CLKA : IN std_logic; WEA : IN std_logic_vector(0 DOWNTO 0); ADDRA : IN std_logic_vector(7 DOWNTO 0); DINA : IN std_logic_vector(511 DOWNTO 0); CLKB : IN std_logic; ADDRB : IN std_logic_vector(10 DOWNTO 0); DOUTB : OUT std_logic_vector(63 DOWNTO 0) ); END COMPONENT; CONSTANT DDR3_CMD_WRITE : std_logic_vector(2 DOWNTO 0) := "000"; CONSTANT DDR3_CMD_READ : std_logic_vector(2 DOWNTO 0) := "001"; SIGNAL rd_pointer_reg : unsigned(RD_POINTER'length-1 DOWNTO 0); SIGNAL rd_addr_reg : unsigned(RD_ADDR'length-1 DOWNTO 0); SIGNAL rd_start_pulse : std_logic := '0'; SIGNAL rd_cmd_busy : std_logic := '0'; SIGNAL rd_data_busy : std_logic := '0'; SIGNAL rd_burst_start : std_logic := '0'; SIGNAL rd_burst_start_i : std_logic := '0'; -- SIGNAL wr_start_pulse : std_logic := '0'; SIGNAL wr_stop_pulse : std_logic := '0'; SIGNAL writing_reg : std_logic := '0'; SIGNAL wr_burst_start : std_logic := '0'; SIGNAL wr_burst_start_i : std_logic := '0'; SIGNAL wr_wdf_end : std_logic := '0'; SIGNAL wr_wdf_wren : std_logic := '0'; SIGNAL wr_cmd_busy : std_logic := '0'; SIGNAL wr_data_busy : std_logic := '0'; -- SIGNAL nburst_reg : unsigned(NBURST'length-1 DOWNTO 0); -- SIGNAL indata_fifo_rdclk : std_logic; SIGNAL indata_fifo_rden : std_logic; SIGNAL indata_fifo_dout : std_logic_vector(APP_DATA_WIDTH-1 DOWNTO 0); SIGNAL indata_fifo_empty : std_logic; SIGNAL indata_fifo_prog_empty : std_logic; -- SIGNAL bram_clka : std_logic; SIGNAL bram_wea : std_logic_vector(0 DOWNTO 0); SIGNAL bram_we : std_logic; SIGNAL bram_addra : std_logic_vector(7 DOWNTO 0); SIGNAL bram_dina : std_logic_vector(511 DOWNTO 0); SIGNAL reading : std_logic; TYPE read_state_type IS (R0, R1, R2, R3, R4); SIGNAL read_state : read_state_type := R0; SIGNAL read_data_state : read_state_type := R0; TYPE write_state_type IS (W0, W1, W2, W3, W4); SIGNAL write_state : write_state_type := W0; SIGNAL write_data_state : write_state_type := W0; SIGNAL rd_addr_i : unsigned(APP_ADDR'length-1 DOWNTO 0); SIGNAL rd_app_en : std_logic; SIGNAL rd_app_cmd : std_logic_vector(2 DOWNTO 0); SIGNAL wr_addr_i : unsigned(APP_ADDR'length-1 DOWNTO 0); SIGNAL wr_app_en : std_logic; SIGNAL wr_app_cmd : std_logic_vector(2 DOWNTO 0); BEGIN indata_fifo_inst : fifo256to512 PORT MAP ( RST => RESET, WR_CLK => INDATA_FIFO_WRCLK, RD_CLK => indata_fifo_rdclk, DIN => INDATA_FIFO_Q, WR_EN => INDATA_FIFO_WREN, RD_EN => indata_fifo_rden, DOUT => indata_fifo_dout, FULL => INDATA_FIFO_FULL, EMPTY => indata_fifo_empty, PROG_EMPTY => indata_fifo_prog_empty ); indata_fifo_rdclk <= CLK; APP_WDF_DATA <= indata_fifo_dout; APP_WDF_MASK <= (OTHERS => '0'); sdram_buffer_bram_inst : sdram_buffer_bram PORT MAP ( CLKA => bram_clka, WEA => bram_wea, ADDRA => bram_addra, DINA => bram_dina, CLKB => OUTDATA_BRAM_CLKB, ADDRB => OUTDATA_BRAM_ADDRB, DOUTB => OUTDATA_BRAM_DOUTB ); bram_wea <= (OTHERS => bram_we); PROCESS (bram_clka) BEGIN IF falling_edge(bram_clka) THEN bram_dina <= APP_RD_DATA; END IF; END PROCESS; bram_clka <= CLK; -- make sure _pulse's are 1-clk wide PROCESS (CLK) VARIABLE prev : std_logic := '0'; VARIABLE prev1 : std_logic := '0'; VARIABLE prev2 : std_logic := '0'; BEGIN IF rising_edge(CLK) THEN rd_start_pulse <= RD_START AND (NOT prev); wr_start_pulse <= WR_START AND (NOT prev1); wr_stop_pulse <= WR_STOP AND (NOT prev2); prev := RD_START; prev1 := WR_START; prev2 := WR_STOP; END IF; END PROCESS; -- register addresses and status PROCESS (CLK, RESET) BEGIN IF RESET = '1' THEN rd_pointer_reg <= (OTHERS => '0'); rd_addr_reg <= (OTHERS => '0'); nburst_reg <= (OTHERS => '0'); writing_reg <= '0'; ELSIF falling_edge(CLK) THEN IF wr_start_pulse = '1' THEN rd_pointer_reg <= unsigned(RD_POINTER); nburst_reg <= unsigned(NBURST); writing_reg <= '1'; END IF; IF wr_stop_pulse = '1' THEN writing_reg <= '0'; END IF; IF rd_start_pulse = '1' THEN rd_addr_reg <= unsigned(RD_ADDR); nburst_reg <= unsigned(NBURST); END IF; END IF; END PROCESS; -- write command PROCESS (CLK, RESET) VARIABLE burst_counter : signed(NBURST'length DOWNTO 0); BEGIN IF RESET = '1' THEN wr_addr_i <= (OTHERS => '0'); write_state <= W0; COLLISION <= '0'; ELSIF falling_edge(CLK) THEN wr_app_en <= '0'; wr_app_cmd <= (OTHERS => '0'); wr_burst_start <= '0'; wr_cmd_busy <= '1'; write_state <= W0; CASE write_state IS WHEN W0 => wr_cmd_busy <= '0'; IF wr_start_pulse = '1' THEN wr_cmd_busy <= '1'; wr_addr_i <= rd_pointer_reg; COLLISION <= '0'; write_state <= W1; END IF; WHEN W1 => IF indata_fifo_prog_empty = '0' THEN burst_counter := signed('0' & nburst_reg); wr_burst_start <= '1'; write_state <= W2; ELSE write_state <= W1; END IF; WHEN W2 => wr_app_cmd <= DDR3_CMD_WRITE; wr_app_en <= '1'; write_state <= W3; WHEN W3 => wr_app_cmd <= DDR3_CMD_WRITE; wr_app_en <= '1'; write_state <= W3; IF APP_RDY = '1' THEN wr_addr_i <= wr_addr_i + 8; burst_counter := burst_counter - 1; END IF; IF burst_counter < 1 THEN wr_app_en <= '0'; IF writing_reg = '1' THEN write_state <= W1; ELSE write_state <= W0; END IF; END IF; IF rd_pointer_reg - wr_addr_i <= 8 THEN COLLISION <= '1'; END IF; WHEN OTHERS => write_state <= W0; END CASE; END IF; END PROCESS; -- write data PROCESS (CLK, RESET) VARIABLE burst_counter : signed(NBURST'length DOWNTO 0); BEGIN IF RESET = '1' THEN write_data_state <= W0; ELSIF falling_edge(CLK) THEN indata_fifo_rden <= '0'; wr_wdf_end <= '0'; wr_wdf_wren <= '0'; wr_data_busy <= '1'; write_data_state <= W0; CASE write_data_state IS WHEN W0 => wr_data_busy <= '0'; IF wr_burst_start_i = '1' THEN wr_wdf_end <= '1'; wr_wdf_wren <= '1'; burst_counter := signed('0' & nburst_reg); wr_data_busy <= '1'; write_data_state <= W1; END IF; WHEN W1 => wr_wdf_end <= '1'; wr_wdf_wren <= '1'; write_data_state <= W1; IF APP_WDF_RDY = '1' THEN indata_fifo_rden <= '1'; burst_counter := burst_counter - 1; END IF; IF burst_counter < 1 THEN wr_wdf_wren <= '0'; wr_wdf_end <= '0'; write_data_state <= W0; END IF; WHEN OTHERS => write_data_state <= W0; END CASE; END IF; END PROCESS; -- read command PROCESS (CLK, RESET) VARIABLE burst_counter : signed(NBURST'length DOWNTO 0); BEGIN IF RESET = '1' THEN rd_addr_i <= (OTHERS => '0'); read_state <= R0; ELSIF falling_edge(CLK) THEN rd_app_en <= '0'; rd_app_cmd <= (OTHERS => '0'); rd_burst_start <= '0'; rd_cmd_busy <= '1'; read_state <= R0; CASE read_state IS WHEN R0 => rd_cmd_busy <= '0'; IF rd_start_pulse = '1' THEN rd_cmd_busy <= '1'; rd_addr_i <= unsigned(RD_ADDR); burst_counter := signed('0' & nburst_reg); rd_burst_start <= '1'; read_state <= R1; END IF; WHEN R1 => rd_app_cmd <= DDR3_CMD_READ; rd_app_en <= '1'; read_state <= R2; WHEN R2 => rd_app_cmd <= DDR3_CMD_READ; rd_app_en <= '1'; read_state <= R2; IF APP_RDY = '1' THEN rd_addr_i <= rd_addr_i + 8; burst_counter := burst_counter - 1; END IF; IF burst_counter < 1 THEN rd_app_en <= '0'; read_state <= R0; END IF; WHEN OTHERS => read_state <= R0; END CASE; END IF; END PROCESS; -- read data PROCESS (CLK, RESET) VARIABLE burst_counter : signed(NBURST'length DOWNTO 0); BEGIN IF RESET = '1' THEN read_data_state <= R0; ELSIF falling_edge(CLK) THEN bram_we <= '0'; read_data_state <= R0; CASE read_data_state IS WHEN R0 => rd_data_busy <= '0'; IF rd_burst_start_i = '1' THEN bram_addra <= (OTHERS => '0'); burst_counter := signed('0' & nburst_reg); rd_data_busy <= '1'; read_data_state <= R1; END IF; WHEN R1 => read_data_state <= R1; IF APP_RD_DATA_VALID = '1' THEN bram_we <= '1'; bram_addra <= std_logic_vector(unsigned(bram_addra)+1); burst_counter := burst_counter - 1; END IF; IF burst_counter < 1 THEN read_data_state <= R0; END IF; WHEN OTHERS => read_data_state <= R0; END CASE; END IF; END PROCESS; -- buffer out and delay half CLK PROCESS (CLK, RESET) BEGIN IF RESET = '1' THEN ELSIF rising_edge(CLK) THEN IF wr_app_en = '1' THEN APP_ADDR <= std_logic_vector(wr_addr_i); ELSE APP_ADDR <= std_logic_vector(rd_addr_i); END IF; APP_CMD <= wr_app_cmd OR rd_app_cmd; APP_EN <= wr_app_en OR rd_app_en; APP_WDF_END <= wr_wdf_end; APP_WDF_WREN <= wr_wdf_wren; WR_POINTER <= std_logic_vector(wr_addr_i); WR_BUSY <= wr_cmd_busy OR wr_data_busy; RD_VALID <= NOT (rd_cmd_busy OR rd_data_busy); -- rd_burst_start_i <= rd_burst_start; wr_burst_start_i <= wr_burst_start; END IF; END PROCESS; END Behavioral;
bsd-3-clause
4b7857c06d9834c9e84fd9dd463b5996
0.522005
3.382346
false
false
false
false
timvideos/HDMI2USB-jahanzeb-firmware
hdl/jpeg_encoder/design/HostIF.vhd
3
11,304
------------------------------------------------------------------------------- -- File Name : HostIF.vhd -- -- Project : JPEG_ENC -- -- Module : HostIF -- -- Content : Host Interface (Xilinx OPB v2.1) -- -- Description : -- -- Spec. : -- -- Author : Michal Krepa -- ------------------------------------------------------------------------------- -- History : -- 20090301: (MK): Initial Creation. ------------------------------------------------------------------------------- -- ////////////////////////////////////////////////////////////////////////////// -- /// Copyright (c) 2013, Jahanzeb Ahmad -- /// All rights reserved. -- /// -- /// Redistribution and use in source and binary forms, with or without modification, -- /// are permitted provided that the following conditions are met: -- /// -- /// * Redistributions of source code must retain the above copyright notice, -- /// this list of conditions and the following disclaimer. -- /// * Redistributions in binary form must reproduce the above copyright notice, -- /// this list of conditions and the following disclaimer in the documentation and/or -- /// other materials provided with the distribution. -- /// -- /// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY -- /// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES -- /// OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT -- /// SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- /// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT -- /// LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR -- /// PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, -- /// WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- /// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- /// POSSIBILITY OF SUCH DAMAGE. -- /// -- /// -- /// * http://opensource.org/licenses/MIT -- /// * http://copyfree.org/licenses/mit/license.txt -- /// -- ////////////////////////////////////////////////////////////////////////////// library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity HostIF is port ( CLK : in std_logic; RST : in std_logic; -- OPB OPB_ABus : in std_logic_vector(31 downto 0); OPB_BE : in std_logic_vector(3 downto 0); OPB_DBus_in : in std_logic_vector(31 downto 0); OPB_RNW : in std_logic; OPB_select : in std_logic; OPB_DBus_out : out std_logic_vector(31 downto 0); OPB_XferAck : out std_logic; OPB_retry : out std_logic; OPB_toutSup : out std_logic; OPB_errAck : out std_logic; -- Quantizer RAM qdata : out std_logic_vector(7 downto 0); qaddr : out std_logic_vector(6 downto 0); qwren : out std_logic; -- CTRL jpeg_ready : in std_logic; jpeg_busy : in std_logic; -- ByteStuffer outram_base_addr : out std_logic_vector(9 downto 0); num_enc_bytes : in std_logic_vector(23 downto 0); -- others img_size_x : out std_logic_vector(15 downto 0); img_size_y : out std_logic_vector(15 downto 0); img_size_wr : out std_logic; sof : out std_logic ); end entity HostIF; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ----------------------------------- ARCHITECTURE ------------------------------ ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- architecture RTL of HostIF is constant C_ENC_START_REG : std_logic_vector(31 downto 0) := X"0000_0000"; constant C_IMAGE_SIZE_REG : std_logic_vector(31 downto 0) := X"0000_0004"; constant C_IMAGE_RAM_ACCESS_REG : std_logic_vector(31 downto 0) := X"0000_0008"; constant C_ENC_STS_REG : std_logic_vector(31 downto 0) := X"0000_000C"; constant C_COD_DATA_ADDR_REG : std_logic_vector(31 downto 0) := X"0000_0010"; constant C_ENC_LENGTH_REG : std_logic_vector(31 downto 0) := X"0000_0014"; constant C_QUANTIZER_RAM_LUM : std_logic_vector(31 downto 0) := X"0000_01" & "------00"; constant C_QUANTIZER_RAM_CHR : std_logic_vector(31 downto 0) := X"0000_02" & "------00"; constant C_IMAGE_RAM : std_logic_vector(31 downto 0) := X"001" & "------------------00"; constant C_IMAGE_RAM_BASE : unsigned(31 downto 0) := X"0010_0000"; signal enc_start_reg : std_logic_vector(31 downto 0); signal image_size_reg : std_logic_vector(31 downto 0); signal image_ram_access_reg : std_logic_vector(31 downto 0); signal enc_sts_reg : std_logic_vector(31 downto 0); signal cod_data_addr_reg : std_logic_vector(31 downto 0); signal enc_length_reg : std_logic_vector(31 downto 0); signal rd_dval : std_logic; signal data_read : std_logic_vector(31 downto 0); signal write_done : std_logic; signal OPB_select_d : std_logic; ------------------------------------------------------------------------------- -- Architecture: begin ------------------------------------------------------------------------------- begin OPB_retry <= '0'; OPB_toutSup <= '0'; OPB_errAck <= '0'; img_size_x <= image_size_reg(31 downto 16); img_size_y <= image_size_reg(15 downto 0); outram_base_addr <= cod_data_addr_reg(outram_base_addr'range); ------------------------------------------------------------------- -- OPB read ------------------------------------------------------------------- p_read : process(CLK, RST) begin if RST = '1' then OPB_DBus_out <= (others => '0'); rd_dval <= '0'; data_read <= (others => '0'); elsif CLK'event and CLK = '1' then rd_dval <= '0'; OPB_DBus_out <= data_read; if OPB_select = '1' and OPB_select_d = '0' then -- only double word transactions are be supported if OPB_RNW = '1' and OPB_BE = X"F" then case OPB_ABus is when C_ENC_START_REG => data_read <= enc_start_reg; rd_dval <= '1'; when C_IMAGE_SIZE_REG => data_read <= image_size_reg; rd_dval <= '1'; when C_IMAGE_RAM_ACCESS_REG => data_read <= image_ram_access_reg; rd_dval <= '1'; when C_ENC_STS_REG => data_read <= enc_sts_reg; rd_dval <= '1'; when C_COD_DATA_ADDR_REG => data_read <= cod_data_addr_reg; rd_dval <= '1'; when C_ENC_LENGTH_REG => data_read <= enc_length_reg; rd_dval <= '1'; when others => data_read <= (others => '0'); end case; end if; end if; end if; end process; ------------------------------------------------------------------- -- OPB write ------------------------------------------------------------------- p_write : process(CLK, RST) begin if RST = '1' then qwren <= '0'; write_done <= '0'; enc_start_reg <= (others => '0'); image_size_reg <= (others => '0'); image_ram_access_reg <= (others => '0'); enc_sts_reg <= (others => '0'); cod_data_addr_reg <= (others => '0'); enc_length_reg <= (others => '0'); qdata <= (others => '0'); qaddr <= (others => '0'); OPB_select_d <= '0'; sof <= '0'; img_size_wr <= '0'; elsif CLK'event and CLK = '1' then qwren <= '0'; write_done <= '0'; sof <= '0'; img_size_wr <= '0'; OPB_select_d <= OPB_select; if OPB_select = '1' and OPB_select_d = '0' then -- only double word transactions are be supported if OPB_RNW = '0' and OPB_BE = X"F" then case OPB_ABus is when C_ENC_START_REG => enc_start_reg <= OPB_DBus_in; write_done <= '1'; if OPB_DBus_in(0) = '1' then sof <= '1'; end if; when C_IMAGE_SIZE_REG => image_size_reg <= OPB_DBus_in; img_size_wr <= '1'; write_done <= '1'; when C_IMAGE_RAM_ACCESS_REG => image_ram_access_reg <= OPB_DBus_in; write_done <= '1'; when C_ENC_STS_REG => enc_sts_reg <= (others => '0'); write_done <= '1'; when C_COD_DATA_ADDR_REG => cod_data_addr_reg <= OPB_DBus_in; write_done <= '1'; when C_ENC_LENGTH_REG => --enc_length_reg <= OPB_DBus_in; write_done <= '1'; when others => null; end case; if std_match(OPB_ABus, C_QUANTIZER_RAM_LUM) then qdata <= OPB_DBus_in(qdata'range); qaddr <= '0' & OPB_ABus(qaddr'high+2-1 downto 2); qwren <= '1'; write_done <= '1'; end if; if std_match(OPB_ABus, C_QUANTIZER_RAM_CHR) then qdata <= OPB_DBus_in(qdata'range); qaddr <= '1' & OPB_ABus(qaddr'high+2-1 downto 2); qwren <= '1'; write_done <= '1'; end if; end if; end if; -- special handling of status reg if jpeg_ready = '1' then -- set jpeg done flag enc_sts_reg(1) <= '1'; end if; enc_sts_reg(0) <= jpeg_busy; enc_length_reg <= (others => '0'); enc_length_reg(num_enc_bytes'range) <= num_enc_bytes; end if; end process; ------------------------------------------------------------------- -- transfer ACK ------------------------------------------------------------------- p_ack : process(CLK, RST) begin if RST = '1' then OPB_XferAck <= '0'; elsif CLK'event and CLK = '1' then OPB_XferAck <= rd_dval or write_done; end if; end process; end architecture RTL; ------------------------------------------------------------------------------- -- Architecture: end -------------------------------------------------------------------------------
bsd-2-clause
0340a7d5d3216d62c2b635608e8aa22b
0.431883
4.246431
false
false
false
false
timvideos/HDMI2USB-jahanzeb-firmware
ipcore_dir/cdcfifo.vhd
3
10,355
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used solely -- -- for design, simulation, implementation and creation of design files -- -- limited to Xilinx devices or technologies. Use with non-Xilinx -- -- devices or technologies is expressly prohibited and immediately -- -- terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- -- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- -- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- -- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- -- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- -- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- -- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support appliances, -- -- devices, or systems. Use in such applications are expressly -- -- prohibited. -- -- -- -- (c) Copyright 1995-2013 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- You must compile the wrapper file cdcfifo.vhd when simulating -- the core, cdcfifo. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off LIBRARY XilinxCoreLib; -- synthesis translate_on ENTITY cdcfifo IS PORT ( rst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; rd_clk : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(7 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); full : OUT STD_LOGIC; almost_full : OUT STD_LOGIC; empty : OUT STD_LOGIC; almost_empty : OUT STD_LOGIC ); END cdcfifo; ARCHITECTURE cdcfifo_a OF cdcfifo IS -- synthesis translate_off COMPONENT wrapped_cdcfifo PORT ( rst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; rd_clk : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(7 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); full : OUT STD_LOGIC; almost_full : OUT STD_LOGIC; empty : OUT STD_LOGIC; almost_empty : OUT STD_LOGIC ); END COMPONENT; -- Configuration specification FOR ALL : wrapped_cdcfifo USE ENTITY XilinxCoreLib.fifo_generator_v9_2(behavioral) GENERIC MAP ( c_add_ngc_constraint => 0, c_application_type_axis => 0, c_application_type_rach => 0, c_application_type_rdch => 0, c_application_type_wach => 0, c_application_type_wdch => 0, c_application_type_wrch => 0, c_axi_addr_width => 32, c_axi_aruser_width => 1, c_axi_awuser_width => 1, c_axi_buser_width => 1, c_axi_data_width => 64, c_axi_id_width => 4, c_axi_ruser_width => 1, c_axi_type => 0, c_axi_wuser_width => 1, c_axis_tdata_width => 64, c_axis_tdest_width => 4, c_axis_tid_width => 8, c_axis_tkeep_width => 4, c_axis_tstrb_width => 4, c_axis_tuser_width => 4, c_axis_type => 0, c_common_clock => 0, c_count_type => 0, c_data_count_width => 11, c_default_value => "BlankString", c_din_width => 8, c_din_width_axis => 1, c_din_width_rach => 32, c_din_width_rdch => 64, c_din_width_wach => 32, c_din_width_wdch => 64, c_din_width_wrch => 2, c_dout_rst_val => "0", c_dout_width => 8, c_enable_rlocs => 0, c_enable_rst_sync => 1, c_error_injection_type => 0, c_error_injection_type_axis => 0, c_error_injection_type_rach => 0, c_error_injection_type_rdch => 0, c_error_injection_type_wach => 0, c_error_injection_type_wdch => 0, c_error_injection_type_wrch => 0, c_family => "spartan6", c_full_flags_rst_val => 0, c_has_almost_empty => 1, c_has_almost_full => 1, c_has_axi_aruser => 0, c_has_axi_awuser => 0, c_has_axi_buser => 0, c_has_axi_rd_channel => 0, c_has_axi_ruser => 0, c_has_axi_wr_channel => 0, c_has_axi_wuser => 0, c_has_axis_tdata => 0, c_has_axis_tdest => 0, c_has_axis_tid => 0, c_has_axis_tkeep => 0, c_has_axis_tlast => 0, c_has_axis_tready => 1, c_has_axis_tstrb => 0, c_has_axis_tuser => 0, c_has_backup => 0, c_has_data_count => 0, c_has_data_counts_axis => 0, c_has_data_counts_rach => 0, c_has_data_counts_rdch => 0, c_has_data_counts_wach => 0, c_has_data_counts_wdch => 0, c_has_data_counts_wrch => 0, c_has_int_clk => 0, c_has_master_ce => 0, c_has_meminit_file => 0, c_has_overflow => 0, c_has_prog_flags_axis => 0, c_has_prog_flags_rach => 0, c_has_prog_flags_rdch => 0, c_has_prog_flags_wach => 0, c_has_prog_flags_wdch => 0, c_has_prog_flags_wrch => 0, c_has_rd_data_count => 0, c_has_rd_rst => 0, c_has_rst => 1, c_has_slave_ce => 0, c_has_srst => 0, c_has_underflow => 0, c_has_valid => 0, c_has_wr_ack => 0, c_has_wr_data_count => 0, c_has_wr_rst => 0, c_implementation_type => 2, c_implementation_type_axis => 1, c_implementation_type_rach => 1, c_implementation_type_rdch => 1, c_implementation_type_wach => 1, c_implementation_type_wdch => 1, c_implementation_type_wrch => 1, c_init_wr_pntr_val => 0, c_interface_type => 0, c_memory_type => 2, c_mif_file_name => "BlankString", c_msgon_val => 1, c_optimization_mode => 0, c_overflow_low => 0, c_preload_latency => 0, c_preload_regs => 1, c_prim_fifo_type => "2kx9", c_prog_empty_thresh_assert_val => 4, c_prog_empty_thresh_assert_val_axis => 1022, c_prog_empty_thresh_assert_val_rach => 1022, c_prog_empty_thresh_assert_val_rdch => 1022, c_prog_empty_thresh_assert_val_wach => 1022, c_prog_empty_thresh_assert_val_wdch => 1022, c_prog_empty_thresh_assert_val_wrch => 1022, c_prog_empty_thresh_negate_val => 5, c_prog_empty_type => 0, c_prog_empty_type_axis => 0, c_prog_empty_type_rach => 0, c_prog_empty_type_rdch => 0, c_prog_empty_type_wach => 0, c_prog_empty_type_wdch => 0, c_prog_empty_type_wrch => 0, c_prog_full_thresh_assert_val => 2047, c_prog_full_thresh_assert_val_axis => 1023, c_prog_full_thresh_assert_val_rach => 1023, c_prog_full_thresh_assert_val_rdch => 1023, c_prog_full_thresh_assert_val_wach => 1023, c_prog_full_thresh_assert_val_wdch => 1023, c_prog_full_thresh_assert_val_wrch => 1023, c_prog_full_thresh_negate_val => 2046, c_prog_full_type => 0, c_prog_full_type_axis => 0, c_prog_full_type_rach => 0, c_prog_full_type_rdch => 0, c_prog_full_type_wach => 0, c_prog_full_type_wdch => 0, c_prog_full_type_wrch => 0, c_rach_type => 0, c_rd_data_count_width => 11, c_rd_depth => 2048, c_rd_freq => 1, c_rd_pntr_width => 11, c_rdch_type => 0, c_reg_slice_mode_axis => 0, c_reg_slice_mode_rach => 0, c_reg_slice_mode_rdch => 0, c_reg_slice_mode_wach => 0, c_reg_slice_mode_wdch => 0, c_reg_slice_mode_wrch => 0, c_synchronizer_stage => 2, c_underflow_low => 0, c_use_common_overflow => 0, c_use_common_underflow => 0, c_use_default_settings => 0, c_use_dout_rst => 1, c_use_ecc => 0, c_use_ecc_axis => 0, c_use_ecc_rach => 0, c_use_ecc_rdch => 0, c_use_ecc_wach => 0, c_use_ecc_wdch => 0, c_use_ecc_wrch => 0, c_use_embedded_reg => 0, c_use_fifo16_flags => 0, c_use_fwft_data_count => 0, c_valid_low => 0, c_wach_type => 0, c_wdch_type => 0, c_wr_ack_low => 0, c_wr_data_count_width => 11, c_wr_depth => 2048, c_wr_depth_axis => 1024, c_wr_depth_rach => 16, c_wr_depth_rdch => 1024, c_wr_depth_wach => 16, c_wr_depth_wdch => 1024, c_wr_depth_wrch => 16, c_wr_freq => 1, c_wr_pntr_width => 11, c_wr_pntr_width_axis => 10, c_wr_pntr_width_rach => 4, c_wr_pntr_width_rdch => 10, c_wr_pntr_width_wach => 4, c_wr_pntr_width_wdch => 10, c_wr_pntr_width_wrch => 4, c_wr_response_latency => 1, c_wrch_type => 0 ); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_cdcfifo PORT MAP ( rst => rst, wr_clk => wr_clk, rd_clk => rd_clk, din => din, wr_en => wr_en, rd_en => rd_en, dout => dout, full => full, almost_full => almost_full, empty => empty, almost_empty => almost_empty ); -- synthesis translate_on END cdcfifo_a;
bsd-2-clause
322476fc407681f096b6dbfda3bc84aa
0.537808
3.347882
false
false
false
false
fpgaminer/Open-Source-FPGA-Bitcoin-Miner
projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/builtin/logic_builtin.vhd
9
30,405
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block IVwhzgVHKFB2TP6SX83tVoOReksmVLTwykf7EqjmQmvQHoRHd7DcADhY7xJsPg7C6AXuV0ijYLXr UbOd4nCOWg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Qffey7EIYZkXN7F3er0naudl0RZTjehjK9zR6KRkkCRNvskh6Ltybmp3Kd6+6mra3PF/dWkVmdwA suVsKeek1YL6zOCS9PYNm0/5SqZM1xRrzFShKjwJu3RnbV0DzvzFKQQK8/WRorsHLsCGfuRCzT6S b1gBkUS19r2rk5spy8s= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Gihy4ZyPY3p7KAoPuAI/ElRDSpenpQzZDGMv6EShcwtmNILVFk90x9LoON5eORjc/LAZJE6HEpNi bfCHy4zrppEKnbhz1kQMFByO9QPMkfHYd/fuf6eajfN+1V2UuX/WtPwH4y1Ubwv8mnniCFz9DoMN /lHKB7iamvikJnL5LtoUE6QB4CtYYWfe0fTtvtLUzblpo17sGfzW+ep3XS6AaQ22I51MYMCYFMXg YCtr/uWS2LyGcU76PxbPrIlwV0v4DUob+n8VJYS6y4zyHE9j3FdOco0Vcziz6c5BQGV2/G4XxBQS i7Z/29GMeWA3rqOkYCz6YyeYC8IV9QFsmtf2dA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IxLnGj+xM6+dZLqf3uATSWp/dwUBgRfH13V8tqLmJpsFK/NMjL34R1lQEXNXNGyYX6CJWf3MjAlC i6GAY2qF3rqdtq14W/A/6EnJ0bTFHV/4cPv9FesmSh+vLO4XwraeA9RtWUCHsJt2rDofPfsb+ZET cM/g1BwjjV53NSW3IDk= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block HRaaP0NOEY3nvHDqjhUaRDp/0XP/wvXeKZ3qEAEk5uMToNTgxbOxLE5Gf3QQgNDwCh9uzHIMUW8Z MDefVnLQ+MDZH+aVLDxu+bo3v4kC7OeF3OXEkK+3N+c/r63olXTVDCSJZqwBDapVxk6XpPaOFLrD cTxVnvNx+Hha5zL1qHETTJGflNlcrXb7o8w1JkzfboT1UGHgmxyvYmoZOVAmal8I/X5w42XTwrBx wV/wM7kcyKb/OlpvcD75eiviglvjssUhN4DXmNOi6A+0b4EvDTNL3klRt9CqJ2t0WuSLNZx1qasM UUH+8pxQgDaVj+4JCJD5ACiUREI2Jo63N2/myg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20768) `protect data_block DWie/oL0y3sD16ZoM4GrselNwN+sk5QTMaa9FNgLNqEZmUV6pb2n91nWDeJee+x1JGqL1hUkceQM s1yNVFq82AOWNMuX+FSmDAffkY7SUlftwUFKFp2QFaQnMa1KIGuW14k9raozDojbuFZwIzCiBXhp onDj28eF37SBrAwISQAs76DU2lW+uIuy/DDQkVD5HNS2irHESwHc7fb/sl+asD7et1fbCRhrq25c eV60Ln0a9Gj1TZRB3V8LRZu7S+X1gJjUSb7GNe69jCI8Ag8Bkei5SZmC0E/YRw+P79Yelq3EpOLg EScxXUNlcQebIsUIvoq93w03VkBpQi4gI41tLA1CIWfqTENMR2X6klQCJIMbBef4PtlCPiIsnGP0 5DwbNSllvF3Ez9x+gr9S7A25lCHJMNafutJS2PonLK+AslWIk1IHQUPw0lDcMrRzofduwhSbtWJn cQwNHuolJm2CDZpTRxqu9c9QzELstLobyeubgkgkNQ8+NeYHMpUqR2j9Yvh2Jl79sZR5GlOFeLly GouKww23+RPsb03Yp9QnTqWrM2u/AeacIo9o14zunS7+Qlq4sxkWzzOKe7Am/IyzODvk+0SQWHaC /mF74Csh/cnWSeyNKHpkoa6pohCSfQdKm7atwl8JBsoPTuDwNc6lP1fEg1zyIf1U+oLiPtqBSeyb dvsNGdovJIAmTzLfTYVkpkvNVqlH3ld8O+JLZvEN4HTElrYyrLWoKRs4m/I/HlBAIHZSDOcM+wIY 83ll4rNfE5HkoRE3vjovwQu0/mQFG1tAClLWYrPjB4qCPMPWFPuyqLv1xsXQbQdIvLz1/QkiYy00 JU9zjotFFt1dTzc1Xok7qxAdJZEI2BVfECOcjJv5uB0BMDnldEcv/c85LRCddPOnFQDpjAjKO78l 49uIeQznngbji1ZEVTdjuaoor+Y2qtl2dY3EBIU0RlEVRc7YMJEliFjmn9elZJTb6QvFxLUEZu5g 0M5LmJNHJs9OXTF2CBBX2MTlSt88fR2MMFdhyHQbU6bRQSQB45b19ufSCWy1ilqtUgRPRVtcZtaK LQChwOYwfkSSi+AgdlQaosNPt7JWuhje0AGH0OLuIzRjq8WoxyQHyFQQPfqdU/oB8FrmfynUkFkl YF2pNJ0pydPos2pOrsFDD2T7urjhrZLaKSOERzQptoCxn10ZG7eZUvAKA2J2iihcVw3l9MtSc4VM L4aBfMxKwoR5BsY8E7laLLAfJBLoUCl519qMD3OWljBGyvH0go/rALok6JiY3Nid9dPiF48CxWv7 bnIwnzqpopHSvmrXvzHa44tyxQbTC/gY2mvUDJ81iaizfc1vkBeElI9CIvX7N7o9S7howeI8m64v RM1cjwryYXl/NsNe7kgUl9qIoIaenWNDirD9YdtpQ2MUQGPxbverngCYhVuqoQySbCwOaEONTCdJ C1M4LdQDCPl5K7K/mO3TNSTt+opUjFVub+AzRNBnPg7IrTcrKjqb8PrE+/r5k6CByD4kh8eBw8jd Kt1sUnpk46f3c5anE7szgJtbgUnkkA0XZDjAAL7B7iMtYPBODK6MHjjkVksftwPmUEMQwT3OMb+C q0J7n3vIVXqmFJj9DMIiTMhoEomnMrpXpDS5mdPe5l34Sf3fOctv7jMSBBH3Ixc+NSvDbMVrId/k r5/kBJXiW/WutzNT5lU0S9F+a/tnmjIn9QCf7nXCwqf9XAUIi1LAoPMvLyYu7uLCXifb6Gscka8m JzhcBSNWC+dQKWknxYHSthVSMNTL1VJCKAsHpupE0S4otJ4MrrQ5k7/wB/MAWZ+KQs8uvR5pzW67 si6B1NBVxz4peS7J2OpZDlJ025F+J4SjI+/6CTm/R7PImGW+OSiVCKDiLv1LmScSZZGDU/YYiO1b FGldxG7TbeNLq9lnBIXOcnP13Zf7x3jCatTqxXQpkGfzpImV9Fj5C2I854+My2CDomGL86y/X6rK HGpvLV9+XPmrf1naMwTnn0WlhXamlRh3gQKC8z5UkE7biwrMV0GO1Svn5JteXapvaLtpexjydSc8 5uc2Puk+nlJiFC8F9jrGA/dBv09Q2tA9ACQYX2j7UpFzT7Z/JNCimcT9Caf3qNMGoOtj3+us4ncE WSUdyNzjsLLCgHjx/Zcw5bvlEco8vB2XLnRAd+uGs/dRetcS6SW11dKaDNOaiYKDSvMfDERxUUwd 3y1ljtF8UUrJnsgebEtBnVoko8HlMYGVFgMJobK/ut+ZaJX6clC/OSzrzYZUx/w/kqGKyJaChHr4 6Ej/PTbAozB9MvQYDwNsKulnbNlKslWNEKYvp+tqUJFDgs82/IF+1/5YD9xfB/dbb59Bxeuxlv5g BiYWeMcxDvbct0S24P2OKKhbup9Fhs6KDXcxhs7qDucvixUrwu6JznmQg3IsO+nst/lHCMGzN+yk A8+3GZUKv15U7jqSzI1MQcWJAYWP22iOOnhY9HgdYtuhTalqSWJ79wQEAG/mSCRkYfskSRjtz6UO ApZXswdBA2s+zykD6bPfH4qyHN2ZwzLLW685WVMVvUcWoNsCcTCumFHpa4BZXUrnRPqCiOQOEmqZ Fd284s4PVOs4bFCGImWh2fJ9v8+mw45DUqE7SH3WicW1jQ3ipvmFEXyRw+3jY13WkG6ja1h+HX0a r4ygK5MkXXOOA7TsPYz21TcfW6BxcyvfDmSoV+/UcdGhRvE2BW4JXY9UsUm35Y61SaWAZqkfIEut CNY8WoyUXaWT1NvUuD2WTRIVnxtauTm9N/CGeTxqz3lB1QPl0fwdvxUJod3JBPDrdt2Wh7FNo2vW C6TWnvetZk8NXYJfI8xfSyKw6zvJgvq7Kc27RqpnO6y5vYDvBB7orknZQhCRbMhUzu/y9XNUnFsl AH4QKToPRuJ6ePsKBxUaWvPjIHbtHfqEnUhNw6M2EkBfGNOVCXz7hsznAOVccQNQVXSfoplbZ8JV J6FYr5OAHSr1pooX7lXuWuNlXgt+ishLzJRKlqDRxTUAEfoMdqSiA60OvJVJy1X5OeiY8xZ8pjds +RtnVptI3APEc79iZc+kc59ZtGHT29X7ljJZBmPmvzmSneYOQ4uAIH9BwhGY0ZPenKMW/N0MpWQd h1mb4OUCVcDXO0t3iHNKNjd6Y379kxMQ3CoLNCoS0N2oGtTHUYNRspJ+bKzeQnCVcKoPX50Ly2R1 OlRTHbgN7qguUcQkXJ8T0QxHMeggTkHGjOpHQ68h0SVOKBFwAv+pDK15VuE1kUT4srs2hl0ILcHM drTityNkwGHDJ6NBudPqT5jLWVIYvlor9c1PYTiHkgFxUDHKX52vs5OJ7GFithEwwk9io9h9I1Kc wyJXfpKxM6sMA9zSTCgUyUnIav9pGqNkthhuJaOqFCFDdN2MUJb0lQgSdFZowuzKsSN9R5krjKvo yMWGOMxznMb0wYTWs1jJ4P6VTpZbhtHigEI8IRDQp/G6EVUP4piEQub0etqGBLQwd03UJoyI5y8a jBto22M/a+Bh8eTxVIttzSPxqyJl5Zkod0RjDRU57hM52h6qXYYo1Qqm5FN+Fnp3v9rdH3Tx07/G BIK6HTArPZcRiT+N938NObL5QoeY81BRzwKJnr7PVi/eBGLlBcgS66GAJhr7dQU1fSqMyt9MfHu/ EIBuLMncbGdi8RY7pf8rMt9ZfrVbLCKzpkKCUIALjSG1EVyS7UWVvl2KQhePcp9Z6hOihosRlMrN eUq+dR7coeX5pehuvl0FBPFJfi6T3lok3ilCUgOGARSnw2w4fBrcc95pp/7h2p7al9207TxyPnC9 5tmzERSHT07qfgc9EvbdzsTzsDUNPZJ6SKYh8XX3xtLMNT1N7IcUzpT/JLoBmZEzHXf+msK8TMov OsjjBhlp6ONPQHFGCZCIEm3qpET9GaplvJbPEwjIVnfkhMwaoP2Gx1AmNtldM1ekMuuF/HXZLE9x V4RxUGoyhIBSO+biQrSpXDXrz/YTjd1M/GbhdEI08HpO7IsDGy25qGTAYeyiqeR7HOzqgneHcFRD wyH8rJ/MFSOmIh9L4j1QulrADOGi29i6oE07r/vhg+KPjt2hNIRvwuzPBa70TmhetCkAJdJXaJ1g khjw88vAqvwMhI+gUxEoVH+ep8hZJbI5s5DUGoUbW9C8NdlgZQWlOFKeenDzN0SGd9rtMZmSbdne D35Lsg98u+X0aNpTxud4h/QFVqnVuB89geZf7omUtYTbyeUslCXZGLRLxil0kSjj5u5MfA3wIJIq wM39Aju0AonYI8ViAn7DGK/v6xDgKbOrEL9bvUj2b17QRfj3mlevU3x3G+LmsDXVwz3WxJoCLKiy eIZOp+GihTkkOI2oJbMLcuLiX6+MblJ3VUyjVX+OnJbeGn4V8LV7B6FHgUDw6Xv6f9y9Jqs9RiZ4 E2ud9lFOiRUmyasb38dnK82/eb244yBuUv8eGG7wPEWnkK2EIlVZtGOd3JB2Zh2fs32wyTuDPRq6 1CBaxpdPQYrIiVX49JLZ5vVQrmYfsk5OkfvEBFcZS74cWo4kumu9s4xMpSkaz2OUm5qAzajGihWX SK25kJMtudulhpygjuhxwXvrCkkua9/plX5yMNjIJGV9fuHaAZ4d7t3xhlPwj7Ta2A8wbc5fjc1e XBy2ixmlBqcMXJzvT40tH1mzJfaI5IcVdANetIqOIFHeNEkrg38cOQ1OvaKU5AmnK/qgmYZckVeo V/XqtrIcU+wAlETupdfCOf+0rF4rT1MpXvkVDAAsyYbmekCv1hMzlgYJdDstpu4eZETrVEDvFf7P b1LdZsioV+vLr1OmC6Eti5hGljsok2nWwathbW1wJKUAjOdpAzMJqkvwAVwLiw9xMdCjl2MWlLtj IC6obbwlxFGBjrEfNO7MRH0J+C+hHsa2RYlPhP6CVtLeZOQoOtLvScKKML4ENDZYBB24Ven75LZ9 r2XVQSrdB5bMkcmE/W1wIKdmC1kuVdg4r/kxPealfG+kX0JpJLb18w9TRmJ7aKfKvDKzzNoHq9r5 m+5bcOhmhdqhlOkmNTyg+a2Txdk5Xl2s7iwqGK0/JN9Chgm5dKKnEaozxy8F/U/Oj1POzc5DtRgy ZHyVnkgu19NtOZGjoJQmGN5LM5wQ1EuN6GuNMK/8EpPUAtcl5JL4ZJCRgt0bKVJoe8++uWuIug7Z CsrcuxKwX1jxcgfvUTy7+gYaB/ORMfZnHPbUAq4juvgtlssBZ8hbL6Vn5lMjaxVfJlTxa7v00/2M +T6qZTxeQpe0THv1vL7CmYQiQhPyRsW05bXfaJG+TKJ2YRvEcDrSCINTTmivUEfWL813JLvEX4Ca re3YVr/MmVeCXrXVZjDP32LsgGAwX9iVHSnI8khAaLO8c8jc2LYrqAOBYpIa561ugMKGX0j4N7wK TakyyASNM6m1gg9a5ar8EfstclcgAS/krZqqyzFw3kdN/cQweHFkz251IbgPOqyok5gfZbPNTVPp Ah/y3MuZs6JH1PmQgVNigBJD+sNkn/tKE5cB4SUMFFeo2XaFef/6JHlgl2uL36ZGD0SzqvpXQtS3 U9eDkGy4ikl2898gfnskd3IPd8sDxt3DPgXdrQeBJvFZcUWxl2WsDc7ws2nlCNdpyPYvYoObpFM8 nM1W1YLuvXl3GwXPhJqVMN6B92/KQLjEmigqdzNbCmuMjoXNVAfzQmRqbNO65AQao8Ch2XPnFORQ 6zPYJq3S5NNOibuRSbOsPMaj82GVf8SZNOpVlnF/TV7ggfj2pjkFPgKsCTJhNYbzk6IGGb27jHe6 4uk59xO69AuVn4ebrb7IlRFFv1twewjzPTjfabklqlRqfQmgQV22rLex42e1T5p/9K8qbjfRoX4m tIr1uo0DBTSY3+xpeOhDZZC5P3QahMMMHEJ+LJaxU/jvXKfOxQyKXsMiZkOdWNPjWLN0hsi8f15B 8AhlliyYm5YQFJ6srfZN0od56B0rz8nikRiUKHlZpHzuBv+6M9z8USVepnnt5eJjXakdmxCoVyk3 +mTjU8jsapttXfPFKDpXEyMemhXv5giEJfZ5JOsa9jKC+HkDgqAYTgSwegGAuDzV8RWiY4GJ5Mnw l+jojDA+jhUpEEmSQjxlCL3I/Tyc66ol4dQo9JtyPaeZMbK3MSDz+9pnSX1OK+CLHNk1ywrvwRh8 uAtPcD1kMHjrDNHqMyZBvnzwemfme7GyuCtNH5gZLeETCxgXEGk9GB7/moIBYXQLNJlRD6o4ahqs 79VZDXGJ/cee8tXvQ/YO9MNkwowZ+hwHXfXSXpSZlgRnoRNiW7bYAZEeAv3p+mYTh+2pC6X7kMQ4 XT9N/SN06hjB4Bnt+xktLbXmtSjo8guaNpbBksrJN7Ds8fBxBcPsH0HCoo4PgnjBaAAjOmXLZMQR YMnPNUkrkdx0z93L3di2CxUukDDHG7OXZQPpdztYi8nxoKBAojaI+Odfi1jQp2dHKp/qjpkhgN2S o3TA2qsmvNOnp1FLI09tc6zMKuUa9iZZzUAcG2VJay7MFscbBun/RsoOG5gAZ8YZ1Mr6450NuH1G F8l8E6MrP/UKTnw0cxkOIk6al1V5FvtvY6L1Grjl4JbcYSd1BT/oRcn6CJTggIFksiMNd28bceyu LNJIS/0RuK7CzmoZcFj+glgqGtPOMlq/mwFrwcosSVAnn56MaWfsK6K3oypPd0pMc81P7geOhv+y IaXRGMNse3gE7dSKFM+mJ9yx7FwMwTFewU/85qpzi73YhdVR4xEhL9iMhsupEeZUlQlSY5z7Suh3 zN0OZk/p5ABjryHIV/JSC4kmsGQEY94qDeFJKnyp+D4aMmgmFfd+atrCGqCJyH+WfGEuJS/CUowM JdZIgQ8imnny+089oJIe/H9z02oQjckAESFo4J7XSRpKYMz8MO75YbaBTHFk0kmspHWtfbw/qq4F f0AzHi2/uhyaNp7eyzKOxFQeDGA0mZNtMuArhYxkWxMgxORW4ZhkrbXjeJ5U3lWtCvylDrbeEMf6 BKzwYcC3R+5mroEf2E/0OtsjVZMsI4zxnGUPq7J/QeciYWhgB78DIVzW8wj4O2jEHi1RYkkrTvTr /pZ3PUwnlo0ekxyr5GqXt0hJwYJdOYIxWbYfi3z9+LXDDoNX/UPtduBhbosX+sbd5gI2KyLYSG5v xwXEqRRmbx6vWt3WmSd2AgNVlg9PK/+oAT1lPvW/uifFo8T7ZKa9sghqtecSqtjswcsug9hORbN1 MJFLUL8QDAa3tLc2ImJNtuJxaQ6T0duFBVRS9WdJnYAVmEkTiYn03PVr5Nbf8wu5139Slu93ZdcF 4ORwwtSo0WxH7T59VUzjR5pIyTFUEBp+02jEktqi86vELt3v1wdo0ywu8uxSGmSKbFBlqlboRa5g EoF4svH+6RmCqy0PdUUxBYjbli6zD6HhfqknX2ySoU1DdC+cm4LwNWG/TeG0j6HZjGL1/kvkudM0 AtRJYFyzi3oqyAl2JrrGSUnRJ54LkTb79WNGJLXeZDB6PC2gwYXK4J1tOTGskck4i4LL9Qrbhy0I eH3q8tsuvNZ2UJHy7imZQwoG5OpugdnPExO8d2L+R/ma4vbfEytBUZC/Wa2lwHE4gQ2uB8mJvuL4 ImakHmvvZGfSxtDI/us+xXnbJIrPefeG7UX/kx1TF/xJGT2wsdWQASqQsoRhkVqLIIBCiAaR0OkR 707iFvBWIYoz2udq9fqdXelAOxwxojVhyRD7e2hH9qmhCIlxFIoEDUHmcD5RF31gYKbeZJ9dXC14 mlDGOST9OkgwbH5bxvyBbXQDesRVowKqMC2WMwppyaaJH5nYPV/kMfaef7e5/jWp/hIQhsh95Gil 5xwrdRDO1Oc+POMMF0/B4EteHlYaU64Anj4R5Qk9QIts9hEBFFJv9TArmNK7+zGjHHojF15mqpKj UY43uBCX3zpqTJCNi0/cMCqSdt/MGh0asKI4Y9V4K2jEF/wvHt9BWa1DpwS+glhKd7mYw2kg+ErA V2YXzAAaz53K8QEHg7eU7m1//pJjvSyYvVbCBo+jugfAyfIYFoGIEiKlvoWAKuO1CsxFMsjkgoil NPiCzBvDFvsRJuXLN9NNeBOY8vIVosKDQYuwanhahfVtZ6v5KKvqsIV5BXyK+FLweQDXAG3BGkT2 4QOftXKV+4NnN/u3a8/EN1ab6V1fr/IEJFhcWGxlFqbZqa7coTDPnYZf1OuysM1w/zlFsaT6OLxE VKL2+5MLDCQjwfauvqIJJy7wtlsnK9Yf+kW4IcJc43DvzOyM3f4UDOTEYWeJRtHvXnYDDScLI/LZ akjUTNv1zTn2mTgGSvbaj0hN4IpZwjhRltEGsAV3ZMY2HtXjJIoQ4399mlwr19/64R5TsvUViNfD ehAQUCK4K+H8RfqbpwjKMqLApAgDoE3adv/jCvxttqE8xliir0L7bj98r5rofLDC2zuVcd7OD2jT W70vnUtVXb7gGYWx1j+Fc3ujp4iy8iv5JI3qUujRHnWHOuCysnCvWj8iEyWYVBYE+8GDgvRubnzb aEAyBIJ1oXolJqE4X/4s3VBgI06TeSbqS8AKaWJJ0vJ6SWe8cFKfCIrcKEUvSAYl6q29vOBG7w0v PRW7/KQuwssa6qYQTR1O0FDRJa4EOHEY3+g8dc1Xq+wjO5rniQArXdvLiLIq6XWazJcAgaUWLIOl LxadM5wiOcCNWqKuImp+6d6MpExVGeNZocWKZGfhLvz+EQxqmDMHPjXOieiAuYAoZnmY3u9F3EoP UKvO/8XKmfCFjP44gNQcryU2D4mzWCgVIqFQWBrFJLnvOTvzq9okuKjnqwwq+86M3IyvjCKHPB0l u+u8rGNli7iOdiJshs6QSw/ausS4nqNDb3B/l2KbUmn10NGLq6RIvSvDzcGfrI/ozv668xvjKEkz uUD1qeThz5Sgh+POIk3Ej8x6yfEuiVKuGn2eGSk4q6R9x2yF1QKqY3VNLQWVs8vzyPGVkwShUD5n mpvbVQDpiD7neTUCMiCmRLsgpKbst5AD4jo+aZVJz0sI0TSza3yLeBJjXCBfikCuzfSAFeS48Drj 6j2FAjfknWpmjQie/fPzf2JnDi0T5ZwYmuDSldaSaIV1iFcXrNhA7TiQTSUHFMWqp5RO+QT4dtIf Jt9gQPWWKO4aC3f2DRi8nqedcraHGB5I4hMj5Oygq5yXQcCiLEuFGXJA+9CESF6hN09D3lcRSpZf V8OEkfnAZjRgaT3dIisuArj8bWUMC0ghZ8yjve4JngPl4znBn4GUroc7zMkqc90c6W8+j7EMLCEo Z33RlgFEN2JPa+R0Je8hciA2zhmZKYSJURkEzJOZCG9xACy0O8vRqZJD+oVePyXYCqcfldJlSmei /a1l/onJA6eIb9D9Ogjqf6SGasV2pYm5jf23JcV3jKvWelJh7kaFU3xpRYzkNbyvR3O7IPQ5b98t 1lbclLX+nL/djhe8HvoUf//xYbULZrUn9UEvjzEuNIiJYVGngVWb1qiC/ggMJMKbRUeLwDCc0wVT DydW4X5o9YooddgK5XQHn5fzYcPbw432uJ0RpU53InWXuEvCaSHcregDH8KIgGOx5V6AamiGtT1r Smp3F5Qxev9L0AjeBVOMrOeKNOeYZBTDLVA0veiFMxK4TiWho2LQACSbyfQ4EPXWmCzp+iphX/jt gg7sCDvV26pNV8N7aEDb9qdHqxWBLvfZiip5byorgCHO8F9n2ho0fy+4t68VNURPmhjUhW6Qq0Ao Fe05LcnKtw1h55HtwwBylP8CwKgAjfRlEJ38LHwsqSTGsJuQLQHtargV9/ghDvsBd2NoggBp3h2i Fv9YYIsSNI/u2SJClyhU3uc4scZlaevZdHHJNUM/AVtTfwoljzvHKKINK5/52KXsKiZjwY0zCqRv PYPSgM9hO0ePZC8Dzgp/vg1f9CNB7JDbFxwg5OFB3kRM1V/Zq2v9/0luj2BIHRf8cCi3ScAI9uGT l/mPpBCqz3OfVGYEbUvcVgJPnwH6eZT/d7akWlHjZch8YPkxbBoeNNwXZuGCf+uFpzfS6ylvR+pc 7qrx/Zi+4siKTyIV3YK9zl2iR6xm/6jTYr6cEMv1ks0yvTS1VpTgcdmxDvGniTl8hwvFXhk9qlvq 1LzFXR/1z9J3lMpyIuFHaa6/D5To/RJzfjybnQZV+hyopqSnPKLmeohZJaBh92LyM0yitM8sntdN Yzy1+/aSGzexFvBi1PIFhK99c10Sbnf7lacodVDufgW7a1daLIIlHrPEr8Olh8rx8vxFN8fT11ts WP4WHWlV7G/lqgU6ryIOv25gGCBsv9J6+nMn5kt+54cz2j/J0f/12cvCM4Q+LcYoq5gZC3nbepi0 CmUWLWahFq9rFLn3aIwNUXoTSf9hzcQbfTjTn6RF45ZW9QdRk3B6/zJGDx3ixzmlZjUDFVe72NFM QfTPHvUKHibWF3k7WWHy6OipATRIJ9RZ4eqRhzZ/bcdswMjqsk+Fcm4s3LE2jX8QaZsF0ZAZp+dG kI0s/6ADE4UQL4twIki1nygAVkJW3rs79NnZa9hrCD6v/ezYxB3zjQt4Sxhc5NQHneYawpuJTJfq qKmhVMfbBxSw14j1i4ST6cu7O+YJs2Aq/g1cHgD97jBcVcAhy7x/yyr9vGqzNGLZfXAO8SDBD278 94tbB0xwQW3+Exo0nwhsCC7AAZLPVYwRj2EZezLw16z/JtLvO4SL+d4W0k7FX9zFIWAx1JT5rOuE baLmuJD4JwiTtaZPrmlFp9jBCb4gMHpwiYFne6armOpLIqNbZTOYso4zXmJRCukxcICiheHbfTAr 0lLLdEbJuSPPwbhmVNwh1pE6CUTgFibmCyYEDNbc9fVjcAodhV0jRR/UjgXr28RzSr/Nhe+k0GNh aotkHBGU4qhrIwk0m1HGEZQGaYh3JURnECkZ1a226vBPmmLaazjAco2MzmHJcuyegNWFnxrQcW4R iMW6y8QsiJDE85BRY1wBoPIwovNy3UBJkfjZM+AchaiyYOm5OoIyeo8ftwWd4mbfbAD7tMiiJ6sc 3G2fWSUHVgl2wFrDnPYlc6/tZE2pNOwOPC5a5cL2w7Eh6lPp+aX56u/j41O/Ws5PvdmY1ET0fHRg /yJ0QbnVn0YfSz+ZRUj+7NtOYHhzEEB48sgqK9UGPDutIEWi+mJpJByyUw1VsbMF6dd4JC2nW2yT FF3ahxNBJL86XTU25OgVbsAue7drI2GUraCd6Y4K7B3rgpgGLzSjk1J5d6CkrQe0qCCBI8+Rk8Ah EkDIjbqhnqSsRXosN1NhvG/DgHNYQQUwwk2zyKEcNwL2UM/2J9TPzDDB6/WeH2dY4fY6jxrdmTnX RvuTvWJP7rm4p6kXc+MugmHZTb20Y2CMU9vQ/rHggXG3wnx9GdBCXCZ17Erj7xbvzEorGxvAArW2 1519TyeyUR7Rg6ZI2Pew6pq8evUAjVEA5lA5OgFuFO0dHAWdaD8um5siOVgkRhFbscPFP3Y7lk3H 4Pe1uaeyZcGHi5mkTANSd+hPGm7p6r/zjPvRiixtshUGGioU+O6cHlbeSU0xtU02Wip07yBtNcRz 9vP99FshXHRKG2+YNTKAZc0WgorCZOu/TeNC0+UB8Y8fraLbqLgQhQFquFPVtq6cBp/Lyt4fUyj6 zTZDa1TyePKSmAXnEl6af9gxBjgZTmWkw8tfM1zIQKaapVH2CUg9WphQGRP5LeCDA8sDTOdzMnBr vc3WfqKFX2LafkULvwmyK/roFw4u2MABjZ9Ch/eRhccKpQ9t0gCRN9faBCbNL+h7SfLN8HQWbyUz 596MiJgSYA7ukvHZ9QsToqQYUo1/2b+nkXEI0qp1isRUoHyLiIzX6ii3135wkwzU0Ap5Ybh7DM4L XnG9xV+jGFC+ENSlOpLpIlsLO5w4xrWA1YI2y+glek6rWIl1cMc8xsYw0KX16sASpqtRFHA7lcXK tBFz4G1oo3WizYmAA93k4ySyI9kH/fVmHjj6De/4CWCUDwCKfy1puyPMJBnZVm7KCuMGW4LKGmdL I2oZG7nBUbUS/n0Yu3PJUYCjGpOCQG9vdJ2SMyIy2NUbe4hYJPr2EWsQZJXhNknXXDfyK/fTYAqc JqkNJMnJsF9TI0ku+yIuaMKmZrZyDr57emDUhWsM8irucd/96WD7pI0LfJ+rBNMnPqqbH/nmVR0c F49XSE75eX0u+oCAxmKhPnoKr1amYTyKfnuiouXdsvvBpQqcQzNYSGDTZ/tRtOYO6A6WZtx5gjkI RRzTSCWkJA5F71EQwiHgl1G+4iQMMWq7/kSYxz3olNgkjCsYvGLvU5AMo16ugxkE5J6SMm82W3T0 L6agFGIbzQLWS+9rMVeveVL+F7BAh9eF7kvaoUCnNcZ66KfLWsJN8lfRLA/GFlaBwbXylbOKAem1 075jOWaOfOdc6GbhzJIsabTzqt3zxh6dm55CMwfBpnqAYlc9ifykFiKtcMVJ/x/3oz2seF/5YPbQ chGX8QDh5HSQ7ZE4dTNwaYwKXzM2hs7uPwFA1PXhXq+4TL0uPVatS6lKBFbYHmYgnNwfQPWQXnRT lifngjzVdyBf0da1WwGSzicQ1Nr0FkVfXJYkBrTMAi0lOpvhFUzl7T3jjKqKfWrgnD+Ay2tV2wge LgHrwPETnpmUXV/G/mD296uTQcWwph2p/QJU5tFLXxXQ10QBdC8E0jj4ka7GtfRCsfTKg5u+wAtu f2gffD6eDlHEQ8roNOUxRdiWpktv91Xu5vzYyuiRK7eKYZE6Ku2WXk+KUIs2cC64IMhflvPIXXg5 wsxZ2gCCCwo3vduVh9kHIa4W5181Za+w+k9+ACgw+nESwN/gsigt1xS75hH0jVys1LM+f84IaJWR KssNcwa3u9oEKw/hjGwiVlW0od0pOfdnF0Ch60nzww/+a7J2R/mTsedIiocntfU+vM5g5dZS0J/1 CiX/srX2YvMvyJgU+1hxeLKP0Y4kIl3N60T7igcJn8qBe9JOi6v2jkcm+OcwWdZYH7yqxOOJP+rf TAakV2ittq2Qxfljl64mjgv6U3adQLmbI0ipdsdL+maK6u0BaDHO8OeXdB7VTKJWM6o8k6O3oaej JYc9SYMTh7GQNytWvpG9CqJJC8lszeuxVVLmLBt1/QppSlWIHzdErkTyKLufHkVXfPoONZYnYodJ VDalQjpIq+Z8YvPKE4RE5VfH1w97AzcBvH8ksu11UObt1r3/BtY1iiABls+okChIie/qZ2iUcYRO L2FsV/b7zi8oVwTI594W6Z0VWX74JBzaKdChA+Y6DCBgrUtcK9FrsJQdUWaMOfeUtox8JTTAcwpO 4qs1RVfLsSz7sgFILbcAKVqNpLkKpmv57Bd6DWrRDlUgzQ+0fBTcLSXugk5bW9MQnKKZLsuyw3CO qNLOAC7CnCCViAYJF2a0XxrSDYwq2o+AHHdfrFsfcIQ04GZ3Gg4HjD/CVGYoqMlw51LeRGC/sEal LI8FF9fmkb31T76/LcUJYoh1PbGaRzHMGpP6dSOBUZv2RRGuWncNxs2+drrqF4aWAJOK5BPAk4sa NI+xOcXQShoxRUeIOUOw2aJmk5oeHmN5gSgA4vS97fupmIiGiMscznGowpSiYyciF2IJUW56zk4S KK3eHBCzyQcwkR+xqJTfZA7kg/26TW2sl5c0tcwtI+iZ0acZD1uH1CSsD8wi9IySX0hk/KdI3fac KfLYPm2PunM5k4EKCx7YMWArDEnvVhnd0FWnMoZ07ss9lhOBco0vbGEmR5B2X2S2hGG8XUYlS1NW 2nyCNu1Y94VnJ3ba+AWmmol6p+kMeTgFjqHzWuCcaHHhMC+7Kg3/INamJ3rVAb8V7LGSelXTSIkr K6zwM4xMxhKMtcxrqOfAVXH2kDt2v1av0sqEIEIkL7HYBAQeXFmqgSOV0C71g/tRQFvZCLmF50Gv gro8NOV08E574ig4qNT5nWISi3bcMnXvh9TmVbFBNsoX0NJdWLZJHlSfZ64KcahtxQT8pePpBtsi er2/N4l2yCpGJXDExrVdGc7j+siNFspGZB97r2EY6r2MO92S+DEErgFvry20t0p6qsvIAgxtyf5n XqQf/PkM33J6mALNXtQUIu5Z2xUeshfIYQS/18GJpyTI/Uc95tZ9EPceLmbvCL7zMjUiHroJsKX+ 3RlkmrzwvjdTiTgjDQo4iEVTxu/wawQK9ZQx8ift/ruAdu51aoNdx2+dWKdiFYbF1sJTeHPPkwdz vRCXn70oikfWs1xHTLY82/KwHHl65ojkleKEAW96rwacvOfkkVZ9qqlHn1vrfFrKheJEWsZl7QxZ +LTjj4uIVmihEaZMYXInZiumphO3dXI8ILnxRlg2S6+uybqBoj9R4QYTcMrzYkHnViYUphocD1// IrfIRrHv9nMEAnEBQA4JBtm7LWIEOf/yzxCoobBhv4QIpvpx7YrucqaJOY89R6tFuZoOMGORlpxY WYZLnoV2OruDykQ1nQwNBO4HPuCLazCo3kPrE+dQJmFOoZPZnIMNZFbhbTyciHvRWBJKlm1wZ3FG 11NVIotb1MP41KLJoR7w1vb4H8GVMvpE3D+Tcs2gkysivj0LnrhzLbytT1IlglRHSjaV/bwzXltX vhzA9RSdrcAOU5zuThairjNf6JZWj+gebogvQkXXJ3GYTKC32ju7yzQ2zAS/A5pTdGS0xz4KuVqh bQakn1GOu8HwHLA46h+DeUO6h+jQC8DSfwqWLaTFQ/W2QboL+RkN9XPZ8roCp/8cCeE4/ouShjYm VKDNqp4sV66+bfJTHHoLdWSnvHSVMEfRvzIbnWW7HDsOmTKndQ9+aBa6/BNay3xyKeXdeX01zQKt YLQBctIQI6BJBxMZKgZnnf2igLvsyBQLf635/BZlL6I/LcEOsSdQKOnu6eTmH4p+IWkOAAHvxzIs WqeifMn7z3tQlNp7pbrwgFaPYb+2rvewceFuhYUydcWWDnxOf3oM+sblV4s14eKyx0IH6VV2/fAj xmlOg6qp7MgbmmHksV1rllGJI8kYtAPlEp7JoYL0YD1b4wtMDQJf2KZRZ68+8xk1XFJsyG5ucCVJ jgGvs3m4jSVBC/hYqzDUHPotNxyk/h6RopXsJOJqzvItQxSQ6LXjvuU1nlJuqZSSAAgd4XMVJKhk fO0kyJ68sbxX97Vb9IlHBdm12QhdihSzYbpPOj6/c30VbMzBJ3cOgUYBH7uCXqnwhS7RGid7ed5F QuDry0Vc5x82/GeqDhJjL/nEPM5G/9WZVfvPaRzUj+hP5Zh+lmjqPGOch8NsSKb4ljJUc/zeE29N m0e78hUFw03iZv0icZT8Jh6M3yAxO44kA0u9ONbE9sz9IfBvxRgj7yZKY4E2NqHHnXrXV8wDE44n N0jgLHVYH02fqfPi1eME1e8bzrg7AszzA/gaZT5uI7GeqZG3li6MnCZQU7Nx/6Vdt0/nCXve36Tl nEreIXbAzR1KEIttLepRDyMRPXp0henQzTCdUgqHsL56qi2WxImadBJayN96wZnyn19sPIxZVgvU BS9AqvRxLlABwyZD1A8rClsRFSQ6a3H6YDD3tQPckvsLUoMRy0rbzTBzNz+inxPJVeHEhB1SAnNy 5+lRmiyvdlOu65jZbOvkO+vopN2htzgMfTRLU+Gu/zCj9HmlRcL0fvW0ohtAn7GdVdaoc1ZQVrda qsaEoCflwI+/GY0f7eDOT1qHw+/BsS9tjj1BYW07oTEi0RkMy2zISaTpoH1xYz8Q8CWyJxflE6Cc sjGaLrCylu+W14DEcNtx+I6y7nXuMSt3C0RK7LpfbsJSZVfrpemCnmjp3sFx/7OUqSCcTRQWyxhV rrpC98NgADEwtQlYfBERX6xk7IxRV5diGrmE48oYpCtD4B15758IhS7zQl3G7Lyy2e5byLFMJgv4 Ccmnt+FKp48gkXQOeUFUaFcdC64dzDjUNJsBH85wBAwwE7My5tE/BFMAWe7YcHMyPE3Z8eubamSf J/s2rn3o1UEEdPoZEQnJ0M1LFrWdFovQslzkcuwr7ljWIfGtJlKXwqs8CswrIuKu9oNLmrORH5XR YRgac/byZ6rOUhUP8gsV4UsqCCZsTpE6dy9tYAUhn2xIhHKygWeqBDUWbT4+gFaTBaAU5j/wqLaS i6YmzU4lqN3iCYeuc45F3BT2FeJLAO0AlUPpzDwSDIrj9cmozd+sU4HhDJhDU7nT2f1gp0sGHy5i Nhikd2yWMH8+RxO4PhfQixncpGDTn01rlT7y1iJ5ZYSiDYJrG5bWsp4kMcEKx6RpDLPMdDpOOyGS voeqdh9TmFTgOCS+q4KAAp19u+pm4YOneihDTHr71R0Ebl4qxkzm+RN1wdZj5odrTH0LoqgUS+je BWMVgcr+tvrp9F6VqtLxDW6p/uwVRRfkYxnk+YWmRjcegFHAIgw1ye9SDIWkZjdBE08Z/bgu7qcD xnnSCdqA9Xz3V5fbFBXn2wyNsp3dQmIWY9gFkna0pTDZns+X449n+jYlF2Jm271zojCa3263ALPm lSCE/1KHZ3R21ZfTVnUdsCv6UoUp2/5nknjZca1SQEEI8URuMyHVMSwQ49S1qWD29sc8ppqicZSO X1WpgkKuWRMemvTteYAVXNW3TC2zcIWommE393G8ma8TMS9ZebU2qtQuUpahC9/hxhTyhcKDDDnI r1FPy23XLFoXlvbuHx1vcZ3hVM+zjdwGGJ/4Vcjbf+o4oxyx8WcXDXSUvz5ZlZR9iF2hlVjF4GFV v24JqoTJkOz83daLBMxRmzUh1w540lwdpgR9gXUE23IxooqMRZpx5qG0VscdhEt/nG+ZmRcF6Hat ctg6dDHU+jvCOhS4lu9aLBwn0tFC1ChuXS/sSIpEkJ7YXM04wcv1pBneM/i15TFexP36HIc0tLKT KdBFCF5DF6hVM3zMBvqenkv81/ocmOim1m4zbE37VroqjFvKcnQ81in9ozz7hLRlxWq7S0NllzmT ThDy8krTqEX4aGhihc5AuRhcnenqJEKIsPpwxQDDTgPaEa9JEo7UechAZj3Ng1yFOAZ2U3S6w8U8 lRVwp8rxOHw7OCzj8VV3z/f2tw5gdN2HcpKRz+G8M6Ibm9+khWpd51lyVhYErEQI0vzDP6YJrN46 u5nrO2DyxpFzEObzEF/6eRb29sI5o6SZgFfqo16jg5z+Qay23/Lsay9tZI00PJFAebi49XfICLcY SGvUbKl70GQnQKKsAcdaulm0/ZFDqwjWjcezHBSZ/wTDHeK/fN5TVjry0do9J2dCFTlK/QFQgJhz uaz0lwv4Bup7MQdjelCjtkrjBFj6ZrK87pU5hmmOiT+ijGM06cLZUFH5eiiuVIITe1Zyzvx/gbMt UKhDEBe+L7y5+qz3eruuKZYHmUz44DGIYFKL9DCRSfQ8Jzih9lrSQYXLeLFP3Oj3Jwp7OJb67SZR TfYNR56uKa8dxSSwPukguGIxRLaEjPugtzI+lJyRi3WAvQ1UATh/l7nkozBAHIjwTgPtiB7vWLhq op3Y20CYVxLb1LPyWNcdDO1k8aMbJ2Ureb5crh4srvIVmKz73FqJt8M01sYExX5l7HxdmDV10Meg DkWZzZrXtbBtnmdIoBvW4B2lQlV4PbJCLrcwZ+5d+uckQjBWUvNsqSm7ukhbh+xbLf7aCIH6zrXC K/y5bE42oR8WWtcmbDJFuTarjPak6arptwB+nvXP35AVZlZ2zce8UZqiYGGQ62pnzPKfnf24xDqd NA3in/dWG3pzGJkmnyNEQGef3Z+z7xcI7Qkr+vD28ez6C95BkhwR/bDga9gEpIgxYfoC8/GBPsGa MC+sel8lVXLTts2gjmTgc9uVf5V/TGSoZ1WUW6JNb6LdAM7kJPjZuzKtxBtUjRMItPbNNtsn1nDg 5UtpOlMqPYHtLP0ksCcFcqLFzagEuNSUfVMKXu/gs/WxbgTSj9de9JK7Y128PJkKJNLCoX5iIGLE Q1B3CvQQgcIzKEtRcr0TaNJQk9kYZKeCBf9vOx/sNpiS2CCoEvviveP9dp0uuHXSORqyPD5puaJ5 dQTG/jbRxw4xzonIM+BVX4pHVlCP3fq/CcxOQy6q7D+3McIPBLR3fe7qcyjWUFf9JSPHh0K+3+rH CJNsBTcQi/zUJEpk279/UakNKPzlZdsRVdejV4ys5ke1E5zcMGl7LpwFzODUJTYD546D0NGa29pk 28nA9wl0dWGq1zxowMGXV7zWiBxYs7poxI2wr4bzajAWn+A4qAhPgOwLLvWZ5S99S87IpGpx8uH6 BwCgA2F9PhRkc7P5xaYxWxcJSUDwiFF/XCaw8BS4KgljNvoh1G1GmMRbIDpiBMAdCWcz8RhqTavm UGb+Bo3z6rB2zswWtVQr4lAz6ucDWgxQrfrbAVsi2uSYohwvrXwhho9C1+nBVi+l1EQ/a1atkJrs seiAwQo9boh1NibFCWj6nIxWkjYS6z118C7gl/1UGWZPxQ8ZxqQEwtuDhGtT+qfZbJ8V4ge45gdK 2acuEPTST9bbz9Aa3nez4/opaoTSezrBOmJEt+VTBQ2pFG1sekZyDGqTScUMu1C77agSpbGZTdfX A3SE+0cuHXq8mVMlBS1NO0CZ1ozmbPIg5qCAAaCrtC8Cxkpc6GUQD9bFf1CoK8vwyD4KxLCkmD2O 2qRatHc8eD25kwgEp1fLEMJCZtE1SMFPPjJFr0Nc4d+73XMhahOQCM2lJzi17GldAxs5GIEXCtA+ IJ+Dvw7XaRTfR+doc/3mJ13BTZAffZ+xGa3N5Q+RcP4eDOS6hcTWlkDBSe2JGFbel+xGLdqxackc rQFliKvVcJO3KpI71uIAExuswaOPHPfdSr7qglZLbJKEo7cVv0zud7KIca7hrQHwXiTWNrbLbkOi PNzwxhMUPTb6aDM/ITYWns4D3MbUbSYJikfMh4PgYCzV21NVSeqpNvszWA9ZODQ2LubAK0KNtISg Ltb79RIEGr7+Q2W6xL4N9a2D8bEg91h5yWNzCHUbg6rE7yOPaqInDPzaIBdAYoxWmrlNhm5l1ONd NTUtcF2pWzzfov8D3RBZn0EG1EUlxphQtOniFBE8UGR5ifoB1Cz0zPM0tmLSXFq0dVMzckYaenbK EgoK6v8mAFKoLoQdRU956J1xSLsDGs4SyOHA4Yi/EarPnIoRDuWDl5Lab1QpwgFi+qCp0ydGRyT3 sVq6YyWAe45nSJ8QF0GJhJcT9da0OyvL1OdN++baQ9qiUcH74I4kU/UUy6/RwzzRgyKIFEfWG3OK Nu5Adnu5urY2y5lTEpn/MJaRGYAB8Z1x6ItxmUlw+rUgjHla2hqLxqzbRlZ6XEVvIkO/n7Hv6i2D OwVSFRgcdONE2OhKW89bMUPZFUvB+3uvV1x4r6U/adJRskPW0xyODP6HhfCX84yGGMyXVQFqY7AF BM78ueDqpyjekPrk4jx80EfFMkJxL4DN3v4syP7KNnrFE457KeO70PXR94V1KUQ8BagNQ7gs1i7J sy7gakPBryhn8NdOFvAC/CDaKsLaxkA4T80Xt2nnGKt/NbBczz8t2Z6lvCaPmZtWqPD93KqoS/pZ IoGAUa5Yq/EZoOyOmhGV1e65BfvJbByeCNniYTTz6MG2qgTIC1Hl8m+XfIcw2dt5PttoKdOnBQ4Z roq8SqR32K26iuBMqbdgUomijurbVUmPV4RVULaa67lP+Ggo0MOe/AWmkbamRLgeUUX+ABZJLgcP ndRQI8Zq5fqBLGZ3/rkSkupqM/ZZ8IrbV8G+5BhyZ09Wn01eTJnZUXgN0Q7XWW/azDjkZR3IZKDN 7Ffa4KYrUpdK0oNi8J+HFed++UJ+Pl84Jwd2rqZI1ac7L/8PECm1EnUH1lVnDptIIzxt8OCxGgbH nqR9Wm5KflRihf0IY9P2f7jwbrPhUxQ/xN5HipJz7ICbo29w6tlOT0+fVk3v1ncFwZ53UrduaaMn sfL7Y2udwca6//Zc7czRqAUdu+9sNzB78Qpmo07wQ6t1uMDXg15zrMM2JM65xx5AuTnLqTJ1jQHj 3O5/LL3En9MXyu+Xx9BsHJzbRe7hEcfYf2INSpDm73jmH2DjfoA3bIqidi8XjpQ8CB5+EJgZGra5 1ZL4u0Z0Dk6PagzS6pGQNXINlgmOgOmgeh/LgSrTAIQzxJTEuHGdu+DldA2p2tj5L/k+dV9RDZFY v++H+NG7fwPCbHpgMdYpfKPoRsvZTwwC0GrpYu2nVC2GGwQa2vdweWw9SZHk0aVRn6RH9MBOVSC1 ie8gVi/h4BcRhq49/IEZDljDnFSH707Hhu96J5JzzmDMyBKDlnRxwXqjIsL06oEsS9UZu9PcmOsg Lt9W071oLGVpUQpVU717wGeZndCUQwPcBs/6EwKZxh10DhOH5h98utqc/Hv97h1pCCCaCjYdhkvn H8QgZgH51mBCALzyeNW9gfqypYOIICpeHUMtgaeD4j1BVGiE3nSQCqw7J7wXpZPkDy4Lwd6K2IHK sgS/3XbSIdLO3LygR4JMT1nO8f/nGhdDMEGxRgZAIoV5WDVk0fpApi8t6W1QJyQ0wZ8OvS4Lt2Nn qhr5L7kdMk/wOvNcW2kG9eRaPBdUwLv03NShDKLipZwqM2tfbf2FsJ4qAFrsqdOl7CPVQePFVPpU nbYlcWHz5JyYAab5tYMea5YqAH1hc4KzazDBEQGZR4+8zgQtriwXDorKnJ8tYKPGoYkGOh6wYAmu wWGRmvCNhxbLx5Xw3xlfWrG4dtF8k2K3BUqmHBTpABe2wmGGnV+F5sm7WZ+S1V/o6joXH+H2bPFi 8weNmb/rMbEwUb39xa/pfwEHGeNzEl5rC5a0S7PDOpc5zPR+zVJdG5KdNI3nbw59cptIV4Bcatiw VHGOv1J84xMfyTkBbIc2Ou+Wdd5gLUCJfgtLZ0deKGsu5yVncXpG7Q3DEj8p7dx2AmZya6+vcwgn SSZry0MU5EXXiH4z8ZqrG2VhL0RdKENVueD2zOZyykiboL/E5o5DB9SF0YFH70W0N0eVTohkeaLX XN6CBNzh/BwkXQVVlRniW1adi/4W6e+nmLMPgVxtfw43avvx4TiYEjvSyVmqO3qSxKHjH/NKwv6g lXGPj+bNuLl77jkAke7Y6+vfTikyhDr9Kj5Ko4IhZjwa0tHu1z8thUh7+Id6lsBNoYj+mqHNDQcx ikC1P6JygXjwDCUGMSdmxNc6TZEdJrL7966DupEV85X1zTXxqeGYWdSzgGCBGPDuNPE81UFMWpKJ /p+XI2absVYKQTTKBcCVj0SzEOzuDvyIdP6t69AZoy+IGsmXpuxHs5JCGIlmTMXEg7hWh+IOA2zi ouC80c+jYjGBjVEEe3VfkJcBbcxleyU+2guLxidf7D4cMFr1C61CXPH94bXQJEKwXZ93F+uWt60/ BGs7+eINcg4tK95gIAYa+Eyb5raf33YRZBz5em8bT2RAoUtS1Ul20k82Qb/GMbsi0Wu9BGkDcRR7 ue9W2lb+sUAi+0kRIv0pR77IGJ32cxhOBgdp8UwE6L2lLy1d6KDhILehatmEhzVTNlRF3A8DqIM+ /KxYim/4f/jZlIEp4nXpQcPBejvoSRcH8x2p7WC2F/d1ODuoKLJzet4OJdngUqczI/wbdC9UXI2v jWqdc8uHrTjU28Lu61/O25tNZb2QnSdSCg2yW+Y2t6xy2izcaJp/sUOVqRJcHKKhnaS7fErnNDig 3vx01qNAn59z4tUrxiYVq0xqm5mD0KSrCrhZJQQ0j8ePfdpt+wjAIvp1CrnjZxhEKbE4JybMIQ21 0cfog+MQFouuX6WBc0kpgL9+Adjz4oAQQSHIjhX1vH9pXiF+nf6NucLlWKeqmb6tyGaMKutU2Ov3 NBsY867C9qZ7DcJpvPrYCLvxn4trGA+eksycDvZXmqZrqhLzbPuhVLsbMxAjM65N4u41ch3t99N6 1OG1r8t2z+xgI3pyU1cw0v/xBQEpN06ysCDm10Pyodj+zPzAzVnJD6nzXmkkB2FqQz+Nh75fuoBX 3pEmakA3bc8MuZj7bnIl4VAt+QB41DI+CPprfLJeEEc82N+5+yshmbxnq638ise4eliD9bl0nREL ML3QXgy5uv+E8vRbANpbLW7m9h3oIZnF9uZBWPbFawdeulz0eI/YxXBKAlHg7pSjFhu5mCTrZg+3 L7Alav2ZInL9/EaqqFAqlDQ064tw0684ZftBJh0Rhksd5r44Z0jb8GQXTQR59BxnAgmcl341zrD7 U5L65Z/6nuDIun03+SXxXSst9X1hG4/70cyDrX64+HnFhkhNNgD+6mqe/JwTalvODcPZhzrCudMm It8Kb5OH+oE+1Gdm58wq93mz2ASYNd4Xy8VGP31zRPTZHN8Fifv2EUsIFjuJRji/p7pBs0Sw5nWq pDA+4MY+10FLr8af7X6l+hGqnJgxMOhT3zfOY/sxDNDIntBneXqQJXGy93qzNAuX5TSFIB/R5r9z J3w0wXSVVQXfltPvEDNFD39w4W1Ce6tuig9ruBMs3cPQ2/Icu2M7AdKb0/5CoCuhG11HyAzPh5LA rmnWHVtAHXmCH+yPtgtLd+ZV8B5+XRVWWf0kyby/I0SgsFdnmxgDtepChx8ObTY8WlKzUfyqV9pB IW96IlUw03bF3DGoE7vrhuwu5HMetTKLG5C7esIuczWjQJMip2eygjMvlbkmtqaqOEdFmym7w7Kp VxuL8LSi247KkoG1pNNCgTiqzxg9jhLpL6ngVbXjeTmmXny8Ywl32nWVTv7d7mFQm0yv3C1Mec2e 1zNj59Ydik+X/gYfMuszWFcJES523f3R4mnj+sT7bkFkt/LFawd/f5FEccTDWWbJ5YZUwN/nCh1j z/J8A/AYAlIRNcI4f/ZRki4L8JSHJo9XjXaXh23inVG+TsVMwRgORBxF0eQ4FthZcLvLdeisuK++ pD/gnnc+0r/BiKobQXnmL5qNU1TtFEaJRrU8jrTm3ZJEI1ac8w3h1MD2riPmEPngFGBoB6l7lN4S HKZreI68wJaGd5tnt/5pbqJolSJ0bDpf2TWs40Cp2Mz/WahgL04j85KIFJGWEnsp+JAptRK4lCFl hOY1VsojGSexEgP1unxOznZ+sZPcl/OFGV3/wq7QZIi+XPsCdjTvc+iooT2UVTyfqceguAw5wlRv b6RPbHSk0P26qmU1vNQMOkPe7JJhP5PzVQb1YvrnH5hWePfDP/WmGBy4qWINF34Ff4xcg7JqufEi 1Lda+xEB/o46N3vy43FvRYBl1QWSwP/sGpRJGM0ZYio+m0ykeaKNXWVoSB3Yje2MtG/rt1XIbbIu AgS1vWQ/s4tAYn8SdKWt4cCFWpFfbC+pvkWyiWaSxFGR/DZElriicwjGeuDvFgOGjhsUeLSs+zjU q8/kLP/4/EbdpZ/o/julkdiba74yBfM7GcSkfunUtEjv0GkF8cmSMT9dOJBWVOvuZ/9ZDPbAZPZC BMNfWm6sKom0EBqlISRomxc/9+N+aPj/JxUo0ag1KucRA+fiIFIaZ34JWOuC9LHPgadEyKIubQDO 2R9VXFacd2LOOzG3VINSuY9cpMnOnv6khBqIAPRtmjFSn+EnufkyFEUXYTm4DwBnhAAo6qwo5k9c riV6ingGsSbEoABuXzWhX9NfkJPhrLCfhFoCKM9fc2mphZQGuzDy/9HRzLXIRAHfczPm9sCKBO4J yScVAN2Acq6FU6iAMXgVg4Os3eamapeHN7QASyNyUEcu4R+Kz5pUpymTbPqsQOYMHwYzTTpePdlm H/R+U32HHwalvcMallIwXeG7wfPA3+pD/mqbojLQgaflGu0ji4ZuW382L9cTPMNFcADwTyIfI2Pl tQdDeB7ndA3cbxShM9DadE+79W4YjJq8seiaV7e3zHnbrsrEeewKRaSiC9uUoVFOAJbP5clFGmIU jqDZ07DFxQFdiLa1k5/Cop80KMuioNPPIuqKhBKRLN/IJAdzpsx5/8LYJkc1fO1bspLNYzrh7/ru V+I1jkBeu5dsaye18Md5vAE+OQSg03AmB1ZsTvNSnM8ZyDN1qmK608FQGbZnRKC6duft9u/pT0d3 dBjySGdzupctdV+g73NP2AoPZBxo3ONAZoeC1qeGaYiWX38x6FvgPVMiXFK4fF1zDFWxCJNkhIMp dK+ueZ4vzBqb/4+4N2oaueedZaiJ7PWwCkr4HbOS2XE4HBXUbhqSAy9PVGZCG0xD5bwWJgZqXYH7 VEkH6fjIDzpPJQwiF0NrM3YWo0I53QnkQyp/8F5EhaFvu8Mht8Op0GEs/QXlf/l/ffVVU0JyiEEl hHww4+g2NZzp0dL3z5OdD4ggLxw1tWm7LR2Sx0S3HrSXCkMRxOd0iG6qX6DJXas80ZzE2NEDc5z0 7jWAwdR34peLkZgAqWDda/FYOmBHYBeZfMtvA81/hOUB4LgqmjzL2meAohBd2kIaMQx9Yc9lPAb5 BdnDiFMy16txctR/UiAOQTl1ZrBE+DT/68Xv/Faeilm6rwnu+X1pt82MrL84pVorP5IrGKGIEw3M r9eMXJZLOkOoDPupzaRyKDh39GobzvNtng+CP5ctKCCDBx2DP2z304uHiLj0iEnoY+cMCvbpqHV3 QRQH5cO9ww4schg1BLU6dBGNUuH/EJ3dd+PJyfM4wtPoVRYRUyYBTI4ifQwkmgUuRTf6t+VlqqX1 b4kmx/twURdWMOUcfvcgWrRSe08623W+NhFk1MWhxqZfSbdWKRdAGQlgSi4ZxnYij1MkjFteNcXG PamiQLPeR00HLpu2V1t8yy0qUIsJ4vejWV0iqLgCPP8uKcVGd2znuJQ6q+G7/EcJEjuurihRRQFB ghecOREFCJeWqCNGTDIhRuOWTSyBo1cS2CqJC3aY5AUTVqFFttgO24VTj5cFto0IGFzyHq6THzW+ egtLUotV2xPcP5tjt41cMmYjOdXbnrziAKZtNZp4V59ObDKCuGyBWX8iLMA9HqCX4SZtjqhss7NF CiEt6ubKIQ2HYgzwzvU63OFXrPHoULHfA9UMG17REtXp7R3tJ91JJwTRAK0AZwshIxVBiq0akYxj 8o4jqw2ROW/Xs221IzePPj5F9F6bE4MGS9IDMhTugcEg+0oHi0WvQJ3LvO+FQjKNg3BTa1Eg1H/V M3WXJ5FEYop0Rm5AjXreGGhXge2dGRwciPqMVr9xDcLP87KZFilHWl96ogX3F2kbfWlDumKhDPTw UN2uuzcEtBryWue3dNvl6I6jvTK25SnOrMZeRDQ/6GeeI0OJfjUiIZjyJJm9OhHzXGipYjmNEq6U eQc+BOhfQ2cwnUSZ+VF5GezbhqmhcdNcjFOxKuh37xHFwaAPj5udpiPDHtSXU/yzYOi0Fq7bJFxI s8q7+m4g6vlewjzMeu7tdijW7HBRb4w1T/Q2ly+TRVprlw9JaSfes4gUgB5UHJBL76CnmCcj7ivd JAG9Svm3jMOIfkOaNmR7OluuThGZrmoTMI0a/nHBORU2yADWq3uQ3mcLrGsGaFpUshKlhqohWn6Z IRqY7IJIM+0JSDyRmwBFnnT9/Rwbh+151PuQuSsqFu+ytEBv2i6Rvi1LW68+69jieT4KApBLgWFB ECbrHS3v3JpMFQbUyYvuNG8KIxpm0ffGa9K+7hCSmHSZ5pI6k9JPGBe4t3oTAjSC0bHoGIwqgjqz ctUQ98bBfJnKJ++Jt31VmfT9kNzwcHB7VDTIbYhB6EClFe06fG+NVr/3k84xdTLCCR5kubYA0Hwb w8YTZ9kUYfSKNvl9trduWgBb361ivCeSH0CgbuAyn4fsMzs7RSm2DD7u/6pxi4Qg2XpaLNLBXlqc iSfJGbRAHNTT2zQFgAMO3seaUb3t4iwnODwi7TgWDJzI+OTl/uA3RwBR8DFr5aHx2RiHelkZ4OuT K/gw739SH38LQuAQFIWY4vJ1wphAl++kXIDGGAOI7SoZc/xp3kX9xD967xKeyA56FrVtTu0ot/Hq F+gEt2YD9Gd0w0fOwVZZvI2f+RH5CeQ7/d1KUq6M1HR3ZOC0uoaYmtCZs5fr7AjfZqs9Lvrv57q7 Ag2PavE6i0UeEC5B9vCBvklvwMEvEinoIYu34YUmq8jIkIM8vqdUXaBZa+VSJqqXUvDD7LVAoAs9 JLoamiaiI4CqQjeIJD46SqLbPbh02okjahdbWHfTLK2EPNlULDvA+inPuV6KVE4C6L7l/QTztUB9 mi2bs5vCiAzEZ8o3nN402pf5t4T89ixNBQdHj6x+mAGq8f9BtHrosqkHLUDML4dTVZ+CQ5uamoBF y99nIQJpsivD9lbyoDLNb16IJYyVv5FL7x0obSkMKI0F7S18HBpzPhqjTrL+vfNcvtvzxOON+Hqy W9qoTdFzhZnF1OT8jkKRDoujCnrvWXEpt1GEiC2WN3cOo5xYfGJp8deT52Iqii3yiM8viyDozglH UAPev3NlOanrXO35TIbp87RoxRgYWnsls2MbgPsYDDW2E3sPNanQKqNlu6Gbqby41c0q0v42GfdY /8FWg63YMs58+FNGLxhaQTq5ecp9hcmxX2PHo9U0vhEzHOUs8t/VhUEl9l7v+83LzWeMVkCvZOmf Pj+CRG7tLjLyuVcFlsq/JASw5cKxx797ctVIs8Lmm2AINyu4zCclGIldMcKX8K/Bmgp63hkuvnKg c+RzvSCbqDvGTShr3H4kp1Y34UhR+2a51AQhBcninKD9/WJTL/hLzZZEMsmPlHO6heh75d8O/zLn tf/zlRZtEcnziciHR6d/CVg5RtcjawXhPHzVD4kbi6b9qhEerMKNMsQ/ImyVYhsn2ax/gpA9YPAA BkEYpMQuvBJget3J1XxcCjJyXQtgFfDwBmS5i8dNCwjzkM84PRNKQXV/1xtWIaMKYfODufbbBh25 dVobIiXWiTUxtYMLzBvUV6vOqTPtNwkGC1YpSBpOUkJoXDShRRdsWkLOHjVcZzzpFPWBvOry1l7l 4jft0eZkcv3LdgU60U0SHU/DLSiMHZnlcb6uvEtpX/r39AUnZjAImfPggw+UcSqWEmePopLsl/mm f5/9SJ4mhYLxYQ1BN4C/yQ9F/NJJJfEn4EO6J0JDgktaXyt3iuRzIdpNfnjV/NmlG4cfNySUYErr OgzEbMmN9DjJD6VFRGUUjnsVtn6N2R+Kw9N/4UF6XwCZh3WQvjY9ocofcAabCrkCsVe5OG9cmtJq V+5qMdK3uNw6bX6NgsM2WeQMDUVveNssDYPjNC30SOohHfmxCGMrPwpSYMlpHZLFBDLSllY5pgiG 6R6HJpbXVgLz2Y+lbOxvHv5BW24G6szPRm2HsQxIYSUaEpSNkh9sQIYEuhvVcyyuOOzdMIJyYZ/B oeQCp5uYqjzOi6zuEYMhKlaLD5fUtVaAa83BKk9TcwOhrREwbhWgV8u/KJk7x3tCkWvVGjTNLF/T Q3bpBywLpu2+u77YFFvPS+OMN1F16B36OV0fdQfi4rutGKq+LUZXm8A5l79lr452OkYPBoafhJv8 DacT+at1AsAtLHBCFUS+XkrwPm5ZlCyFjUjXCDVWw6Bfu+Qp/dJJ8xsqrZpXc3MFn30ebR81tc7j YHADtXKfD5GkLExDayP2x9VjJx2a447gA38d+yqjLIAMqmxdr3NUhX15BVB3M1RIfsw3U/FNICnW 8+sWnprDcBn5j1W26MEc34Db/QyqNfb4ZQ0v26ioLX+6mRt3TgRRzZx2IDnN/Xzm/G6sOcWJTFPR n6goICKiJV5a7WVeOrpbX8do5Bw= `protect end_protected
gpl-3.0
da1b6c916584c983eb5a8b8ed72e4c12
0.945568
1.83251
false
false
false
false
timvideos/HDMI2USB-jahanzeb-firmware
ipcore_dir/patternClk/example_design/patternClk_exdes.vhd
3
5,769
-- file: patternClk_exdes.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- Clocking wizard example design ------------------------------------------------------------------------------ -- This example design instantiates the created clocking network, where each -- output clock drives a counter. The high bit of each counter is ported. ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity patternClk_exdes is generic ( TCQ : in time := 100 ps); port (-- Clock in ports CLK_IN1 : in std_logic; -- Reset that only drives logic in example design COUNTER_RESET : in std_logic; CLK_OUT : out std_logic_vector(1 downto 1) ; -- High bits of counters driven by clocks COUNT : out std_logic ); end patternClk_exdes; architecture xilinx of patternClk_exdes is -- Parameters for the counters --------------------------------- -- Counter width constant C_W : integer := 16; -- Reset for counters when lock status changes signal reset_int : std_logic := '0'; -- Declare the clocks and counter signal clk : std_logic; signal clk_int : std_logic; signal clk_n : std_logic; signal counter : std_logic_vector(C_W-1 downto 0) := (others => '0'); -- Need to buffer input clocks that aren't already buffered signal clk_in1_buf : std_logic; signal rst_sync : std_logic; signal rst_sync_int : std_logic; signal rst_sync_int1 : std_logic; signal rst_sync_int2 : std_logic; component patternClk is port (-- Clock in ports CLK_IN1 : in std_logic; -- Clock out ports CLK_OUT1 : out std_logic ); end component; begin -- Create reset for the counters reset_int <= COUNTER_RESET; process (clk, reset_int) begin if (reset_int = '1') then rst_sync <= '1'; rst_sync_int <= '1'; rst_sync_int1 <= '1'; rst_sync_int2 <= '1'; elsif (clk 'event and clk='1') then rst_sync <= '0'; rst_sync_int <= rst_sync; rst_sync_int1 <= rst_sync_int; rst_sync_int2 <= rst_sync_int1; end if; end process; -- Insert BUFGs on all input clocks that don't already have them ---------------------------------------------------------------- clkin1_buf : BUFG port map (O => clk_in1_buf, I => CLK_IN1); -- Instantiation of the clocking network ---------------------------------------- clknetwork : patternClk port map (-- Clock in ports CLK_IN1 => clk_in1_buf, -- Clock out ports CLK_OUT1 => clk_int); clk_n <= not clk; clkout_oddr : ODDR2 port map (Q => CLK_OUT(1), C0 => clk, C1 => clk_n, CE => '1', D0 => '1', D1 => '0', R => '0', S => '0'); -- Connect the output clocks to the design ------------------------------------------- clkout1_buf : BUFG port map (O => clk, I => clk_int); -- Output clock sampling ------------------------------------- process (clk, rst_sync_int2) begin if (rst_sync_int2 = '1') then counter <= (others => '0') after TCQ; elsif (rising_edge(clk)) then counter <= counter + 1 after TCQ; end if; end process; -- alias the high bit to the output COUNT <= counter(C_W-1); end xilinx;
bsd-2-clause
96f0e21a9b6dc7a4f048363440604da1
0.612238
4.097301
false
false
false
false
apoloval/avionica
vhdl/ic74595.vhdl
1
1,032
library ieee; use ieee.std_logic_1164.all; entity ic74595 is port (ds : in std_logic; shcp : in std_logic; mr : in std_logic; stcp : in std_logic; oe : in std_logic; q : out std_logic_vector(7 downto 0); q7s : out std_logic); end ic74595; architecture behavior of ic74595 is signal shift_reg: std_logic_vector(7 downto 0) := "00000000"; signal storage_reg: std_logic_vector(7 downto 0) := "00000000"; begin -- The process that captures the shift register status process (ds, shcp, mr) begin if mr = '0' then shift_reg <= x"00"; elsif shcp'event and shcp = '1' then shift_reg(7 downto 1) <= shift_reg(6 downto 0); shift_reg(0) <= ds; end if; end process; -- The process that loads data into storage register process (stcp) begin if stcp'event and stcp = '1' then storage_reg <= shift_reg; end if; end process; q <= "ZZZZZZZZ" when oe = '1' else storage_reg; q7s <= shift_reg(7); end behavior;
mpl-2.0
19aeba514f3f5509aaefd052d52ffa1c
0.607558
3.175385
false
false
false
false
timvideos/HDMI2USB-jahanzeb-firmware
ipcore_dir/rawUVCfifo/simulation/rawUVCfifo_rng.vhd
3
3,893
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: rawUVCfifo_rng.vhd -- -- Description: -- Used for generation of pseudo random numbers -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.all; USE IEEE.std_logic_arith.all; USE IEEE.std_logic_misc.all; ENTITY rawUVCfifo_rng IS GENERIC ( WIDTH : integer := 8; SEED : integer := 3); PORT ( CLK : IN STD_LOGIC; RESET : IN STD_LOGIC; ENABLE : IN STD_LOGIC; RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0)); END ENTITY; ARCHITECTURE rg_arch OF rawUVCfifo_rng IS BEGIN PROCESS (CLK,RESET) VARIABLE rand_temp : STD_LOGIC_VECTOR(width-1 DOWNTO 0):=conv_std_logic_vector(SEED,width); VARIABLE temp : STD_LOGIC := '0'; BEGIN IF(RESET = '1') THEN rand_temp := conv_std_logic_vector(SEED,width); temp := '0'; ELSIF (CLK'event AND CLK = '1') THEN IF (ENABLE = '1') THEN temp := rand_temp(width-1) xnor rand_temp(width-3) xnor rand_temp(width-4) xnor rand_temp(width-5); rand_temp(width-1 DOWNTO 1) := rand_temp(width-2 DOWNTO 0); rand_temp(0) := temp; END IF; END IF; RANDOM_NUM <= rand_temp; END PROCESS; END ARCHITECTURE;
bsd-2-clause
a9c6e021b806096a1d284b240c1edd2f
0.638582
4.388952
false
false
false
false
fpgaminer/Open-Source-FPGA-Bitcoin-Miner
projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/builtin/delay.vhd
9
9,914
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Tt8GTIUrr29kpFEQckAMTPnft9O3thUQvCvh6KXwr3f9pluYhQ6D8GzTKs2JXJIQidHXrK5Q5WZc 6enYuWwA9g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Nu1k7Y5aIp7cx7iV7/gXEYTNRjTFd5DCb15jd79ddgcJoAxrmyGkAn7KgzLvFg3OI9rJs8muLb1T wtHa0UarqYLCmFemUuUyhmsoaFStz5Pb1etO3j6AU2z1jLThe373A5lKdi20xiEc63mH09a2+rPN xFLD0FLQKj2GYRprQqc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block o7yX048tFJxP4nFGSh3uDZXTLobT/ozwVyWdhR6AHHiGxQzcXPq4Z5utBfJLs4hQCU0Sv7wBaxgL MEbluCLAkkF3MP0bWQfY3jTD73X2Z1FwNgqTVHktJ2/NHQ4Z7M45B/9+HRPj8nr+YZbSUpOcnPrt XMUFMJ7YHW8EkPbBTfSG4uANIKMSY/neYAkX7NpoxDSMc1p1Wew5FhEZGVm/FckwLItHjkPTSCYg WktG6UtSdAaPKsrxWBRV1PdqBxib9fZlK/iEu2LXnVLimwRtziIA9jsx9mJ/1/Jz7oXNiPIuIHHo rmfPZawpIpW7iar43YXdTp3YGpqgBjhyYtztSw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block apny0FENyamH6VDTAXJD8wLNN/DOUciHBZATNYBrwra8dx1Emw4Nju0bONh5wd+Kh1LMYXA/a1Uu eMZms67xSqOvCBOHsNrEw4MZvfQG5IQK7RgsQsC4AGGGMoJYMckSPU3O3b9MWo5BUOgEO0MoZR54 Q+fvta8pQ7FpOvaSRWU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block JayRUTw7TA5SoGyUHwiRgcRBDqZJpL3N9yEmakNdoxTmuw6JOPY4fG5Wj7zFtk/iZbDcjawwC+nv XkN6hfKD3xbCUiBTGJkUarL3fnX7jtnLLHDRmnmKhNCu7yIT4o5dpbNpxyUhMfInJnyLVQ8KV4rE oI2mfpCojoIhLcgntFtboxlIASnXvvmGZ+3MafPlhGpOboGeQRtrDniTxffDji2QwriKFN6tc+sG 01xemKRr2zayujdYRaVCB7fiqY6x+vi3jy6sgIZEyQx7yJz3DXzhugBhawkAQQDZpHF9/MXsZfpb pYajRdkowfeNe9jRzsByDwS0qaM9du6BvSa6xA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5600) `protect data_block Sk5PLdEKaGe6cr7O0HMMhwugKekQyjez3Iw3ZXJQfZXjdufAw8Rv6tSpsdI8WcD+HSMsjrasDSyn 2S2stXXxdcvMViB/9JKxTEPfk5Nlft8ZLpdSowPghGyi3WK0J2gTRPdYrFda0/hN6ijBUDYOHNXE dwfVdwHRp8VnOjWRh516gQqXBGZjofiyozIKN3+jBU0wqJRU3LuvlEiqSFvTib/0/aoI03zWo0sC Kwzb1i0LG5GDmqCoYu16Ojw4MyzN2I8ncRgGN6JwKSa4AHO264fyxV1EX1a1C98et+3BnxtXI/m5 kJ53WK/cqUVsAyo77BFP11D9/sL5YuyOlvYIazGqMzAKeMbt1HXFn0dER2Ne2+Ns8IJokFs76uba zal988i+6Vm0Noxa5as9PrMLjQhg63mQxjHwnX54UB3AAAhL5yFXwrPUioydIi2nkZ+7qkbZV240 J3Wx6rs/9Mtij0UN94xCWOXbBjbFjBQaYguJT6bnmH5sVd7h6kxTnLI8rUxLUX82Tj/1Qk/u9l8u NhjNMvMRJb3ySx4DxBYNIGsw2Uobq4GElvg7Q2WFQDAJF1YE8SHC9IlshMSGApmDY8mz8243QTYp 3Jz8cAT9DFVYa+CIFHCBHIB3aHNGS3gtoQd+5u89djzmD1GR7DpMk0nu7TEXSZ3Z/fKz+jcIXGAz fq/JmHiPs9pW4ajUt6lpSotPBMqtgIcs/dU2k64dZsfwojz4uEvnHU9IdeiilmuWUBvgawUpWsea 8E/BwSVmGBvt6a4sPL5lvDEFVQAJuSg5540ENjMWMeQeexk1V6SfjhZA+LJfyGVeqXZzo/aKdaY6 q4j4t4z1aOKgy8eomDUUmLmBfkUljBFkdO/p56CkUPsLp1T3UPiFeligvtzeelE28utA27h4tiRR PoD3TEAvlyHmN9IH4368yQSG4d3aLTBZomKa6mJ7ePq7PIxGxLeo7TYnOxebzX/LfNCjo61CZzoW 6wAQkhI1HxG8Bq0yzFTGHOyvdNQRKDdFLxWylHjaxScwh70KQLlxKaBuxBmzVP0Zi6ckQG6dnIcB yZARJ3KiaEb1ZBgFsLBqRH0FImpnwTBDYQxaTBAkcEVjo3zvfjW27mmCKkM0SxFVcPFurQTVNjxp ZiSa0cpat2edO1uoDUAp8sDUViLVRkNEvA4LnFn/1w6LW18kgpmsgSuGbWq4fsFnaZ7/l3Qa6u/9 ybIghqsMRbHhaltLH4x/jSXxlV5dQMBcbP9UIR5E2XS+G46L8Y3eZgBHA1s3uA/x+witUN0zsdhv ccqw/ZRxlY8p4/VtlVSxWkxs09JDtMLyu4DimS+dDe9dZtgsq8vVpMTmfF+6yI1uFg6Zbuvnb0No 0+WbDChnu+0R8CaUXQBIBblWBuyl+//FwnRmbpEgt6niLyIkyZ0CgSNAMc1niDLL3M9op7M4ERuK 86ikc1e7wvO+mwrQntGRCCfzKd44/2Ms5GM+4LuMsQpK66A4psR61odvxKtLpwjPGJqj6WIk1a1z nlq8q8E8ZnFgKWi+u8gMF1AJWHHPgz4a2JqP3O0JQp2cQF6eyyShC+q5krhgjBAkA0FKZgcXFoTy Jh+j+XbLv8jy/CIMSZ6kWOIO/752PrHfSyJPNLrBRTOvV6amS8SVZ2uIdZbE8rD0kDjb4+2UC9/E yASr7GYNgOmsidM7fMqgMYZ1BWqM8l+C7cEqabR4To3+jRzh3OMwtM7BEclSXlDXayNt8rP6KrG+ vrJomtYjNet10/7h9gRWinRwUovKKQrF8kCJ5Uu75xTZOdWyqKgMYQVZxRpTdGzItPsWGO+FGIAU psOw08IuavOL6HwXr1C6EwKfzaSNAw7maeEajh0GzGKFWNo+MG7+BEdtyBkdi5YcByr3EvF9lFkQ nx/KGIMWFezZn8b0JgRFNEIvDGho/eZNfdSEAUhiZEMF7NGBFPgoZfp6HymL93ThGjZtDdcVgawi /LX42ddUL2JlI21QrWpAEtdabNKHoUtCbU+cObj6OWAjEUGa6DP8BjQVQt9AcVj3UsS/FpCJOMm8 Z+BvLkx0ANkkJq+BgOD89V2DnauHxPK4czhKwMiRxQQmu5vEF8udYrbGfJrwuyVznBAGv1LAxJUK 855T5m/XYdSMvnEczDLERY+RZMP0xYHA388qZfkwHlwK/3b9sO4HpUiQzLCaBpkSg4sMkV56RHim 5tkUCK8SlQDziFQgKM7IEqsxbQWKm520UcD1BrbXR6ULZjDxrd+w0uooNHAek5CegscKqpGg/6VI BIsF8mIe0SQBwJdGjLhHkgTJJJpGhTMb2e8NXlGYl9/sJmytNuLdGLCX2F4kP1lZcfeAwoIV8+nN AxzbQfwmbl5v4L1OQbIPISvLhO5cq5C5W+VltVxM6JFHpp5zfI9HBWC9Tj3AQNfGyti2m+smNxLu tEI4b5MJm5oM5DAlrEZqFo//HiUevIE/ROBvdUZ/ipIQbgzfVEiZ9jweQOvU1fv5j7xf6Dd7PPel 4kryCqXmWWHeFaql2O0I4DEgkC8Jhk4tvYfgGCaXBbZtVOjGBuzWvPyd/fB8YkyzdwlKr3urSYT7 cNzz7/PUpgx7oA6D2NXAJf1YamU8HfPkTiOklIUKrzJ/nA9ruByz63e/oZVg4P5X1goPvZAFAUdI YMA46QN0aUAmGx21uv4hYUlS1t7VegHhnzozFGgxure/R0hNop5Ss05rqQBsCutABdnBiVtPHETb 83AF2clizn3lccUNwmG1cQuxBk487YmKmNPM181dlGUMkaEF6FnGEaiMmQ7MJMW//+8udMOBusdE bvHgIZdNViRROk60O5uBiU6AGsZ6eKiC5bjCeey+i3IBp7IcEDWDR2HVFYGVbjaVyVy973w4wepW HGlENKGodLv3W7qhMskZF7hWAuptzgTssBbi43QrzBv3GumV5b0hU28pZfWIfYod/1zqWCrMSs2T feNkIHQSQEg0h46tl6wvMWsmiIRAYF/Iaagt0M6gmboWLlHJUqii6mWHl8l0oHs3S2kEqb+ufIAm Wg1zn6f0YNYvZcJu6UesflLqNn+iYlVq48jE3GpzzYoI4L3v/mNLuDfMBiloNwAih1KdrpfV8xcN tU7whd7/Qigd/+yTf/F981Khd8W3EJPYndQyPRIV1o8R1LMj6+7znng+v675utdOqVpZgtUa87Pk xz01JkhHrapMz2Mg+n0BxbjQmzad6c+gSxTJvtONXdduV8Vvx9GRbmOd5lQijupiq2t+yccIFcSF 0BQ2JlGPW15oD94iXo4achnxXJGhdMgYEsgSsS6pvzMnybT0sJ6jw1KGmOvg3wyQVLITC5wDg47W pwN0/2/VyoXWginBGCDfAJSOtmtI7u65X2v4ds1q1YxABJkYZFsfOi9JmUQY+gQqPGL708BRS5pf EXxVFvEnuP3sr0IkH5Fee1pPmrcGYWFgRbzrJo+TiM9R5FI2etJb37kylVIJbUB4tL1PlNqZp1Ky pffntBpwJvStrtJfhUD2qtMdjnPIs8WRrgNBbfIHPhEQQhQWTFPL5ljtjE6Dh77NOy5rOb/otmjf HH62GjfyV/bBfazS8GutflWVFY07THG2R44tWnc6Vg2+RC1Je4DPXkxOoTdu+d7mrFPgOumAnupH X68cK3EgvdcVHgk3Oj/Ix7VdfIlhKBgWeh41lWRqMpw8pO/ypdgpl4OJoKrP8W50d5Wn6ELsKlzc jYXv0/AKsBDML0cMvxECJhjWIqSpFvanAmysVWpmQr5e/ZqCX/rU/3X3fDMjBdPnrZP+fGTakcfZ +1784SEf1DymkPRpz/iZdxBx10NojoySTxEZY/oUoiw4NT3nZaKevltgND0w3dqNJSU+8+T4J8Zq 8gmNXo9kqv+8IzOeWc3GGkoOyBZgDRmJK9tkHAUWFn9st32MJ7EeDhWWxaJgFQ9VeRsRXgaY8VSo OH9ZVUxtGuHS+oIkKUUYkTACySSoiqjQNnZIT0g3zN000UGCEhaOMKORJNRT2hzmGRLm4IE4L39C cw40JGoLyn2SCHvbdF6OlX841KG+ylNOj4g6l964OipCt2g9xjc8mEmdLNHo3KmEjofSlmUdt7k6 qjSpaCPJC43Dw7NAjz4PSctlhkSUBRnFdThr7QmSmYmCxT5xau2QEHgIYGjc4jTr0gqGtjDsUrAS MVH42rI7inoEYSnad+KrLUYSyB06ul1URYkVcSYOwn+hnsHEPI06dPA51rX3XELVTKYxuXiSz7EE IcZejuy3/d26tG57N/Iv4tJjLTHQ+MtW/cPovPKIKSQfUTKFd7o5lR0LB5HBOGDlx4W1HyGkhvx/ CNmd3j5/HxJFiDYO7SPJ9/lY1Ybu5Nl30oaalRAdJD7XVln72xlJUfZhSfDLLwpz3lxfcsQOi4g/ oR1obCq0VcXPaU82CkrzDHciyjznAnMXF0CER5+mFSzD7VXp/PNtAvcZb02n9Z2YLRTYdvSE/9Z3 0+E5KKxvf4fv4wJkTAkYvuxJvIWXIV0FknVPEaHMcLwBjOHAzsuvV8qbSNyeIRlGU2IIOingcVqO Dm+DVQyZs/s40nI5t/925NFj0OhWl79DvttED83gXj81K0nsS4byBO5thmPQhOxDx8Q1Yg59pYFm twT/D4gZ7CxafY4/OUPOwLG1AKUgBRSjqAZUOKil8bpeSHXH4zZeJzqShiS+7uXQLHvnuQwUMtfV g1mBaLPbbtbN9aJkMN52Q+aHt5lYNMeI2Y+fbGlz602p7cnnwmvQr1z/84plx05KcHceQtvdm40C 0M4spwoz2xtqD+BNzUGMFq692ZYolLzZXXqqWMHH0QjVX9bwtr3Z/Fr2dAXFZImIVM+RgVq+BCCN nPkSVVW/eYGPgOuDjCk0qs2HDUhitSmDtJEYHebCYIa0Ko4pYYPQhgFcCl/WolTPVWaFPNzPQcJg STx6K1LZQ8FxoEeLlgjMWZbDgK2dlvXYmJablhxkmc5n0+Mf8Evg9Qnwkj9dquW5P8uI2Ffq+7c3 gPdBy2zNdvK5zXQ1lPCDO9iVmk/EXzi6edx8vRZlzGZQIAPuEEAwIwV5zpOoOyEzWei7E26/tcPf Hg/Athg4Ee7O6raRDzbelxW/FMeVUFYJ72WyCJ8OpaB8Fqk/5WzA/Dms+mEYDDIVOQ/XjdDRTRLW dkkvOn4jhWR9NsPdkXZEtxsdZxCJzfbP6QnngbQyNSXIFnq2Uht9gkguWnNrTFa5r0g2YPQ68xdt LiBaaiPgRHPAYED2DfA7k+bktjPstW7PZcqF4rej4LRiHXkTYDBGhwg1Ab7GRDuLOPkPmwIzqsPm FwZWA7+bg7Ymifs0Nxf3A/7d+grVngKIjqp4HKcdTfR35zJYFwc8sek2j+Tgev+eDIq3GjQUQvZq jZePlK9dCUDDaN+nG0pYNV7jNF0xpAkjxwXNQQPq7e8V7x2G0968qG0UIf/jTCWFWH1Jx1zuslJE PqngziCoWvlgN70xuqXUlgVaJruFTDH3YlE1Pe3PIEU4dUT6LYAOmNmebntAK21HeXJDTnRR+ge0 8LAYPzBG8/opjaZBiLNXD0cjkl6ptulhNGNw0o9boBWEzIn27dexI38lIOxCY+bLXZAz7kAMbKJS YVQfzQshAQLXTcruIiW+6YwrR3mIKA1ZY4YQN0eMPOHzbw4RCHv3co87wAB/U6OY/YJKpCgnNmcD rwJynHy53iNQxB1JB0aDBmmzij53zGkDOlKkjj5TCxSQt7AasJ9MYzmcGVbyCZEvmxGQDTfSe4X8 q9oNOzY5PrvTFePBhtUIahJ2EfYIRZs08a+cmcoMVHYhxnKn5KemNZ6liZN8dWz3qWt2ZraK21iF 0gnBj5qYUIKkneifIrFg26AZZmMojP3eGWU/5GnWUfH8dHVpYp+tgImuimyB650Tk0jChDRbdhx5 fOPmWfxIejKtzAN9tvWhtaJT/3f/ysyISfFOPhAlTL6ObHsRjkVWba6bddktsZHWwg6AeWiDwKtY uGJJtJh6FhEEhX0fAYD4VugGrMqOYcLAislG41uQTX06p/09s2zHJDp1YcDGMqcbUGqo02V3dtkd 1ZId+W2bO9HrwNxPKx+2DVsYsbA1/F51PDZmJLPJwx+DC51xXFJOv8A7mqaEh7Le8h23q64rhPrG G0G4/BsbuvlP5h8yLgodtGP9Wjo88ZPxKTYUSaiwoEG4vhiA0k8S1mqSVy9+6PaUyic/9c3VEzIq aPTJBFO59RabWgZrXTD1zluWy1q6eigv9Uk46T6ruDgKwEDidKje9t7kKnZVxhrVdr7+UGHhH/S2 0giY1AHFKr51gOUw/c9ROTidczexj0VoO+UYmsK5HySMuRiV2L4xqT0kZ/vl234poAz3/kxsHRzC 7QziAmLZrY8dBP8ArE2rPxcnD1HviSy+AhaP49+1jY0x+vNnjyc9SM4vuG9jQZu8/Wm40iG8F8YX gLkpNHRsxJ/yQKG45fUr3wK5ppt0MOfmtCHUnO8lNnX2cxOQnqEex/reI41/4lBa/hG9OWb0gXkV 3A4n1phh0WA3LOoenGHA47VoJ1nOhRu/chc/PwSpuwGu0+BLAPOSLjaEl65je4lksSFNGz87OxHF epBnH0uYJ4lO0hs0M8mxDuaPGG0tWD0fJyHfmKZxeKO88F84k+GSt4HHrrJRpntS7W0D+i8U0dgO dtFrYnyr12M3WxMKvZuIdPPut7TYaSOyhmy4uKPIaniQcPpdoMg/4my688OpZZvMfYLoi5fkFcU6 cKWT3qieDsGQ68TywYp9cQiwPFwYK2tVFXYBp7yvgxyCxFXq9qwfXFiCdV2so0jxV7OEtI08hgrp SIJ9BlN48OYD3M914ulGolG91rNgjFzNBQdNyUzcP17zcKvb2dSOpImIJZjYK/7ZIMIgDHdsLZy6 vimnjc/xfnAilc2NVD822LeMPiifSGJZ1SPAf7m76zLyHC+Zro9RrYbNIuxkDtea1JqwULZaeFGo wWgNDb6ff48b4qdA+XY9qCWR5ecix56L/L0YQ0USAupbtVsF0HFThkFXsfYmEWaLVOhF3TW8s2Sz dbElz20OiQVoG5UJuMI0kj9lTzlJfHwr9z0ArLHy0JsYQor/6ucEi5rCqd/aTuHvtpW6B931FxoY 50sxsdQPaL5m1z2DFaumfoz0KXIgpUWCxJrZy6QmZ6bhHykuwIWxdB1Sr7zAOlSsaTs42uW8S6WU 9kekZ/co1gCBCfCVEGs/aGOhikqcSy6aQU+3K7RzpB72KeWpbenMYk69VZOFirSOIdZ4H/eOsOrt s2+dc78tKeCsHO0P9YH8sdhKeSBKzXt0H2h/Nv96thuZMDoVPCFe0I+T8lDXX778AsLDS5gFuD4K E3ofkIVOKY6z85wg1DHqHtRj1l17Qb/5dpkWJdww6oxIVp73T3S6bjkIzsMSyT8/HdXhEH/Kd/nf XP8kkVwTIliRv61pgpk= `protect end_protected
gpl-3.0
96018f939fbf35cee70ff7de329c0c39
0.925862
1.917602
false
false
false
false
fpgaminer/Open-Source-FPGA-Bitcoin-Miner
projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/blk_mem_gen_v8_0/blk_mem_gen_top.vhd
9
71,340
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Z8IPDocDLVFWGe8WnROf0XqyCPDUfEPAnKnHE/oYBlAX078DNFudtkqap/ePlovg86tQ7zyOxRVT AOxycbEIzw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block k6Xnjv9CHmE6btSiANBVQOP1ceSlfLGJghvSeX+7+/V3O0GABROgYR+p5Sv95PvfXhvUkyyfSriN fLSqDfTjUvBKimU4+b1eEHFit63lb2YvRibGb+9SoZyxFP56IKycgXG/kYEUT8yLlaOY5SMI/+HP CSY2R/dexbdh4RtTUvw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block DXy/3TDycnhLXAL6J9Bcg69/ltTL8hgkMQgiyUl0sph9aB6/XF97IOVR5FoZjbMgtZfmKBJbM7pM mySXBmpgiutyWuJ2Pj32H8gVqGdu6czANsVAzLT/fXvYx8OUCSaHwl+4zp3QwJpLLEdiS4AXwcIn 6DTGu12f9o0MzFeXOzLo36itC8hvZAIktx6T8JoH4SvsAbxFxjopccHKloqyCfcUrNvk59xk0Bdr +d/YMvgf2IsHHvD3dL+q93GO0LErmEiC9nDOt7jRPc5WzjrAWs5gt/GigQQaTj/WID9BPMmpoPLr 6KQB04nE2CiUuZN6sNrWKwHdjWSXYgWSzrcFHw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 0RlHIKjsHT9IJGC5l3gr2kf4z0oFbFC7PH1IuxtHEm9oMYo2xaivbNsyqyUKE73sX1LQvfJH3Dny Vo9lxLjEezEPGXmIAn1d4QvrvLBwUXFmpPyzMtLjBq0w3S+6dHZnWKHiWhFByDBoRagIkKC2jabN q6r92blCeOBL2wjkrMs= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VE5YuXxeAbpfPdR4PYIOrHoutbukH2o3RsBDUBUAh6zRt1a5WXqNhRlF16wGD66e63hnUCFM8wRo A209znkx7/8msFsVx7AT+BctB/SE8CC/Lha+WXIlb7qChiOZN9glcmVasGa2JS0eRHgwGZmGaCJd pIJt0QZaWw5Y0pXH5dZ5dX3YWJaBpn8Ad7t1k6t0HxYdNQDW21mrdGci9KSOoZTcmc69EIKmsggd gjAb+GSjhO6Qcn6Ch6qTWEcALkjzdUZvBK9xNnRGW6K/WATMAXoo9mprBLPeOy6Obj91YHMQrQty gG8hMWfxmbu5qeZeB//JvyhQOdTMy8yB7kxfRA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 51072) `protect data_block xMz5eQ8ZKiITa1cWhkbc71Q3WXyXvCT6kMqlpzLDuKMgwLnEmAE9o/omkTlPZHpEWlUyRKKFWQlK j0F5FfPOi4IKheUN9OGBF99XPgX/zJbE+IJAU/ksMLnKMwpsv0bWtPtWCUS9gGlewF5Ndsq7Y8xE lkEUTZIf6H9vjg87Fy8OofzJuf6ZLcY+ChxM5Tk8H/Z4bqhZUBYX5Y20pgo0Mfm0ucPMcloJXxzi PCU9snrUv4M7ZmIIKpSOA8Ms14fiwnKU8yV6RDa7KbctekABOqnv/aPkM3JmBV4esFPbrmw6Bb9V 2I6m79QphBVCPcfz6L119xh1zUx47qNY00v4Sz50IBY1Xkm8X56QAzmVTyB2AP2BLz+SXitY/l8Y lNNW8Ya9yrI/bXsufDAWPr+9Cp9EIHiY//5aWybGr7duZOODDWK4zdAj5nuUEC8WeOsZW7h9vLgx WXdTUkD4eva9SgCyJ+IdCtnLBOqTwLUfSKn/KGW4aVgGwQQOoGhiCH75iRSbGA98uCErUcedkcDX tRhCuDML/Qd57njauLLBrbjh+QO8/+AroBm+Cwr3ZQdD4dMML0tvIndWx3q0a5wbXVVumm2sDcV/ xco2Wt52wPj8E/nHcaj26lVzWf/YasJzVPZXlHztJzOJAz6r4GedsCC1gxzuuoZE4bgWgYVCBk5l Lu8FjhDDB0Py7r9eCH3ofKvUa5c32Lf6FcB19ngLKL5AwPXb/PH5GEf1NL8ZqqJKMiE8ZVcypCFZ nWzj2pq5RxulBdB1x/VKpdu2BidXFbzvw8JI2X4mAt1rb2sILfXu5Dh12d5LBRRJ9bk5AwnH7gCk SNffEvNuHHBxagJl3yNydVeHobAZqhP4zV1S1pxZUQNHV0IFQ6AkhmO4T0w5AES6mYrHRImWdUko hoZjBhwH0Ri/qFoiZvNHNe8TC/mjUSz47Tf6HY2tlylb0m4WyFcUx7zoJP+szm0q0rdt/yKDXPRZ em7kjqLxEc1kvTAxRA9CiwyEjW41AqngPncD5g6+GczI/86u4XCt27COTcOwW1UbuzbzDbr6CKO3 PU01hO14faxdgBCWX0gXQX9lNOUZvQDbcZRngR1hg/wOg7Fn9CAZnu2+r2qiu9epBlqXhj0lKJlY GUlf5qAidbeiCAajXsrLWMOWtUw0BbxLYMEDglkdAH+sVQRULoHgjDd9fkyFVI8FKR7DNHOlSCOj rROnJpyhlFs0Qo1hJgqXvpfRVSWASINZkPrrhfrnWvPWeh86DPYDYmpSgjaxsQJfEbzk0f+L/js1 9I/NplUnTVLCuSOFXfFhFg0yTFOYCtVIRmFsT1q3MVfxaQ8xkPFC0+Dz0nOHBRHC96pSTvSYQ1YI zTdNF9uuzd1KHHZ/WESPy3iFxKyvsj2PKUTnx4ljTIGX1/aXkHXKhP2gcMctpFzglbXaM1Hc+7bg arwPaVdswgf0XQsIRGcVG4BiPY49Rhp+r9My1KrS+PAoZIBCjNC02tvgfWihHw9SQFWcxz32w0y1 xpHsEgJWuiNrek7u5Bqo/J6ZHMSdkeg2St+tMHNyT+gXI5UOwfpopIHprp5Ccmz3P6aQLzM14oaD NylFSLFHsd2hOVfm+MxuNX8TyPgxYj2YGeAKnKtFlqX2Qy+fd8oTFA9t0quE2Z4gHmf/tqg/a56R ubEX4OQnb+wr2uUHTMNsSxOnwxfEQ/yZS5+A8cl74DLMRcipK1Ub6jt5l550qDXQZ9MPkQbq1d2e TUGM52x9soXylKhlKt5M6mYKnES+LB9cov2r9MW9uesTyL/n/e0lio8Cixxl9eSWVikF6IgdanKh SLFD4QYEACHh+w8u1QYEG/9SASgXAZMIo1v73L+cU3lh5psFuOBUVRO9mZ5ag5tZHYMttzAYSYZI XYydcdVQESSh2+Ydmzql2B/8CoSYUtiUBUOAFYos9PdKbKSvn5ATqK2p1v2JiZvKqpK0SdM1+qBv mC0L7bk1o586gZTSIDuAfov4lyKskhW+Oih1rKnx+VjTXQIppsqBRGyOr7MDeKAIanTRHe07NuTa Kqb9mKZbThOwSFTtiuqv98yzULkOksiJK2VOWp7P5bzCFxeHad+egWomjOWsAvtO7vETJEEEv9u/ NgE+GGO+qx2l299P9TdN7qSb0H+ELZXFL/wk0sP+s6XD+7gZ+ubQ8C3t8KWOgC9jhqPl8aFMDAMu pF1te+fKH2UqnBgO8L7+982Kzc42xtwYk4bM5hvNAL/y5zZwHo1D5epWp9xWGZvMEFl/TiBxQ71U d4rSxt05HEXMl2ucPSKS05y/ZpQTeyjl7cUljKWuEG4WIoQe1sZ8Y+jRRvHjM4dWXbhR6PzszImD Ql/aBtj56rhLmUDIi16aF3oCEasQMu4dovbKylzCfXJuF6O65fIbGf1ibHmz7ktSpsE94ce18/Gi DzrA0IAst87d1yB53BRDcioaya2FifNX7UeTEsREXRdCyaeEwZsLeeNkULBAKzlt7U6k90RLhXka DDsMf+bMJnocChQFJA/Xug8c5h6B1ky5pC71lPH0b3JDTAn++gIPotLBFuh1ochTTJiFg4YvYWvf oQPW8RlsrRoDXt9dhXb/ebN3FJqKjegGgaCCt9rEfC19ea+vGWmaxoAyRT7HheQTY9pDf7iLti11 os0/L/pbIJD8BG5yOWWFuURDDECsPp+FCkGiOAF6ND2z4IWWJKxUXDNCyFTNzJ3cHV/shsU1vTyG Wl1Myx1CtPzhC3S0qF1kQhq6XwgUQi8gAgz2UPej0BgRpcfSQGKreVLkYxH2nCrVXhHCjfn0prqY LoF/C5y9qVZvtPEhhjhMqSFQbJ+O1WAwiigo4w1UDJsXEzQfhTrk0SJYZqBZ27TFncqfPOa/9mzu 7Rp93pes46r0kMDUA5UCl8KBavSapv8MuUJ6fx+5B+Y//XgMwvLLHU0JEHVVAJ692+8csMpLIZCZ jzTDxNvI2STTE5UhbRjyWleabOVdGVx8L7hPia33g/SpV7DQIdNjeQlULujRtCnz/SjjHc3UJ9aN UnAyybIhpIy99hHG9rtqs+5/svTBIrg+R3tariFUS+4zzPldFCIEiAxPjwtX91rCZ1JArNwIdiGI NqhHR88ZxVGimmJmaYv6CmQ4g/J6twJHLSwGaIPDZ2kNLrKSHw8438X4A5jzBFeMKjrmq7fmUFc4 OKmi6S7ZNSKzjw1l8ZOA44l3uLl97NdGQ2pnomKEjSiHCv2CUwtTvxNMhAn+DFR++VIO3vy0nZ9c btqp9/XzqGnv4To+mafyB+atglv2lfzrOB1LK2JKF3DDZ7MadsAKOocOYd21BpLLyNc5+xloZACL L8hLavBrwnbPxQG041zvLxRP2v2OKHvyhwSupFCi5wWgQTK0+Qktk+BNmSqEnc1PjXXgx78pWa4b 9nibf7eCs6P5ka+KH6ABgyU38Uf3ADT3WFX2L5C43Q+xX1DxktbAErLu7xV+8q339ogI2Wjk9xpF 5+s+31KGOdBM+bmGVl3RJFCpy+4ptdDCHtEznV9MwjFpCEPHalqaRvVIXkLhbd5buFmV8e7ggs9i F0bW2y14f4cwYxSsAMyDZ221Tl4jwmjRc+mfGypnWwwEvfhzBxcM0XIMsqg0tTDbihN+PlYD/BhH Y6HnASym5G5slBrOmyUULdvdjOIUutacT1sDmOVkB3a2xkIpYLInuqY5WgU8JuDZSdRSgJtEYQf7 O5dzMfqFRAISyhe/qNI2x/LFSOqrucnLVg0Yw7S6yNK84ivT3jL7ZbJB4UjBBFDaztHWRaMlSabH +3KWr2IO2Z9Xcgm5DP7zONx5/2Z5rfK7X71mT33arj1iThwh2u0wIPxK8z8EPL24H/M7cgE9VRGu YDwhWr0cUCXukyhzeNRNUajCXJ5HM00YZRpZ7LqwkHtqjk0bgILIRwWvvlUXBevJ4fqGQRgX99zY Zqh64kbKy7l315C5EfYlMQ401ng1G4O7FyBtS48IF49gAfmud0an6xJnPiCyeABGLZqp9pqg9e6r 9sB9NECBV7KGTDR5tzNK+0scQsH/R3oVs/HxVsEM5gZBb3x5BIu3SReLyx6W07OfCElI9cwRXBEZ GjoYCSkybUOMeIQHsdspjLOwaWdI4RYjXy8PtI7/c8msBYOrAnHFe46AhTzApNPJKvb3In3ZmPRd cj7Uq4R2tUxpOX0BnnK9T8CImo3ToGj0vgx7XgsoDQxaIe6XPOFoBtaEKbr/qCrTh2W2xl/CcrWQ 2yHS6A9u433Lqz92uK9IfaLU7nOBMf8zd+aYQAxIEPhn99vRFC81lVl22ybOyQXUZ7NWeGQvpGIz 4dKlbAn5L6yiceCiyBvZejZeUOlNogkde+x3xuVzYStIDRbOWCxm61Xp68y1C0fLE/kMLqiTtPTk IU9yGbUJv8/rpAZ7g7SKpB2EhCAZMVvf9jLpp6+Qs5PUMk5qd2HZpJDbbx1Fj0blX2ji8BkwGuSW dspLLXvD33tRRiAJa9XlYrNU87Le721f57IJzey4BfgLMVI3J1kB0pTfTuWzXqxiqv20kw+oeGlg Gdthgi+wSLZCXWwaQSLVoRVDtGD/4yPMdLDAuSuc3ys0/tv54Itr42a18zsSHAC5LJYxPhvcQfNm RdFhM10xSbHReuIQgCX4pJEYM35HRQQ2stO3B8bbcep41Oba6xtXIoe7v/Dn3B9x06BITbMXaJ3i pRRMSATwrkcgI+4+wAFXEwTpG3GHOoKaYuHgGSOxon8GHi4HY0V6H6jPgBdzoDLViNKVAyuod55h w7aqsdxmzEaJFFegea2YbCjMW1RLCO0Od4b4OPiz5EiVifBkIqwSLmMLpEVTRl3FX+as52ZCmAVm 398hvn52XL/dVkt8tafAT3x3/RZzZkWoCIfozUZhYeEC7s5dQmZNLRuSDgXizMgepTDnVou0RYr0 7d8Z4WEbZkaixgsxtGRbhWPg2AMnBtMSqzidJ2DBVrtiOEQ6C1pJDzyK2Sn7VqHAYO9fSHDJK88D cS2LT1ocBNl0ugbj80hUNct7vtFiAkHoDO8PiM1D+k1VBumjD6p8AoSW1lZwenEoBPnpc2WKB13I TVDd44pjWP+Pr/yBNiH9riWHwS34GDJ1bjCLMvBml6OvNuXcYHekPPwT7q0V6y2c4XwszpUb4DyL jiZAXCJk636ktxqtJF+lWQJ4oxV15nr6M9262i/jXq6QvMgRiO1pK82hzGIPaWAQnJ00TbFS87h+ QSDQZBFC09R8qi6Qrkf/mXrh0/O9eMP2DkS7c854WtpsTnxsL8KgT7hoDU9Sf9PsHh4/4wQ+dR7M HlQKDclozG34NGGen9ft7QjxgFiq2HoZ+lIb0985u/+DSwyOGWjBO2a0EnUE+RWyo4ZvaVjxhocW E3gVRjGxmrdiimOQjoqQpSCXIyi3myiRPY/RZGBbpRqBaiNGaChHUuRaUAV89wmypIMq0ATl7snU 1O8JSYeZj+8SBagjdTLDh689NlLWHo7OLbqSnYcpwq7h/xHOqTH4prsvczf9PQ/OymOlEsGvK+zR shKPxBQ/RQx2uF95gdOS0Cjv+lVUIrnk9zm1orRJWt0acEGLc/PDZOIOSc2A41iq1+KUcK07oYeF risHk0hSefGQS4L8KubEbmf0PmHqOEQv5K88gsHo9X/qlkHkckUS9OyVNFn0l0XQZLbshFEMCx7C yhRQMxyoR+Iv8aBAp7/Ck8kvJVN58a13ju+Zhz+MedtA3FqLfrrP9F1Q42HO+Ed66l135TtVIXFR wHCuqtri7YSt9bgFFKq7dOrlRTK29R4SfP0/ZL1y8TnLXv7DQ1twx7MRWUCvoHkz6y6Df9GZ+3Hl QGJ7t67gAjXltw7G2O8LPS10X6i88Wa3Y0vhIPsb1N9Qta9XbiYHlc7bBWx5BHi+tlHTP7szEL1e H3v5beUAWjw2FuBXInpcBjVzu0QgnQuJirPnoLQ+bb43VilF1zX7F7VHXF4oZKtfqe0fMTrFtt4s /TjaY3nmi/8HjCYfg98A09mE27dG6DAk02vF6UTlUF+Wct7n5zeVpmlhYI5BqQQrce8sNGPGzI0B gcDOLvU4ow3wm4bmMKN5suaTfVM4RTyDrB9fmSNGwCamDjysNGVNjL3Hb5C/K7jXbcrSfUi1JWth uhd4hGjFCgaf5Zba1Q+PWUtVmgM01lE6O9TlXHYRkug1ZbEXfpsRsTQl7mrV7YDb8OMN+bk1pN12 aR+nUQGizhJdtywUBU7nZKMxM9fhAMD/41r42xHPj6yBTyXZDHsNShpF062hU6rUCCPjPSZo3dRs fQvyfDuh8jfO8FB0YRfjGHIyy45qOZitQvNEUdh90KBr9KS/qjrqbXkAQLO4EJuD3ifyJ73bixKz vyfrWvt366tfTS3p63MxNyprv0PHwQWLCeOzA08lUjV4gZ/ugOlbqOmOSijqeSeJwuP6le7IvvAJ OZT58PUN5kK1oMV277KxrtQl+L6BkE0217ZGimiZHTRHdEtNoC9xAmXcs8T5+JXQQzIx+sJxxSfz 9Gar2jO0b+b4EVWHbgWUDjq5yaWHHS7lI+vlUIHD3JGaXF5odtM/W2RZnZhxtkcUiTlQSyHoUNFh 7UD9Ot1WI2u2N8JptZRjcMQqL5QKscOIFu1Yiy80YYxliICalNnYcUe2rY1qi1XspQdAG5zHr2dQ GcKas090LFu2VPN9uwvT6knUWtq9b14NnwaW8rIeGsCwSb88j6hWZE1ZI9gB72qSOh/KPNhdeyo0 cMymjzpPf/t1SxivZ02ikMNs++51lCrq/zzYht23TSwD0515Sj6Gs1AmThKTrF6Zd7DucyckUv/p coKFEFat+ayLVGuk+D2kXzIM5/EGhottysEXML6Bh9EISve3Zc/iktO4gyFvAPDt9qSelWpwHEzW RxItwxzTdZ6G0/ypFHqUwDeJNcUF7fvoQ2j4cI+WC3/srDDc7EV5W0H26ofLvlz02BdZmCVkCFde p8uo6c/xvl9mkiJXZv6gPvVmt8dMebx49O0oB2cnd/sjqaz3eVaQNaI7lljClGjVSNvpz6bTjt4R IL3DKAo8nS3muf107D8e03OL/hNTPNrp/JH8dG7ae4PXIqq/wN+0M5WMI+OWykJ3lj2RlD0RWkcB K/zqAHRJYmSrPYDR11f9jFfFy5NrFmmV/iFbwT/crTVhJFZGcP9KhASsTyYjIen82nzi/Cj+s6Hw yTA8ODpODzeMdEW5pS/Kz2bt6BahTYGXwMOAoh4qxdrVeV1CorHbKQm2vs1zW3N8K0Rt7fsYHRd0 aINYwSKFvSAsVfYQ/oIAD5fNR1DwuIuVkP8KbAK40Q5+hT5Zy9S9vjJAotqyUrQQqQ0HzQxiA3We TA/YJ2yYhn5swXYJynoS/8n1Kn9JoQgMcAsUM3nHcgl1Pi2PDHElqG9Nzb74mvMO6oKJvYS3oSDr lEQVYDU/htGK1wOB4bh3Ynvjnf7FJue9y2GFhh2/TnMpmlZjN6j6/Dx4lLc40pokScqwlRHxPvNO CRqTFnLJ/5k5QhIQOtugw/Kj949vpwRqoOAucIxt27/Cavtn0p7Km3xLm9Yj1I8FcrVgLhEuiblC XqDGv7myCnmcgsXjLd7zK1KRPfy7fgpf1qKB7oxuZ8ZTAVnUig1+8FPFLRWRSHjdcSiy6oH0NjbP tj377xjcr/j1MYcv6LR0fomz+uxEcvp7XF2/tqNnDy2VczxaouLMoTjD9DbQmORtjixRsXbSLNJd PaM89jELztPMlAH/P5xe5Gk/cqXRfCC1xR0b9qGWeOMXRdsZnhUxOwLC9G5qq6z+BOx6+mG7QCgt fy06dzMHD0gngEC/i6JKkaktCqNe4bNMV9vlIFkugVQH58PfgmvG+KMH38Kgk12hdb7YvJVFTsuT Zq+g2X6gQctQyWgLV0Otwk+4vrm+7lZUijvluSkHL4Al0U0PKTB4KsGM7G+hN7h+fnq66TsNYK4Y uij8GXt2EGArnOezcEnHtpGQ93PrqQdAGPG7MVNUmcMrVslLzy1VHl57RD636MqkwUomBVV3iTGa RYNHxQ/nsV1aI2dmlpiz8SWgAjTxjbQUcQjkaYYR7T1vKwqQ+BrDldm+cdLF9f8xwtAVlR/GK/ZQ xf8uLgPjMzWWNLeaojg14W2XBvIeQLmvp6tqjL1JCZ941HfyvFIeth3BFbV2lYruGgBORievnKSZ Tf5NachDIZ18fV6j/ABsww7um+y639RtIHBUksJTDf2O2yQLDtq42Ha9a7iuxFv9wgG3QwnyXZvf 50osdfHM4y6Lmi6s7LGRVUW21Xw6pnAtFUs9mnwijjJdSpm7tR8j924qMSrl+uuPZgYybh74ceum l00GwqIUnoN3xWcjb6TTIdB+FD35n2byE31fDj0mSTZYLtS3KjyUKzW2B5VVNzrKS/kfO0C7vVTn NWineDgZpCT0e8XWm6Osj3YtJEgApzOBOvhl0Gp841AaQWuqz/YwyMpmmXcx89bGwlhkCWwj+eZb c/P7ExvGpRhmcd3uDwiw6nkwUoe++yvo5z1TOKM27CqpcuPvY1p0a9KPHTHOK9mXrmWLo86yjQ46 0yape7Pb/3glfM+/U/qbUkwKDkOrh4yJMhjV8IiIgegdTrc0843CMJTcdNmjOVtmNUM5FTAHVBb+ xlF7/wIMrPaFJHXspvIbR50cWOmxnFoNCwlZagDvaxAHigI/itCQBcW6WUMyPWQZ8OvG6mtbRzl1 Fb8B44pqfl0lneO8YS2NFgiPFMn1GTv+jbITOfLD4ZZ5YY0IwspDoHwsBuy0gNsaKlkzVdnL+VYk cT+Ls+Ub1F1tla95xOxgm96U0zlMnMTYiXdwfBNGX4cufZoe61Tl+X/f9wcE/TWaODlzOEQHUMG9 6AIixFL2zCSId93CW8cEur1Bzn092h0qx2PvQBqJDa4molRZc7OBOFwItMXkibV4o6CGrxEtE38Y ctiLtixMKxnHoLky1vFA78xQkrjN5aNwN1lbUGGmE6aoR8DG03vhw/CDQykOrSpyBAoUld/8T4sn btNGvBglgeAMlG9xnKlnI1+unby3DADfyZWRtD/qrfc0y1Yaum2SSWrl4keEAsfx7GYt36HR8d0J h7QOMRAqU/xkysXCv1XBXmRNvdF6Lz3fIJ8AAjFuNC/Xv5fsoy9fZKa/Q5gIIpu49sXrYO8ksuHl pvNYEiclZTbt6xuI5+AtfhTM1TWeHJ3wg1kdH44VFSzHxqA3Ug0ug9f6/54YLqH2YewFXeVdshRl kE/HdQCsILr0tmEvP01aElzTp6WEhjM5Hvbmk7LbDTJipqyZ2VWyid65BaWf/TiymcPD+ilTwEp4 Z42MvBD974zQr6MQjZI0PTCJt9wvl0CJhv6dSPzTMV2TwwRM0b0jJaC+uqaEW8+8Apu8IKfHlEJ3 QR4qtICqfshkjh7tLSbw1/nBOCnRw1tDGm1YMbiLW9ANZ9h1m4K1KURIF+50f6aR7nkSY6nXlsyc V5v0xtUt78808VcVgIVCToHioceUbsobNf/Cz+lFrGez21ffkX8EFPtOD3a80+21cx9NZGbniqHg t6eD+MgCMVco2SNmruv+s/Dav9mKjT8YNmIxJ8EZasJLbHc4SnXc6Bcs7TVhUNpX86A7I3L6VLD6 Ch4IdKhV/Q61vnR0qQLE++Tv8m/jO1JK8aP30T8zjQMdpd0Twsb4I9VMtXW59WMfpai4BS7jwFiM Im5H9iFNWPWjm3eIZqC780SeQjep3FE8McW6wuiVJUjmRd6AEUWCZ2pZ0EOGaS0MoCg9wq0OTd8K gJ/mwR2cuq3O1ZdeyrC3BAQSfPt+rxYMtPE5c6+K2qYE9Z98Qsq1wzDZWJhkuWIfo8gBtftkEAzG fSQdkRz+JMGKbjR5UHKhktcNmiywjDCJ3vcxavIEE8132IAlWTIdj9XfhKsk0OS/dO5L8AarA4R8 yjk3AaxY9eJcYcaAAXyaBjfQyxAFH1Dj8bJBSy5S5w0RLaisyer6tt8p9zW4IoEmagOiUevbVVkx POp6UWf5mYfI0Zvub1yJHn/jmgMgKcdJnnUzXmLtmZo6X5jw5cysFwUmq5pxy6Y/AbM+BmC1Nwa7 OBuGgOTTeqK2WmiH89UBrb/yYyu3YjWv4nqZgpimCepUzWOg7HFr8C7QCEvGrj756nmHLnjgJT2C xztBOdCocOLIB5lhL0hULWND6VDClB6szOo4FMs0qu9oLP2RNwOyxeoy5PEVDQTq9A/mbue4geUT CfefEXa8uclapHGUS25W9w7QSU/Hoo2SE8w8YsokXMtzCCXW5JFXJm92VanpAcP5329f9RgwP/iA FC0gwm4tFdBQEx3HvNSyyxu+jkll1Z6XGCWOAHMzeZLAxmsXkIroyDTe2l8dB3o/DV+Blp+nNezj /jQ9fuYL3V4RMpfU7uNkariq5eP9OPpF1me22TQiwplae3vDNSgaajYgwvCiKuE+Jcp53kGqcOMI iSi3ds7gHFU4/7tWzJa9Vyk3qqFL0SCt5fEGD31OpTMLpATrEgTgebsjHtnQJZvM4zrZ0heOhscC ShPsbTsst/YxnIUVGFcNQabmmCW7KPepSMYZaYUSBCujMPCNs6G1T+i2g3AKvUplbbt/BRBRCRtx u5LRDEW/Nk54NHS5RbGuxhmU/ap4DI0/ea8KZRs28S69brVp1QFEASiMzMnGosJZF40m/bFDJ6jQ T48UKfjw6Y93OSSX5v8RoutL7pOteDGVCEQ4mRqBkzuGi+/YsD8YSsXHMgwqHdJ9p/lDUvYPS42q pUAXbLzAQaghlD6WFNxHwbvbwfX5jpRtUV1U4TECgLxK/oqeazmJ8gsKL3GWVYlDyJYa7sMTok0X MWwMGPBVlLbkOPMsG9dvm/3Zxyvci7Pv7BMnpfncge72p39Hckst4hn9NZeC5KIYnMYZrjgc3kRb mOTiGwPBUtiSu/rRvsdg7FV9c1cfzVU1AYVe7qzT8r4O1VbGVhr/lLNGEOuKz0zYWg4JOUKZ/tcu rPDN+iVigbIq6V0GwlR1jDP9FqdYGkrAVMnAKl9EzbAO0osyLBAW9svbk6fGLdRCPbptgMbnKsQG CB4z3k2xpJp/0FekMyUMh2Ol/cp01Di7hnbQ21IhTty73E0MwoXxb0szOSzCWp2WJLPS5Pb4ZO+T Jsg6A3sR1G//ldnWrCqxH52jaDmCOqt0QIfAZi3H0jyVsS1xw57OjA4XrNaCd28SJtXO2QiCFdDN lBgc/be9WUMiZekctAr2Fg5bhoubAxqCKOD/86k1YQXxGQgQAfsieZRBPUHJvR62ClQ9sxq3FFjf vmD7LkYQrP9tFlpWfdiFSYe1hVXg4txjbBoF3aSlhGQAH1G5+vj8uFjblPZOFjd7MJhu6gkEopzL KJs24H9O3+8G4NAZJWJiNrI4MzGUx8LwkvvWxLyI3nDu5T7YIAy9RXRMBPkx7rn0RgSUqIKKLNZq 6CHsnXnXOX7ouJDHb1IgIAhw579eOO+KkIB8PQYOisI7YxMANYU3MIqFbAlzZEX3FobldzA/TPnb L5QqFx3KjtnZgoQAyMYhmQ4YBNJuph7tIcjtZwtqX2IGSCoQnt1v1aV/3WG376+a/xlKcVXTHUmM 0y3kpND+Wb4+MmEpGoxaJ1BXwfgAPp5n4huU+uclEJFdXThZFQk1+EY62Sj2AJs21S3CTjNt8ST3 YwTswHobv73R4158R+EjPmbu9chYzv9xtSsLU3mvK9Kb2NmeYCtlrSURuxGJeOy4FFmq6Jw4tZJU 3PcMNllQjo/T/SSABHbcbIOBTyoQT9BApw8QONDYdEDqIHhcVKfliKhKDSJ6IwdRmmeA0CvcIiL3 9lC+VKPnB+GPtGjp952tgYA4FNLD3MhxRRB7gqg2Y0MrmaiA7bJyrBaLNrWaUWZk+5OWaLNZProb BhABnZtutsbnyh3ojZfLMYL80i0f2SqCRf8Tswsgj5FWFSoIhZF5PbmV0fGkMhMnagQDugf2+JzN ZpzZJEt7J6XUTaCTAaK6BiIytCgN8HV586d6jb1Ku2Q4HmjNXX9CjRnmKrbtstpqwwDOujQobyow g6a6KsUXVcxwYvO3XeUgCxKD07sifR4bG733LUMlK6qbwN2m3jmp5i7M+6N0wCK3AsxMwyhfg2O+ BIe3INn0CYT+yaUq823C64IPPZwg12E9p+1kGHJWixJfILY6NfntOFpw7xwz3A+1POIUwf0i5FeV llmZE6oe1PjfEnd9Hr7QWKdDb4LNLo4q76EmLPcY6kwxNewtkXt3zA9zsABB/P4Dbmj3aGuQlhXS cwtICtKJR7v74UOug+9JNWUWQgEWXhfOMjdNb9huj33lPYI5zQU0Ro/uk5PjB3VlFGCakx6rFxpF cDomcghBOtDtGf9MnPkDm244GO7rXQbbx+bfBPQFW8taqyqkIws+tL00Y096N7ElP8keCNZ6Yw3X KXG0nsGJ4XpDwWhWjbsR3uoLZ02EthuiGYaaIMvW14/dWt/NPzsN5+0SB8mR5f/5hhhpnFxzOxBz e/doTWHYkK96G3txt9tIMDB080/gvoWiZo0WVTHin1i/Avhbl+MZkVDbCQ1C5HDZnO8B9RGi7a0H 7wIOnt0aqp/lVfxHLvKEg+MgnOoA30gXQNLxbCzZJOYGPdlGYKXlmlCDv198/LJKXV1lmWA59UKh VYAL2voKp/Jf+tpdxFxGNKRy0z3Ip/6ayulsKihtKoQTKmS619TF7CCEmzk11tDuayW6aJ7UEpKA euxBAmqrRFG8Ngy+tRbcGUTCIN1joG045XZAXRkmvSk3+srnIocTusRqRntnPa7PpERDPAf+s/Aq HswAhWaevm3mZI65EfaTb6PsWyqkqQhB/x0D8rdWh4wmZQussm/4rcFchGx6DAb7sEg/9/TMF/Qs soTF0seFqzYKETHQvX/rw7AV0T52TzzdY7Bqc7suBQgDIWUd0lfyQFxsRtY/FUceuZqoSjcQ0y+7 qxyZFktfdo2uunXy+Z35RdIywndsoE7Pntc+Cyn8gMeoH7G6+3IfG5LmofzAuNwcsf2HWfZ5wNmy p8yYrxQsPNDRK1NjHwyVzC0UjHS5kRxx4CZg6MCE83SucBiLKX39ZARVX/mJ2mm1oy4SimxQontS 8Zz1nT/4OKdGRklDqcmzwP07BejZYdvx7pyDmOPgzbzVJ3hljhOS0mXhdbb6ppXLSNOdBOgGEaLF /2a608bTskyGSXAtbGjnuDIrgmX2iNeH4iCjLED80sc02FNUrhE2GyN0Qzd3Q91Hd7FQCdcxrzP/ 4EKJ7xKl9/vG+IAZqo7FQwwJXybcxuQqC78ieDhmvYTMpGRCqFFiHW7opFKwUyDfZ9yw5eXUjbsR eh99eZ/LC50UfS8jPFn2zU6InvBmDoZtdhjfxdC0RepbM20UBza09V75JA7Bj8NVIKfLUk+/WVg/ OTPYYL8/iSzQznnlGOXxEhxzX01QXvbPNYToDH1wE9y8oAOzU+NrnlnDntEZW/jeTca1wqk+iI9I P0vM4q55MPf6GRAymHzZi5hCHJbzcfVI6JuIswz+LCzNoovH/w9oxd4Nd+Y9Gvg/7gCgwme4Jvxn t7k6bUMf7EyS/keyvtjeSpmusQKs2Ld9NjxLne13YaoLedzu7wMM8yFCmG9zkIxKGUZyaZ167TlD pHTd8jJ+ycYlBXaqWMa0wciXShSZugNAYQuU6jy/lycrOd6wbijdBp8+sR++vBUoTngmNAh6TE2V /xuWkfccMd1GUM5NuuvPG96dS9VaJEdKbzFV805QvCUrb6V0g1EefM+Co+m1P0FnJI88tdva1zYk MDJ9cdIcXvglGk2DLTK5oxGtzfXz+jNnf2Cb7PiNMVCSGtMf7xZDOlF8uMk3rEpkGy7/BxjFLgwl k/z7fO3GrJWPCpakwgJvbdOK/N4ky9sIj7n4nNcioH5+R95FJZT4QWiQZelQoOoSj9EKAQSgi3jx TORpom50A82PR/ERXZ/6I3wHeUxLFE+agyHjn1/x9xwH1M66RtOBGQs7AgNjF26aVNczgM6nAqkG ZyGmcD2nkfEdBuEnCsWvGjR/t1iTtfrZLbrGjVIn6fNypb2jaDehDq7VTVOmb5QRcQ/eFB2RYlZz oGtGXKZyu3elxwE0NsAfQNrpP+VNsCsX/A9n3pYjkOUsay4VECJ34Ge9XuaP9SmPWdC7BJEnIdpr YVU2rQkvuiFonJhpFbxmaxOSbNlCtugYROjbxJZjw2fPZpFz0cy3uv2hpUIx55/L7m6h3ShwL0my JEqsOo8h2icbu7IciF5gSlYNpvI+lieEI3BdZHrQAScl7/YUCP8mvpgxjRNjYc5iZcWEg67SpRn0 zxCzGvzdWfggF31bIM7ShbH0m1920MwGZBuX71WAuLWG3s1eJnkZTfCpuoEJZPtDYRpGRf77k7gB OteHfyorz2aK2NnR3YF+WBBSqU9F6pZxTXjONoLIcwKxAiMf/jCzC7P5nACWRKSjvI9CPfppdvDf b9mMs8roBtxnx6hM6F/hTCN9g25ZAfK/3674OR+vs6LpO1yHR+m2c4b9e5seCsORsUADhMQEV94m 9MEMzIzP08MCT09VWpoRN238rTcJeY471xg3pNhAuBaUVsQEDBfhheYevfXsN8I9EyGO+HG7+8Y4 oYyD1pD6ncted3WzvkPmadaVmBDr1D//NWU+CGTlygUh5l9q1Pmh1Xv+CPgkoZPYPZTXmeRbn+vS KEIrPsxxfiH5kLXMR/bxmpfhC9mx1hPBv4agstEIr1gmiX8yZoI5h17vgBTAt14C0tKOs/UrUasV 5RXiXqQI3yFUPqJR7qbpb3IS7AbE0+R6k2HxdY99ZdAVNn58rJQEfgdlrfJa4rOEVekHW27qPex0 YEQ7h//sm+KGoBoNu736WfCOMasQMN4xF1Dzjklr/ijyBiOvMknOzQaaRT6Rwut5/aOib8WJ7KL9 FaKRb+b6K7RRYWmc+ZDzhZS10BfEKbkRC81EJYVUqDRPRNGyek4o+Y8A3R2T5TH0dioweJLYs+mo k5WBVUQ94rj8u7ZWLOdNWCaAd168tKWMWC8KdHTM2NuuFvCdbbozBiaROXBTHlmNq5RWRVoPI3TG Nhto/lRr99YDtctnIj8/UjM4TUsygrOO17r7Gh59NeMsKam2Dxyxa0paZC91/ugD3mEwq31J2bYB uqHKnDmi/hpZmBYZivOcOYkx+ixh6Lf3SJGXTvvOKJaA3W2Vw5wq0YFaU0LQsON6jxoYepQfb61B zHfNX65Py/EEG/O6SEayrRYI9Nd5l6QnojD1fuC0ZPigSa8qlPeWzHu9TOzWyPfNE6SqvV7rgbom fIWsTCwgfFqO4PozUVBMlib5vHhxKBM0XvMERhxvjc85SWkaNwgufYlMHaB8KFluUoQeVa4oKIgO CkZbyBQaQTjqIeRLMdzpOnzJB0AtCrL7sDhRKcsbPbjnrdg9s7NYq6zQwjtfhG9qqhF1HH6cjWCc 3fULmkOGr+3yK1/cznKUa8YburH6UMf/ZxC+gi2vzO9ANii6vhSUrM/OEd4Plmx5F8r70EBnBDWn iYPfuN1/t2mzVYlmoaDnx6Vu5Rr3uUVFkKWChUdG+QuZMyRU3ZzeAXQ1c6yWNUFA8Jg6RtWim3RC QhctxpCMBlcz2RQL6kUmCt+DZAMn+USw9VXvWQ7XVffilmev9Cyxfed7z2pEjNvSIk+X/5NqcNKh J3xHhnGDG54MQlk8w+71MgOqFcGQgCy1bJPvnDHI3MvG74Qj3o1uNHZb2kEEW6eMsbdS2rMn0+sQ DPrwY8lpiqreJqTaZKC76nu1fxi1R+xLrnEmNBhXMP/V74EMnLS/vQBSGuKHHJ3VazvM+9/2tGlS O62xOK/cs66cxybvL1ZHjl3S/w1QbRtBOm0QctZc/K9RApR4ubPGkaeYJZxzzsbwoXEI0rw5KuAv pUIrlw8xxdWJP1hJO+F9bNvwcPOLbg8gvOSgHkvzy+2w2beMTh+JhstqC4ACyLiLyvt/edEVlQhN IFTJrZMMUieTiPu3OwIhvdSVMBIpdpBugXuGWXVp0jiYPV0SlgkvQETYNy//k+1nN8c3ubneFtYm S1fOqwfcxGFpS4o/XN6HN4OXZMjocR+6n7SPQ3c1gKMZjHNe6rdarnrMD6BgffMZrYi0YfBkBfTq ZT0WaG5nULnix70lsqqHPATe45V2WEY9dFz9R1DjW+XDzQjGkLXIqgtFNPa4gBfx9nf21qxorwnG 48aP2nE7PUA9MAnUa/tAxlHQp0Ka0JxniUMpuJIgrvyeWQlRyFHorGcIiaTy7ZIy4R+vF+NghhXf in+9bgVkwWesU9bcL/13ahAWSX3BWZd1fCePl3zj+uerrJdwIKywkvhroJTnEPvdfF94pJAM//oK rkFKLyNik5prDtoER3Uks2A198d0JJ2lXbYfisBKxSpSRqVaVoCrsKKG8YY3Z4XrNBf+hp1N0N25 Zb0faScpuJI4qkuw45RWSSe7B37s/WsynttwvvkwoZ01AxRq2UY41hzViH4N/MxY4ClC+el8HDdC JIjDOCbs8Is2mWfGft9E6GPkC91FRrWeve6Q7RsyYKaCYnWwPVOWvtTz4mG9YDtVl6T82LuQfxE+ Y9u/yfyn3xMqqIIfniuA2XqS3pEl62tAY8migQHjvSUxRXdctNJTlqrCFJyabnckxSlwuJrhL/Wd ak37/qUim+I+1PhYVSvpnjbErOvWhuCWbg08hehUx6rtFnGZ1AP0cQjQ1vhnbA4pfUZYnZtbdUx/ KEozBNkq4BbEXe+7FEG5kjttX9xPwohqB17QUPBjOJNIkH7bsW1ZoZc4SOM63qzBkiMm4ouWuf4P drmZCSMNp/A/zNXlmVNKbCnhhsSEVLeMd6EixCTFNySAm3cbcO3QZUxQI3h2Yg3CcQSIhwtiOINY mR8QhGehW78n9Cr90XaEMLFVIqmM6MwUZT70YoAmx6NP2onHc2KoqqgjTOd8qyhlHA1ls0aF4HLN a/2mY1dG8x1B90KmgmHAkk4T/3BJTn8nT4Vv49HxnJXSiLWLfh+fMvLGT9cjAF4bG0D+u/jdITDj WCdw5jPtS0jhbX2nTWDOhpdrwlZ2jt1PSs+VrX15JgBUXMsrM3Hkhao6X2iHSdALtDW5DULhi1ip YhWrOELJVYm19re3cg1fihpNR8wrN0KAxuzOCMbBvrSRs5en/fG3uGqwD1hCiB9uLsJ4zYrR4cE7 1T9n44NQcNG/QrCV9fi2ubJStcuVWTOYNpb8pZoEW0QgCEXHeUHvUpTRiWtZC8gqJCfJALJ/Tb9j KStcb6W3OoJwcbUb4OTYh4QPuWUlG0YJY7IcpyFV4aPqwC3hHKOT+VS44mV9jC1J/wuoB+S4TKxQ D6mnzGNlpEv1HrF2bZB9ZQQxPvPQtIsuE63B1uvRP2m5T4gSmplnqZzSe+QE/BrDjuX3m7W04KM0 aRq0H38AQDdBzGswx36rQxZLyCS8elFI1tmdochr0sBZjLJkPqHFq8SlFRGagwB9UrF7HZg6VpwR eJ+W5SADwPaYAGQUqNcEptD0Ral+gSdEIhHaOxKvS1o1sAzeBeCbJM1bKt6y9Gz1zFch2w3FAUMI z637TI5/4kMQ6ZDW8eeVpuRXyl8bdhjAjLbqKoIikm5wxvC9R3wXAbwXD6repp+Oefn99jssWPhi ZC7Jw28k+du+Mwdq4G0w4izbbD3w2DIasYDCVajzCZYxCYzxzR0LIqK02lc2CO37s4ocVG4KTAuL HU/WrJHfdvb60NVOiD501nkUR8I70QLKUPge404zlolqwk1Gpo13KXduPCojo30Uvxtx9RWCNWPB mtoXgJj8NUcigyGP+v2X4+CuwS68fMK2YO1v6QvVBuU2BXbKJAArpf+3Az2/DaKJPZkmchWfVl/8 FAwKLLxMsWE6jSWRBF+JHC+CRTQ90SmDgpTypJ9zobds3VIc/bBxPw/9/3Yd+DXjRZ+2NlPSvQ7+ 8ifjs5OmSS1PhluTdtk/w1nLXFCqaKQUXLTRwVKFffKosYFXbsa3SPWe339pU2DDn4BDujlB/YPk XK69BflhBW0dSd+tnaCy02loxyc/pgEnTaMs0VU4MbBke4nnqTDuwtoqLtywO4xoe0DjKVWEwamv E0cYsGVpQR/piokQ9PqinsIT2pMrRp8BdU6sj+QzHfkISn/HYkvs4C+J/6JCG80Pzs599QukzTtX j3/Y9umnxgLmSqvEj7WluuaL5apijZ+pwTlaUYaDwLQcWCW7UeLWGCqXFP7j8iblb0e3jMiYZxDL YQqGl3q1eRnVhoaovSlEnsYMQjXkdFQiTbbdopSQPCIVAcR+B4BuCHpyvEDqhkZsJcD1ocUFUWX6 sMVYb/nwNdcCMiKeSu+OZKWvcMNZKpyJvbpEDr5zqCB9AeWoGsEvcsRAtss3hDM8ezVxlh3i2ELw 1H0hOou0EKau7afgEINQV00q2Pk5SL0L+lnw/533mbVnEghZO1lRK9jiMNjOp4XBJ+BMljbGB7Y+ mDg2YlYrYfV+X14pYdrpxzUV0NXwgcFIZM3RkEe72ycdH7Crou50d/t0fcWFJCFBFO8lj++rxjrg OMVviU/VYNYuUeFDTLHRDorlWNZYmWlPANsuKi48qIZWO2KzPMhUu5U8EA48g60KNQ5YsJbh8H4E WRiZx6NsHL8jadMIY7aOndbC33V+7RHZen9Nkqd5fp8+itj9j80ern1FqTh1g+pGD8w0OV9RCAwT JF2t6ekg8n5KZTreO2QMphw4dq147v3LQba8RYjh/gTZ3fWSaknFPrggvsqqNZChDi8G9PVu4dFw I7ExzeZ+im/sFoif0IYE0YG/Pkz4Ks0tBVNx0d/YgJA0dHkIEOQD4XkJKriTeYo48K+2adQjjJFd +xNy8R6C3Dcxwk9d6tt/S8+hkvvDuQTGYYbONO8cU+vxbS09N1psk/0tpX8/1Y55BQ6nBtlIovS5 njmbcbKaYfmHnJ3rVU7jBxcVka0eWvoJ/kcsSVWVjo7hkZQpq8iJYBZ2UAffKgaOd9+EsUO2m/2d bT5WR/MLXWoZmTUh2uAvSUy4dptxrbzHu+531nI0a4JrBpEuNxyjwlfJl9GU7+XDiTSJDjk/ehzB QhVRmnJXCquPOR+18o9HfD9dAlZLz8wEJ8yp2l6q5s20UagPDXOlzjZgMh8FmoAuGoYHi1N04Fz4 S7Ug1ybvsn/Dk3Kp+V+V/QBfyQfWuboPPaMo38pOX8x4315Y7VPutk3oZqB/bICEBRehNW1B7pzL YfEDqgMUex3Sro6zu536n6bzOmM+0sgBBDkKYDFt/qUG/029cnNiiMU/l11pbjz/W/ii1AdEnvib iF5UtJs1aWsRFmsist7LEuZHJo9cF3XPfbs2WgJgsFOEayfnd403XaMb+gowT73ku33X8cDDNfUq IpFHbhdlfuLXJgH7/mJD0NAphzh1HLKyC+HaK0P1eUzTMDCzKhAF6yZ+kRpnCUfHjEimP9Wxx49S 5v414LgEcKJnXbeIxPFhfTMVM2cnq4FPCtlNOhrkaH7tGLLLlQar2hSll7PeQZE7SStjpMWN6hv6 glM0RUCRTwc3jX39ZFHCDzorCBC/6UHzL1oMDnwJ5yHtINn0k0Ad/faDvph+sqKMgmahqta6TI21 yKgWjGd5RWtXdZW0SXjwHUP6o+i2CPlm8VVLghEwb2ykWsTCQfrvd+lwFDPjKILg6OGeFk0Yw8Ir mP1/QwKedLcMPe8LRcGoGSAjq28z6Pw8j7cLakCCI3INGI3bT81a3tOQpbtvg+d7oev8tBtrZ6vA q28DV49qNloedblNThpqvPTo6E7wlLhNCJkb5rjIgly7Y9GnTVJi5kq5OJU6SJhQLb8K4l0Mtan1 htEKkknohjwSM4iiCGM6+VPytPiwjllY40jDwBfOFMT6tRCALb0ENHLsTmH62f/v8KLoLvjxmuML 6szO9qiJ8hCn+WoEMXX2STjt9zncRjVZcfH7XmlpkkxiiZJ9VqSOkG+7xCIaVNLA5e30yy2g05zp 65B4KI/vH4x6nUVppVm63NmQYP31zLCr8AbEHXuv+R1z/97CwQP1bWM1gINr2asiiWy87eMUqyJn J86KPoPw/8jTbwe0rD0LwH3LeAQjnIwqRTwVYZsHE6JBwyZNS/e0Nl2R8w6Kz7DlnDE9OMvw99qM PPaOajb6kiRolHdclegKYscpPXnAWtnmrAVXhlYhkE+aSQCk+pzc1MbiqGgXMADpltHaCuryo/xo dBZeYrb0g3wl439K06vEhp3ldrRRlWvQYo7Bp2dagfJxB/H+PDzXclz4c8fjZgVpKizYI92GWIrw 1MmJ8+cpss9jm2WqIuqqmS2Fks9HDM3ugjHEFwSgMi2zo1IL8NMJZ/RIpRvrML1UuerWpuXYa0WE uXlg5dyy/uU2Dxlwr/f6p8/mahozx3Y9OwMvU3ORmrO8XQKX/VFuerwJYANVq1noCu2vLvKzXesO 1xU30RcFyvcF0Yf9+4DOv8O0+iQQU+c5NWhF1RBDGdVd/JKcmt7TaRcjziArSUyzjpx0JkPYuN76 +2M/U8J1XzD6roajM2thmRlZzJfJe87Thg01Hk4YAejYdqiEWaXcyOtCwt45LvQAr3vRx6O8K2hu UzRjptp6Fk/zvkERFoZnyKUcelBVDIo+FHg8nZxKmp2LRWnoZXKNrliGMpkllGgUkU2UKn9e2+hJ iaAF1Hnj1hy7ppV0hFUZ1AtdBu1RgF5WmfHRIhnQdlrDfi9Zi4tgrBHCHjniGmssDSzCTTPD5iCk JIcf3D8nIwsu28CfWiFCsefws5n2dmIrGokNzeJzGpb7Mj1lVGyheMhqBZUu7kRZDLkcN+TZJw9c ZfcWrvsWvhajdklb0LSAnSxYbcWL61XhkMpflHvS16+0maKLj9OrY25/o3unVEd8xXrTpml2IaeW YdwYp13HH75ZpjZh8FxeX5j3n2R4K4pyM+cBA9nUnZtvHDLE5u1ZeKCQL6FVMJ7yrHMeCkYY/ezG Yn8fWF7vNEWk8+hlR73pxQwGFUWqlDmzFngtEKM3sr0N66jw+p7RtFUyXYE7qjpoHjGD5KaXyrzd HP3W2eUF66pPrMJrvbO7JieHF5Bo5b1FUsQAokkwkmki1t1PlIs7/s/LSylP7kluLzZt12D3KEx9 70xAA1DBtpsgXVtpllgpa7cYiF5kjyXcXO01luAdWv3hLtbh8wlDB5s2z6xqDWABdNSjQaRfpaJP FmwdcCuXXaCgEksSsu40qCYXIYdKI1nbQmw1X+Dg1vWW5Os2fVHTht0vRGWNZ2nMOE5lwDnppYRE NJSDyxa0/yV6QJGR0CvHSqIg2i016Tgg4bQ78DJu1lJPmjZr1h25qP8E77ifVuigDQvrMEswhHMf 7v9QsSyhmhp2NFYkI8gzygxP0Gz5b0yd1CbF2RC2ucCycaF9hbXlCV8h6FMUQJwng7leJPVkerw2 DFul1yTVZBylxvLfCT1qXdAqkVAGROvhNrfaiUeBEY1nA58oUx3ONHx6PSEJB4zWMkWLOv6fanL/ G8m8HJJZtOLts9/AwihIca5Qvw3nsaIhi+fNQamzvkkruhpQEHWRbNlCfj8VKxkCO4soPbre/Cok aWPlovTVZyzjiWPLhG416pxVSYejyZ6hwyQkj4Oe16D4C07gSeZiuojcWjPz04jMbzO336W2a8eQ HciYDQt1TnhBUriLXYsKeMVgY4hW/XOEIpkjAgGLOx+AJG91PEy+jk/61fqE0MHSXCiKEDzV26Vb EuaI9kmToXBP6iC5zkuSVX4EnNQc3b2wCcHDcTjb9j7mSmQNfb6CMnppm3FFK6Q8tLS7Q3nIEHSP cJZcRQs75sohMi2XyaNfs2rUz0X90s+44mpXIgjuxe+QrPSjWswCv/8zdwqi5pi9o4DUBi3iC7b9 uDhtiQD8HBnc+uhXv2cCyhQoScQNzwTffB90z8QNFF5D3EdHFFGXs3CfofjZVxDXuU7fo3jUhjmM xSSLTJZmXobSyH98msS21m+1nSyPwDx2XP74TgQLDIC5OTi9UlY1YZR28InQbBvXHMeyvFvrTYJj OkjbE+Mfca5gXkPLXRyz65rBebsAewJze5RNowyMVVajY9SdpUi1aMjdj520uI7lVJBV60hndSGu ESgiu3Ny9ozZIwaA29bJn7kWf6/Oa0O6aHdYuYeXc6J/TfamUEDB/izOKByF2YxNcfGASPsDFi5c JKU055OQ5dAZgp5mpVXuP9carz47InJl8ho8PEuPRtNnH9b4ZpBXdzSS7TaBvHB8WaQ61pR9HVCm PB+Kbsk2Ld6EPKsnPxFiKEN/gqijQ4x2y0/dOZkZhO/+5fg+McSUTZVSMunRCTtxj5xstq7SHr7G A1C3xMy+QNPAKEBIbcsui4ulNw3OJC8A1XX/l7TgSsH1u2YVcEF3FrEUFKgdeil7yvdaGU6mCSeI W0lw4l0SxNXSr3+yXudQqU2l8C31oOH7F7jEPyxKBj2R55nORVVeYnxayHqaXyY0U1VL282+PMTV IYntRKnZXOfy4Nnj5bhCGgJwjbjp+ReSRBMH4TB/TrUekFzT+6vrsTv1C9ogRb7ycfqFoNTAPzhs hI3qrPLO2/dZe9v3ANvZKPVGNA8LxXd1uRt3ZA3ySf3cIIruYKFHalQEkqSN3/QIcdv4aL22if7n d/fIxNRIH1QTh5NQw7OBV3bvmiOowtIBXelCe75nP0phK+E1enevH4S9TTlvJWsC1chi9BjlXRM3 H+x35GAFW+6a31ia5SFgGSnhemcEdXd2SDoLIwy9EGvM1YfcL41HLgq6YWn3cb/TrRFw2Xfx0R/b QzTNMGvrW4qg6DbviUQKsESlJKiT1eDFY58wD7+8Z1BOoEHsFlHCxUkjFKa2K0dJLXBnY7vv6hfD 280KAkq8+mMyTEO3CHZvrZYAvKUgNsAAOvRr4gyeyQV20cG8thG8ywI/iNoTRI3btWYQGeTchDMj PAf55LPuFX/3esoC4kH9jDAUygLY9l3D/TFa6vLh7FrpOLeRSfI2LY4ZfwO9DG5hvxYzNdIC/Dza 6h57tJ3ml6jmb9I5SEbZb4Gdx6IMKWIBkytidH9iM4tWpMnKlzBmqrpVzFFJZy0hY2QXz9YKgLEM VSjFEya0DyqpwF1kY0ep25gRBYVdNe4coA/KjzyPeR6wio65zdPO0pJvhWbM5xNLkB/+yVLHNuZr myU/ivIQQ0DSAwbnzpWsVR9vuBEjExI7hZWrfYvh0baXO0deqL4nh6v+TQBMpLgTOu5M/DxVUd8c lfFDk2eiyqOoKGN9UZztyp4KJyrB+DgTa1QKZmvKGSCVZoLy7QxVYxZwFFoINg3DAyvhhAC0R0QO 8Z88s4g1EbObbXNSYu1YmvafN0z/2snXUQN39RrvwV92s9k3qbl9cA38Q6o4YLu2OA2vMNTLlV+i Xj6SA6iXOJHD7NSLTjkCfWvLH1TsamoOxAIvb+139lhGMPa7SJFIkCnRyJP3kIJTWv/f0LC3V78r 4LxqMslPWIXBf+myfP5GDmsTa7C36BCmPCvnIMlbQnSN34IzzI891HvakCR9yrpK52TwNBU69kMe uE3pgQpYaBlYYP7YH/YV99x3zt/vF+KHUarDKKyKkH49HvcHTY/aEKm7xhXN0JX0B4+kng6g2QCS GEOgZOFxMEqAYHGLDqRdPBC1+4kZg/dp4MNleq8Hc/HVKIvRqZsK0mLId1WjUPWQYpRT/kpd956P n/VV4wIiv6MsyqrzgkF3La2mSm2jHI8UTAMMIU7vJytfDG8WZH0o72uz+LHKH7DN/SL+H0wojRD5 XTZ4H8WCzWo9lfXemf61NgCmC2Y6zgySdJd2WTr0Qm+nP0ezN3MNsFo7yPQ+eDQywk+25TXCWSNd 6Bq+b6jShYZGLn7VmvaeD9Ec8w1K7BsnZFQpobqzRb93jpGW4skAS6MCCy8Mp93WkRi2OHV2wJPL N086BMrDt5i9fclFmdWnACiRLY1TyR2pI2q9tNb4dzrt/9FTbVyTrDaJdwg8iv4F3krlQJ4yuPth rg5kiw/IXsqAUHcT73G5H7MOS0stoUJRgRNgAF8bY/OM1B1p21V0+TS8Vcxc7+tshoCu0mI7y2bK fuREonj3cXL0hgt+6t9p76vL+SEf5tbQrlRQ61VI6S6Gpm4XB3wIWLX0AWPMVhuL/DHGVnKnj2At llCE87s232t8TttazNWNYCM/iXNpRSHwgz3UoQ78jyjBAXq1XWVP+G76iUMwEmOZ4E6HyMBdkVV6 wH5kRTDAUpmZXowTYxxBqZ5q7nis6wNZQ4AmBdOVmexBoyay89uhJCmivX1mIC4e1wbUvef/rQJr Q9Hi4NtLz7HVFwQwQG7sH40Abj9hSPodDg6mmw+nUnSahv07iXjt4GZG24SmYfd5dextZC3B3qhH d7pCO3Dh8ICJXXK/kzeGQXOqz+hOtRMYrl5dF9pmpYwqVsq2Ac0TMLjzD7AKQ/so1nLopIup8xGN 2IRwpqhY3UtZEP5QLZ+drcOTK6lA0KDSoV8epxY1kicJ7vq/GmHAbCgd4Wr+KscprL4B8o07nWYS l9AupuYSUbkXjpj8iE1AMKtbtthncKR4X4G5G+V7DyMq7YU6IvIX7Gr7FWHq0tiCSQW0DpvzPRH7 BW4PHY5IuaPeIJMtcYZGCZF5/XgZMy+flKC+m8+cdknQ0W4494YKFmBhkNCdk3FdUg9d5qipJeVv KCqfCDHMo1xkCQnkJHFR4dIoJI9lUOQ75qBFujzQo+THJiamBSuZMbgS2DcXrpl3KVKtFhU0Of3a XUHULEZsQieAVPKrgR202wTCPH0GttOjxtQl9dRhZLqL9JDSUkZytaJCWdRoEpfyrjRbmZINQhhU hQofN9p4PVb3JgUyIRqeRmm6kSlS/PQ5jh335Que9EdRnUbZKsqHmjOQtN/+ZLTQUYfUrdHQzepZ nf2Wzz/mBXioHLEeVH56+XgqpAVR6pEGwZS4agoH1ngGc9o0F5iOo+NMJ/GZAELUqnnCErCsHwKu hPxCaIWr5M49FFM4SmJZXSLGktRuJJq+ykri34AcUtwY6gGxP9HrEAiX7HHQfQuxJqmcL3CO6zK5 PG74GlQHJHuWJrqSN5in/us1JgBvMbGmfqdw+9+syKWyDb+8myqkEyfQCj+UHHlY6HsiXuRc2z/f 6r2RUUdiizgqpVgueerHBnNCrB+6TVZs6414N3H+7+aHG0QGYkp7xB7Va5w7N8h2IWzWjAPtKbO+ yFaHCjsIo2hzXq2ctnT5stOAVgOQltOxuTriUOWqQQzshZEAM/I5JObfahm/5eYNT7dYqKXBTqcJ +QB9AlyRGyQlkDJYpf37/lzFLX+P6AYwZ6eB5wrjZpSuQUWzCwIEVBYU6KhDRo5zFBkNglOLdOR2 KIhCiFDbaPcI4q7b2TuFicBYfmr04Og+uZ3/NQZHNojkJ9JbGo8F5y/ZaYE6jjK70/8n9ceEHkMG hkVGRGh21H/NbnfnErygmW1XuKowagA5MsJoLM8OOFWOCME2xMc3XlfyXgml2tNfq+Tv1sNdhZwA zwlraYAExDYGCV4A/B5++jiC3ZbuEw+LyPPWiTSsm7UhFiWLasmr7obfyna1UBuoyjf64hg4D9Bk XWLHp1sCDzW6uoudxN/LqwEuuAcvBRcsJY2H4uUfsEDwDoov98/1BMpJ/y1EQTDCDQVp2nxE7lwj noeAhnhMRUvOO7arsDUUj8DQqB+GdwpHoFGGgdiMxNSb8zgcKBLn6llBIAIiUUJkWz6TOyj9MofZ ZoLhuqC30we5XNc07hsWJe8/zf9kZZxvbMXBqRhHACleVXEY76X4TLbIgh41SYRFdRQPtj3c1PgL FzELsxd+FAaYG5zKYknEMviB5fi/3ZgPZryGLiF07AI5HtkUJ74PmVw7xtanNKh+6QowGPuWeT/I KgPlRIXtFRTv59iZ6zVrl+nwb4ctPsYYt0us7KX9tM8RvZUd27gUWgLNAYcDi27r1um6w+gKY5CD tA7wnvBz14AlAjx5hnp7Oe/fK9aMYgE6Wznvq0+sJ6OcwI5yPCby4qeg1fHWTTluzoS3HPT/4ubU os9cJDIIXyKN7mIhZW/6WJ4M8GXcKKSj7/pC191OuFOtJPyVUa0Z/Gm3Bmi7DQuQsnHWIAp3Il55 HGkUfX5gsXa+8T0sGpE/V+Z1iiB23Q4d2MI2mWLxjKYFM+3/+imvYhchAlUyOfYZBXdXlIqfC95j kCQiCSux7QTjsTmJe006IRYm4bXz3ZipRsj7x3A4O+wjPASiquX9VNl4/OWlcvIQR4w9r2VhL5SV MqydkkrWOEzE4scpDyG7hv6m53ovW0HpwAqviNuMEZXXYwZ1dCSSp9KLpr4aGIfQboHnlGDqTdKQ HrMX9R2sFwvONZPX9GtqSUMkfK2lizw7hOBmdlZ7EiKArAskoy1nyMbIaS/cdguJv4KXTBuQoXu1 PlxfMiGPV7x+KphZ+RATHHpBgITdQROHT5FCAvOklouuUXsA8tDN5ZdVaKdo7ydneFisCq1uWCf6 USOZaS7s6lcW5zTVmNjRajD+O/WSRNmqvyLVti5M352gUye/NL2/YtyNQD+ndhlsvUW1LHkdG3Gy G5q1avyCifZLMlSq2uA98cQPsed/Qo+fAlsaXFS7XCp/y9Fbsuu2kktdbcLtq9drKekwQ379BM5q SzeoOe+Ko07sHIa6U3+dQE9Bzxg/wIOqHI3gRUaJv7xuVLAnaZXpPZR4tHvNnLzixGnjLDfblSO5 03LpWODwF2R0zblunexs1F7gzgIi6C5xaBU0yKxlMjo/geGZxIjqf1knFHiy1XWdp/AivYzonyzj a3ayNtpqfZCCIgSu5HfoKjOX73c4hpmAYtI+dmKqhQ2PthWhXEIrAouAataXuy3pXyS9uFQvR9PY JdNvnWA+WiFLJd0VGrpeH9IPgNLK68xNEPEP2PbEssmyO28uLEctXzhGbAoL7olLynB3ai+CihkY It0MELdhO5hNYJ9OlX+27AfXdTT2+mx/Qgudi6O+RhAcGCpGoQeF6Xg4VXHpgTjKl9RID/I+r60U QyYIniprJD/SSI98Luh4dYBDtDuxeV8UVRlBzAxp7o2S5G0SjYL/7uQxVZh94rOFHjp9lU/TfeLR N1bTRk6tGhPZbHulbUTCinzJIU2+FPfowA+T2i5PgOUk8jrGWdsT7XnNzFlwY1RzpBmToaLmLG68 HOHoNQ8lYikO3VHvnDMExYqKWaiPuBZ9/c7Pou7aT+4Q1k8t2xUKyZD2J29lch4D0uMcdkf9yU2A NmUNyEScDfuLO8m2ppfwWzc1nhF0YFlQY0ahdRQOWmX9m+SshU9INe2hdnKN/H8BSzzpcnTp1iKS UKmHoyzXSpN+xBqxB7n+1XFqC584nImS3/W52qeHgHl8d8FfnDhrkZyorh/UFKgBYNuNsHJm1O29 VsoIomkSVIGcDl7karf9Oz1q+5kQlNYbt4B8BsuhwEAUDYm9sql/DbWub3udUF/QrXE9eC4ZaLRC k/9xmhoapf31I34pIushyNqx2ezB/YmS/demtnzdo2pyzxAzkM2NofJDBhaU+K5mzcuDlpTRMRdf SdHhJfiyH7NMtbEvhmYVwgjEUKDq3N1Vtyd3azeHsYB25i6VeoXeOXKLWu+0cuFWpz9GpvnVWxr5 /WApFhPPFKc4lKhpo7Tw/0W98vHdyjQL1HPZAhhOFQD4DcJEleneLdRcxvRfef1deeWOTjKugfqb CU5YEPhUN10zH82X7IPDN/TexDaxEUP7S4fxzJjxjRa9kdNP7ARc69rMk1n8g+iBqkwCoHcE17Ff G9sfawHj6VkVemfr2KWDUwTxtE6L1pZpXCeh50pW9JJBXpai8xhzQeC+nFblv10xxZouuVREnOXo tePZlQJMggOHsETEAb97CteZyMcDnUU1emd6ktbeLXyAt5F78NuDBvroFXIFL4AJh1Xi+Zkyvyh8 ot+IjZiuMYLbUdevkoHP4nXU5JXH5DRBR+9nlfEH4C4uQfOr3TOPM4fgklCNSzSbLjkxpvy8UOOB lNSfG1DDrmZmZ9REwgcS0cm1RziSwuALPkWUBHCc26fLWQhNW6QCP2/CxgMwOLghdGC728nHLKLl +EvylZlhTX9IN0d6zLY1keeLnBCioonwHtm1286bzL5gT59FKLiGT4MiKNqEOxxO+pGogZzfJFQ1 GRV/JJeyy9nnlrwCEymRNfjHeLko07yhoGmO9/YfgcebbHgmzGejEUMd9LFMCyvdJdmmfU2sAH0i bDfrhPwMN3VvoQPiHjyfnTdk7NG67q2FdTsCBjV0oZSGfpWULeQxgklspTz1udZPwI7n6Mpr0+/C tDJushgSAkc3tdRJf6+mx5aY5nZyOXu7FlBHPKRf3YtDPh5UtauyB46A5uaNdqEB056OECXdKder Cbk2/2ez2UtBIheqS3M1auXmree9OZbf0lt/ngcAIh7cWha45IrRXLlRWw1vh1/2SN6MByiepbDD F2nIpukA83JdahR7YZwtV/r3oU0wUrCN0NI8ioNszc0ghjIrL2iS/jiO3k+59QBhedYlPRzyLZs5 i5PcCLwns6yQJ/i/Vv/fDdVafjog2pvXNdnj4ie1apGDFHwTEIdM9Zqxr7070kF0O0R+DcvY3IrK nftL9DwMZSXgkXydqFdsEUTgpAksb0wtzy4Bwp7sOI0yljXaKnevVrO4nSqkOJ6h8/TD/eR0ulmX RL+3C+FiOqf7DlSTndA5YdhR20Bm6fnkh/nVtk3Ao/mtMwB9tMsssT98zqyLz856TEpXTizA7rab ZyFHIxflg6qOKf0RGv4gvkyCJSdlTWN+qEODeeiUapXQRZN5Mo1M3HVOt3LbDNJjQfl1WF6z2yVE rISVvP7OB9GqlmsJVXqcNlxWkDS9oWHJxFmdLaCIiTT3EilwRk1Mexd6sCkYymwgkuWeWoqqqSqC 56/+pEOGzw1QvfmzXV8RuWLMXgVTOLOx1cTwF7JZZWIiorazjab/xMmun5LuyIBU9rH8PyzqZrqw 6jz87Z0XBX8oKgi9pEKXWxUlrwLN+QSTS5xDVo8CMsHgnwIjR71qEHw4QAgRpbmGx8Qm5h7Nozlf gU1MBX6ecRP9ehJyzkVNL9Et0sjP/fpMYu2eM45KIu3PkzCXfPq1TqiNaXcQ0+cllb82/KvgF712 +2zvXEl63EhSJuCuwJHGKwLrxP8/YBa2MFCnqwzFUPRGOgEAuoO4tG+sbrEIUDr8WvstU2+fH372 a8bu9Am2IhPkx1SaQj/jMkAMcHj0GPrv9n68ZblNZejemxcTtrDZQyuCy+5JSYnCmbfMnwpc6Edq /ijxpDxj0dpktzezJn+ol8vtlDEKPyO8HjP/MgoXKzCcy6+Cev3XAPLr/kfcg/L2NFRKl4Pylwna f8XQCnmKInwtLVZ80r9y5BzqBgnumQW2ggDO8+7UokZSO991wwSlA3cyhaXgbFpOU9/vDzfZ3VK3 1ypdKrAGdyjWcUgRbYtJtSPXCSVBdtvtgzugJnPGg2/32OwWZeJDMvnoWAbc3TuHc8W9qo5W7dm9 HXDWYPKfjds0rArIl1ck496qj7xA60lEek4S8vxiqdePT2AcMYURzRTBR5Vu5GgPkca6WfzINpf+ biIkJl2PcwZMaIiGzKjqLNMz4P00cdrzM40x/4uWQyNmyeqpBbBrJ/RKLMphwD/fEEA/eHDb40DL 3ontsCo2jpEiQRRJqmZ5XUWHTNa0iCoiUMaTBsWw/gxsTM2MakAT8/1meSIkGOw+buK8yP7rys7t R2NRcjr2Obym0jiLopI98k2MQZJG6eNmToQEFxv9/1ZeBP26iQoSOx7qZNeCDkWIcZqSiGYVPlR+ s90XJTmQI9I2xWREBF3LIgKGIJ+dGXwh4c//7Kk0wkGgYYWq/Jt90Sdvcmm7a+0KEzOTWEukx8ue a1QXJRH/UDGMlFZNrz1fOsP8vRNI1/HFc9eB5lH39WWfT27ideHBml/0LvtKR77SCEzCgm6zVXTB QkbPzdRdERq3LwCIqGb/Dozy2XFe54Y1KFh6d5rEssfo1J3VLvsQHv7cFA53P03OaMOU6OCig69u dH8BvgpZQvlDMC4jr/R0egot2w3WeHUHjUSdUS5/7GYnoYK0An5ERvbI06X3ZjWXm00mY7azjLHA fYg38yV6wX0QBwkVE9N2RbVCVKQ+scuBRIS+6t+ZlGBxTLsMG1e7/1GzPcN0vpi4k3VdJERcjTG5 d5rTU1Fg+OsmlMKPRwpVi6LpmnrM8IwwSrOTLsUbwqMI7KPcQ9gY3q2q+F7tlIwDy0RdU6SszLgx CiulOrBAgo8NWhk8fpHVDrJzMOAvaarz6NTapXBUc4cyK+1gG/YvD6721d8rxom8mEisMwJE4d8R cWWHRW2iqDm8fMgzwEGZjpZK/oZpKaaeEHwOFYrBalerEXCie9YnKbq+4/LmRY4i/7XBwRqdlZue yrfUtafpBzht0HxDaN7ZFea9yl2LL7m9Jos23w6aFp4hLHevnWi7UVeu8s0OnAjJR1VR3zymvGwo FFj+ttOYXmrsqG4wmHppTcFMcBNol5DR3kGYhK9y1qjL7exR/tmJH9ZlhYKtQ+eLDnv3PjJ+LjXV ZAhymv2mEJfbuhlc65n1w4pr7u1qdZoR+N2euWgCcSPQxepRWIxI7ECUO4bBcokskg4XbWP933ly mjD3+F2qexi+4v+PRNIyGQ/S2uiUqt/41QCjCGSo4BShhh0wX9dWGfPGIco7Am44vNLrbFsOAFs9 PBOVHzqydT6PTj1CAt4BvNVmRCgv4udhbVFMFighBjQwGgi8/uE+sve6eXJfLgPm/YROtTw3RKax nTRA+qP9aniKtcHv0AYzOqf/Pt0vVzGP8R7m4bUF8Dg5nLtC0tdgYQvktt8ik2N/cezWy8YhbS5g 5jG12LCzBozWSTAqjS7m1x+0ydwtZbDGlSV+vO+Z4AELn030rkY4CSc9Yf5V9jaSr9YRfJDDZ7Wv S+xwpYboDmWsGG5/VcfZfG2H05nGCcCk9Q3ky0iCHDOU+F8g//mfeP0kTdZByzmj0FjugHrcbXI7 zsAOefdpaqnSL8IyjGSQ/zHtdN/Zqs+NnTDlBbBKt3L6JKTeau8U4kXotxLwh3UUNKnByVYWPFo8 VoaNj+YzSkSFe3w6iFtUQR1KFYHzqfDT28UjntUa2ZRmFh8SpdnTn3lzesiq/ZPganE6FklbYUcU m0O6V5sYQpz6kbk9tCDMvoQAUNRdsRBslIIqiWd40oWr5BvlGTNOoTF689TAaqsmDi4rtphrQs/T SndZce8naE6pI1GXyC68dSlltmdXYgqQEWaEV9CDrGGbOIH8f26dLWbj9NS9gWAKGTPXHDcWSDPv RI/pKtyZbFs0mVNX9L5HzA2NkWWhpoghrtAm5Z6dlCKxKMIUU20kCr4c0FclzYjWlVU6zhx+sBOU ZzAAqwamuBHaXZwGT6ZAlyPyWak8DCxQVKa3VnE/dJKc+6pWgMsvPmrCY+1O/p6yHH4Tw6KOaNpJ aa9F1OuC+tiAQ7z+EX9/bMzWg6qMlL1Ygk5c/uCY+Jqme7tktjEj8FhgvVkBDAV9ZhnYiwhqgb2M hCsflnYstBeHXwTLGhWLMYXT9odACX6P904T8cpkkwCRiRcUOUqlRfyRftq7XTE6E0mn8OAJoupp ewZ3emuHdvZEW36Rks8sLwkn/fvI5XScqVDtaZ9FcC3ooIRfLVkvKKcvn+OkzhCK0+fkY2YjC1zk iI4uedmshT1x268mm0qdzigSgl7d5vQMp0hUNXTJMhLa6wjbUTFQQ8qO+oh8K0QGKdg7BxL8y2g4 jGw4RMpY4wDwco0jFp/z2GrZlSmt8kW0R7oFStx/sKyavJgph2WHTlEov/YQdl0v7Nw+3zsanOEt lg4rlowImRaID/BHbLG06xOKzSTWroe1JmgUFylH3QrydoMCLuORoopBrFnkYtDIgPFWGGYwJdyF cNbIn8YVhB/MIDRWye/WqqX8X+6mm1l3BBRB67zDA25dDPmEo9He6xZgAXUUw2VxZofJhEHXhPoj Fr/htrkhMJdKPSGA1FdJLTNZSIwbgQrmK291/5j0wBwIucx3yqlDewdiniOJMk2G6i4n+A5Brvjk N9ajPA+B+K1goSIn8lb7AK0tdqFQgOOiiAuuJE3yBs4fes1u/J1glHV/cjYPSt3dXLQ2vJiEhKEm IjPaf9MkmdFBau8nbY4HYX8Eg8So+IzTF3T2gz2iCK61/BhVALN8CDBhfjtYgYNn+e0gl7KEFUh2 4T/KqJSKAduW6gHlsAicsuisBR7QV1QSHpjyGYpp5229A050LYiAvhya1SBHjJzdLt2xO/UomtbM jxkKX/4ZyOyoIofl1RfG3cCRgrKnVyl/qUXESz1X8rpGZyx5j837MwsbpGqRfUPqJZRIL8bAT5i8 HMbortzDcM6XN+GuohQxI6sNhDsY6uDn6M+blLNj5gm3b/OJte4I/oXFqzKK5yxAIf2avuXyI5Df T0CpTToCW9gJTrqycFgwQKhX6lPPPhpVntOkSzJvRwNtHqMJYoCaSh167avTYvVwwe/PC2yWn2u7 7z3/4//DYciYGRT98nqQqPaJex0ONhn45G2UUewuP7WU9blo6NexSb4IuJqttv1v3WurxI+OScsI +469TUfc1zZe7bi+WYuDP5R1QUfSwcXcJvorpc0Je2Axn5y7dsFa9niUq901Szqj2lF2s2aftk6e bJV6R92J6BNtgVdQLOtBv9pZ+jnvS4ghrunrIK6CS/akAafQKATo1m0dtHcdKEgQ5M6Kt6xGuHoK 1fuzJTP+HR1wpOvpZ3DezmDqJh0ozdpn6S06uDXceSqV0zsa8uo0N7uhh5MeBiWODZoaxDxAFHgO i92ehB7eAb1PXjTc1bTcDjRa/RFXLu74KAl4GbeWLF08jmpmN/VZcpzqbr7HLLaJtgnEdX3dCSTl 2+NkECNPkb79xC6zY+OJFRGIUEcBFk3nGc5R88QUx1y+svz2mt+VwzAVGEIj54HS9nsiThOBjF+F HDP9VBU4BzGLus/FcXeBoT+g6q58BMl4Qd72bfBQPao3rrvX/Otccu0ht+SMvKeviSBkPJLQ6HHo 4Jk1fg/j/49HdVfhyKRjgqslR0DvUYgnXpXBp4NX9+lp3U0qTg3xaAdB5yJMZbTvKj8bEAujbW+b TXMOlwLs/jT7LLQiK/Un5mD8hKe5yADcj/gbdih0Py9uhukvBCIYsJ+FGz34VjJiy/Diwf4UuYaQ vuK1isB+JCQFpaMY5g2i//c1GWyQS0CJMFStdyDbmNW92LfdEBo5lQKB0lDBMESF50LpOW5/7ZUs j3JPCRipJRDHI46s4yF24Bco7RBWvEOE2OX6L4rTfySC7EwAV4VhxMZFgNCu9xxj2M7t7e6eJI3J osUMotINzCM/UkrzYLygzDjTU8lmzHfFx3ATQJxc+V6Kiq39aiTV8hwd1iUVmk++7E6A0GJtdtrH 7ybsaFv6zOWzSagUtZxmlgl9yzl2oN3dLVkGzZ1cJhtTgeBrC1eUY8zyw1bpZgbV/PwxSPZ9boZE 2kzeyQlsB2Cx4cup3kxG0CduCaNibQF9YuOAqzY58s94x1CKDwAAs6fsgKlBHlITK4lsVuEB6P6l i28l4uEB+IaZdsts4uPhJALXBmVQkOETm0Cmgjbnib9T3x2s7xqr9civ0QQPuCEr47Dc3XcC6J+M o4yjIM9qfECAl2OjV9EFWpE3rEY1yxsX0Z4DJyqdqAX0jap3I12BCx6a13ZStzajox7ht4cEI8lU PKwieZi6kiWe42XTAuiT6zYeCSNgA/jCXlvkfzqcNEfhswioUecAlNR2JDEMA22EIHA58DQ7PRR0 XBbVmL8x2iMWV9td/U87X4eZjX8zCevDb5An+p7PY0TeOxRiUhh3pgaNnESgjTuN/ylXXLvFLxTO xlZx9JoY4/uigJ/VfWPwadgJlaxzPE1S/N7I5Bx3Z7stC0Aauc/+CgJhu/gvJsJwNGz8KxO6LDpK aYk5FHxAfYi3dBgPz179yqZRf9395dkNp8fCO7rupFJVPeTc9uMh6iDhjyGnQ2mi8it2qPo2N6ZJ D7pq/PxhivaeCTGiLDkdO5PyzUU8pzUYh7vCliI484DF680X20BWJod4QOZqHnccEnZ3SWW8vzqk AFLyYVcjDSHt8UrZhikaFFIj29AwitHsJn8mNm3noGfQi6VEpk1FSdbFYs+QlSv+O6KhfC/P0Tci xEg0o1DUT+lZcVoHEsVkOj7cS+HdYapX9m9g+3c53ErrzIjr86igPxpyK2/BsgKJnSgUPt8XbBz5 IlAWW+dPDbzq0kkVVDy8ewGFjFrdbSa3AlgZ1Cknll4q6hQA83VD4cRVouGUGcNrbpe+MBdEvp7h JpavWJmf9S/fsf0GmEHggPF0eelhnA50ER8KXaW0PHCEAOuo3VMWbsKr5RsfJzF+UOEtaxFycQ90 NIpo1fEaFkMQjIgpP+aZ8EkvDEtJM7bC4WkmxdHS3vG5VMJBivQgWHVI087LfTlVNb7wI+nAQQwz EUfsqirC31LHs2h1pOmagtLO4VukJZml6EJqiRWnIvTfDy30KLj1jrgK1XDIChk5fRSYcJ5yOh8z uPj03tBTLgRF0Zp4d7enxUPVvNC4YTNotQwMiC8R4OeBB4szV0xfPID7QCf24CZdS/FB3Zh/CJeD Rea4h1mRIHx9i9lHyGqP5gDxtUvOm3uXSMgC9Udm9Wh0mi1jBmd3JhLfZSfr4IvNVRnM/+ciK7CJ 86VS902/v3zz+fNzTpZZ0aZoBjQPpn/ZsmJiiaDRU9/JZHrTgNlRLhxqpl9+sj7pmUDXxxuEO8zF eKl+esMnsyUBeMlghFnFBUE7DNIpRn5JuxCuE0BRu091HEh6/6UZZ1DCK1eggE6wcS2wbm/IY/hj 40/7AlTL/02nrLfz8Wwc48y0SGQ4JgNNx6Z0lB+awYT04qX3Fk8UL5HRuwJsKF1hXYZvc1KAqQro VLHMsygjV6qd1OEzfywEs91Wmff2wkc9YL0NzuIZ1tcDF/yWB2IUqnysp+6tfeDrd93+g+TbUlbp fjMOvkEXTu00fK79+VzX3QbgLK/MkFepP8sVySR7JUyjwcJQ8emjZFdEh/adazTAzRtveocpG5WZ izlnVhvlFp8kgkxEyQJ6kYSJCfvR7yf118RTHP6IN07+rj88/mw2+ZFUpmfwMS4Gn7wUET6E9Rlv yQwQdnam7dgkC7YJaCORIvV1vJ+U7byXlsN1+8Jb3bwcWq+vTFdCF8kJzZkE/VVe32MNl0UlTTzu NIc8VX5XrvON+hfb2w6ln2Jgi9DMBmtO2/Qp1vMhnLwDDZJ4QDIx2wbqR71hqB0gnijpag8xTSnL 2zynbBLyMi0QFoWEbGj7x/W1jIbR45WmySIT/QTpSWzckhF5oblgjoYBN/55LWHbWT6kEeLF9eae f7YtE4bALqabVbuVJPJ94vmGU93cDpm4G/8eZMJyaSMVWdmdrayc/808l/Fd74RWzE5hKhijdiA/ h/Qff9LyrJPbn5ccEW2GEQypMVMu3yn6kTJPt67VFioKa64MVL78CrnK0Z5gy9dUlJBGaB5ttUaI LMsIgtNmpku/TC5XiHKKWkyLwwV11r1b22Gttncq7leJfA5ZpHUatJfV2bw7ehvvB9pCqorvXNS5 w10bmvV/u5oScwQ60R4zLNu0L/BTTl6Cim9i+q2ejWdWvTUrD0d9kAnpTXogXs/avLElhiDBuMdM ChN3tog8AKmk4ApkdgP5HiN8tMx3vnkswRFNMDIy9mr9wYBTwQa9xukWpScx/3DR3u0VRSaJvd77 Pr1h/NX61wJhMManzKnRtt5jrm3K4XOA+xqcBgJAELgBfB6tRz4z8hZd2HQreVdWR6idUv6IQvfu VDrJKVpr4XlZJGGrU5Dwgkgi+MPqGAPx6Tz+DYStqkvqy+bK6+vP8pLtAYZIIOQCRbJKzQA0OGgZ 82QuFR1xTxYlxpkOcrvUJeZna8w2kEy1ZcD44jX2ne2g78OKc9iMGel9DDuIN6A41QSl/KmXGYLb N3+3MZOHSSw2DKssajsaAfmyGd6eQdnOsnEKcaXO43ibPwzOXJSIZ/YrEYQDjkFPAyO9P4ry9keS hGeM6x82FrVkEkn6Qs6ooMmYpjDNAQ0zvTYH+jv0oz3Q0j7eX3N1tb2OK6+FUvoGugmCH9NGEwbd 3j9frqpLRmqYFfVjQ6EX/BJxEzjHMvNibXhFqsRvu8dsyU00u0UCd/FqZtxPOA+gpOfio8vmwdPJ sIh8GK4ZtM2P8zU4UxZbRZ39ZRJDF54IwVoXi5mYGxnXjiZn+F77XEtzu76vhSA7dGpI9u/Q8vrG Vp2mfYLStURpXgFDMWszsfQf5jqVVMTOR4V/vJ/Ty9O6vpJ1ijH0eaBdRFGhORDtAX2ElZERLdJe 4LM7Vml5xiCOr6yB4youcUKgrAWLUa/V6+zBdPg7QuRP832IkaNHJOyCUweLlmwM4sCxCbOXJ3N6 zAkZlyCZByqNapD2pMtIzGCQqzbNVYzaUY7H1hRs78D6R+djp+XTdFrtoHXtg37Y0ng97UKpsrtn mraQiuwJ5D+ejO5K8WpEyToQOoj3enO0FlCS+rTlcSTTlI0ewObAvQu2Q1GBcE1NQwF5ER+ot4ZV OIpu6ojlmOM2JEbN6KkKFXL5iVX84vXBNGQl/QHZFJD7gAICcFPmY27SNpL0sS4EsAy5+u9X+NOy 76Z8Pdq43VFbUm6zOkqTUPeRBiK6wv2IR9cSTIRmuk22jYzreXJZ64LFQdTZnU3P9fBycpyoTH/Y gjroRhHXAqK6+0s6BbB2wrcWcNh+ijks/a5wnAVa0++1alvO7exMojBCBOVy+adTBuX1ewAPyvgB U0442t3nNGdK53CoFIcBvR1iZMS7LSIH5L0aZXJsXTjzgItdYxDIgdQDiB66nWF9tF2OA1r2wRAZ qHL+uwZJqAfZejutKiSyoHG9/o0CbVJLvEW7f7De65Ho5e+7yekz+NnKWW74ayaVRu+yild1iyJf nvkI/IujExHxl8zDwTuy5j4FGkNjM96p7ugoPAFoLsyxxO/rGHDNeXEsq5LdFCWTEY4Jt3X9hcJF Eu3QC4/UEJ0U6E4U680plJO2UlbZMU8KAMwFdqkyGSSEhlltKrfe2yOaciHV5IDTPHepvOZkV7sw l/QBF8kAXrhQ+C8ZK3KqVM3kLiVRVvwq+Q9bennVEpvD/+80Cv42okJQYRm9u+f+YZOotBvKvDdH AoBM0FztInLsTn+AydwWkvEEQtX7N8qQfqCk827jolkPIgoCuMVusI1BYrqF3Cyl6DkO+u3RpauB ogrw0goCAeNEMbS3Xp+nRnAOvMv6RDQKyeEJW3uKHBzS9XuWSJko4IV1shafWkvv0KH+TV6Vt6av 5vw5GWKW5TB6NMxnpFikiUkkFmmorkH7vbAmxKt9aCL/f7EEOKGqD2FWN+RjE04cVQa2uF7LRjgx mbrm9YpJf5dEaZnlpk5c5LNSNTlO2UuratncSMqMZx+qUpEmoTQFhGEtuGsHEoc99lUG3+TDqw/C hFGFStx6RmSSGZCzyQjWxyhJ6/A9+57W7OXgHfSuqdNPKnihAt36fKIUmbXwaDaA+YAUOnI81hB+ d3R57RSCqd5pP5FCPzMsxHsCya5ZocniUI3nM5kILzARaV3qE17NzWURvCjT+zWgjgRHtdG6Wv9b uPg35eNT0Ka2X1Bh08gl/4kVR/mJ/OZXjg4fILeKjzcb7Jd2DsYkVOTJPzt1h59aTgxwd/xWGmfH BBB1cNM3qLi2spuWvHaNoOZG7lDMw3lMrpuy+4Yg4DFKZiiSSBgtSY82II7NKQ/VEyWRGI47zxk6 avObd/2v5mGhuUIodo9oPeFIfXMJBHKf3gV2yW1ZuKS7gnWFdRmPacEhQOaTymYWm744fR3NRDfl UvgXVOF5NGsTl7udHG/cNLkQSIBbO6H/GqdcJWfIPwBVwsKDShlf6g6LotvD9FLcLrQ607xfZL4l 73zAoDsn6yr5CZ5mjp5CKzI2O0clTy8hipekwl0124QxuHrrcL0ZkvmaAlrrkn9mm2hW6EA29aqf pDgmLzEcwqqHLno1Qe1VlWghNKIi+lInmmVfoGw0e+0LK9xekkm9W3SAyQ6F+bcn9lj8PPOPhKj9 67meH5FplmRB5KbeJKV969+g4Qws1gUHm72Vs+aXnPNCi+ZMk6LMD8CiItbdj3Pl3v2ldPDwDgVu rFGQ0An9QpUx98bqvZcNvabWkPPBAw6OEdD/7ZFlJY8cTcGpHnbzU2yO+Jui0A526IxLVOcxmZMN A2aLU8va8+04juMkv62ZfXHtfjSYHf5nLkEaVl1NVO5Oo1+z9jhShTBuSJ6AMYQTL1WyGnJ/HMH9 ytnfP/lwEI5l0/cMgAIg5m1YYDgFcmD3cTlvAKqiLdIGEP1DtxgpZQMjeMvZtZtbX2DRXrqYa5r2 fpzBUKiSzMVxjQde9oxU98xOjzB9cbnoOPdjyHLlq6anePfICGlkS0/U+wzfmfXYQR1TdyelStEx xlqP+yNnAO0b7k5Ym1uhtlLTHH+WApm+RB+hA7wYrJVh75U4ayFG1PHePIl3t/79rqHl05TYYZK/ 7peDhvwgsvzsEu9IEvFEg+Sn4TF087adO++CZCUW4fWyCwmSuIyOT9XIZAK/JVFzoAwWeO3p3opr vWJLH+NuhRuetFvnFA8iNkYCL6ls/8JH/tjB6DDH3Y6wbwSGefTkoh8dFXzPvLjeCnHH2dh2pQ+u qhsrvaJNo48rwZSQ72i9tMCDrmlr2Aj5f/J/JUOf19cP+KdCzuI2Q+AYo3PbsVy55j5SdpAQq01U U529YYrtnFPDt263fG4nRNagxUOxppZWalUzv2SAuKfQAwfu+C6mqpQz5cSP/CSNh3T4W3EG0RuB 0wv1cSs45NQ5sHy26Bd4wPqn9U5JcSXUcalRhuAcew5y1v9VPOBIng6BJl5UuM6vLezajdIf6w0t N+SLEbvyQyiuFXVnuPyMaEOpQ7roHHVPc9cYOYiIXVPVicXGQ9+QdbZRDkdvdsCjBv1Wv3xA1RK+ 0BxaZcJNxaLCw2Eow8BhNl/9hde9Vd3NcePjJLyW70PXJE1rW4lHGhnWFdkjisOhOqOY93fGgaFM Inr7X7PYQsoK6QSHQa90wdBHp9ZNLcLYmh8/u7nyHuTDGAQ669fNhD0vVvDNrZvNhiTQBmkycgSl KycNk6n3KqDaXe4FY5RfCg62XXBaRLP9k/UYx/6q+KjuOrmewEYyqTy3FAGj20XBoqJjHAYakn8u 5vNl9tGmjBJ4WQV5rGtdmEn0BF7f0djU+kR4K5e0Rz5qs4YxyQtQ4PqYWJZ33LBnVso4e2h/fEwm 2VKVyS2OnzvQjGTUXYhTjqLs+X5Qy1anngHoIv0JWbuaTD5cjWJntTr2XtKM0OvhHjzfI2z184H0 WlDYO5KCihXugL4LPVGhYSn0IilNFmsAvs3sVnkLiEsgJ55XO3v2eXw5pxazAqZv4FoGx6Wej4Oa qrFdWghCLpRWmDsmVgB4juMf1NP6blKX7Kj1Sj71WcxMAdZE/3WwpjE2YRT9epgvY7ALFmktgRkp oKc0SZPe4UIn/4+1bd8WNc2I+xYEL2trucLLty//q27rhrofKl2IRO8jGmXeUEn/4bm85cM40iGm LElPp+a2REUwG3MiaUe383qSBMcAz8iyAon2oiO/DEfiBwn6S21Ediuq2T+oI8WV9d/ceop7l42d FNPc0bn+DMvkq4qAvEFVSn3EodvIB7RCxXu28yvAKsvgfR2pIZeGeEUkTAW9aZHgNW+aLCaJapjs JwkUjQJum8ji95xU/MqMNqsAhInN6Isv/XfPpG89xMXMSBCXLhvXDTseVx10NusWqSYMwbCrjbyd GWJPTdZS8UjJiJc3UQ7DaHOM+qixSlIviIVxHca37MxLGwCDlZgcZiOeHjMoFM64+zeaj84eaIiK hS4ONqAe6wkxO9KJNo/PtDDkjFYRoNuWv3vlVfAxNqIZ7X2mxlFQXZDiFl8v/prwltsMNhakK3qx 8Sin8jOyLpyd/bgA30tpbuVqF77p5gkgYBCWvfv7FbDYGMzwaYgfpthfIx9bU671fvayAQN93xLi joKOEz0gBbIwL32UMbpTgKPsIeX6wsKG4U3fVMUqMCd/OEexFtYQqjnNY9SKMTlc3lvcUjZJwYw1 efpDO1So3fTB74QGTVW0X2HmUq16SfD+aJS2UUareABQdp7DRDDkHcm4uP4rkaOjYQ09j67QZTJq 8P0gfKEDhBwaOGEfwXZHRX6PdGmPaGzDALmU0/uX2Lwkm8RlRez4Za0z1zOfIzGI0E+xmlDaeHms YcaXCB7qlB1t1+iaoC88mCpGWnFDtulppPN5M48TC2yveVp0w+4L5pYBuzbjiaya4tHiFyMqx9ju vgSatcsIY/mQ/vWDjnx7X/ZVW/c5n6bJYvdnEmymTsFc9A6JhDvC1570AdxBqgeWk7UWZ17aNd85 h6jDyQhlIKzMlCN/d3N8jLem+M52wrQFXbyRUbzlj9HUqWn0OmqZ7pJFVx8wNC0NagaQD6qYW/LM 97kAtPPbG/nNAEI95kbD9L2QOLDCtgC8y00Wa735YpySbhUCkS5g0I14tVn8Xt2qSYfTw60RkvQX dC+rA3V5RiGwM5R+SyyKiHCniaeGAZxLrQFN8h4PI4SZTVqb9nsN9xuOnECVOqfoDyYzz0Ht3hKP PwBK0vbyy2RqVJgk10eJhv2Xx8gwZK9HFxifpILBkW0Hv4mCPYuY5+ve7uzAxbPtVKWtz/wvSiik urtth4nMBFANAilceZyIBMgCavHaYPriAeQAPPY44cabryhxq472g5hkF1gIM/ayBOnqyluZ5PXq tEeNUJOZ/sSV9AfolG6sywIFjkyuHbb6A6PReb/eEkSp7qI776mu4RWj18skNPwI142IfljjxgWx MrsT6+tT0GlCcYfA7OX3Wo9tN6IaZ8aCiIZIsUeIpNPoIn0/D5vtajvRQ4wBhnY2WM7rKNz3a40E pe/rFTPXwH1H60cvhszvR7Q+apqCfuxTUmkI7df95B8Opc9nfI/pmdgRPSMn8mkDX+B9IF1hcnNa 383S3+MjwBWH3ZGCQj+H7OWzmlfDZpbUVgpCF6DhWOhaVN7tY2SBCTNgec4hM6K/sNH2P5P+WMZz H8Xeyvls/bAq55pgt8o8hKh/+1tQz+IPKE4ngbQcZKApKDDsvvIeUi9MyAhrkheZL2kD3ZLnMiw+ gUoyJnGdEXheTlFpDZe41kyaj/BpRicjZlx5f9F41le39GtRy9K2HVgM06IzPmZ9yCc3e2R1jPHK EKGDzPiYCQcaACPhHChcnp7XqacE3RsL3i2+88UO/v6u4/SGBjsVbHQUdIgu1A3XB37KoV7TxO7a CksUFdB1eU69EQBhQkrRA+6wALQ2VW+60wa54wpUgDNvEFAGnIN4HwiY5ZVukEV9/divSC3l9UEc UKHSdpthUV9dELb7Y6t/xL8AgZC4m3vyE9APNU8GbGbRB0H3JnyT0+01tekQLUwu1NAcCk2icSFU wbG1FXZzZlpfuttKAPGDYtEP3lAqkfgM5OxX8wNpxyEMjVLUtlUXr3+3kJXPedoYyp1ZQd6i43fl UcTDRzOs9H2WdtVQgcXYaGP6e7sMnUM8B/xqyu5XVUGV+irkJiv8ON7GXkj+DoGH23TNWa8n/wjZ BHB5Er6ZSjdTpfUF4E6giDBzup2ZrzfeGPBGi8QPeDI34zZFjPbfbC2LQOu19KzUhe+72xJ9s5BS M/uJDnr3YSvaisGQ4+7jVfWYZnm/83VL2ybiYRDmbn0+wAT4332KnYqvXyu4X1kJnMMGK7TE2qD9 BTr1hsKJiWUs2kVSo8aoiux+2kgKx1hN/sCulE/9g1qSNCl0PZRlG8SjcL8rnvX7HwBxz3BOQfqB JFGy2svpVgnY5/vcIjNvO68lNwHCF/gBbQwfyaEfRNyCczM/A1e9DGhbNmm+HErHL2DUshUkzDdq 1Uw+Goi4PyLruNM/8TUplEgpGZhJo5DeDQprwiYkizc5Fy3xNR9u8u4ZXsOH/1seHcLGdFEqroPW 3qRkujll4tjHtxEu6zFjKlRHn8GS/zPuZqDzYnvrya7usvwlqfFFQZc2ihOxgeS64tag5zRkIXuB CXRg9AvwwF0W3AE0wGcNCmJpW4J2qzoY6Y+cLUREbJ1IeuLP57TU63G8hg6VCVlsmV+tHChmDkPM 59xVryCQlMJ42OF71p97Iylvkgx38/t02m/+4bRzpAgVqLL26jnnLNhD9y5aS4PCvxyn2rlkAnV7 UFJxY9C3e2TD4m1nudviFBobYXw4cRQloIMc64w1m+H6O4TxX1AtiXv1wimgnpKnRqm/LW4n3TFM WTIFfvLzfDkiahX/u76ouX275UWVmwv22VEk9DxWHATY2oY3B5oo58iKDVVnnhaAOxxGyTDcLUOV j7Wv8MKGMYE0aNKGETE8xrtYIx6scQZ2TPFUgkyeiRnfX86eg/9gQyEBe1MTJyUPgaBl+1x6yfCU zgaol7yfphIorGorndJw/R4rfz/q8tQjoyySYY01sMzgIX+dpaSMHF1eUYCqRi56rRsF5a/qPCFY GIlWv2hldZ/FPAyLNesJP5dSJicBj00xP+XRxQg38sHi6vGiYrrJJXK+PjjdHNpqumFWfl/Kwhyk XZ+t3J/Id3nDqgM99Z5jgoqHWf8iUBJAxMbhgrJyKJBUf2vFz796+0Y46ZuHddF0lUNBhJcAnAtq FpDEnCE32TmDI3EEWsAZqu4wiP+Myc6u7EbEJNXtYhKZrryGNiRjMUXrY6hEN5wxjEXK/h1E9niJ P9ssV/3eHX0t1a1Qt9RK49CS+h/a5TT8S7ySE+U98FS53DfLoO7F0NKq6Tl7q/TOhoE0g1VCLbwB HPPwFHpYyt50XApj2UhtTlcWaQLCNtxmggbEYIXzXDn5xUy+WEi/RVT0aVklLdVgkp2oX8qftZ+Y 90cNqsiSwvePJDAfdzK/SlCkaQSEUp0Hd54+ij0029kMdNYIKLc1HtIixOLd/VvrtxU+ZSCbFsdw o/9PaqDCW7FYOAONpVruOx9Vi9bDJt3lVtQ/HPsKWt0gVILqLubLdBurh/zqeJCL+oFtj/xuK06Z 4Cb9gnJ4T7f3rMyem9mQqXqIa+7atv3opa56ikiNwb5VMY/YeBX/W0xCZprvJe+dz5kVGPRP5e4q DDD8Iwy2dq/PE5DwHDcpvIoqs33u6ZVJYHfRsea5/nmRnOwiTCy2gLMzmkpYEogY45Xho2YxLrk9 WM8NbjBVw2HdX9Zp23G4j20UogUAiA7nTu+ZtX9NRW7FtIrvvzFJ2X3EpVIXha+AOnV4J/D5kDox Gg864lOCdVjWZgZH2fveBH4SAPwIT7becywcxKr3N92AJaEiF5yK4aZZfIOcc+shR40tBbAXMjBV 96gTnXODALay+kHhR7EgKR8PR9kNUNf4GtqCF7FKu6WDOgHe3tJmJCJOp1vYPi3DUskZWjdq68RL aIdPfMTDbZQLAJ6sCwDsRs0/jC1JIzBuisYHvchgNB2tlTf/bd5vZzOSAEJfN7W031N4ijrNak2M pMiupl7RtMQKqkexwAqemZ0FeWO9cGc8Rp6ERX03FDde//+9Nwsivm/7O79tpCzS5fVhbR3anj+Z wdiYElmogYYphD7kNY5vyg8LWlMYic+9OTcKeVDw4BiC7jPJX1oE8O3gSkyHoRvxc9l6qq7TrQGq EP1veaSRf9XIr9PDUh8pPhYaJ8UYcVKs01ON0yuxzdbto10bJ+JprcUnv3RkOQ63TN+egPOVHWkI tGC2NOvirZgE1Ndyg/arJqCLe1Ys6SB7cuEmfW2S63GKYqa+My3M6hPAuaS5nZUEVx2thYpS//RU /csLvbU3kd3aZhtkSUiEyt+uo8RnXmqeZP4y8BE8B+lYsPDxXrTN/m+X8nX+j3sEfNNk4XXjJB+o SG34Tf2i+4svEak4F9CZzBjBRWJj3ZQgMz50vbziU5Ko6mBnD2kXIXMiAt12d4S2ntOKzF7XcaJm 5xJAl2DsNiB3CqWRgSHW9iIDcbcBE/GjAz5caYwOEeF3xOH+BXRM77C63wpFMttc8xD6gyjktG8l 7pLFU6VA4HVZohDHm8jQsr69lj2O0v+p1tWtna+JeG9AAU4HOlllWO9wzPwjXiWWI4e0GLlywZZc 4DCqoQncHJ3mrKOsc7t3uSw9cTSj/IWDUpsHw+CpmuLu3f77uUagDRHLJFg99Co4CNAZa48J+rK7 mPS573ctiUZbX9ltmZMl08Sv1flHAC2KLA3TzgjDqfACIWkV+gvTATf5xdcUBJ2VY6AAIlJzkTh2 P8N4F4E0roOYm9lexl+pYFYxekaq2N6q3RXlgHqwI2R7RkQUinq/zWaPZxc4JGY2fj9iMiOzJTta LN3TmbaUVq4cc3uYWWopZtESRxlz3zcQXfPnfzu877BWXW+uBMO0I1TLd7GovlIStBAkirwXfRLv BaxJ9lulVJHir1eTbdLaMCG1EhGc2WT0WIoMob9+4Ik/k9u9+RUcxlg1sxrZ+2OQw/y8huzSCfpl zPNYP7p4fSYA2Tb7zXed35ByqO76lk6K31pNL9na1LWi00qhUK8TL+FCvA17xKuK7dYLN57qJpnK wmDteklsaqA1OhCqA7wv279zjXwY5eQO+gvFZE9+JLeaMzKjVftZnSb7TYgtqGawkd055W8gg1Ha SxF8z0zIRsr6SCxscCwKkwLMoRmMlgKHTQIoMalzz45enkpW+h8J0WnhYtg+uqkgJIwNkw4hjx6O 5klMwuYHg4u9+rp3e2KAlulbxkwvwbEX0Blul6A4EUapK8hQ8BOMsz/cPrGXkLkdhgZfMyCwl/jD uFHvLrzR4tCESPVfknLQzlQmqltCwhry3bD8JYP5p9qlVbYAk5uDjdaA3KhNAfp9JWOn1n8wrQvu Zjn1i4Q8XO4GHW0hGu1xA3dxWxvVeJla9ic5m9pa2AGIojNTUDIm16SErN3QeCoUINpbwHCZ8QtF E2PC7y2tzrlpjYLMpiBBLRZhzxDvAjL2AZMk8LPPibv/lCXWAxw8J89JvzKsDIFMQEBtrX/K6WtO MmTGn+PL7CqovjSFSQKYIYJMVxiScX5GI/3AoVtKDj6yb0hv3/0NEOSZU3le8GRtGfWleR4bfgu0 683Ax1BMvuYpIDbXG1pAUYs+dgcrMV/5n8Ab1MexixCB8oa7cCXXzXbeJuKQPyr16AY9TfsnpGHn nd04F0JFiMu4ex3TmSidwy+FNJXNLRwh8eDcMfFWNRIRox4XexZBh02bKBezzOevPHaTvoKfRmKt bTD9Z6S7cDPogIq3KxYOKRWtTQOtFjNHi5G9JSeuFFH9cV34Na+hl8OHQNbRcVenY1DYDzp/LFKm +YN1PE2Y28fwXgg2zATiA7KRnKBMdjcRmvnHe5jGccGjIsy1MqRKhg3BerL9+POKdeM06Fz6gedc flMayDUgpdBH4TSnXuMvehp0nnVpZL/9KKq2VFmHvO+NL17RLFqosXe0JXaY7o6mwP5ZSigmueXK twtQ+3ddDb9AtVCHMCTNWJp+mMb+5wMAGpDxyZ9slSzCXg0NJ6qg+WU1WHzXLbKTFTBTjiR4jvXL yuJdVv6lUxL+fogf2Os/XmlJIRc9tNhaEHjERyUyDFEkTAkjMaEdBsU+A/fIPUAMUoneiFMdVpay F7LD4e9J4GVhjcPlN/94ixx6h60sHUqAgQcXsbtJq56dTctYRbm7RA/AMAEFrizitrOe5fzP5CPy Didq7NvvghoVmU7yfw9oogni4/T7cenX8hX0QUFHt4wjb22nc8idygePEIX3SSRn7SOG/S8Rimjs nugVftbyo0fg0Ski8DlbIUtVMnjM9s4Vx6yH4sPjeMiBng/0CDB4m18Bogt+zdDYfq1f35tAT2Oq J1ObJBXUHUymgGPIqrteERnfdFVMVyw5NqHTlkjAgJTK8/d3aSpIZ7tThHZLSXVWFnG4YQKo4y6b C1LyAmD5xgz48MTGWe9s1f6N+xucu17vvYtu9I4tbKG1EHxF+gk+4l0glypmFv08UaBVFJFa1jVo i03XvKbr8FVVl3FX8Nf2WOyqEpFi+dA2urz7OCM+kI9cPFvrY91NHEomLLYOxqrok9rjL0Z0GsGQ dm3KmRL4fMb1LgLz2iJW+p8m2IK28QyZLUxqWl6WZblD9PsEBXv0umJp+ONSrDekULAKCqjvQ+wV RrkP6EE7n37Isv/MqvL+G7m2IagQPRjbd8LAml/emya5GQ1YclP0RpP418NbRc9f5aP6Q0wMuYa4 oq5SXdGyjrfdKXY7mgThStfQuMUnGXzlSele9toYuCYGJ80ndct3ol2Ck2mThHXqYmX3aHtS+fSC 3LtUwQaUQyNB9gdQ/J8eF42cf4LW6ZENiQetCdmJ55pnWI7rC+z6rc7DoUq3vj99I7o+JETohL8m dcjIGDwehR13ETnFrPKV4Q1+6jqm4ikZyq6437lamP/APQaYGxyAYIEdvNqNNpvOcdYHVQ1Y2QCO 2M2s/E+o0YvaxJ6OWBC6mHv4evmpTKXsPwab+tu/l5K8UP9xm/DSlNvrbrkAVY1n4e9ce2gCHNGE a8xeWH+9fT4B2hmfBQkNfEGYEk0GBSrOLYG+EKNn4v12OUTkgRQvoYL1g+wF9N06oy2S405yraIw FV+4M8WmXtRWjH8W6P4pyY3C2m3iHnrWwDDXP4n4FaFu4d95y8NGt1lG6dNldf/OkGcz5wr6EPCs QOqZRRxtsH8vU/kXTQfo0Mh6TYlF/5A3GPAhj1dPXgfVSyqncql8D/zBfEHL/+dElxW42er3tB1s KtL5bQhMH2Bb/RslG/B388eLA+itks5geOGSVl401ynwQZicCHRHpDLL0GUqbZBA+D4zmJGQ5Gxf MpZsyHN/SPQmiFDWlSn8DPAk8NAg6CLIEgw60g2NHL7lo19xXY4EeHBYULqEB1u4w/CxxehhkUny sa2V+Rbv/chmCWOS3nhrr+mJ+eXVfnGd0O0nOsWZJRNj0K94hgCBmbkFjM/xwjVojF/kIO7SOiYR VqCRzfir8I6eZjWKnKmfph2l+t7sUKd2MYodT3DT+6lzNNFIZEK0jMBwOXoQZ6dd7Pk7E1Ppga0x xNUX2USfRAdAMcOqUl++VR7O83ngGkdyiVLPtsszCo5Ea4KWO2yysZC3YjD/ie1KG2NnDfWlTrLC saJg6+raV3MDXYDRbGxjgbO7q4Xgf0Ej4cLgJBrjNNbYO9jPUEdtHvanLBC9fZuD2oZo8dMUlZuE 2JsqwLrM49osRSOmrIQeIHcRRBh4b6KkBOtVpCQn/zmqe3ugpg79KBHyqX37SkAfhtcm0alYAwaR TaJZR/twa0EEhwNbFs0svU2WK3Fu4NQrO8Fi3fnBNdfZ3BeKSS5If0yxrLVclr1if6ilopHZuWJp 1iDn+b3O78saxu7lt32AWkYAp6XSRmBoNVuMAIvSG/Aun8QMBusnO18Y5iPySpcKYNwLFoF0wDjg oCCYtjiqKm9Sf9dGv0NbZRIadV4ShSAz58ANcxhdzCGwicgPaha196iSYT4uPyTy8PBDjO1jWj3T kOmzMoKCuUh/H+FQvoxq1jfZUu/ljbPWqObWExtS4dOwkfOgs6LEVc9m7ZdVp6sAcseWo6gwzaWV QQcwjV1ToSSv5Y1xVYMM21rLOPizA9MYq28FZmJwfanK8GAtLpP9upTgCU86xPJDPDFnhzavFn/Q kwFuHUzcVtuMg1vdMpdU6W9y1Gz/+GXtVmquOMrCniXxarEuzUhe9A+g0SdsEzh6xEARDDqrz5Pz bAILT3FtWoBo0QAuOnXnXHhZYS98emV5Y4nqeH/zLii/NXIL80+C1miMwnDPF7Y+BfRdvHqsz/FU zzfU6VYhiIY/Ki9rTaQWWVNCN3tCLxNP3gS1L1838bOORP69YPPApKd2FQhLWKIgb85qncMx9x3Z sB5AUpuGwpZkgr3o81qMjRavGB50TPfmUXIiJHoI5kXKLEfWl+BOjrP7jJcILkUQ2AHPVkrtgEmV Nihdvwdo98SHr8xkLsqDrurT5KtEk8eymBaOB7TYq6EdaAE/ARMMjZUW8R81SXiBC2nDmYaNUg7B 5Ca5X7eJ1HoO9jj80vu+jXa0s7Ho/R9XFMEVK19nifu14aLKGtj08EzPpdRhpjZqCV7kVBi4gXMK 5JyxRb2av8U2Emxs6RZk+ZbKmVsul8h2U7SzFARnfmizTb0WoggxfSmnV0PbqZOKbwOXTJso5PwZ ev6bJkxZcsYFBzaqk+Rzb2yuia7jiiJPkvbfIfOryQrCYbvZ5BPFtegRAYsRN/G72VosBLkH42OS XVqfM/Up18MDGH7lHhULXe3GJTxpGWduin1/VrAoJSLbNRa7bFwAX9vqO8YkIDOGBZLfQbnc3kKy DgET5Gj14nRUDQQJ1G/sB1vAq9iBb65HDCo0kmt63Yt5qdR8ijGH4jvFd52ufLBNzmCRxJI9GYAE Jgt7oyJ5uVOuWg8pOHe+LCE/CRyNDJkxQsbX5yvQMw/r00VKk+Gzi95XLjVAj/N6C8JTv6yZqOzx 3gFZHNPNUWwV1KZEUYMqWma02ltSHjQMcUsUpIAGVisCXCfQXyZC96tbXuWLTKgI0LRh1ES0KG9e 02ZrdvpmHhHAL43QW7dIqrezQ3bR9YMbmyeFNkUE8i5i1K92m9b5n5Z0GoKvuSGGvXCva8sQxjBV T7kgQsBIufzITrQbfGNO7gtC5VDFYwXGT5UEWHgryjnSoDN5Q0n9qZznuf3Dvz38Egnhcq8Oehze l7NLr/cSRcy9ppDFWmEbb7AIumG275tFa/7vGQfyphRJarZCk6TSncvVvq4cimWtJRUBOG4eFUMn beLW5n+o3DWE8OaPPpN9YY99H9XIPHQrhrwEumrva0jn0FcgGHvxNlwLFG0oKNrhAEbrxrzxNk5Y pS1BKEEsxhF06iBdb48jfbwedrfYBEAbedZqYQBhNTI9kP0tuiSX3ufcOncKqm68HU+CsT7N3A3h asNy9DuDZEcK3zKuNEBuq0IH9NTU5DoY5dUBLugybIA448mb6fNxQ1E7Mir2Z1FhhkBS2zRRA4Uz bgrUiEyFHDRU6oTQQpMXJSa67zH4sMXd0ydAn+NyAYaMMMr5KHdPU5nyF2Pz9bqnVXk3L7QXAZfm 0yYN5KsPJ1C8WfNNai5uWxEcNMFJkFNW9VlcWLBQ/uE2MBVz7SQn/BP3Am01WyN2r7lJxuDaqLle KwH+I8LPPOtelBOkAi0h+v09PCk1mvvCAlHcQNNo7YpSPP2FTObQyr4kZ4qpOY6iHEsQLBIymz5b vBfx/boIGcMlClX0Bda/B0gf+6a5n1uo9GJ1npltee9Iaw5YhZavX6KJkCiKf6fXhn4XFzVsxPC1 qlEEsXO9lAlQubKviu/Nbcbb2k3AjqPB8P0PmuqjvB8rMjv65yTnE68jpheptjZ9RqJVn1xCXtz/ PbcpuF4NLNjV32mFGDO4jal7DcxLsUhScblkSYFdj/VW/i1ARacL5m5F6VOrERmt4rbntmS17USP nYAD7teffs+OOsvgSjvhdfO9iV+En9zbSNqnsPr+VskwrCdW7pp1CpB67qey80rk/KZZcJx//R7R W5fP7B29bE2oDtN/Ga8z2fHbI+yWba4xRjlBXIqxJoN884CTW4YrEDWAJ6dndVTjBlc9p99CBLvK AIIdUbI2PG6TuCasUh/SRt1zHSW0hYbzN1I9t7+7dfT5i1AEi8Q7r7rWJMp7T4/zL5EejrybzmrD Z83iXa60Z56Q6sW+wN9aUTeqQJcg8ZWnnp7W9ZcWyuzywoXWWVxOkEIJqrYFebCkAghobP8UGR9T FYwU9Ty2XPMWBADY2osbssaML3reJ6z6uqJySUXwr+5ebyczIEfIgzw2tnGCaxlzoEOUP9Nkz4Gn UG64zhn6fZsNjko99ja3+w374sTnz925GEivDTTfYTJHZCAu2YbawCs3Zn+mrF+79h9pAqE83HAi 3WjlJBVo4Am+Qz9LdB+jYLEkKq4+liFoWpn4k9oExwZfDju1bmpVrNZK9uHt6TR/yC5GewdtkAtI XprvJiFRUdIdtAyjOv9zdFw/eKtRugsFFdiKl+6gvnfezCwV3zLAlU4nObHi0e4QrbvBwe9QI98X 34CXCWCSpZNl3r/pXNMhB8cUA/BoT0dT4yGvC25doTQQCRsm78FGoJLPgdC2U/UqF3YmFE/2aR2f SOQWdr0tNM8zG3AcosE2IzO74bun1Et7hL/4mEkErjnzqYvlqw7ShmLNOo+KU9oSBHtpqBW+/Hmi 4X0GXw3otCJqrxQunGmmkHtKbaZgsnYOIW6ohKLiWha7Ym4pNxWedqlyjX29IgzdKdIkmtW9BwbS VaaSwqZGmdbDkTMPghtT4VrPrWi1EhLFctQEPi1oX+lZtmt04rQVALqyaGFJ15lh0i2GNkzUFyT4 usJIyfNHGfF+wxb2U+udMbfsDe0qzjiiq29zSWUVmvAIhwZElkXWDlN7ZF0aAh683zsOQfAf9EhG ak8YyZmc/eFDkNHwz408Nv011MotzdqPA0DKFErQnMtYyyc6a9vticRibuDRSvFcnNtx03xw5Xbf U1qTvzmFXRd5s37yjGhCL2eG5ButetFqVaTLyQk2dUR8e1cRmhg+XGLdz7owewx677frTcKnM/Ul Ls7l2miIcrJRrClA1VD1jusa5cgd5tYU/oQW3CXMP9Ah7LfxGWkuZghKLpd97/tNfhpla96ra25k 9IP1+Jl7e7n1V73kt1w0jGCXGmVsWMCEAZ3j1dPk5oP2olGCOocXycFqjcTmq1bntyjx3vXB9zl1 1J4grPfo9eztUlQsipDwAi+HM/Kake6cLdt0NBeG886ltep4d/9UykHRRbUYF1Pd+SXlyvnS3tgL xx6at1RYF4nfES+ZxRaM+GKGiOFM2iyUE2xkKECMupQL73mxtoS1ni9ntVRJZW7J8YpCKydmYEWy 5XPQO2/ythOTq20PkBjjma3K1SJpzoVcfjHOdzp47utbWeoRzSdpaMTKuKsXCNFVPo/Gynkk1Buy IVMM0g0x7buMjguGe2ydCUHAY1CmEFXLbleqd8rwtCo5tojtaz3ABMiT835q17exy/Q74DRrzsqT veBQGDyzAkNMe63BZ7FamtPL4sun4BU7PMLNCd57rEaygTwXyXibRXTYci/HoaIdlC/xLK0ucpnu bBRbbh4mo73zOLhMWHVEd52+6GXYRzjKgLEGcUK+EpkV7b5yMFAUMmpd/DLcxsle/GYkECdSASvC mHEkrMAgrK9+EtHwhTP5IueLflMSWI4FQxaUzMS9IS6bcWJjvN9KtNUHMnPR4wqgLwcA27PQ2/Ul rz8OERp9uIM7q+IA5ByzFnflaBcbuh93NoK7LdLmV7+YBUIyspF9DcXV8fsTX1SeoDGR8/q0uXjl xSn3fCd3upfdDupYlTNRM8fscKWvYPTgVEvS7rbT+laEWTx9SP+yl4/CfXH1AWpPEuMC+EQUa6st F+Owm1kDcmTrEMsYDjo8mNzunO9mYr1uhxX/qHMoWm4dEEpTchzi9Vx6yVyjvcF5ku8U8knBEJTs 88y6ALF59UAfww11yIXHQqevESRxi1LelcSH1TYfe6k4+HR+p5OQX4mgwizY37KYmhYTolZum2hJ dJrx1E0YWJ8v7gNwNZRBSObnXQkWrSfzSl2DsYOYxWJOMJfK/VeEwSxQVgboLruNRAWLoe+iswYj ikIc/YpFT+8WGl6BzFy7MRQBpCh3DDbYHM54z7I3EiVTJ76r8+a0qdQ8LMp9qHAX9YsLCb435vqY mviaM8o7HZama7hRvnzD0uTQJ2wj0XPc3nV8nLYj7miHWO2wPLt9fZRBjW07WOJy8mrUdzo5HoRG 5emGajKbF6FdGzKqpNm5a7qCds53ACFZjZG3FCi+T7sH7T/2a9Cth7s1JXiWYHUnRfofN8NFUrMx 7pNENlNYkWSi2+DL1vkvmKpWpBS6rQ1iJdRjosd3ZnRLJTIRmSFobT+KupWL8gQeR33O9hYNQm/J 0VTC0dR4WhtwLt74HbzSk7rA0Is9zjdOhwQHcOOcFII2XmzwQIBKMaZherabRJAwoVLFDjLD9r2J KFTsFTypnYbrtro7FDV6lgOzLlIxApg6mnTFR9PTYqt5m726i1rolgOYSxw57heGgxSBuj4YBibw QTNjdciTUnVWS0JdgK6vP1uHULXo/fSE2t2pSiIDKey7iZfbRCUtocTS+dQ+EVzSWGVyz0MtzwE+ 89EdDvPHRpLEi8TK6kt0w5hlq7rxLUeQ7Iormo9XehXk6w1w/I1g63wtoq9joP4NlKy4v1l7F5k3 LWwiN7Go5d7OigFFNgWUn15x68iBUG+em+CGiXRvN9ueGcVlXUkf4E4G7XoJyRzmPUjl/WVGkhx6 PisUVw1bikbhowAtL+6FApVk3vUvBCUTSysuk0OIPQCV53NtBqPOxsXo0/WNRfvKy8CR2ctng90s aXz1dums18Zm0NYt2hOQnwwsYv+ZGwNa92YBdwX84/ibnorUS7Sw4gjvX+ybos0n8aDASn1/2PTy j8Cn4uhhWwubTOmQyUT0RbBN1WzYhYlTix/42tQQUgiKBa6LeYf3PT8LURc0tLyUQpa+0cEdDbfm WQQ53rqm9mmBWnYkxZ24uqlvEhbn8BZJ99kyMwyRoY+6x8EfB/KgdIbQUCMmT3knSODghP2afjMJ V/Wk4A4/i3vS6dn0EEF0iPbJ8Do3wWYR3VKnK/GAiLSFjH7NJRpGFLrurgRROSc10MN8FTlv5u55 VnsGrSnzRQ5i4NlvuCHOAf1gj+xEto82Zlg46DJ7ltBRemx4AWRMrgdkR+I5e9oKRWNdcWJWcXcL cHp3ffTlO79smvIjibvJTTCahgZ0L2dM1kkFD+9r80nhPKk6Am+hKTkKTNDZhx/wuPXr0TWm79U6 ebknu2RE2nGnrlpahhR4lQ9gYgCrp82XHo5WWT9Bi/hLP751GPoJmpxogvX0EGZ37ugnfDyiJsvi JI+PLipsQaa/0U7CXb7+JwMmPUjBCMc458ZNZVEglzRm1qtxF0pss/vvQCZO37A8lMVP7bd6CHid iI4fzTjB7+bQ8IUmWMRwHccOinOpn3hzAU8j6O1KoBQJtB33EhcKJSyzEj4Alh9iceiL63+DNyIB CEPtkSa41fEU+W9KSNZlDPxHrpWTFJ8BeTup6OYOkZ6295B0QWed0BPiGiLGF0CjFZvfbWp/0zwa 1fbHExTjejGpo5+ooe0JInKEHb27zZmLhF0k3VbXdTs4xLnVUH0W8iQF1sM1ND4dmUc7ZIQ8Z7sO Ify95MxwEPeKEEaq4CQXvQ9ZabFS+sdMo4sqrDtm+zHuVbLOB35YXVDePdY3c4pBH3YM3Pi0nwfN J9rTZbn6z3SjZyhPLvaJM0WnWmspkhtVGEuwcLypDepkfZKKSBHwhayZSnnOOI95gVR4Owfj/lKq GC1oU5oiP9wbaGIESjVXsE/Faw7h7vs6XwCYHK/OJjnp+BKeOZ+UiKilywbHWRwzEgQHy3KBigHt +C/edf1bE0kmB/tZqlEd+j4+q3Xi19PDEX3q45PddpH84GfVEANIUCcFWnZs+1qnjhMeZBWcE0pg 3ZYpf/zOXn8S+npc6ODvOgbYuBcgiVWkPA55XX7ZrhCu2RAqYNrG2qnoyP0WeVQFPQjbBL6RZde9 /GS95/tpiwP7isCIkzv1G/oK6VYFoLwHWl4QmaEBoX+rYBbhinX5nfek6UiLuJesT/XM9xHqQWtT Ks8GfXL3b6FLka6bMlJVN/yDCrP+gdzwiEU45D38qtStxQQieXOEC/+ir4MSVm28+uxJBx+wlHxB QI9aLdcrflZtoBNb3d95wLziatpZc1eIDQGslLLz5ITZs9gmodiMdKC8/1RCynOcuekBB/HtEq7B XK52GBY8GQfoMxtuG0Fq/iUr+k835B2VjOxpGxR1XkPrrnjup8xCdth3+qz3ygjI4iqer/ZADTdb V6MPlBYDY859Lr1Aey8mGUXNrDkK2qHhhulaNULHQrXtHV81w5WNVKbAiUGtesjrPhLVo1zmxdJW 1IyhttCfyx98dY++ocmPFTiPRUDpFqNwrab4Ui14eKnRA9ftyS1dOp5ODpv8ft3FrJ8VkE4SAJ6P 90aDiBR0kP78QdpZcjIg7O2uQTOIYN1mb3lzFo1N7WTcRNrDyw3rOK1HRkKxsxudTcTakWPraFQR wUKiEME9pbbZptVgZKLOd2YkjXnEoR3zLujdXgWA2KQmNx2H82wyfUmgq/xFdx+ztv9HV41QUHBg wAC8BmZKk5WsS/c7K6Di1I7ePonLRfolOUlU0xPd8rxXl52VpvF64knhDvHS12Lr3YKEfaxrT6g6 ya99O2C1sjac11+hz/vswNeV5A32u9uKymQW8iI6ObjRTvy3kIiPtZa26Cgl23RIxDktwrzfMHTB 6pk5g/szJPzCcQX/vYGEcgswBH5TiWzvlqh3ysEOwGvAYabopAR47Ggf0rI68hULksaGHq5YVV8f bSxZFQnRcIh37DNHrQDUPRN0NhLTh19XldXOM7xT+a28psF1wuWJ32D7oqaq6v5WNJg/oMbGNqDJ CEwPzBmBvXUISJpzCozuTMJXdAoeZUFhap5iGHal8Z8N5Gnq6w0BdlxcofkWUKsW4bLQ7ql2vL7z 90SKv07ZBD+53mHNYKxVs7oyc4Wae2y3VlHJpwObqVt10ppy2VFPO8d0xN5zWAV+rdX4HGyeUh+0 baR4MmuRIcUohilHhVpRKdCi3jPOdL8AqLNbN3TabQIEuOcmKG/Fo0Mv0OuK6+PjkEF0gWtiMz+3 8oDl2bHLNoDDS4H4RENWsxzKDNu9epqqERPnBlQzSwKbG58TLiM8rZHof2ix1Z0MzNn5xq/xtfrk Uqybsbwx8JdkIj3ajh5+I7ow0ArXu3rThheeG8whsctGdc2tYYCAtbIeirP1CgRakZo27I+nXSlf nNuzjovwR3Uc42dF4Vkl6fErL0SsvPc1tdsCOk9n6slO1z9jHaOwTP7QCUE+fkPOGDe30pzxxyyD Q8P3ynYtWdeH7xCCrBL2v0sVvYQs0nvhzgZXds57U3Fhn6x8HYCCkWf8YXPvhyMpNczSTkcM8981 uDo21a4OcyUapOdkmkHWYmDswLIjV4J23udEddhg4AaMx3M4RaUlOUt35jKfRZMnnamQqGezB5AB URXlTXVsu1wPXpnWvTIY4Y0WGoOV7HdSrjDuLwPoYGDxqY1TkslOh1cE2hp+RJ8OHJKNCZxzTc6J W6L5mumOnmaWViDrdfFlDEQK/FuT5FWsO5bUkWlxfhDtkBdv61ad63YjSZuX+6S5n0Fb0knYI2WC Klk86sY/tqLO/j/tB85YC4NOJXg/SNg5xSnFdeug1sIOQ6pSaqwmUApcKSwKhz1j3tZ6xy7ycRTg d1htI4cATT6BqktVlJmnqG/fbpTL1Fvyw/7Nq9Pt6W2DGb028sC3zszMmgw0drHtoBBm02vsPUvI cHIFcGstXInLxmDmcf7vJkhb0YW6OV4FAM7mjiK8bOafWMRJnBTQcpBGlsqkmzIR7Ir1yO2mR5Qh TR9q1YJF9gVhRkRCpsQSTxMxUTKI+DZDuVyyAP6zzZNc7el9s4470nSrAQe6RPAcMplOfOW+kffC gmMORh2npYXyXdI81QYcc4dJ2wZSVESsstgryi8l29IK6SPgJy2HtqBbR/A4G73L33sNmwYLzbXS XXPFR5dQjWTXNhurjv26AbhQTdFjSzxfgqhsh+4m5zFjd/SmBR+IYIKddvtdtbalg3T0jsHVOkYT uOfHG3tU7X2EiOrhDuzlbw05r8abOkLV6KI0SnTvkoHlZdciB/k4KlOwgh9/bJSTuU0rIZVj2qHh nEO/Ej0c2t7Gz2i1qe2puHKhfHzE81mQImbP+gDSAGlQS0PbS8uAfLN7/a/TspROje3F68xmWNsL EgGoxkgSwpcdLAZx71bWxPRsgUAMSDbymkl4L6GZm+30f0lIf/rGyUmZsduefAcJnEAY3ZjJQJnL hDDdqIF+caFlBiaBgRm1q219Nt0bxtv3pdjBvc8epEeAnaR/j6nqy/JyG5VlP8N2iOEPqczMYOa2 OVK4aPPgfc252DlHzh4FLjFb9AwvCL5wCE1+IWsLRs68HWnVVPnpZROYO3gJAx5zxXouxB57wwSH oGnV8aXqv088I3TEzfQQftGnH23+9im3zk+A88Gd+4PGaVeuyV7B4Rpk74PVFOdglJINtGkFsism lx9BogBKhvlZsKAPmRtrhSK5NclE9TjQqDfH2mPddO6isig677psjvuuQaL+TAEi9AWXYJD/+69e F6cjr9L5rppqSmQKG8fmssIQlUJtcwJzxTBSoZGF8pTC1TLPTn3sfqB4OTPxcr/GifVdN72ilIYN Gx9NW9VN65YlNYtFmIKzfNs1Iw2h39+NVl/95gHsS19xscfasPdeIE5I04mKp86l13gFiC/o7sWE B5AX4sdoXx9vsjNyQIJJijHb4e0cvopWJX79db8TIWfsHifgYG6p/nwgWOh+tVsPgEzryYoQrdyC nN4/hibXyqVieEfZijfgsAkkY6oqWPix/HCKwHlO029qA5HRoAp7Q01kx7D2vX6pROTWlNn52bnb qIJWAeJeO05K48IIrWe+gEn2kiDXp5PyfMvoseklC/VOo8gkCKUgcaY1667QBTP/w7XCtYMmDTMV BG7FbbkvtZeYR8lSnGHG400fBaWOw5QodGZFO0U4YSGWLSAJmjYp4UqGxAMMhSM1eTPJ3YKPu7/Z dB7TYvvOAylIZrlfjCnwZPlFpQwTP9GoQL+H3Wsy0eEEvq+dVfSi+Afwx4rT1ye1kitkfyxB7QO+ U+GoQ/4T9UXHcaoxBpIQhrybS+Za5LOSMfr/Vj8ETZwl8pvOrQ7WxNctvOBuVsFfqk9O1d4s7I10 2tIN9bJRIQcrOPrkf2MrPRdR1trzPJ+g/GzS4jupBGNmnBOEHjb6KJlni/fPy+Q1W7nbs2OBEZ1U Mkkt0U5o5JAAtVKEu3J9HdnS7KkZStPyDw94ySajcxBcvrvs4SPfQ4zLegRe0X3R9LcpXmyDnEOc ddTRjs4e/vs3qzi17PDcs3ZnxACnnQ3DO0KaWpD+udEJG7269j4gdE7LcSYP9olC3kKgjWZRn+kv zzP57rsJLLYulD6E/dSqPphoE5/EJQIQ31qBDS3E8bvRq9Q2TPvC1bErG0RzO8mC2JT9njNfe5Xg Bphb5CN/bhPE172lfH4Jg1PeuLdwuKRJjpSy6JlH3w6HmYNbO46J5SMI41WObzyftdggC4rlGrBA L3DARY+YxAb1o+CWH7Pr9PzBL3eAyoXHWGvHoyb3KUXx+/b1ulkXK7CtwNPGSxms6aPBfRAyN4CL n0oDTjkZU8HNht4UVeShvsh0aAJSW748lo51Idk22d8q6nMXNQokHVRItUusXMKIt38soz46BK0c 4DTowTAJ788dcyLGV9mSCPJxrsJiXbcAODOA21d9APHpPS2NBsuG9n8YUTqTbuNs709hZKCFtsJV LNYacc5CxKhAnkvmoY0es9hVY+SnWhNJwF9uomCVw5lgvFgX952Yxym0YaVxMtmD3NNCTcDCBklR 0/LtwL7Dmd5Rh6vSOvSQkV3IjIKPekeJVntnCgK3bkBceQTD8D49eJq48aX9qhNObvEuvjAaZUPB 6L5gpo4oclK7m3WnvWoaRuBz7vUzHVk7cjrwkR21UsCpqzeRTceJ7a2enMH2TJ58mRayS51BrYgQ TlzxuTHXzeOrhwUb+PDMM+kZfMrhZr16Gtl0xUgLkAjtATUljyL9Wv853bKo5dj0yIB4ascRLI7d wSHBM8EvVt/9isswIz+x3oevBXu94X6KjGoQaX0cTnIVaC2agyZRLSvFr6Ca20r8JoL15HHKFe0A iGSCY6SBgtznChxzvz9PddgBG50Pb4TtFGH/LaVIfWo63VqVDclW8SxykPIZVQ3oPG6MCf8iuo+d tNCQ6aJg+txRC3HaGTw4ZakuHUZpEofu6Gy2+WL+JszAbUA5Ee8Jt6ccz3616ySo1WE7jK/CNDNB t7CkMvlETipVzJuwIUREm2K/JOWLWXLuabXQoQXWLjjkAx0Of4Vf4qDmC5hrcr+Rvvr47rOfoq3H grygkkIni7InJnBG0bv106TiipzJkN8mtGOlndGGOAIleZEijIup9KuPSEPMAyKlPyQC2gTuLGA7 RLPKljbkINT/CVucssHGjqMzln1/xCkfkAXqooU5VYGk0uxzQ1WLo4tjfEViEsT69MB8UUetBQ/V BRHArElmw9AjwwVEGan0pS6KhOdaNzNSh5D9ToGDgpQHNMqbVFKo/j8gVl8HtQyUu7s7VkZp8c7L /NOQ/kc7c3/UZVL0thxXUGbnUQicGwXwS74t8TUIM8qfwKfoUkZ4VJPX+BlhNBdUccKecAnOzbvQ 655xEBaKEY6CAKfiPDna62fmBXMye37DbACtECdBrm25yJ7aOt+4OF8QA4c1OjClLf9mTLglQMnO qWlXzTjHg0SS97i3+L5NtgSTXh6ikzSy6/Rx33yec5hIbGx1GxqMt+iiQn8skm2Hjx8b0J0ud30b Xiasz0X5l5RfR5cW5Vy/cOucN762+Dl0PoHKlXPmCOZIPZeSVEkpRyPUZDI7kUVOBPTTRS856mgP SXBs1yFLm4bUX+fv8tKNzoSsCOSDn2t4GInAITabx0jApztHhjrZfL19Tv8uKMlp+8300EvvMV1p 7zASa7IG1CXRug2uRppOp5lED2Q4YX4hAkXRqi0nM0EKgcYlEK/ftuxFyBiya49tmA/W2qPF914X xZ73HyQj8Ie2sqjPaHTz6XEnn2e8iGauujohitjPjT07Ok3WmMoY/7PaI2onkon2We0hdkUVAP+J IUux9pIKUVl9l/RTjO/YrQUNpSVOVeMnwQxiNwTqVafSsePqsUKcUJic06n3dliX1apDGELByoCa o71Fpo+rensqhw1+L9nbROcSp/ICoYb3d3cJUeD5xo6f4uRAqu1+aS4CJI37E8MF0l6vEevTHpAr IqWbzzxTkQY8qD1TgvGAHQuOQEmYeq12KCymvsIon3HD65erRct07lc6WQLvoc4HBhWsKlderY9Z Rx8bBtqYLxF8QBXD2t7EYk5iSSKE7ZinjRF7PcPdSDoLV42b9vCQYtMAyFRvOyxf7o4vljSS9bE4 GDOAKqjUrRy8MUw9L+R7LskPCkDWYZRh258U4t1rd//k46e7+QGqWdLL8sirCwx1nlgApuC/yDYW nd6Mo6sUq9nE6H3yMevT53DnzpItE7dMn/43AKjHjEy5JExsB2wUT1NNbjwV7oOEIDZIljt3AuIu tJoQow6gpWMm2ix43BTcw6JnrZuhbROMk05Hpis0uVZerDCb5tCJBhUCeMYNaiHkMtDB2zYkxjNb FT5WAol8ppyOtdoKUJlExa7OBuzdncka7AnNkrjcNa73rYV+wawaqVRTYmiMGxtqKBhv20ncIFD9 3A4JkivN7WmsuFS33wReVn9UO7TcKloL01crhdnloP/W80LhjKDg5M+JK2Clk4Nw+4sZGWtETvuD jZEUhdDs5onGCXRm58XgeE6bBf7Eehs3SRxxapK/PDQzM+Kt7EhyVAMV1xCBMTh7jv3T1F/f88MM HZz8gqOA/nIb8o03MzY+iHmWheNV2iUPHTAet8dn3ffdnU3FCSilVk2TBqHf21MQS+lY7j+NkLBR Zgq6kc3+Rj1BvFu8QXNKAh0lK1Q5cwI+5TK1JnMQI8eqR6+3U+dCALIJGvvVJAmNR+7lILjSg1vy AXx2f4G3RHxCoZZ3AtnBlKatwmwNjty34utIjvuWcppA5d5Gy+/9hAcaB8F/AiBmWqZR0c3RKHkD gP4AJ5dvfuUv8Wn7toFW0HSGbXI9XYZYP17bCtcQ72899JcicdXGOS3nYLnCtnZLlhvDp3QXuKHl PTmdEblIi8nGQx9dYXu36dmF9tQAcfOqRPzOxaAUY9OfiYaIAREXAI1z0qBWT5sUcvTiJwPEt4ci P2e1k8KwJo1VTSrBn5nJG1OSK9FfmSwrI2IIcWopJTtSewL8qoy6h/BavDyQOGtDKCC3O+yqmO6j ohBaIrpDo6fzLur2BGnYIu6CG/qvqiMPGWGvfgG2jQImC1MJVDau8GEBt9LqngIo+9Fl3+Jc276W gKLfOeKH/xR59i7JYhK/GvqV1fT7m34x/xc6gbnLmZvcTLHY3AC44UZi2gUZ3IBUonIfvGPn8qI9 jXfg2mN88FfNk2ERNc1D1gh4CqkchqMtm6/yLQJeUhNfs5ILHRfxBobRdg9bllvwWvMNE7IvX5fU g8LGZhz2+uwbwu5z+lyBuenhy5732fe2t8gR3YZjpxyFzU7cVD+HNxb1xCZhimfk5n5wmnDRlixy 8ucwB9UYyuP66TugvZmDsmaZBHvHVdZ/eg+5CYalzT0eAU2gaGnFfRXmFyvvSBL/2F4KdCZYgtlw u5JIHJo9QG4EQ2KCoJDQRibQtsMuBnZ17MxrEgRJs901O1OE2RLeo7VGbE8VbhhQnk6tiKBGVCnr B4sZPxL9usDdqXRiZl2kmAhfFhNBjtCAPuGR/Gm4UOs78fjutUl67nruZb0D6a98pJBt2iMw7Z8x pW4nBV0kjLroeK83DnDKI5Ufd11j8jsmcUMz+FddDehzsjumnBPG6b3jQX+G2ce6CgMRKJBqncFB GjFwjsl+Hum8SMapBgJbtITwp0wVn3IHy39BlGyrxTRfNrN0TYyzCa7L1reCWRGIwPHP1dgwAFyF ouSSm2aD3r6auLbMBL4dkXCSXZcv3bvVv4vJbak0MWjsDNAuRI9iS2GAfXIXbxVeGRvLAiXuK+4s gsA0CRcz4lc2hQU9QDzBTLtJ3yzYhLoTW5v98fL4+7sEPiwhlvIxAFt3VjsRubW1kJt38yHCyUS+ mHFwb7v3YOrqRrNZOlaEMewpeMAidTMClYAn5U3gZQndurbdHQ5XjfRkvq/q6Y6NvlvqrHQQ+1EC sqhhC9ugMJDwG5rSqZPPhSda3msdWIvmKmplFpHSFaZ4OaaiMpW7I6dqC4V9WD5hFjLL5QzyxL16 pOhVtAy+L+ZHRBiUtQkW3dcam06CN1zS1qHeyz74K0Z24p3fDzdxbpIhQPZCXewYgXjtWG/HuSRk INV9xLzAXLBtE3NjajamiwP/EyFzAPHDeZJ8TF19UfgXi9PI3Me780AEWOQUEAcEYoyDwkqWUVTb G74kUT/3RBLpTJ6Ayqu4q7ehowBBZE25o9wB/kfuq89nMjCYZa/5YcJOFVgI7urVEVF79+NP4fXX bdiavWp0E0LhynYYUmou+zsiaAD1Rv2A7z/QEwQENPVZpmbE/KCV0z5gDWbykEnArrU4VK4BZyyA B8x/Qp3q8x4GsB8rudSakVVdRNrSVFwsu+O4B9u3265fm5KEhY6FAdIrsxaSpOiOsYwXCqrzf+Co LfCvCOdj41y2iJRDEfMuN1Kp8YWcHofnhDfeOVFK0EfO76DH+P3zkPfmzROqznMBGNq+M67hkB2x VuUNCI2RN9U4nsG15iWUPUO9aWPWa09gs73sNTqa+4Fml3WHy2Jl2K1CiHFv79ncgTDDAXZSDCND 8j7C/YDSTc2Mltuf4LHRVlepjyaLUYS7rbAqmHmThHAH1ZOgGpv0u2X9pr+Bu67C22zpPE0xN0E+ EJV7oYPj9tsJ/Hieqa9xV7t3Y+bmD8a5OeTVBrsPk5jC8pXjV6CAhuBlY/4z5VtSZXRoVHeanu7q 1ENY74ryBpnAssu6wWmNj/S+FYc50Z4jqUvejGzLJh1ncBv6FcZ1JkqE1cJAio4IX+HyTjnCGR3v MZc3u9SDUNbqIgvGgm0TofNBTopJtx2sejLOpou7ry13+Vx05kkSkw/AyF4qxlJzW9XIhlQR32Q0 H1DhV7NZD4fZWG/J9z9E2yK26Y4SLPF8EkhsEFJVBknf35d04vNFi3yRfYrlv+AaFYOeSP5oWzZ4 uiuOA5Pgzkdx6CMWvoEX+EmXUGpkgQx3nihH8to8s9Lzfr4x5nwsPhLH9czUTjWFlbO0MCEOHLfA 3fNWc9PLTIx8kbfcoGAVic+MNOrS5UuW4rolIUyMB2J1SrD/gBihRPRa6h2T3YVq2BWvbhrxP/lK 1NdibPslLOs6tj8/Bog7oCWmGkWWQiOnw9oS3K0N5P/bBDW34k0CDQY83A0jRx2JrhARQ7+2dDuu 5vVlR/+8pT1kStkuWGxt7Tb8VetMdnXk56vC5iO/tlRxS51zR/ukJvuQ8Fx7uJlMGEHOvdzUMQ0d dEdVde/zWZ9LVbVOvGb5/Ods++dgdSbu+qKpaM9CEYPfk5+YSxxhWSNYROvqM2MF5h3CN79sbhH2 nwF1MyMYltSCFHFs8ZAUFtKoMZkhyS5+ny2u84ix7+RaiRl6JZeTe0+PwmckCvygwJMtwpDmY0XP 6qPXWZs84sg3NDofymNDWt2olRURJ92nn51lM2Rjg1IKD0OsGwarmMo/uW5CZlVWz31MFc5ayXEM qWb1BN5PmF2ZwLuCHsoSgD0yxAtOrzmXCwOuSi7KM4zJhnbbYbQEsPGqs3y+W8PHt3GD5W7HwnuH f0kKUhOT6tSR2hjWZUAF6uHVOI/5szDJ5EgkCxx37L9LXiZKBCsk7JD6dPU90DV2rKwLxlNwLhxp Enomg6J+SXcZ8WfQpNmwjvDGlRfhOPAZtMaBHQCXF3AWyGg3abKqvoXF0v5FkvB/cU5gD+CgdGQc FHBjG0QVPMziOD2eB1I9/X3y+8v+3L4OT0qGAb6a6JCZbYBUiawoh3opjXHE4lHBlYtZmiq+J8e7 irkQ7Wq0SEaTYluQ2+SBA/bBUTp77MjWzlG8L9alVPBRTMPlU63hCt85J30vN3CI3cjaSbTwo6H9 7o/zCf5tpl4R9M8xtnWDbApuHZKWPwRy+9FSwaFgrbk9f6mMzA2pRVufSQCWx76h/HssGH0aOhbS evb1w3y4A0DUq5hS3Z6VAhKwCymrNoLLh/6x25+inyVrJ4bbIii+Ch41ZQffy2osMK2QCJNlCvfE cajkysTACh5ZPgKNBzqDTM4sq5/7V83RR94AbBRyiRu/fEZkbs1GImnv/8N5FunzW9r9IT/0ZRHk /Ugyl/lTGD1NHDCekjWGSK9kPmXDsFZS0T5ayoSFPfcuqUBXeAS4RKjQh2/Db+A0Uuov7i1AX1Yu aLcmIYjkpV4CHio2fHAPC0r7VD9I6jg9a8TzTdDOggP4cqCZb6ZugD7noc5bGdYpaNhFvvbJGl9f kbdmP1aehwoMxJpXsEhfyqj2TAOkXNcoX+T9YH1Fb7u1/PyuCTH/GNj1O1OnqwwUs+Se7bKjwz9j xcOgHELbUHB1Ot1SrvqBn7UcGq7+LbYQIYRlOhlXLd8v0b3c6YCD/6L4kTyFndU3qSuQFiL/BmVb EVvDuZKdLhhRNMRDMuos8/SFtgaonvU7tQ/1/pNops/JCXzN3YUUUnMBn4DFUhO859skaZccSEX3 7LR54k/7nVUVev3VWjPIHoF1c3VX+WVAp7UyMTpZ2mfvowOxEFFrADQLXSK/M5vBL7rhYQgE0fT/ /smYUJDVgWIeq3meFeMrs/2iBNbkVP/5Lv7EDU6DeDoDv1X1wdtwh4vNqJyCFGVmJfB1jfLrdJ0n ytNsNRBwFlo0S8YoaytoQ1WlfYKfRjosDjQ6K2GYtY9AATRblwIROCIQpST0ZBlBvV+3vKXwz2XD nZZRkOs+hXZQ+vSIsHvkBvcndFzP1wNTvqd3IIpaahkKLZmlQZwJYyiwtycSRFdAsacmX2X2LyB9 BejwZ8IogRIgo9DpB1XT41rmvAb9D7chKlx/qbUu0PecGuBYL10yqyAv3CFcvPJ0j4XPDUCh7wif 0OdglhVYrapYNpmsM/exp+pFEAX+KptAT06wmKD4uB3ykhJsp8sZFNhH9kcbz0ImwwM+M0pFElvj pHl11hEXnWadvlN1Y8kNqo+NZ15Y/BHvFB9jv8iUXKztgCbKPOVAJPuUjutYsRRmC67DG2NVuis/ pDBBdBrZbDE8gHzOIsvpX9qTa9I7qTpqMKFT7IXcnmvQfvlM2SGKINyXcffShh4snB4rIq64Qikn iS+fiIov6sdiL5wzo5Tre0HEAH0KoGxAVZpLTN3jD516N9uYqAzwqiX0DmscbnL9PwHj0fojeGUx jGkjHBWo+H+BoFKBoNogaAfU8p0shgHRSa7X65UYJ370SV1HlpAwW2dcrM7elTnogHcbIIXk93IG koKoHuCXFPp763h4d5S95fzSSLplwQh2IXb6HkUJrA9SLSqUqlxN4NkL3zc6Mms3JoHNSduHgmy8 bhfDZqLHkgYKX1+E4alYZaG+KX8+FXF2IvQ3+e0kYbLhYTQS+J9MqGGgcWX9YKfxayEqdGENpC/X is8mjWgqoGCc0+tGG22bkcg9GfnY9y/yAKbEA2LriW6PbrJCZLJHEcTWdpbGD88atjg9C5LpcVno yeqtZW/DNvzpiXRmcjPQLAhRxM7IWE0zu2lzatC9uiSC0DQOLY08mlkILJLcSzWRf/6sTTqujdSt 9hSP5tW93PvbDnd907i0eKE3bjXo7egQ80ZVzRoAAcm4dFMk3LiMFaGpLGw2xTS6xMlY6W8I4nfW GdkAgCsuC2eF8YvzCPjUFah2neTtjWmHLQmNxK4J/U+woH36KndnDzehGKaPUkEn5YEdVEM49Cb+ 3L/+F7pUQgRmgvXoJMNL/1BiCnlpxbR0CCM/jLk5NS1uVXx8GaRYLhN/LxIf5BNQ78fWwgGc5Iz6 cjLjkZSj5j4QXEpxuHZ1yaK4zoZxJBBvVpjz2yqTQvZy49mpuxNZetOAoVACz7lMbS+Y48+o0Owu 6ZFylPJZIhzbQQuRW+TZbzwHTeiU6gyWSJirJBalwpU02/nog6KSsltbnsCzRKe/OHNdZkIe2B7t K8etojM4iVXj4bc5TLmMmRutlta/jr84RN+54wOIxzc2tKRSKZANYvJR0yhCeNYxTVoIfeX91QJv YzwbVY0K1ReXBwRDZ2nOt9RCtoLR/hyad/iwdX6NFWhKkfJ36NJ5Vi3LjlGj1BD5LsnBB+X/SGUV pn94T+R3gINMH++Eby5FLnIXd5fdomfNOY3BJnFwpvucO+G4Vdv7zvLDNIqri49YrW6zQQBuwBNJ u+cY3wqTVsv8ESaPJfaGx3DFP/CZVXGSgmo7DECRwhEk4M4NCEpTu8Zk1T4FzYKYHU3uk2MdEZZw Tm/Nn77tPVHg3ArFBvxkadtzQpCvVcOadq5Fv/B1xIa6APgkLOs1R9+c4yc/1PRM5apZ3A0/7cUh fAu0E2EKDG053IrlnlPZRGZGphCESb/TmPBw/qo/ylcnMp5lzCpv1i+XcEPs7vBVtW1WO+PXddIz PQ3BDfj4KcEncFLABgU3vyhudWvm+OsWqiiVqsO0iKsy7i5eaB6ESG1kyeI9sWkcDvc4K7GPNMCq jmYVoDxoL2OuEdgR6q83qEPJ+rBSUy3vnib38I2LNLvWaMLY0MfM/SaqHd1myw6QGFanxRzLwBua O3pYg87HmGE47EdHDeAphpcWs+gUbbh3AyB3zGV71UbPmDjHqdU70JX9d1LDy8sOuos6Ylng9io5 ReVIEYcEJkyo6ska4drFuY6ehhCIlAIYf7oGHUQ0Eyj1ebdM5TcP1RN+34q+CuoexDWHIXmskJgM dJy1OjNeUS8QhQwcPyLXqXGU1A7Snydgkit9a3chQ8WbD+IyvCoKJDu01ufxylewdA7Wi7awGH2h axOs4vKz4od6fkzfxJT8sy6B/LJaPGfSspaSvvnkISQXdHWjI1oGFb0YVRfVMivDAXbHnLV8qeBU xl5ca46YXjvNNGrK9SDWiEIUvFpLVGZixToi1M5Hc+WUjJ/hQji1LPppx7QdSzHS9gtcUOO9MgWn CzEoXRxWxt4PcUE3SJDUHQCciyfcY5CXVhQZXoivBGXU4VNH0Uvp0K63RW3IfnM6O5hdl47YP+FY BwOjcKS8pUPvfNGZ9i8uCNujr7AQz0Shfcz8xu8Hft1b32hM7JF9A+PvIif7GioORyeGx/sRophh MoUwoju3xw+C5sGMwOC5QE1pZhqPIdmw0Mj+X5AB5kfuJHcb38UuyEnYxmWiFMDe6JL/CZ7m8ZJp GwYcNh6KAHW+vWMCZ37Pf7S5HrOUrzYF5s5XOeAcNt+YnwuepwZbOvp/clvZDr5A6z5H1SClsCnB rW/bGps8VvR0lNuikFS6PmEMRCbq4BNRljTwex0fKLR1PLtXWRxmW70Ifuw8w4mbcej2jpQqq348 /vVfL5syOQfcy/fOpNF24CKXzh8jWDoc9tJVS9TbUtFW933USWPO8ih9F+xa+y8VhNwCy4XWM6Li k39Md06i6q1JloA84oVxmTKbqTohL//ThKbbBRhXO1FGcAO+jFIsV9rW2M/PVIGMNKnevKtSdlyp xygQKwY6R8nQ/4XaYh8h4tZwclJJgyogI0DxHPjIFTmCPVpzpnGWQxc1TJm/Ez4Lbaxttbn1wEj8 Qo7kgaTqdZItugUHzKWoVcQ0Z75QBrqsZPf409CKRqrsPhLxySl/qwD6S3u+XWxuTDvZNWKU4N1y bSDkaj78yZj50SbpFmZBv9IfKI/YukJSbtsnZMheHp5Z/4f/uF+5EP3/ruP8mXtttfo0LmJSuXsJ UGqz0w2GjRzICV5g5v8bsJM/WVdsqyQdpUUOb5EeJtV7Cc9mBUJ6XU1xUH4Cyc1cm1vKt3ikndaR rQ8SHR0P0anI+ghbrL5x9f96uBIiilUEGD+/ukYwJFFIrFINT/8oy5n3z/W/H4N2aNuLD8+WXwTL Kl+tQjLp2TMX9kv3If6FIiR7rC4M66Owdusf+T9D6ps5HVozdqZj0k2I4PWzphWAQBc+AXJCeMLs 5OOhE4Z4s3LXyXNPIS920cet6HzPvGpJD/3k9jdoyOlLs8DiOPi7ORhR0DPvdCXkwwMH43NJ3/7L j0kxkkZe+D78XbAu6sX1q/o7kMiEJ6GMCRM3+dHlH0a9b9T+Py8FwtvFN4za7AgcKcqsPGWhI85V IeviuiGti/fWoQfLkn/OZfNcINDf3onJZErvIXHQAQY0nyzBOc+IbQL4Eop01ZqJ9q5nyxlQVmLJ H+C7jzNJwUliuTGwirHEVem+6CAMmOAnIFzewj5ErWzgLcEUqTL26/meNd5KinY7NDc4YmGzLb1q cIHIq9WZXx9BKKn8GQI6ne6DcKab+HIM55aiLD4r6teeLEjqd4o3CtQ8W1LkG/go/5kvmuWPg3ku XZ3czOtY4rRT53f0nwYP4b6ghbYRXRWiYcr1AQQegISpjtUS+KwXd5xRU10iEUqE11duYh5lPKvm IDRZZcqP3M8jGOxj0rlxEGM1uPFpOazu59DCkSMTtb0Ues3gD53GoIPYGZc2jeSYI2Bsgh+uXwvA b2YSgGJlG44h/bwph1rd9OFP0hc+d1zJHFRgnfZFy27O6+24ZcFO8MaK801ibxQlAlFw8zQ3e2yr WoJbDLFo5ZeutJKFhT1aebaLESWa0pfGydze5jPUhs24FD6gVYSqVmjG+L0QgUkHCEM+GbsR8hHE Xa3oCwbw2iNiCeNA/Cm8BJXL3W6es1Jh4pa+uGx2y5jLPnm8B87BaWX4IEtISTojsV733lj7NHJy mfEXX5QMiqW1TMVijyMkt/io6W9yJneyg2VtYtm7QMHr4hiJX5MwEam0ksc5/xQOB3dKDMlybtjH `protect end_protected
gpl-3.0
31be3fb189f87413b5f3f27ed7909967
0.951864
1.816053
false
false
false
false
Andy46/OV7670-VHDL
OV7670/src/mod_VGA/VGA1.vhd
1
3,515
---------------------------------------------------------------------------------- -- Company: -- Engineer: Daniel Sánchez Huerta -- -- Create Date: 19:04:59 12/18/2013 -- Design Name: -- Module Name: VGA - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.STD_LOGIC_ARITH.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity VGA is port (clk: in std_logic; -- reloj RGB_in : in STD_LOGIC_VECTOR (2 downto 0);-- rojo, verde, azul (entradas) reset: in std_logic; RGB_out : out STD_LOGIC_VECTOR (2 downto 0); -- Rojo, verde, azul (salidas) col_req : out STD_LOGIC_VECTOR(9 downto 0); -- columna row_req : out STD_LOGIC_VECTOR(9 downto 0); -- fila h_sinc, v_sinc: out std_logic); -- Sincronismo end; architecture Behavioral of vga is signal hsinc, vsinc : std_logic; -- Señales de sincronismo horizontal y vertical signal video: std_logic; -- Señal de vídeo, indicadora de imagen signal hcont, hcont_next, vcont, vcont_next : std_logic_vector (9 downto 0); signal clk_25: std_logic; component Divisor50MHz is Port ( clk_in, reset : in STD_LOGIC; clk_out : out STD_LOGIC); end component; --component Divisor25MHz is -- Port ( clk_in, reset : in STD_LOGIC; -- clk_out : out STD_LOGIC); --end component; component contador10bits is Port ( A : in STD_LOGIC_VECTOR (9 downto 0); A_next : out STD_LOGIC_VECTOR (9 downto 0)); end component; begin div1: Divisor50MHz port map (clk_in=>clk, clk_out=>clk_25,reset=>reset); --div1: Divisor25MHz port map (clk_in=>clk, clk_out=>clk_25,reset=>reset); sumah : contador10bits port map (A => hcont, A_next =>hcont_next); sumav : contador10bits port map (A => vcont, A_next =>vcont_next); process(clk_25, reset) begin if reset ='1' then hcont <= "0000000000"; vcont <= "0000000000"; elsif clk_25'event and clk_25 = '1' then --if (hcont > 655) and (hcont < 751) then if (hcont >= "1010001111") and (hcont < "1011101111") then hsinc <= '0'; else hsinc <= '1'; end if; --if (hcont = 799) then if (hcont = "1100011111") then hcont <= "0000000000"; else hcont <= hcont_next; end if; --if hcont = 799 then if (hcont = "1100011111") then -- Contador vcont if vcont = "1000001100" then --524 vcont <= "0000000000"; -- rango de vcont: de 0 a 524 else vcont <= vcont_next; end if; end if; -- if (vcont = 489) or (vcont = 491) then -- ancho mas front poch if (vcont >= "111101001") and (vcont < "111101011") then vsinc <= '0'; else vsinc <= '1'; end if; -- (hcont < 640 vcont < 480) if (hcont < "1010000000" and vcont < "111100000") then video <= '1'; else video <= '0'; end if; col_req <= hcont; row_req <= vcont; RGB_out(2) <= RGB_in (2) and video; RGB_out(1) <= RGB_in (1) and video; RGB_out(0) <= RGB_in (0) and video; h_sinc <= hsinc; v_sinc <= vsinc; end if; end process; end;
mit
02e76b8e3a84a2083abd8a1b413b21bd
0.601138
3.030172
false
false
false
false
Given-Jiang/Gray_Processing
tb_Gray_Processing/hdl/alt_dspbuilder_cast_GN5UKIMZVK.vhd
2
879
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_cast_GN5UKIMZVK is generic ( round : natural := 0; saturate : natural := 0); port( input : in std_logic_vector(17 downto 0); output : out std_logic_vector(16 downto 0)); end entity; architecture rtl of alt_dspbuilder_cast_GN5UKIMZVK is Begin -- Output - I/O assignment from Simulink Block "Output" Outputi : alt_dspbuilder_SBF generic map( width_inl=> 18 + 1 , width_inr=> 0, width_outl=> 17, width_outr=> 0, lpm_signed=> BusIsUnsigned , round=> round, satur=> saturate) port map ( xin(17 downto 0) => input, xin(18) => '0', yout => output ); end architecture;
mit
36fbf12667b50805e42eeb6a86836255
0.649602
3.052083
false
false
false
false
fpgaminer/Open-Source-FPGA-Bitcoin-Miner
projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/ramfifo/fifo_generator_ramfifo.vhd
9
77,825
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Gk/mKDmQ+4m4WeL/VwvsPAUh/FDtRllrInp3JZL3GnDrAFuOAao/AUuiK+UTgtNiRQ68y6JvB6f6 KILIssebFQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Mj8v7QJ/Ray88Q4+G83GG2wc8tDWwtQvSmEdfgcDpgJ7b3nDmA8ZcSJrW+oDEu3P5tMfWiLfTQ1f /Cno7pK2OYKrMdINeRwpnA1SFN5/QPS3nTK0Fy/YJq+uyqIvHXupa5DbSJp5cetfSZ53fa60lRpB lbZNWz1QxQXv83Dvz4A= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block tm0SMwvWSXm7XZceY4yxMXR1IzMFbf/snVzftrcA3zXHp2w7X7+gIHVhXz1O11cDOlyX1Anmi6Z/ v7WOX5+yD/F0LSUbL7vIbp62eFDDR6TbptfvdGAdGaJ7O36tdFdMDQsAmv791EkO0N6jUyYnIQaO +Dnb8zcSlw0Q0ZfliSiihksz/o5yVt7tAMiiY/zrtqpZJpUKUOZieLgwdc9e6TZrsCe3k4PfAQZq pBehtWMxlRXURvLpz0iA7F25Vw/rBtHe+reEdlAxrgSHujAXiKQoABZ/w77k7h3VeYjZsF3MN+54 Ik4bxiFc1q1gCRT+X4er6+mGP7BQWGSd5NTZkA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block hXOCv8/N1dY5rgE4gSKedwm/Hj1aUQDF8jpMRwStrpxbIzBN24zdoJ/2jhR+KFfEazT6jc8Yuj72 ryax22Mluz8gD16M6j5wnJj4qo1p7XfsyoETMIBTFLS1UblhPjp5bmuP88xwNpkfDGqU+tNmFQTO 3lL/tKOLwmmj5Po4MyI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block s/y4xZc8ocTMDDKVT/5Dthx0A7Wk/25ZkdAr9ECI4VuMaN86muIjHPBjz73NHZXOmuFWHbNp4b1Y CcOB/lMyjj6FmHUkkjAIX6p+RnC8OFC9y6Xo2OMPP2VJNgb1ivxeqikBSJ2aju3uUf+Y00kWImtB xyH8CwjW8FyrrQOfOdmBSychfnMHCeu6h+SYCGIkI4o/t/cCVunxfaOYAKw0VO7NH+ie4o2m0mc2 Ymo1D5r+6Vu1C176GelZJbYLDg4+t3Q2obumV4FG76CviCEkT0oVnQlZtK5F27Ec0nh3QgjPhtym 2hPFBVPaa7RxQB/64toB7zpH5awn1xH68s0Q0w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 55872) `protect data_block T4d6on9rGs9DD0Tln0+M9RCygzB0qdqArRRMThH9pWu8t70EmZLYcGkfXVP7W12VlJcDTdqGH/L8 TqCmZOyIqI/jikZC8IBU72Kag473l9Hq69M1nwo+vHpe2nyYytVM+1w+alCrVYtRaK4H+byRiBq7 2Z318pLPqiDPochDxnCkpUxbBXpIZaNvrITfxqby0X3IIH2E+fhcZCqzD+i9MfifGXcvXhoPzmos bMdrRw93FObJh8RiI9b4ICdBs4leaNU720fPLxLQUJy6qspNco6MrUkeSPLJhBroZ9+YOKPetHa7 kG8Znt5sTptIEAevQZWG63lheyiZdVFW2wA+teAUB/uhsPI+Z5NzCzhOZ7EOR542C/JZR/Q1uJCP i6eYN5Gi3Hhq3mtdM3JR6FOKLJnOb/AqfSo5pAc59Kl32etdH6P7P0b6FemR9VgEKPk7LG3yvO3O i3FFBpGEJphutMJ4pIrVcSZRGqQRbv0mwH/ppKGe9gVbo3KeVntHFb8FKVYz5EozjuW3Ss0VqWNI 9y+jHULb05A+w27tZTwH/o8rnrmAOtuY7/VwEhLklFMyPaPKnhqfkF6P2K+bYC1QpdhgxoD04hD2 58tJk6D2/Wd0+97PKKW5Spt1j127vw2Zis6rsD0d9j8mNmlZlY/d1qzGhRtg5D42UOl5vMZ/MjhQ CfXhTmbd0lV2lwGyLOg60fV8j/CQjdtVWylF6xP9D7NkL8VdUQ2D30Hs6amUREtVeCiBVyoO1fQj jauMLPgst3epBA2U1HjwDLlJ/hdnUTGmRzGEO2FxojhFPbcnkJkynqAXw70ZKXUnOpInzmjbOhSw ehgYrxmxwVmh7rgMsofsHS7BeEMiOPFfTYwAxG0Xf8B0dtJbj588YhzymwoKPIvbcG45/AR7vmPF SKeyR2wX7jNmRJQdATx081eG5PFpnb3jqK50ZklVKboEJft18iFLoNHPB0xy5zY5ZU6y10dr1zC3 G64F+LZ1T3k4O/v9ZkFgDUWBK7PmtEiYyw/mXPmLHvD18iBPX10uQXAZ3tLVcnkrN58SMJaYmr2Y C1iwQ7UOwniNB3Z7KWtXKlFUKxT+en7qElGJoQT1EgMTXRRK/CTAFdF5o7Tb7ZpE7VfF3WDn+hdC n71vzK/ubEg7oFqghWSI4ZeYdc+2SaXDYTs03MVT7jn1GsE46J8udDUX/oK2KLChv0d6MBhcyHyV iW0pnRp8N9r5pI5ce8S6PiJiJ8jRwEYVT42LTmJ98ZQJrPap8puoeeG11SRiohEPI8X0c49TbT90 /hC3A+1QutZEHICkYulS5XJOHkkjt4s5g6DIlJyiQ05FN0cIKjvfTancygmOrMkAkPhkTpsLIyP/ wDYcPxFm1itPhHddt7Tod3fHrs6rXiimkGiGFVZ9TvlpXt2eq7a265vTrET221qdMdwfe0EWjarS cgyzhwD3MPUIfJPbnTiWPAPC6XziYCHGaS48k6t9H4E+qABScPwpFJXuoLjbKRIMp7qePImdW/my tJtUJyz7YQSBYJlMA5l4U4IZm069giYNvNulLhAaU1c5Odpzio8tIFEI+7wgnpgukjrcZPS8+TST Q+XzczTmgGGp4JMtp4+/tCDP2qRAyiQIiYD0nmHmfG7+bmOtc9Ubh7QmgkbCTmup68ZwX/0dYWLR MS/d+Y3VUYsvMX9TU0LrjGKyVwCbmQznIqBKgezbxrsLtTfU6anZs/8HRT/5FPw3QnheH0n3axbI nihoti+fX1fIrPPFMomRcddX4D7zZt8tyCfrlscx29ZaMPKVbjuDfAs4K7E2LFWXF7Rwna3vDnw0 pDclat2TakEWYWVXeobgKdU2C6BN3OEPWilh2QNmOl6IfvDwIXEYR+y9wWBeL6ybrOKQ0Pq+1Fh8 SwkZmgmli2fU7MbJA9g/lzh8EIt9rDXnJUBGnp+fq/mV8rV8njug6iqqQ7fq9rwR5mOImP7EoP8J rqakRgszwnkeowLSkU9HVTQ2hF11YNLG3xwtlbn6jl6E/GPbdDdrd5w0QQEmr6uVzkEWqL1CiLO0 kEJFwthBNwFTDdNF41L+X+Ql+jaXSx9ixYivPXWInNanXU9sLWuxtSH5oajgIfXoAv5/6005BZCE mpOK1hV1t8swn5w6Inu3WsKuV0neP18xD5AkgmTDZZ07gkASHB+9krq+1LXnd9Z7zWlTeuyvJzRt RN6kmY6a4iMXDQ2YVwPTuDO9vNfzPodng6u7Uhc6mSEM9pmsrdSzTjiCDsqSkrV2su4BZepLOKn/ R7Tp+oVQDHJ67SVG2JUkoaVDCXNmCSvvhufNggcbji+mdMgMWMFTTfRtO4BtNLKRWBJjADZGeb7I g/FUd10XNGp8afdtYScJ1OW1ezse8GFQrvm1lIKZMDv6DEIS06p1jQ8aw1bXc9C0IY0aKJ3nv4F2 PBZI92O1jItvnQZZ1XkjDOtIT+3bt/zhyhJLd0IusOielUvVIV0T/veGa2LvKeilWvODgAVsxaIN DYs95tJrIRzXUY+EcrEwRbIFT/iq4leMdn+x0DSX49nH9Ti0Rfl4t8fBgqEFN3YlS8C8AKy2fqCB FQ3uIJbn53b/N2q9yjO4e8FRAHkARLOgBLM0biaJaI1aRa2AI3vVawDIhLJwUED39WqXv1FXr5tq mugjMumRn+aIyv2zLxaUuXvmVncR0uEjxfwv7UVFegc2/KcjvyV2/EfVcgwZXY1fA85jr1sUjpiE qqDgYfltc2SlSjwKgOTROST0S4rBFBCNkcjGprPx/JaZtXs+ycuJaQspErW7flix5TY2UYg+5Eg9 dVd/aCYCiXUXGCem3C/t96uI74sXzkh6T6ki4XladrhcEjBbkSwSR3GtE7uZleI9BWHtz8OHbpJ3 aiQCgNLhGYlLbIPhlQCTQtirkCzW4qu0had56MDcTKPfX20tlDYNDzzVl8bVW8HzdHzAUxcsMAFG g5zLir5MKrx2nrYTHoT26QTwXy68886gyoCB8DAAWA8y/fMpo/zUk0TGzRackKUmoVAtNfC6sgce LKyUa8XY0qnzX3sxs1WmaVS7sYixGKWTgXq648Q81tsSWGsWmf6w+HmdAP7tNVc/ZpLpoV5/rFmh p3mhVw0BR91ucYdBspvCh/VkOZHes7YyI9wygjk7DcmdoYltnua12n/FKHKa2Bb1/6uoTHy3/m3h yh7l4/5ph+FH52/Dx1q34jEYP4YQkQhdn8U/WChw5IkP4NgdvlD65kjjvXXDebOuDt9wE/jejulk d8GqZoJKeHoRat4iCKNrkTLBeQzv32xAcvgQYZ6LtQk8VlYhb+KV/Mu4xun5IJQ3IMcr8UHbhU6h KYCuzAeuDeGG+uA5x4HR08xJkN3lwkjYqoI8P5CApmvZKlmQPzExRjJA8jNegOf++KBW+WdPaeKl gRydZvT8Vd5mAEQwCIsVO+16lAOf4lmWS1VbM0wdqoO/k+b96GVFB6x5YEp47Ot3y5XqYT/RRmOV Q4b2Us7vhwH0Yzk92/w1Dxy0OfBOxMlImxpS5c4VyowmRim07Bg4lXBke3TJKd16JIKYEln6Q5LW B/kZd4AXXu3pB7A+Px3lHkrLJx5kn+l5uomVk0Aztzo9hYqmVe97XNRBm3HT3PyRh0uU/dzKd+Jg z+1aDTgLPLVjP544XrtUgihOfJamM6XMATdQLIEhytPN8a1ePbqJlyNWL09csOoHm+bnGKNyIPRU jRIebADz18yJl8RsnVFLztbjDs6DRqfT7fh8Tjas8Y+PeuHvZ+uiZp/bHXJR9twQJQbEzv5mqRqX 8mVR6+UrlAZIjZzOM6JfbVpyB0bCUlBuEx9WQ4SqQp2o0qafKayFBfGTelv9rgxjOyMxXbh97gA0 //hb+HRRev5wf6Gsp50YOq9YKnFt+e39JFDXToni5JMtX4X47R9oCuqLHCPmVrxfKScSzMTbwxgR uSV1DKjIvJOIJeMKgZI3iSLUUVCrAXUML9mHsTFma+QFrEQTmcx+DLNlDrI0qvrVoEsnJCy73H9p vz0RF0FpBu1z9zvglu31/1l093OSNg2eYqhiC6p22eOfVr3n40v/APXTWZ5MAEZ8612EybqY19k7 V69UplIZcHeusYw/pLf6e4rRl8gXm7c16/LsF7xMmQNkWjsvauqXx6Wr95bQPsOrHiSuEC5EW2gp QDY8u8cNbCvbrGfmJpqVIXW/jSq1P8CGigMlVjky7X/HfnYa+3TvqLsQkBAMFU3M647pZle0U2h1 WXeeojxWd+ZC5UlzxqTZ5tvA3nMik/QqE2eRZR0ARzWVLphM9bL7uyl0Ghb93QwQrB/J94sXNuQc bM7giVHbQTdeTxF6rnQGVbXJJVxjBCVM0TXN/U59Jgft0WRignQQJqn1qAfhyTpVi9/ZHVSKD1+l ahtTlIA287JGoQvyhy9PaQ5jrdI+xf4rh/yooFQB+IW9fHKgGboUu/O2bSz72rzOv+Ef6vBEq6Fg YFFP+1qHm4Dj7jQTLCayBjUKpCyp625TylBrzusqYy1ghQ+lGZuGqb0bECT7dl1YBj1328/JFdKG CVapF5U0Ak4+02oGXiNxE2KhUamwO5GQor2DzTy8ih+NW2VYRdYk9DIoSEYKGv+2GC62K9HMEj66 dSd03g2PvPv3g8LZQusNkvK0D+C9/mEeHAUp4tCZBJ+SYS6VK+X4rANj/xYFJnaYFPnU4FnYyeZk CfXxj5YJdxSWDtWmQYtPKDOYp8Z5v4bDdekAldbay+dZBDxS2RlhWHDP8oUGdO6V5CtdRSFLYEOY eQIdX6epf7DjkQRCB8quEsiMpdKZlRmckDCqAPE7/UOGFjB9ew4hQkCSEVZ40DkgtnT9wph5zbU1 ZLq5sNK02sG5OHUIQEwu7IjbeN2GdYDRnkx1fu9ttpvNlq7hTSg0toakf1ORVRZ2G7zSllYp/KeD rMKdtIYmkBe+w08WFNPtS3DjYgc2fe4x7ucGOsclFhQREWSQ5Jub+LRvG8AtQ+WajeBvW8mShLQb Dv8WWjtMcxzci1OrDkchW1A/ZXjj/AJh7t/W4Qcjdr84ia2XiAvsvsY0YIyPLNWMbXtr7ZPiPX45 shz4zrPVkDMZjLslNfwnTUtlQ/Mo66/eoBoHFclN7tc3oMJNtjM/YIa9H1dwi9qqrB69PKcBjvfc kGyUAAIbGAJL3Zk/IhDei6jON415+0/pPBvxHQemLy5kzIKr4T5ufXd5GTtSMIzllwWHS4IA0s/3 nKtMAb4L0namS3zw1ekpkEXoBJi1PYYUmn/1MOxaCMCDjIhFgybd9Z/INZKgBWAAoP+VxNYB37/l 03zz3nRxiohL3mXQ0bY6Qb09wLWG/qzo9mdSdegSQW3kRKQv2jnZJivFWYXs3j3YXuc6keIunQIO zX4jEB1920TfocVsPDua0X36b6VaaU92l+yrHv10rJs5w8l3aF2zt4QtyEZFrjyuzoYoIZGLIPt6 ecDRxkvW7mLBxWf+7ao7z7pRunt3GvSNHw/nD/UEZ57JJvtmciayvA/TdfWiZZHW/F27wWmz8vGq I05ANugi6Su342goniDCz8mqHHk0IGQ2uiZ8C3a2AuBsyR6X18KZZC7VoKLqg83nVadn8fjYm+Ps WxMEIpcj42SRDep+sHnQ6YFpQQ9ZscCJCjNXwp9sXlLxP2p4bZ2Ymnn5s1Y6CJmfUHpTWYiFVJBN fNJFFgP9A8EwMtVxg0/00FlrC/roMQ5VTYXKg2rMnS31a8YyDI1+efWAJnQR6USKhzMGZ+5bd4yU R9egiiz+YV4d5VU7fPpEhHDefqDX2d+dQgfC7VsuNtAOdDHkZiZ/uNJx3qNsGuZYARHUl0tP2O13 F7ZAM70Ep9A6B9hrXdzcJwlAcwKi3WOUrymjorL3xsJGxrr1knK5+zNJjLvoSNwYtEF3U/eePaUH qKCrd7QRIK4xwZeT5BG3tpVLUufloWVtnTUhvOszS4vDlb+Gb/Ax4IDfye75+4XbsEl7MgE5QYac S4cwhESXbzC15QPfJaN6KrO/0khE2xxGe1Hr32bNze2LVTaaWO99i31hiOykDCbWOQW+BmzFD6CH FeTJTBf1bot2b85cTsjMFL97ISIdKspzGZho78ZVlIgNHedu4LEdqHmDSYnjeCP02c/KjHNvkIah evkp/6DUH3YeGuXTU4QOIJgh1hdCeuyc7eVG5iSEGuBIBaC3LPichYWPVlCBldBKYtbVDAcjvYfp VIsYuC37pqMbacsJly24wvskmaC2bGisrOT5WW8ll6fd4qFyu0mon/9gqMiJpfjDZP3S1bao15A8 bbw/qqloTJL8334+vnd4dnqd64ToSaXCao71Odf2ZaT27tIyiaMQG13iq5DOoFx3Ci+9TyaTr5+n L9f9O2JJ9qsQd59Ic/lJkNOLO3OB/TsI7dlZSQTAyHs6NdhZIVYaBYmjPUDjD9XYyXk369s8sWJG maAdi8MVwD6FnmOg5LCqt48UOqmteiy0afLKKHCuLYVwBZgRI8FJab+DTnago5t8LrJBPzjnh/7D fJTwBrtrGoBbiEzmUKATXsqzkocInzEOm2uXbLhI8k3AZQro6nsrQt25fCMYijQh5HvkZ/aZX9ut SB2Y0r7lBNUIJl2cq5jGFBgAol17CGsgvHv+42s53PXXcvAWMw5RxaFV0aqk2o+AAX0ZbEAK4OXD gs//cUtTpfTbR+JVI8c5YJwyRslm6q9RN6idzDjvU8IHKvPeGVCZANA5PFiWaTgNnVcUKCqvXoyS AbSpJvukoZZ5lH2zdtgz68qvvy9MOk5jL36A5o26lSpEsrG56sPHe7YA8xmymVe0D0V3WVJjKEDm pg5v64TzU9309YLH2nNgPB07zWKbeT3LUCTrFJb5LGgV/pxF9/5k/sNprsod1Lpq12tofFAHpXGu TR9LzAlRYND+BwNruqjxF/jzB0+FdLc7il53oTIogn9Zd0BeeVU/zEb8Xyr3wORnaHdiEQaCtiv8 ZnUxW6pKdbTqtElzdN56en7ofZGzTwKL2zNR9srcThCJ41hIdedpHrmiXuhHu/xoR4EMBpHe5YMT PCq5ciCV6qxicWnxgfvlCb3FaEc6lU5tk6zgC6PHzh/DCfCrbSJ0xDBM6FCyQn2o3tFVPFBeNSLG VONbbNjYaOGuQm7OdxNKrCJmFWbTtjgn0n8kuuTY21Pg8cHNKj3TqPLJMwZqOuCbHScCWei5HRoO +tN1bRo5OsELBWSXNv6XIDAPU3yvoE6S61649oQ9x8eN9hP7SM7R3Edd1rder7WtzKsM1NCUSiS+ iRf1aDyM37QkzEp6aaIWl18AfPEro8AAYxOWwUiCCr7pHke/4w/rjJto6pHW4tnfGibMHgWFPAsL uOR988//b9ns/aN9fZ7Vk9wiCXNSfW/S5pRcNt9TZkylVku0SpCuXhgTXqUyqMwBlMfBNIptnmQI piXel7K8/caL7+PdOPSWu+sEPP71FkkvgWuN50IMqYY2kjsLVG876HHiULe3qeM842o3wMNfZtfT Ain0m0biwehVjOezNtZOA8Z7u6qaLW7rhMk0z9xH4nAZRbty9NW3/9gQkdCGixi9XLbLCCeSQsfX 72AZp1I1hOUvi1dX60hO72+qjs5lRWkPOYI4FgGqYGZCJS8BFJSqAoRvsARKFk4T016oJ9BdQ/h4 jcdYut8qUXKpJFy20AaFCY1o0b9IEoQDsxvZeuxAlp9b3rUeeaVlRJUVhBcm1EVhPuot6F8KMUS1 K/2MOnxENYW+AOvLP72Y4VXUdhvsJlocARPkaU7FPAu+M7SBDC4ZaCf1w0PxnkrSu+jwnc31Kzz2 iLJ/C/WeUd0+X9the03zbkfbO6BaQtR61O111hUDOE6R0tR7xjU5qrlVanBLHlmZsqHfxwjy0h80 9DbgMl66JOzwP5PZILP8m0Y7U2RfdamE1vzKdIQPiUsAlwlIZgf3yy5V19GdS7IaJvsmSfiDJ0ZM K5vU8LcUkLD38JFEeTQTiLvm/Jwpg1TuYOjfHke1wCwQqrClyx/OZfIYSUgHlYBAozk9RDblfo0t lB3v1Bx+VtE+i3ooet5XTsqKcWNBEOLZu6faBktOEBg54/YJqjQFKDmiuq5SzT3jANAgi1e8ZPu3 frF6d4DsCzeYapyAPsdE1gpxCo7VqSFI4Fu9VHcUlqIHwGB4B4pA5H1RsFCMsR9ezXyKzdCKPWR+ GPyDMYDvSh/Osh2He39NNkaRfLvis7+0yeUESBkgxRqCFdO9wzOBIVtKpWQx90JVlnCuGHSyveni M5tl8dGtfb5LrJRJf/tbkyXW++RV+Q780azZ3Tu7QAxpor9SBcFgzGj7O6eEqS87OGVmZDTCGzrY NzLK9YKhsypYUDLd5PVQw9t3XBDbC0Eg7zWp0c4UKuuDRLRsl3RP/np6A1mwK7chKg/bsLt3mW5B c7/EihwL5lAnnq+t1SUJRDGAPVD37RfyFrE65p4s7TA+fAfrlieOzcGj1/w8BNDbEF8sthlmMyDN vopL56c1Lv5//Ymr2mYyh/hFpi1NZHlczWsJRiY7dHYh6hLdtmnzoWXBWohZRKJ8WIYh+vvlBHhU McEwOByhX6+ePOch2Gei/dt6qXzhVz9h5JkKgBcPNxAh8z+OgmxMvBS8VKDJNGya+GkmvUH0lFCs rk5gZxoCqU1YuhWFdeB7Txq4REnv+CAsed9PnOMd9KdEAiWconftxgiTPtORmLMDsp3T2gyPc2Pb VZ4sJKsUP6C4nj7qmznz2l9TAnCPQ6R1J7K/dyM/FDSPbYYl/VzxzvPLwRe8mtjOsOvON8iZid9q V5BqYZI5q2KdOxQaDYpT6f7Y8pqp6ZrILY1HNwiQKHSkd84fymllFPbOEl2QTZlSR/zV2YGc5bOS w5k4Z+mVxfJjMbHqPHd10Yg0O4cVcncgSW7pbCHTiPh6tu75y3F2JaNXU5FZ9OtZyCHAjOCR8AU/ LHJ7ZzLNLyQiK7/Vxisp6D99a+V4RSuNinyRgIPmrNBPRE9pAFhrkZZP4a6d4H6N0NzYXhEANeke a5ABblPmGDspT8D++WXc6oLI1Fpzcy9mMy+QORYxtV4098WWu3JEPbEEJSPndMvnzJXv9k4aOcQF TNy/2f25kT/I8ZHLLZD1t5GnGAgth7GvmrE/+RdNkZP2gu8zsRxmX6H0iFn5tM6yIuQ6aZN7LV8U WtHf997OVlWb9Tg3v6j7ua7pi74r1QMDbAD30RFWmzXY0I+qr73H8BOfZdIGQIwtouIyCpgntZVo t4zSzWKxAk0Eo/kQI04DGin3uRjyF8IafaVAXnVNfezoVoDxpOmEcXNHIZwEm/wjUgDPHdrzshWe L49pjH9v4fio1n6Hv7eGBwuges/S8MdNrhT22GsdIuvagHXV+cT4IM5MishFmEBj2UtpRC8NjcgD rJhGVce0gT68SjGDRnLyx0wUnejIW3GN23+0t3tOEfgdaAXeKuS0rQ2/sKxcV1hBu/WHtlLO/hPv ZvRt03K8wXlxpz/9GVtR3Iwr1KBr9x8Qy8nycqHvG8lCGdcFvzrM9RQzLUmaxVMoMsnvG7aBOBVn NKMQ8KkNK79M2Rr0hPY2BL2JXsq0ANW9P+YlJoXbesmaRE2Se94OhGy0vF7sME9F+6r+Wf2QiysE TlYsR7PJmDnruPzsAdH/khb4xoYkbvmtVEP2MC9tq9ZdffznXzLaEElFwTNU+hzli6rXvY+cZa5M 1TP6Ssj2joAIvhYXlXo/o2HeUhE5hgh88bX40KkxfxDFfog0BXjqz02ee2UjGnVj7R8aQSWCVT0J 7U+NwtrEY31cF59hXBQFJmnTyt26CbpW1UKCE5FLQeoxMrZ9WhV0eqsJsDVdTl5dVFd18XHiICHp n3jOCQE9DX8Zdfa3uelojLMAycEpbkVUK2Wj+kaJOIta7HRDmf5L+7PFwJ7K5v3R+OjUWBvpU/vm u8TXsftBctI0Nmx+bn5CEdbStYr+SMHGyHzp41HNtbHT1n6hvOHPqXXCPML2F5fRGWLLXwwX9ZrH CIHbRraZXVE8wEvWADy/wv0ZycQrrZIQue4WeBhdBn8TR/Y5Owthk6VQIFAXbwzhIvKEgXvYk7lA HjoDMTBrxhWOYgK+VpUUNagBDKcwOazoWP3YtTEoAQlL+72oJvTBrbxsz5uKbSv9Vud+QfVuiQjT GoaDNNFmpZ9XoF02QbEz84ktQfZzaZxvGWP9B73ttvZEKS748xf4Mz7CKC16ao908FuuJNBkEjVP VV552Ey+0te1KIcq1+LyC9qzB/v+yOmXiEdQ+ZI6kzvB0YIfZsNb+dZwKjiNyah2PwuCkF60jdLn zlZXxZ2pRvdl3rQdviJ0PZUxGmFGtGDRav+gfrSAOHFhJQTJbJqvGPYV7xlBK+dRq1UlKX6VirMb 3vtwIcGjrm2rOL3ohWRWFThSIMXMjiF8t+RNqkfvSiIharcZ+NoHWI18UhxepwfBdmgEQ0vXUvFI ANGF06fGwkqvrk1pBa4a2uxmJQMmap0kYG3feeJVJ+YM7Cy0ELegIk2adL2H7ba8IFDM3PwranTq uFJ5EyfDTtAJP5LS0777jx+mzwtJTRBVfYSu+zYNNxavouhMo038m2WQSIFisrMb6ZZDZ0w2LXQw d5L0qIJlU2eazNRGi0SJsL25pNQ50OK11j491Lmfu36oXB1/0CDyQnUd4+7kD8BCqyUjfkLsYUAW /ffk1U+HkYMhbDJUia6IrFJzIp5DwmoaHc9Mir3RX31qqzENpTiSa+cXh/nKGAafM3PeSw+zACOX keq/UfSk8ShUizPQ7HsVY6A05JiWboY1klvak9nB5rGAGp6wDm9mHFLAUCB+PPHoNoJZHYEjFaBO yGKBdTEIdde5wLPa1BoXalnRkHU3TzIXSU+On4L7x3q2bpOHe4DFPz0f1lvTcQgMZqJEm0U1rZA9 YaaVBkBKd3yKL1KGRhFRnmUAqnCC9fIOCZr/xKsYTr4hOYOU/aNZf/jzXJ/RVhoWonmVSw9NZZcq Umelr3fVL3aTCcEcV+XvMHl0/7mgCvEqJhodDdAFVoUmMZOFlfrVeBwWpIIDDP2GAZQIVxcmToWN /V3DoBe2qOy+nNNB21xfK2NI9vl8/PsZLGiRasIfFfRhGdIrJZXBRjz8FrhYG0zT/sXJfI3cT0vx /muzLAKWJ7KQvZD0Au5qOS/Vs+eBZvNN77TgcUt0WeP3rEu7jKwFPbQATSLHtg6Qfk8a0j4PO7C+ +Qle7KrXi9hwE6yLBY92g/m2CwdLELS9OLMSwgYgHXoCvquCAK1EwzcmHagmEUjjmGQIS+Mgv2si Ti10SyiqcpLfTIrxPSPuQXKRjOeanX/7x168KN4p40xuCDbLCrBpaWyLQwzI8RxOdERhM2eC63By c6dhOa4t/gXetuy/fNyb5h+AzpN0PPxJDyywRRlWNlYoREAtbarmEj7jm1utqnqdFvbv8iZ0RW/Y l9+dyhX6XNzah3groYq6ELptjh/PwKg86qJ+zBiGdLh5oukGLuPhXFB7J1Sg2a0XOzON0OFBS0tf m9qBLUugSMhiUQOlAadmLfIbvlefQq3CUCbhjEa+DfReNR6kJu2wdea+8eTropWJEpvi/FTxNpWW JkjXmRUPJblezgCPhIKQ3nZ/L1V3j/drgDCAqlddnRMer9/aSly9dT232p5RhPOsaiVjxre1glAj A+2lZTq5u3iD0cvjEnxhUHrxHRpkh91wvKtPL5x9wzeKHv7diKJKZr+ZgOc1hKz8PAo5l1DqLdDJ FpQaJ3FOymXirZgFZGQeMLOlAtNh6NtyFYXW/AZpbs1ETR+QiOwRNmCJx/JQqaYAtFN98GalB0gz tmM/P6XQFX1f3qszcgFuf7V8vl/KnUnOBRKBbHUALW+eV9NTuXM/Slg9Lz5R5g/kj4pDIrjr88oY VBdunBelvaB4IY7+ajiN/ZhBCfQ+OQJfpvFf0tMfpuemDKSSDmwYIe8+TxvY93ovHgNhld7Kc3i4 AV2XIgM6oqsTr+UCr32u1CG2zg5fJAcprt9RhTadaH66ZaYM9BQkBG6NJbBQSfPLaAyQtqWL9mnP xcw85caFVUA/ZepxphYAaHtXCbs4R92xBI0Xb2N8xFBDBMy6j5djxXK8NtkzxJnfM+9JvOZw/ZKq WkHn97c3tvprfrJI4W1zqdW2r5ftD57KUxUnJT9LouEb8yAm2fiorwhKL0Rq04AgUtqifhMltUFL uDPpEV6EPeaDRzLruqrUZgX8HysmXM4zq5s263eR2N79jPo9UHNVC6mAO5cj27/6/zhKMNtkCNYg zeRzEQCUZ+JdBEITYzYWMNWxiIIyBCeCMl6Oz3V8i0x5K8Zld9Me8Nwd1c2V7+1QcaZFssPBKGhG KQQm5rKhKqzXKiNHYOwyBqpGqnSoR7h+UrQAV7NynfTbszOJC25cV+rUJlR7Wkt16DZIcCSKaev2 BXjhlHWZ3N52NPT1ZidbRfJ3kAsH4YS8Zuij3JHXcR2Iixmd1M6REmZYI4h15w+sSa1+WCxTXpVV NBxkwWS46ot6FfOkuQeM4zpMyjOzgKwdU25kC7YWfBCmiBc+d6XKvu4oHqLg8EVVAWOJazbDzrUP AYKJ+UU5IeuhjO1PGONVpMfdXtJjNeRnUEzbXepSvz4cDMsApRRggAPPcCQPAb3la/zgf68XG5It 0yPPH4CWnMpq7qXP3xOZETRyhLVcnBIykorkV5shsWdfI6L6ZSikdpfWpG4L58BAeoRXfyIxmQ90 Pxbf+AwKUGpt/L69DmXBjgkcNhx2jTLYiZe9ec9g26KmHoPdTvC9F+VY2xrkqqnV2dNO4yBFQH26 t6lWerYWAG8IaKO/YRxYH/6iAUVaQxHsIkK+QltrafQDCiojvuVbRsSOL61RH+3kBvfZn/PPXrKZ u0BTbooA86QR83I/SGB2tzYZbISKROvgAjlq9uCAAu3HM2TzD8i8YFEQeSr173s+8cT1STTCg/ba nW5j0kNVyuFZYmNOH9sHBa/DRJZbLREFJvxT6GZ+T1aC95G6ktAquMUAT7n1jzU+UTULBZLG6JVa CHwAqO1KI65qIQ29vuxCCHkqduj8iArQ2XY4IRqC088eGduT6b7Qly075ByAnc6SI/ju6gSR90Cw FBOkqkE/E1DX2q+2uW61jT8m2kq+UswqQ5cyeX2HD+XiPQmBdt1A463Y5CAEtKuVT4JrfPc3nMHI YUC/9B1Amd6vypgOyPo3/Tr43X3Wu1G9KR4wTeJ0dPT+oLRwMYyCoTYF+9+QMCMQ+l6VR5hgMreu k9yoxefB0N0Eaqt9gz6yEKKUt9b0nOHW6iQLzvhx6NnrS9gpxR9ZwPaIMCBO6Qk3l2E4Jcv2KmMF Pv6uQqQ7KGHLfAX43Y6vzse0qm3AmLF/35y3QhQFpfgly42Q6mTY5qCYwlfjg+M7YnYnesSKJLyG xdlGTQTvxO/tUnkA97fqk6AHkFusWl0QusDTHUyHK7wPHqmf5LmhnOn5V5WUWuJ61j5uI2K6DBR1 8wVmQJ7xhGmT7i7ZRGilI1Rcz9AAwKl0vVoUwbvfSQQVGLHSnvMuN2zp/x+b9wcYNxCpYDwRtq8b krfRgzJ5THvvT6Rq0foT6N3lKPlMSDPleAkjIK6WlTGdzT3C0vEsLU0QeHZzsNvm5867bwfl1ZA7 wzxB6p2DsTVzJhtgJ8hZKJHOQOuFgPLElMXD8pb8J752o6g/o9gOzDj2soy496GOl5lciDzFo5tj SVOZ0nWjl6XIRYFigXma51roKFnuXHQYnwd67AIv1v1JWuO7NBpX1ePCUDiGjGGRmGMPqwgd/KIN z7XhAS1Z70min2P1jRBfTdhn+SbBkHYW8f+uLMNSn8V0ME7Ob3dKyUOUrAweWMSXhntqtfPMGVRK Hm4dJ2hQXdkqVhnjbc5mqTXMK72o3cjD86Vm5MHz3ijf65zJ4Xik1IWF2r5pXd6XPUiuTdq8Yf4C BfrHH2zm0RFTId9dYFDEcdYqjOLD3LpkFWz1pwpBmtE2KwFr0UmYRykRudNJN5ZOK5EZc0StmAcW vnSKECpDpEWtuCe6POVABWnjJ1R21Uwbm8wOeMU5xV10MnurD/4cG5QrFbP1vxFAtC4tvMAgN4HZ x+vuRt5wgyKeEt/2EADO5u8AyzpX3ptHbP2zFjAddfkmL7Gp/YHWOZcfwMAkmzM9yu0BCuNnirQu nSlhUUI/IDNMGrU9yPY+dvsde00y8ax9TrqrsLhZHotMk9fJHDjRA33SQ/aFVFRIN20afwcaIG4Q M1RecM1+za1E5HS5MOmSDeLOhUs0GYML1rXgmVrjRO70uKb71lSOx981QyZdi/bAI70XL8CZAyml OXZ1LoaRCFIZgeR7SIzX12RWcgvdq4nA+wX+jFZ7NbEiaHo0oeJKtcndvfZEN/9PwFisWSHD9IRo xk4SAon9N8FRW3BfL2vUvg5Ruwye+Ivwn30pElWbrm3wEA6VQ3eNqsr312zFoben1blINZmcXxi1 F4RiF5PPMuoMF9RhrJXM4ZFmWiaUt1+nQ3u0jQrxZ0l14S7eEnvw09eRYGU3gq88yytLHjNV1sh1 1WkZLMRRJR5EJ3JcNE1MiaBr2jMlpl2EDqbRtng8+JxzhA0bRDpr+/YjjTOgV04ZokvKwXHrWRHI +mfoOKMIMXgIdtKD5d1nWpgWb3n+Qny8xcHUndISSMM5FOAsdTa+YjhYJTpkDNV7su8EVkvxQXxO z8+to3/FIVdCn+vdnJY9lBCqwKCXIpm2SIx7gJIdm6KiSA7L159o3tY5cM3Q5Y5Wx5W8T5aCbHfH /OmT3kj6M3SA69VsofDt9GykHstXDvAzA72+LG1sY24iHt/g3TGyxbsO52LQaiA3DQH2WlWfRHSz caeaplvOBM0rRUGuUx66VyuEhqiQAbj5y29UlRW0us4OrUOPOagixBbcVZ7RyAOC7ESQvfbFX6rK aNerdeMHbdQY5yM/5TxkRSgxugje6PSaRD6dnKknt7bWnBM2RKot5TQ91pjp0jcICVaTaBymKayx YJIZRpMZU1xNUQ0tKqPsHEU00EvAK8ScczFT4JT9/ZIRpKXdgErm9fAGScWSkof8iyP0rF/IYlhs dP2JfzsKQYmR/ro0W2O/IhQK8GTcLc0DONCfqUdxf832PHJMqXig+FuWeZeMONER7cDVGq6Gq9Mz SvA+CG+6U0kg0ZRCsQNd7STwEDOhl6EEfdDNG8samX3MZCWlQMckcBgB6wcfei9ZHcJbgJ+9rQ6N YjGlJKwfkvPV+t9KMrFHlvjkDfJ45X0pWFQaUbZnjo8DqDD6gJWVxy5oJbPczJ+sruIvAaHhCGsT /VreMGenrLW87U6WHCMtolmkHAeQ/iTI+Q1Zid7ldHVltcv/YBGiuNUR/iA/BwghcRp/wkyk7939 aBj6ZZBOjOkT/68fVtmJH0lxnK6KtAUQ8rGS01jS54Hq90ukRsCP5BArIax2PSusw1RUI0/Z4Ra8 rDjk7AOlyVXHa35SNYDxC5PDH4eTXedc3C95xYVvulkqHhV9TGApSPL4E3ydD2Li3iP2HQO4Erf6 lTTwzttsvZhZ7nTpxIYJMVZHQMN+ob3cznLEYPoad/Rzh8nak/COLejzvJPyjNBkr9/vwnJfrUvR 6UAn0W8jO+OXNxfdp2ctiecJZ6E8id1HghXhzsOg/mZ+YUhrPbZVBoVxTkpTxG+gDrNiXyjAOLEL TWQAcR46LuTnDUAliEa+Q4yduIZZcCMOPlHf2tJiUkHPQardJPDPgTuStnkgg3EwATb923OqUNqZ MQT1jnUa5AJkRttiAV0fety08pR01oyuq02Ttsz+Zw6jzU3jBlag6NKZQ6lS8Gs8KB+2HZZn0g9f Yn10wqU96suERpembjySX+uKoAR0N7t5avpLzvrSFQQQrbQwCBA4RPbn7PCyhZSpzTgrsFGURWub CU0Vpd/A0qIsvZVDPRDwWKdF7Y406gOpy42Ev8va3t9sJUNAgOKERVrjujHUOzMgw695mry+5b5q rTMkYC1VytFy0VhXs7Q7s1H81AT+bpcjJVqxDTrirbYJNmkYCo/PMRWBBqmtID/b4brtIm36pGfH Nge+bjGiNKoW4yBDq6atcY66XghhU8jkppDCVI/WlEYFJn5NNmxNtKRmbIYWn/3Errc43z6cW2mG dWAv58frL6om8Ivy0fmbMti0yz7qPpXH3CTehTuRjRQuR4MuEAe26wVxz7+BxfbpuhbEZuJXsuhw Pf4hCelV6bhYobNQ4pnyK5ic64TKoLGEJj6pXzTK54XOcbJexbGTMpXXFsHY7y90TpAk7o1j50kv TUAo5O7mCSBnxzXZukxIhHBsdmwg4mgBHmT/jLPkk8YbP90TmJh+bdj82O/yQ+oGOOxVKOJTOdtp zdMcG5p9kK3aakvjXyGXMDRAbDkx4Delya5h7uloCY4zPah9f52bisowv31VsNWAfNKLD48UwGg8 lLFRpvN5XFFPAT3kzJPefu10stAJBQrTHRhAw7UIKyAQynzd2rRc7gyWiIUvF8dcvdaJ+6hMip1r wcvPR8aR1Jcfworlviv6tXi8ptkD8C5brMKd4ljykbKzetGeO7kMuAUfd+nSWZOF6TpZWnZijt22 AJKCww1ImubTUmqGrgw5rZvjt5a42hf/cqr/mZ+oTLLznUT54nrHtsopoOUMtnw8NCvRSRv5V02x R2WJ0OWUE6qHASDQ0bUSdqPXW7rhzidOSDP9toEtLlOjpRmYn8GPIUMXzznZE9ve5ONMPFiob2R2 W0uK/qV++4JYTqvxCEb2uHk0uhdOgEGc9iDe+j0ovlArMDCZPuCNn3TcWiKKvNv+bzOoejbJ90yA c0W6MNfXWIgbQJLZ7rMg5YsdiBb44ZjzL7Ih22iPmp/I+MOvVeXYNMYfVglwtSzaj+vTIkX8FDSK C7lqNQ5/mQSE6DdPD8y3DM5IC0N3iw+lYk8uGPnPH+g77MXJsiWVKG5v6YE7MM7QTjZNE/1NiCPi vkGg0SswLZ2fXEHDt/hmkYwuhFDyDKM4g/YTDn1JO0j4DnS8OJJTO71AFsC72vjU+zl6TqXywYVy wKEQGt2SPtFkitmJztg6qtpnO+cRAvr7WhtmZKoTYOo5xWrS223vBKuauZ18+eIDPZDUtqFU9MSR Wc1fFcmbUUeB+ZcAymZJnOfmAjIUnMq/OJ6M6b+BdU9Y4iZLPCowJzIjJs0PaggGb01B6579lhiN QjeWVSFdVRrgXUb+Lnam04ECXSX6clVPzETBr55nOf/O2ata00Giq9R0E9DGxWGLzgN5MxT0GInt JXnv+wl/DDdEwC5MJvjZ1Qq5Wpa3GMB+Cr8Md0Cv45NdiOjcz8VXpjrLBosODV5WXffFEk1gdLRP MY1YWhh4nK+Pz6rBxVIqC3OKFoaLOocUQ9+0sPskyKh7fCbwhTwcPg930ymBkdI+k7G+ndiw00Jb DaGxP4RtbECMmPsJXCPT8ZwSy5pqpMoGZfidLE/9kfMO5NymeC7gbKAr447syM3EEGtlEijawaVM n77luwmORZUVj48zBxnvoabLlVGqYfh/n1qDn7J482h6GZlcIFxUeZFVpVKAKJ+Y7LnIwxIVm3aM frVVe0avfe6zcm0pvU6hmt94ZzrbKq29RKOIDljrC6BXz3XH2WWOBBwwjowMirevakaP3UdIa5of fgIJLyqLc0+xcHkUlguxqOS0D7MYdS3yFXkjj0uWrKl0Hbg16sIdZEjOJuPCk2SecrBK3DkL+w0Q UvOUjvh9R3sN2kah0dLisPrlXoQoWs2NX4uSQVKO22ImKAysncW9P0GXZfOJmJFa680WgENUBm49 rX+vqIDJweP1cJ5HlkaNI0Adn3B0UV8qpmWKDhVGAVPUrurWr99eus4KCau5qa78fZpcIj0ReUEd 19u5m5FWThm7NCgIyCL1Re14mW/Q3JJo6dxnmzCMWqjnUgzXV5t98Pqi+v0++w6hgNN0CGztZHKU xWPxy4r+GLh8WKlOCR1wU7xPrcBih3iCBGoHuuGojKcxxgabs4pvEKfkOUEPd2RC+UiPQuAveh1Z JbKQis22K0K/UbIOMxDfI2IgBfWd/KsPnD3ha+WkLXaHFG0PSsI8jGEk9pNXsgsEDVHRIb+Xc4eq vB5tjgOmMhhGDWoeFNUn9xoeNYZLx/s3WLxbO5s74nBLYLFSJx+PIKREI+t/pYZBuyAm3qu5z3iO xm9mXGynR3ZezKp6FNbeAzxpkfuL5qusAw68G78/R8Xf+chW+NuyqwZYgZ1Bg7QvuA+XsqwLTeaD zWQVW4qoPDH4HDKElHtigogVmn8uN6NGvfohrfMwzgax2igZ91RF6Qiq0GjYThuK63rIgtLXxzb/ p0azExHgre0X6o59uGMhUJD6q6uPjUYDzdPXStXC8Hsa5QSBn0Pv+T6T7hZSI3WV0h1MmxR31tAM VzbOql6o67SbuZbrOx5AzPgB7w6hLcr9KBAKp9rJKXBNmxQZt4VWGVby6VpMkEYcJ0Vn6tPxU2z5 UPdeRs3KYuyRKhab7qmicv3N16fH8j75/DRsVXNsCQwOnvFx/zU4mTlrcWLi/ewXFNKlsjFk22QO qXmT29rCQaflo3nGCyWstA8kc2XME5DLnNE0MX/uGBVcjySxZVVgu0rdcddbQjvS7EQcsRaLPR42 FsrvYx/rJRtKSkec4JM4tkufdVGTprawgn1ZuFMAQwmcI0H/VJ9YBdY0k/ccETTY0d4wrL9WUWNV EgvfSprJJDcm78jbegznHSQG7radj2vEKpkO3eXWY49XCg75XmvZ2SjcEURUhW2S15dlLv8B7XFR J3oXu8HQkErlgIjhuoQCuAIuIRq0LR7O/ydoGSDz3YOT+P+hOIAs4uZM2vd8Yk6vdTJbtvwYWDdq p6juxKsavRCTguFJfWikmq0DHBy8/whUuyxQCrnH+XAHfAEvTtcvAFOgqVjHmMFnBLsMbhLx20pf PSqicQL31TE/R469u16oVQW/iai51y9t+ATtRLJ/c0oPWRgOpZ2PC+PDwHpYKQKZ5jywWpJmv/nQ sUXguadOAv5XVOdfoZFtQ9edwoR0l3QN0adjYXnTsftQ4rAKclonVBMCEnHWvr0THWLB5l7yptrG Mz9xvoYZ4Bv3HLvmcDwohSUYLwvXTIHCZl17HXKqY0F7DEVuSx3qW/plnwAOmyZWd184udd24FkC E4IebOmbUKaIC7Jvm2DqhvkqM2ar5Mv4S+z3gTW9zS+AJ1tYGkvjFVDCtq8EVzPzZH2ljQMcIC7g 5g/eMWd5GZbaOYKssR9Liapo0RgUr4hg2R8Q7y82q6Za9VDOZM8cwc0VcMuFEOXhxx3T2N5apzoN JEJgAUXqsWKWyuqpREc/xXgv/OJrPP9JSe2SB1bQNl7kMdPYrnekOpSp4xUC9jm4p8n0lAap8l2m i++Tfa7A7Y/OPeTKUEBGtdWRZvzkvPPlXqieg8Efd91C6zeOXaKeSkelTZT1hZN2pvLWdWg1xdbH Vs/IRe/1p7kFnWBrwUlBBRsXvKY66OFeRlFfP7Ph1zg/EpKhjA+arA0FoSiOore2Fyh49BAmxJEX FEv8vneT6xZM93a5z4C854chO5bjhWWMrqSTRYUNoBFKTwV5GCn8QDLe/U4UBttLoQx44IKEgAZa zEwQCRh9ZR3FXYw9GF1eWwpII9A/hHrIABa67mByo7QAg405lhji8DSbCkvuPGsdeVGnHoFcZCgI Mw2smUysMHNUmZDs43HWeNSXKXgsBzut3KXUEXdHCwtK7d2msdHKRJ7H7efeFZ3yrVvVJMKxL26K Kzw7xqPQLaRQTw6k3wKsT0iEikbmxU2HCQSOvzJcGZNWQUO23Zk1jngtfNCM4QDiUJeM44V9QJqb iJ1IG7gB89k+LLRtu7LYhFcvrO3WTH8KFB/6MF8F1kW7PjgHdepTW5fpNW5HmaGtOrFdE2a2jwds Bpchky0m4aO8Ne6lvWEpOlcNR02zI0zUMP+vUhgeo6H9x6uPVZgPTlAsWfDtup47a0uzy9yVUroZ droGSn4Nob4rgCIisfxhn7SIvJZtAt9vvCSnTKXbvnUVys/yHFEP1/pRse4lU0cD5hVDynH3FYRJ z+X85++2dfkNvxAh0N65pQ5vGFOBErHdvRFJnvCEqohHFGj01Qpo/GH1RRXVZ/lItPOQrOl1a4Aw I8lkAKa5eiXSL9yJLPJJMgrUpngclfltChDKfCKSSYiSryTDi+d/C9Z1zzvh2eICGYdCzFhixaKm KOb7RMGf6FkoScCK39NaH/Eal0Y5BoMjw6jPxepy/xYST3cMNulHaRqFw+3FlU10RmKuPXqnVL6n AacTIRqTKX1Ujq3w8zm99H9zXsnswInmWkZyK3HNRrf/UOoHOW20Y31p6xOy0TMOzx+a9y5GJ7LI uWhF8Qq3/HqkhIhqLu29vDtPTRWfW+wnmuRJABPm5FSSpryD2C8psFnOSUhsQ3pCqug91QZJa4Yw s2ekOsPZK8RK3QTpWMKobBzK/K8SLmWcvMVdrPvzDoaam4ZrqgNU5YlHHpZcaMbs1neBY/WnnQzp S9b+CViqudhhxgR2ego0d/eQukV7ELIyz4RtRnWpqDHUvCVkeLt1tHeg5NA+gS5g4+fwXJ4BNZzp 0zt/lUowSHtIVQOwRXwnwBQozPXtM8mmFU817EC01PXA9ofyAgtH7/dvftDGpxCrAuXUnIurHtWr Wdu6ez2PZ9do2b3C5+bwwixJFqf07113iyjonRIuBGMmQonJZxcmEuApfRTOW/0F9ERnEYehmMF3 Z5cwsWduSETYTUx7E7id261MV2mhZ8aD0O6eAjnuElk09n8dgC1SZtEZOcUdT91THLBIBqP1l1sC CLNtU4LcKGU9VfxVtoM0uAmzxJkyxSh0vhf0kE4iLU9XFoI3R/W5EPSNRz+Pcy/Xyh5p+cgVgrsl MqFOLUoSS1DqGKjUFAHpvq1oOHtp3Im/m5wm8Qhc5eAhixHThPTz0uNGIT5mNRm9jbTJNWK09bTE xdAIYcz4KGjSrzyV8HldLYOTkLn328c2d/XLQlfbXrUiihPem/sIW/Qcgh6ausIy6/2fvUFDqu9g juzD+3z28l2zaJuYT2J1NIcdFEUzFTJ7Em6DhkJFhBM1tRf5Vswh1+L/Rd1AJLGH9BRntMKgrSNv wTvIR1khucInF5Zi6R5RMJ2V+3VRkytXVj9asxUJ2xRkzqd/9fdKrqzHmEQaocOW5GusUa+nsrMp tb5fiZ5bJ7IsKI+sxCxT/2nHgiIA5WnCZ1LFRkodc5dQpg9egtPDUH3GA6sGf9WWunhE9FRx7QiW wpEC+dQ6/cJLO7XJ4VXxiP0bQFxrva1oxAPXVHC1bgJEkZpX/NQsL+jzIhy1JGm59oreMWfO+SNP UbruBhhzlMGfha3ErHkE5c8mKa8eRZque6xYZnDtbdmcMO+M9NXDT0teke5M5yRiqZ9jH67wF9I/ E892Pt9eTC4W8XLfPDsglvrMDZjuwnmo1BzzQjxUIKD5ZzgsTYfVONlvRf38FidU34OQXHsJ7AES IKwpALlYcbD+bMrzJxhDPClawEKfEAjb1MAg/2RagvYHkHH3GPYYgSe2LD1v8qmRh/dYI9GfJfMi 2zgVDu/3+jbJwb4bVdHPLXmdqHFWuzMeqGo/gbm36QENrtdwZ50jFUv6Pj1NOVTguOfSx9ZjZBNp 6NBydLDPZghZcJKoqhNSDLPtVWbivJXslcqjAfPkK7hXtnWU+LUhHP14bKsGQBYw4pSFDsoXbDj2 gZ/KnDqJElOxQ9nwbqUDdwDu8b/UWRLHPgKDSRgdnKJWgB8e9Duyws76B/Jy9vj9h75x9EgZ86EQ 3+9qbSJxGExzEncBeWkyeU/gEGDPvxXH669gOPxTYnsF2jaMmCeCwBGSCpEhU1qok7FHIFhKF0ib YgsQiCdaSjmNSqXV83dCyaCsbjDmDNA6jMgh3xy6YyTO4HjLa8yllKeWhffRI6y85m4jXZdLUac3 jmZb+S9uG57htiWO6R8tRSO1ZEB6IueMBIg5mJ7F541XRB2uU6NpOx3LyR+H233t5KRl+hBr4414 CjSjHYXhcQAfqd2qUaWxzR7fF02lfuq9Unfyy1vejYuo9YFaZ7n8znUamumo1BHPyAs6nknzNjud 9EqZlzWp50P7GzJD4Mse8NRUhs41zEDlyznpvY8S1g6IP8o2l0vV+2jLPdPOSGeQGvaLRhdMpMSW G78CQBCPO0rEIkOGhJ+ONMVS3VIm5QP7HYPA5KaNEb1WNbImZqLy861FzYkcYV5gITUOKr/2fKaT WeEiRDwucp8eXjRqZjaSzKqHsvWIGxmGctumLWZEImo8eIkSW+UE8HTFOqor8AP8YcQowz1TNhg6 +QOh/wTRnqK1OcwGj0+oXZ06t6t6GODqG2G3Ket7zE9IAHRGaC9Df9Ijt8knzscwQ2+hY10CFFZy yFOuNp6cF6RyzCVG6HwqLYru7UA5VlAQLZEYy2O/md3REKsJhDJdXU/o6r1e6LzXQAt2jEnO1s4v Z7cmlU1p4TLmxdwxOPaFNMt3HCUxqyrwyYHy0Y47jydxji309oleFO+b0UEn+9TCQ+i1ZyLVSyGp zY54A9+khJl9JXCXjKd4qXUNveHHIUJEV9GoyzgOnupe8WlIzxtcsDUon3XRhQx7PgISpOrbwDBO 5J0Ox0o5GIra3CLy5ZAo48DCF8oi8/yIKx8ez3GXTr73vcddXM6B5bhCHz9yNPf3hiaQVAuDhiAK 47I5gei+6uVZF2kN+Iu1QX27IBfHDYDZOPYPwyRMUd7LmJDsd+FpXre3Vcxbc4UigtYIvDxHx3QT AcdvpHwE94WcnwJu8Xfj2fO4ac7lGK/7TEVpa1LIzpY9T4a6Ym8mWzewgRcw1gq5nLXQAYtYmF0m /YdvkOO4uuw/Ymy4TLG49tlkHruCf8DjlBjII+MkSVeMywI8m069+EK0S2ABVWqhFDlEmd/t4J1j QzGydywhSE1AQUrO0SOhbPrhTdVTFwG3X2M62w6MN9iEBugr3gmjJLVLmOn/KPd9ShT0MRvedOqo +XIMYm6WJareEQ/zsZjfBFna3ySZgQgCn/gmWsSHozvjC+3hg1STw+bmWzryPZLEPBn5fSTiDUWI 20vgu1ipv3dfp7Nu6kxHsrl6c8Okbw+YklPwJjsfwlBGPDE0AT/vklmsmHK7sk1zE9SJJos0dxTf T06AkZBrjlWUBT0zLvW1xUdHsy0XRiJAbsYGecwK5i3kfUPvaFfNGzP5Zq54HOpKoSK3Q/lxPuy/ KcUl8JQlPieI5gJVnhG0ICE+79bDd8kpvjzkb8akEC54gqcYonRO/YViRl6jpDfZ/x2Zia/K8HxD Hm85W3g2xAz5rfCX86SK2E1FSuoTromoRHIF0Uri+Jaw74rVFlrLYEFD9XEXA0S+1iqAhCQcpAiC N/W6ZyNjfry4/bMxtVVXd5aNl3Jo1UkCnuCOnnJWNeB3U1g/Hx/Fd0CUF6x8ys2/z+tSh1a4aWCc Nyf5pUet1kbENG/cItkQEx5LwNmhCjOIJxqteMTvBXwrqLdn7QODO+U425orIfiIV3YasQKkyGmG fX4PCu5XfnLP00SePCHVTH9kxvpBzvm28mgcUC9dS7B94I7ciqaO3FgcARe5uff74QeEkdFPViSO UKetcLNBMQROZYugk6trsfB/VD1CL/YTr7hyN/xvjZLqz/YzlpNu8IzePE4hV7pbBEMQQbxBTH5U dwwQuoywwcu+HnzWhmeLdQQA1tA3pztBKsIYxCJ6dhDkncgQz6CgMb/OkfwUtEGSU05XV4Z5df+m Hu4tJnR5dL1t3WusDuPApRtSeZ4Ue6sETBC8nvhAErpWcuBunA320BA4nnt+kERCmcrpnkL+A60j g0WDpxCsOmiyl6Pv3P06TWgbW2UWwv9cE4n110OxZTGVDvOcbbM3WAqxTYkbxz7tjD/bpHwGw+fb UsmLrh1HBFXawmfOy5e93DgODcCpKzNRviVSjvJ0ZQsk5WCoOu+HLuy7We5Rbpw8ZUBZSoE3kXv9 5fz/sk8uGn+GSO2Dq786f1NSvKOkSx34zzKaG1V7SoSmA03U3MF4CIR1eMQnCfI0m78TtygeK3um RPfNdmzCEegLZK4aKo80EhGhGHXQ8ARjE+tMnjJCoUanW6HE13eJ6v8mzgKyJVwmHJrqFkU6jqk/ 8OavZiZ+UE5UO7GqEoXwHehI9dTI2pAMttzzYXWy2SumT9lWZqN4GE/oSXeMpHjMGDKgBCB8ME0U HZgp6gQXOT8+964hJx1Mpv/dxWml23pl5UIxcADIJqA1za7SHKn5gQerd99jI02FL93oYi9che15 0297FeMpS+zV1A39/SdzxXcKiz9GW4AXe9OOM7s+hNP3TSX3ZHhpKniMPCOiaVanVt5FLygZGC9C FcI2EDpsQzVENFVTe6x6NdK36rHiWHkNzsTNPWkj4fdv2erHoSvRwKKK3B91+Kt++HVQ11pWLqNT NMcbFwoNGIEsJ4057j9wRIv56KrbVxLhvse7PGz/8GaVzRSZL+f8xgFioTRi1LaJ1Xuh0PWr+3VD 9/k0Y1tThehESubZ9mkGuxac5BZ7ZfTdFsDX6yJy79SDiO6IcNADEgKle1fFkJoyLMj2kc6ylFXI LT7R56N23kcWNyZjAsai+K1Y9ENtYws/fWX+CE/oXWySe1jlR6vOJ0Dz3FPd/KDYZqgo7BGH054m MOqaKORhX+UrFfzPzS6ZXiTlYC7RdY9m2cnxjQKbDmlzhqz05YyrvYldbeE2M+Y+M0uq1YDz/7sv hIhH7KVAZ4sXeHCfZGFSIWfyApWb9fkO60OZSxg2MR1HoHHkuJgvNvJLbBB4zCAW2wOUIFqdynrv QtN4d+bZ2BuSfawpAo0GoZYrSQ6sBqyEgFDz/jc69n6cCQdXYgt+mGJrzi/62/5SuI1qUKXyKsH3 lUOUR22kC+RO5GfsAHsZBD4MdlmEA6BOwL6jSawILpnl9kRSCS62jC9PIiTYdLB5JYVYuzIFfTT2 WdUukoIr1memunrpqltRTISJjxBHca1niK0kB2pAlz4M5toyfboRnMOO1uXKbViZA7iHHYQcQL0N pX8TKKJtt1mU5WF2D/A02bA3Z1yvWQU/uCpoJ+PdpqjbYhaNDyvmLPb+cNHMo4ve6hhIOozKdMA0 vEKgPoSVfwtQ+qO5QYUH9EHcAdsT+15G2vtxBXeImNaod5wP2SA5SqHk4+irU58BDF2uTR1TuuxB Z54yh7N92peKktW2WkyZ4suBBGnMFlPvnjAbctHtav3Pr+ejCaZEgYry+gT+gr3oh/UyM9b5w5LU b6QAborE2gpRQ2R8BN1QESfntOrHclfbqWU67/txawn639jorZej5CgpjNij8qurZFqr8eNYtaK0 alvQBr+3ga+JY2Qr86lV1Vp2CTh5FqZjxN7lYP0yP/CAIYqo7Wl7aB7SG41ABJoMmG8+lXfzK/rP On+8Ga7kZquWyFfPsoAnm1tWAtejxhwplbCxjbtyQqGRUmk0R0NHfxwMO6wkCbCglUjvWLX5+nxi dmrmO6HSAFQFBghmuNsueVB5q3Qzp8+/1EaCNx1FEs+MvuZZ5+/ZZSp2ubI2MplKJiVlJL6l8EKS TVN+fhBMa6QvNu1y/cGcP1ORbCvWhu3mi6EhU2PfAnSVhZ4TU1Ezc5ptPGMfIVTKXrkKpi7AU3B3 nLBAF7C8Yi+lU3My/3TstjSR0e33Yu/4r66/C2vdpERGRrmEipwTztJZLTkon0PVMPlGfgMcfOpw qvrjR/eH8o+5OqIUMWoaxpfpm0d/sUnU7P8SG0xcf7PyeQ1Js5VQ+YebVgaynU+KamlP+3hUaFbJ iBQgehiVCKXn1Mgm+atm04FrJL5XGxPe4Tmedd7hj5M5dyT32bRRD5hSjL4E7doqu67eptwpscyF beymsy2VoU2KnMLSxknuqgIb44drXMZJYLubE1qSBbrMAwLMwM86B6+OORtUwIRRp4AcR94TlZeh zlHEpF72LFg3FV8bBnyRTdjUwr3NeDeMuqrxo0XTQhCrNeE7dqwynhIcuLo1jBEZzQFez9lBdeXo 3WCnwsTFgzmIZ8cyUd9fkMOg/JI1wOlV2tbeduJlicfYHcSh6sWAGFT4Ayvttb3BtfuIv3gv1pXA uQWh3IdPQ5n6DZg6+cMA/b2Rbeq1a/jFb06aK7vIWq865Ykw/z9KYrQQk0JSfo+O/M+IQd1X+SL3 7T25N54LmgX28+cm4a6t0264r5df9djjnYSSy23DjVmKrb7n/urtHk49Qx7JRXGIIBl/N8pyVoic BVP22WLDSb1swRk8OqHtmZrIWb6//Bvv4dSb05SF3HH2aO+p7HBQDNK8a3Rll4wSeHZUPWzd2hIg UXCQWIjSBTnwFMUAFZEwwpT5a/elLI06obzCZaNXFk6m9xieSWmopxh7mBMpjJTU8gXtOBhEg5wf fctWfR/RLsdorlcrsy1kZ6dUTUaL65PeefEim/Tb9OwmoXyyqS0YS5E41eKFzgwUqCdcba2FMKaP m/1uVFzTwfPR3YbL+q97Fz7WO9d3aHVHUczf9SeHvYf20d5vVCAh169tynhYADAuQC/sbsdBVkru Xf9G+y+ggj0WuQFSkYYoeWAzvjxVA9JDOeZGlwSh+wb3Owzfp5JPzhTM8/JDzNdq04zzfRSbh5+F yk2Ystaq0U9TXJ0UNv5JS40gO6jKUtdlbXHju28Cqp6i7cOXwTP4lGci9DWmnggYtXgYMhmvCmYz 0M5QxYUu7b2rcSIwBev1pFuq3U/P6LcY1HNUmUDME8uzUkAvd6BpEQAd/Vyt9hJSy5FYwc6N664G WGrXIreDaIeXwt500uu+C4vrj9Znoq3tv0Yf9WGAfG65jTGrlkmrReEZsr+1SlV7O7MAXzE5nhvD t6usMWyt3Q2cB0zN9usZb5iIJ2mzH+s3LmfqxHGTW0trl8gIVSRUfjjqcCjyOiLtFOOZwZw6I5xi +DpJt00gHFvuLpII0imb5ordgfuED5DgjVjEGh8LdMtCMdIho85176gNgDIfcMZtPiXfS048QQyJ wE9VQ0YTbzS84u1rIeBIavezIqKmCsjFCyCeH8bwe1A8cufDkSD5EHg653oDOpqGxeArZioJ/xTQ Ddgz1cV4nrDZenAcx1L75lDdP6SCvnFB0l5V7HR96Mq44ePNNWYH9QXnsyW0bTk9e+A37DgtGut5 6liJXoksv4mSEMWrwRrdDXqN0kQxxg+3qyPdcFAYReAruukMSrMdl4prQjO7ZY0jYYP1G+lOovpH uS8/yFR9XH2OOXEWex4yC9ft22Mg2tUPWdfjazhD/s+jtRMXrzw+ziZCC9qXHj/qFAb/ZO0xyscx Fx2E75YnmbA5YP+K12p0JQEm7smiNIuTiwUI4jVBe4vNEtYC06FU6ySBXC9vfjgzo52NVFalJa1r FUrzk3PhIaXDmwfH224jpMkBeFsnvQ5lJuom/T+v7Fk+6/uDPCIHkWV1M0rtbNEGOAhKQGngUHja UWvsnMKdjFRy703Q2nsQx/ftuP2WkO1FCOWos5L/bJJXqpiw+OxoMbxd40QQCcctiDLWB+mqtLWR 7tSk8lo44RGrENsdLLsHjSXCcYidXt7AZO1S8EPlsYn0OIGcOEf6kpZukxJHlf1Dy20r9BJhuNnY hM1V7rl+ZzXMr5O2GHIymnGWLdQ6vAFRLX2V5aTfsHzW1m6HhlwbW+9LISTvIE3lOEvAHRc4iPvq XNsszN3Uok8nrNJAAK9wwt3Jk7JM9cwvB1ov5kUggKF57lL1a2DVaD+r9SKsd4qgfn4SElfEu1aC 1L9qcN7s8y0mLJOnKZV1Q4YquBeLcfEXkqVJW54cN7cSJpeYk6NM7ME8kp92F8oC0ob34xwwuz5M 7EFpLZtJQbu0biPpt6LPnMx0WHSzb5H7ryOBQs/oYl5baIXLxQEnuhQBjoiKuIqIdQ//RliaNKq5 wA/AWl6zinF/dYUWpsWhgGsrAKANWddnKJc8TZpVqZpt7HRXAGV0zWD4apJbP925wtwY6FBWXUeS X9MgDDJn1Cv6ii5O8SRvKxdC2HChqbX23eINfUFyCfpzJRv+y0Q2eDOL5lhjtdib4/CksK2Nvlqv bLJbDqP8FKTGc7WQtgZO/XZdKCzeQz59Fp0cPo++bUdb5GisPKcP5ZCdnuzkeGEcmrGyf861fFzS Es2jiAc2AYjM8h93KvxxO62/7YLEQaHApGm0aozfrTEeyCFIAZz2I2cx7aiZ5vB7Rum9mn5wdbF6 DDH3KtCtCGul8t5e++oFqn3zkBZM5/TPd/mhbIKuZuhVS6edxFoeq9PmVxHEl/SPQ7GAdKfdFD1B WaUngDVUvoqSeUNTb1qCjuFwEwpcXWvEJD7hWN6/JEl2W7wMzUAmocPWw6S1JBM+x+5nZuqdMBpr GUWtJoliB97GpvQvRLMHWCxhM/Aw6Hqf0pvMOVGw+gIVZSuEA/8Yf/4+6tUUjMVA91ZxYnqVO93N RwO/tlWne+yjqknFLhVzB8zXqffaBz5viQUb2dqNoYdEOEbcI3rp6QgSttBZKKOdVgPzquvnlaMy WA5K1dSzcGhSYWb+H1ZRMVmv2GFnbvtkZJv9yRgOZRWnc5fXExMhTC8Zx7fTMMC5w6l7RIRfhnYE Gg/p4zTiDG7ouWKjkzMZTb3WBvMOO4cZK+6dCHaMH3KvA6LUH0s3/36B+mMapQ3azXbdVbWg7liH wF78U4qyrguuQoGKM8K4UloOK2sSqXgXucYEephecCsD/2MHgv4YRFcYLOOzGX22LsTUIBnL9Lkt oJeVxr9AcgwvqaJYVsFSOvpCT56ylbR8JEeJbQq9UVhggjlz6Sn7n2B/PWtqTtC/pPlZq8bm1MVE 7P4W1OqAl82+p8kprL0EAgt6G1jgs4gGL/nTttPDkuj/uoZ1PGJGLFjpwZfw5lhVNLIOAAUogMcr p/FkRqbStmv8IW4KhHbPt2VagbWnBzG7Qz6dxFfA9SkIbLHuXBRdT7fj6NNCqL/qLwi0pcUxjKmU M/LG4X9ghUj0uSZcPE0rDAIw2YHB1Z6hQ9zcCGVpKh30DEDnNAPyREbx+usQS/J1bZOJoC9i9+fj vU455Nc5/4GlChZe5YBP4aDoJ4dXZ1mpM1tYQE3a6J8hPsugQtOlvtOv2ar2eba9oh0DXRKbhWYc sUieUgW9Xwos35d3KU1YEgPk3kO+AqbEn029eFdhizrXToOvov2s9Ng8gutOf3Ip9VE5GmNnBtyu JOOOYCWQKxPx4w6S4wkrTXaulKwECwNV2Y/AVc5kvcxX91u2feJXBAnaezD2vpY5x5zFVhQEBFtY Ks0ltwQ910TSUfqtAU/ISbRP1+xvUO0knoiQqSe+AxIYDMJH1cTjC35VaufLUcrXU4s73HLDtr2V T1OH3NFo+FIlpihn/2mRx2lTRRhA7VAcqWDshawmzg5V4j6vl1vEE83Sx/iR0NMyu8ME5W0YAl8E eDgfzAZnkBJmaMiHDQnM1volFmqH2+GwIjBUPp4mabJgKAD7JCfeh4f1ILdwd6/9ex0s46poAwXn TnJlow+L5WzoyJoJDkyHZtKwQMvx/FmCJii6LKdVvPTqMbrl4jPeha96Xm4oAzwcXcvfZBALaSUD Cyg6TctyrSmGem6Ba9wXgXx820/uEMu6r/onTUrgk0+mMgKfzUNg6F0LlUTU3VppXl6h4oy5ilR+ q/b0gFbMl9vkO7S1wuliox+OkATlEFyeRObO7PSao5tvsc55A9qf5tBNmsfFMUi4nQCwKTO1TfoP 1g4cB6NkoFWfbH0vIzfNG42Drd0siXJ8ejnCxpM91YdFfe2x1FJRmfCuuMFn201rORQuQeIcMF7d oEkOxUNfGuWfNqnGmA7y6N3fLFq3/HzLMCz25B+pvC43rBXSz5IFQL75cgtNAkZz0TP5lX1PH8mf rUQ7XOM8JGBNvG7R7eCt8oLVC/wlJtjWEg6siJECdPcKqnu9798w2QhCGdEH/YxqHQ57F6G8yIm5 un//X6FQmbRPH8LEAEYgN4lsala33NSBvO8aVkCw/4CST3qswmUH+IQOk/QHQvZBUQl5QgtOQRar +UpJFKoWZh0Yd6G1n781jmB2bpQl4My9qaZjuJuwVLUdZgSaZBXSWA7NDubAdWapyvjGVQ54Ew3g mW1+tP3WsjxgkqqPRqHN3Hoi7jfJzpsmQpslPDFc3E0HB2GblO74EPtmD+uJu5xJqyaaMuJ57How ulct0+HNWFlI6QTnCeOMgsVPMIXhem0aJx9vy6YTfcElS98b0PzG7SlVzgqgOJ6Yd2qU2lvW+Nao Oah4OPB39ermdgYG1xR2O76Op0aQDpp4XU1Vh0AJcPl3bUs5mt5YVkxqz2R8GqCbyD4b8XS9+jCS /019++7BanCjuLoEPErWevSJ30RFjJ/cTQPyWjtSRTp2xYUlGUYN7cbNmVnzq/nyola7dHvxiHVA RIkyIpM+/vXcj3bV2pGr1Zita5DOWXPM5KlShTkP6ix/JJdS8INGU3PMm6Tv3va1g3icCsKjCBa7 1oDLyXw9VzPx1kgnGV0xRWizgcszMkhiE509juNjQq9gVvq/0mkU6kVGem2HtgKYTXxwQXtgS6q0 06Q21DaaBloA5qhttnnAVwmLQy0Spp03b2mXzKFSsGxTnKJe/l8FhYr/aGgGy00cmizgri1++Rem nar6lIHFF2Z/ALUCI1+W8ULcExRB6bizJbj1wNNbmZCsZxjiZUhBhrMPYsaBNYkERuDgyGaTZwJO ouBCMrA0TZvoYIeztckAKo3hF1xPZk7S0Xi3mWgNq1TZ0vfP3Oev3J4u0Mjj6lrAzjzYdxDeNFDF 5p48jx8ejpHVOyIntH7qAx9viAPes2z9XLt9R6TeSWp8krnkaJ4CBNlAkTa67LkamyOobwlVtgRu 8BUsTT7a0KdBSmkoIleKh4XZV5HwSFR/CHUF5q/JVtu1o9FEzw8BB03VPojSeXUu/sqOnv8HB9LD 0e5hHwZPaiptBAIKGsiilkzsDENo1qw7eAaBGT9CmzodZFahXHnZr00HDP6l4BiGfR/d2Bvp58hY Mml2NSQkgyHIywRVVMjtzar4oAKyX2VIDdPcjSpy6SsTlytULTOQZSPfI7/JQlNIN2GjkXRBylZI IulGnUMISFdrG+g+D2XY6aC+gqozofur1lOYBaZ0MnTl3Hu87ZDloMJLCXepy/dLCCdtTLEXSK4d gL24xE+tii2gQlr1L4jkKzd/TwoEU+zPhi/SaOKmjzu7PXh1HI6GFXtG8YH2KHzQ+Zoa0YiYsqUk ayNRIbqFMAPKVIHbIjh3D8nZU+XeCz6cDk3lgXwOe3VvZGFxD9fGmGAZL1zPAo/Me2O4VmQqoEb7 PBkZpFHRrP2GOlv4gKaIkBRk1QFRcTjZT5ieg349uODunf/40fSAbNFZNFMwuDCt9f24ysDJQBzF M9RJYzxGxeVlTz5WLqLWKwAfX1NUgkA2th1FtsGzudY2QFb6CGmDcyrXofsLVPwNLwJBpd9kpl9L 4myePFflwPkiy5l8969z0QZjTGvkPagkLmV7WMkOLAqjNC5leRjovLtJEpGoLp3cTujxS34NV80s 88n5FD9J5gOfyIh/+AXZzTJagXUffAByvPuAArX0aBQEA8GnaoqmheTUkTN4g5WGyfeAzUJLf9ek b8d2AnXo3sGDU7aD0x39vN454M80Oe2gvQxGSVWrYqu0k4VOvxG5+1BD3/eXK6E2t4eBwk1q1hKC 5DdwsiZeM4hGtTe85C1U+JDvmbSvyzYhLzVR/sKGj+AWXZ8hc9Bh2pEzA7RiSmVQ4o/8fUvRc5Dv VEsRQ6oNPtBllXi8KjYHN3P+O2838p+63XAmlksEeRuecAB/bnfVxZSb3d9fnDNoHzAmCtp3mkIq B/MB2+tp0RKzvvwnU7ssIT2Tcny6UVWRKXUk+q/m6CqG3h1WV+FuvPWZheCPanfl3uim6pd8Axid uO8tV43K6Dmgwl1usbdTNJ0UUw2ApjJKgASg8BF8x0WNWdc6TzQimH9w2WAbvrvoF1LWQiyyis9O +OJ+teVn6IItOPwYSrN0CoPYxklpKjI07EJFNYpTjRZrB8Ph4+0G4bJmo2vL60qUrPSbiYnt9Ql2 z1QLGUFfbS1UoPXvxxm8eGMrptLnCCOo3Iz4hvI1uWT5wIaDGH7eZ+0dTH766HJ4EAO0TK6iDqjj 76xmDXLZcjkmxq87/cZZf5GiiudvlCsOLsgEpM8qUeL2sJh0bnZkpQ0aMblenPXntXJ7HVHDBCbt qgsJHyhKTGICdronndDbA3Prh63Go18y3ZAFB/oO+rT4/CnWoUz4oZhbzOih/qhZlfUsQ/CwAVYU UPI0AZ0tld//qQEvsCVDcFAHzW7fCjSj07gindUflkvJH9THlJf9AMgiM0+lHCZsx0tG5MsEYyAT 55WKnDnvCVTwP5FFMeZelTas+TSjZckVkWcaUhXcoKYIqhN6G+d33+PCTZ5CSy5XrWHlwyQzvc0h Efy2L57Pi9c3n68gv/joUDSwPo2RV3GboSI4MD5mSTsH8gAsMuVy1mOo8OsPYGe9Fqu1rjIC0PTY 1GD9NDjtrW4sVTzHdayBGEo36bTWc3cKk+Oj+rOYJZwgIdXtbFgPcj2qlyDj3xQAHIxURBAUF2IC zlBmQWJ+QEtn38xHXjl/LwJUGbhmfFfrvEN4c3BIt0ov0CQDjHG3kPAiN+3EwQiKlYZ9as9+Z0mF M48TVjiq41gNzCMuATtVY+9nvRDMCivQd0ZgFL1D2AKF9ctO/uZ3zOaYARP8E3nmNkdD+IRuJ1nT +PeAAvs9TqVXF5K2lFMEOhVN8CtbZtxUEhuh6fJpr2zUlh28t8ErdE2t8Lh6VxnOSCQAWGqUNI9R LlRNmjpAEe+zvB3zm1+hGxJoMuHzhcno8Lx33B+EylB5sUkj3Yi39RpjzOyalOsO0mgyKmtG7yO+ bnrhUYgRDE9pvPrhazWDqREzTAxNoZyVNUqWHBT6iRks4TebzsppaCeC5CxRdfEevHqh4BpynkoL XQwlcD/UJ/FkEHUmkxja6x02MW99qfYBMgZM1d2pTPsNAG+8TfqgPlx/ulC4E4qiADi7AcQcbWs7 h/MesEHg/U3oKeebzj+dcFG1Jrdgt5QLQ4H7o9abZAycbpjGKTmlIHRt7d+fEZYcNb4wCY5I4dBL JzL20OQSez2/903J/9KejeFfZ9w3GJU7tfrXuFBBVirIu7x+q6R4NrWJmwFCG5gJeOqTFpbF9X5Q 6CzinVA9/cKGvmVsS+cOawjvzdy1Jqx7UIk4UB9S7g/xiTp1boMeIBRYzXXg30KgndVXRBCnuyKU i7mp3Ps6wLgucIBoY4FlSFMQmEojxr8FLXmSq/hsdeeJ6Ke+Sm8BE0y2CJ8QcoqzbS/dC5Zp9Vmq LFX42SD3mPtPDVmxGH6YG1exhvJ7rlApKLgjCfouYMH9fiadHaLNMAXIoMWCut/IJdqRATUqFU7w UyuM+GQtnveOQQT1u6GwfAgP5/uwit6YknaMtLozzLx5F0kq/fNhSODEBtdgrgUcINPezxNKfiS5 mUky/T6aLjYDWQK5pFpu0es3AoSuBft7xuX3C/OpbRJq2CVovKUa+RNGRcQuo08bgfK2KAjF/noB DbL88YPzsdWs70O8e0NfVlfm9OaSyiFT59kAgm2qqCZq8tYW8OCamFDGB9vkUsr4R9mFL4Cc0bee 9z/xMFIQ/fO9lmq2XHKo/MyP5kNMclEDwRBJV4bXHNOYMsYOUREdBQqkXJisJNm/Q8N5UjU3Vfqw 3PEpV1HdnOsHVRbGlBgC3e6UexsquIIVuMubDQzpXgdkV/PaaW3eH4eS21a1O5JvDAcv3Mr/xD/+ iXBKNdCQ+7cDs+L2x+4GG1rdbKINsaf10e78M7bscPxhcTV2bx/RwGrd6nVDmceMt86VF9yzuPqw p+MHFxffUSDkmCi6Xl8KZibsHfmqJ+MueiKst0Sixa37FJslF8Qqv72sAVehjj1f/n1e4xUQ9mCA 2Z235k76dFxEDG5A5TVtbcp42OLWnsILq5GfPDPlmOdt2eir+LHod11wsKS+T9w0JdYOCY/6nQwe qsYlITv19QKT8xM5U5YaAf6+wmGpN29ybYz0XjCASOFGMH/cxbeMcdTq8LDr9F0/IHA2utYd4d6N YTUzOhDFZ1RTfsd6CWauRH98ZGMZlJx9SYVRnqg/h1/z2Z12/bmLTB5MlsaL8ebWI62Hx13XJun5 VTNag6Rex/CeVRB1I6CalNjgQ2fj84oU4ml9gPSvXVVBLQZQHL3WxwkdoIOoZWBM2ejbWl5Xobyr qjLbEFcVfzetDbAPaGrzL0HZeVA4aAqHVC0l7sTgAkM2F7UKf0YAlCFEuiNMfK5Gbt65yz/+y/ak WIWgzSnVocn0KIDEpTGdvgBYwyHiClhfrnwq33+MqtBnvJCBrAhTbFpO1AroDp6t3Tw5XGrJtkXy YD6x5UokVedxC+Umc3/pVfNc+2EKzQHspu5Pwd2y1EjV432b0Dm07fo1AJgfhZ11uXbAJrkr+HmN bkLhOpiVHiWdtGaB36Gmzp8nWlyIuIFhRS6cpcKmRO0nXwjxFvDcZRjtm5l9vvgMy+I2LMwvPJHa /OhqgERGzHW5QQfDJjaVRXyOHBt19V33qTSVHlA6I97RcB6HC9ENtwRwd3ugEL1HNuaFMzyqiyw6 bE2b2/61UvQbSMiLrTgHahMDPrugr10YJLlAfTcfZq9CNEOTp4Y3I11DuGpYEoK3XzlGK5VA6ZFY E2gqgmOdYMdzmV7fK0nPMcMXkOtp9PA5MFcFLRXT8jaoEER/XRjnlRfh63TRAPyaxBVzk+W0Jkax ZXWd1XJ+iXU2/7oUgC8x83z+g78t1vx6oXVxBOxNwuDuDarShf5eKEKx5BzyRXw4yI1dF0aXhq8r P7Y+GABjBLLaeoPBVu9BDLK9K4J43V6HvJUagbhsURW1sjrCJ2Hsjy4owz8X6enlJKlvz7nHj09h VQoq/N0ajhx/s6N8vRyqbeg2Ims/0zpJ4f5Gg27M7XIV3axf4k7TAlbiROyBKN2ibWKPSkZJfIBv BSc/HUUUix0CA8DY2jocnx5B90/u57DsJ6UO023vkTqUVUbOI5nvRqS1/dBp/PEy4eJp//ajHnRR rO2UdbBDCuLIOmEwCHEN26/2IFU66ePgzfToc8SqZoqmDVZT6bI5CyA1VIU/CNiyX3wEfi6Vf/YO fuNvym63v572tR87SAVY9npsL+QPNGZJZGSX2/FsgZW1RXHGoNgT+w6bpgEmufWaetWOaPVWShLD fgEe8VMFshOfJVYt7cPHAThltH8CHr1Jk7I45zPxY4h4sWKesi7HUdl1ZW5Yg3fo4i2279Ozldcx o/vYFRWC1aK2UFk98qHd2VZ4ZTzBPobknVfEZLwOuH7qoEhj8Frv9PL9n/y6wYBQr20XbqVChSDe owMCCEljMt1yr3wNxIOt5e7GZ+Gw6DddA7c9ZaCNkmcNiFh7G1vrN7NX9gLkgz7unLf1Fegb2DfU p4jfn/8oqfJzMC9uOr7PGqQWuh0XO4Lfq85khAp3/F+a+Pdq4PSCTVJXeizwO+PX0Rpf2PbsyU46 l7HA0pOYAoA+PaCHQCVRf8tKWIAYm2q+opKWzg8Cr3Bq7HUfUAE4eyWU9esrJhdOqGbRnelf/n2c y3+LfEXNZcchLh7hcVUYQAIwirEWntZPhHlg0xgnmzGsb/SJa1N4qHsU0FqaoLxFJR4M8sJnbn7O uXaBo2iDzSUtr6Zlk3BOIXiDBspt57119lt2EnYFTaiXjiEoHc7n1dtWmGL7pMOEnLYor5XM1ZTT Cpu4NzO9vX6DGLrcEwYxueqcOXY+yYc57sGMVFivuBrjU122MMbN9iJBpDoqpL+7S8yU8oN624Nt enphXRtqkzIyLLcFGEL5cy/Bt8HfNh6xVDo1pE06H/YxNJehTcMaFBwu2oZWy5lHWoXumBYd7k8o bXOrbBG49HsgjVEL80xxJtrDdLwvR4n7y0S/tIhMh0QdM+xnrffWz5JhQxNkcwAPfCoQrU0oaKSt WevUb87SldL6PZjgm314/u6ueft9XKCYBmzGU0pyf47bqqpIEXaIJi2fJxHuR6B96IbIjL4Y5GUa eugi1xQzJ1wC4LRX0e3GL4rxODEiyT4yv56x+50X5CqGOlgxmGagBVIo3tK9VANTkrgiUce6/IbE 9tsnBTX4X1i4tGcvNm8Vcv8eifqW8CYyQdVot5yAYZQol/CqoPIpAzBpnzF1+h8q4x8hBJ0RQ1gB ANIDv5HKIqK4y4NnaUXGxQjCix9nN94+NIlEVzO8EUz2HYb6NOMhsX9wUTVXKyRIj6RQ3WQ57Hhe bWGCNtoIkzYkMeujHPLhK+j5VN7w47sYTq9nheoyjY0IQaNjDDXCrRZ2N1lui1KLnAc+a22gudY5 C1zFksfyt/bFFu90CpagLWUQxCBjLLOp48K0k8MM3I6NCQyZqHqddUXFkEJBOC74UXBHyU7XjRB3 a0VJp3gGsLtYbkLgbsUWaBplC4yS8e5BpcGKb+LahlWii0OYro0rodOXZgKaixu1A9UoCe+9zYjN sUhIMIviSAgrozsTE8//aqzVzKhXmxJ2qPYmsDh1X/lIKdh0ja/wMUazMtaTCrIMRQH4s6dSvQaV /8W8mv7nJ0diO+dKLROs6ml9YZjQ8pe5d2N1/eUAGpP3EpytDhjJQGhBL/sOTIlRCSYyTtS8OBWW 0egmVptiy7P1gDhz+9iZ2i2ZZ8UwzYW03RLLxcAesBljut8sGcCYF5LHqESodulwOMw/pEyr6Vvm 7Wn45I1xCfysa/tb0fRuuulJRRQX5iGcFrsDoM7/6q3ClIMfrEQFM8bzt1YKWcxPVEq3/lf41YAe 7vOAP85yTxqP6bPeHLabVdpa8YxJvJlC6oz+UJoabaA5a5XS+RrvI079GYSSVR4eduw0yTmQ0eGn cbxo2f0ejxGDxizotu14xFG4jdUo736zqkyTVMhnhAwu45BozZ0I3N8haDw2hSPk7mxJECagCIGM be+c/q8vpFJGyNRKUJ1nENy7etQYqadujlO1kW+5Sxlmon5XGK/SUfTWD1qiuZ4b0GjqidcioDuo eeNS3yyITV9lsThoDKOc7jdwXTabHQuLj9zsaX5JSOIXr6NrmPfVdJJISxtCUYjJ1ZPmjtCGwcPh RYmgub4j5IRhVWV12SPuPhTU0olw8rfFPC/+D2gKzuuPtsHtONOQnkjR10+NvEKoFA5OFTSpGlny lX+MIgtG++Aq0nV5/zzKozQzusaE/Gx32yyfB/I88m+aFKIytoOVhWf0Z3bLgx5UMCnn8ndH9Mye C2vSCV9ZXFEmn8mV1LX1+Nlsl3p43vkvcCtEg7rqC84T40MT62XtgSfktzGuSzLtCFe5hic010Zp O2Z+fUBJfT3lAykIN5fY6387vzGfVyqOsGsiBAWBZqbHxSJVYC1iMGtQWo1knk+MERSEds92dngl fKRE4w9ENhFXBubUP6futKRwDzpEvIgXVc2D5HkCgLmIBk+meuosVM39OQVbsFP+F6uWrOGtdulB R6R9X+AKCQnnAUMLFA7ZyJaooAXHxiYfJ4I5KcVE0pYFNQh+IzKsdwvS5SQe6DEo/230UFdmLric aXjQFAacvEXtR4l3GF4+faSKXtORKqAHTfUIWXHax6f0MP2iz55Nv9sz29VLr0ih2LLuZ/IihGpA NQKleLIyFZx6foS+T5w8QYO8bCIPuReYVPdJ+bEZUnx3bD+uEIAkxolECptDBpCIkMmzdIhtF4I1 d0HEAJKAcWWPrSZtrbhgNEltsdtyY80+XQy153TXp+yECTjLGKPQJNBa/RTznrlwkZfoVa4dt0NC G7ElFBGnq94RIa4+lAS4CrXZSKvp0bId2yP5tBc4+5nyrYQfleJnOYfpPmgMP9RXjU61wKuit6hg +tbqjUKjmJpsxqughhle+jZMk+1YBy26NNGFQDONXwJQVd48eSe8G0pWwvTfm3aFY4vJmB4Vrn5L gsBCxtRqOFKf9jtc5iEbjkrW630h+E27Gd0IeaxCbTrGcpXa4ar2OmvI0xAqUhtdfJ5zobSjE42w pgI/pabw6nqkkhuMfUC4kzLqs2bNKDEt6r4LSo5SWqAcPknZjHS/0EcIJuxn1ZiF+xFhkbIsLdhs Fa8aQmaoW9yADj163xIdsm6hI5KzhBMzqLte7W/Y6YVWYASmxFdcAMhtPHi0i2Uk5z060IS+I05d q8oJbOY7JcURQ7rvVT1YKYyZ9+hONItVckH6RBRyUkkjLJN1vCVu4Gs4+J0WA+2IJmNpjtq0fW1j adbjK1mamEeQy6cGq8jcQUjyNq48XFRfqMbKnon4PyR7oxWAm/7LZrRnx2XqECWgJaoEOLxfU+h6 UMRZkS4V+QKeXf87uz2K+/wsOsUP+WLaL6eo2gvtX3+AabwjoU2esuUrnrbJiWFgtupkVaa2gTkI nhoRfhvP21ZWnco1AEzZ8MkeRXzUWaE8QvR/gY1uuYHcxNz5+SXvhaKQCsOYVaiRpPBnpkvf4BSj ztf2UFq5OQYilolRUQAf/1cGmklBOJ2NTUwmBgL7lN2R0O8VyqE/MkWe7bS0Ugqma0B3OTGWiSB+ cza4b6qkf6HyBRPjKsqah4MxDJxsmMxFBLeOwKlhOp0uOpAhHEv1XW6BUzWUFHGfph3XSBhLsDJG w97krd0B5ag797/wn1seHa8pC+cJqVFCau22fFrNGK2DBAtGRd8fVBoO9AGv25dePv48DTjwKAuU uulManR2nwrieI9QOh96J6BCC8BjXht6PTis9/vyczkZT/Guqzm7rSkK3/hnPgHKN9mTAKkS7dre MFiU6hPnZRuloy8Vqw/w2E48jFEl23w0eIFqbG4G/MedY3IJ1Toez5RTUSoee9mOGDzht/4Ku50i 9mrcNg5wu/ZvIBEc2hAgHR6iOr/fL00khDgvoMKhNhL1POcztgcY99p4oVp0Hu/vSNp/56Mjx9/h qG2wL0bGP0O2srtCQTwnaSXtEkDC2HuPqAToPPU2YyKMItOQszLZuskFGjp0EQHEk9SgKZSTqkcX CQCSV7nNAdhjODpWxLF7c/4XOJ3MfNFRYFsoe2VP6YTsSwy2NH6otAwXxNAMwtFkJpzmoJozwO8Q GSIOAmbWbzX+A4eS/zDcCdpSjB4vVgKjgzY0eNUlq0c/bcWzgn9FzahBCMZTsW4y78kbGdyGQEB/ gPzX94qnH92bTw6V/rFOQIWlZ8nz4JsHc4hv5l9zDmyKWt8HxASgJpsHMSExcErrvEmyfSgdJ4yS BUqg2vuwwr/S1/YQ37eSyy79+AyLEQk/7jMAtbCUSwyNwYZwrYkzJe+Kn0FHDUVO3DT1Qg+ZB9m0 J7ZRsRSw0tsdOmP7EHPDqER67bEbNCBheslWZnMVu89aVuCcPvvlZRSWjBYqsvfib7+L5gJQq5O/ U7YlFVWmn9PN8LEUaanWAH1u8rOwGTbZteu/6fIuTl6PR5afI629Qi2cC76cJrNYOSBJNAavqjAJ 4P/ywlww+VZhz6l+yjF0rpLmrgTwzYaOKMiqmDgazK6pq2o7nY1M+Jdfi4uKTDvMqElrDRYMYvTf ZaWopWIuN3ZG8r84Uq73HFUf6Pkx+KqHlgylWrrh/d3E6YE9lKN/4HdyKzGzh1DhYke5Vyw+yzDJ TYICj/dlHSz97Odrh6M8z/Uij7bL71aBesB2leQxIPLKrmfzXCMoxg0pazZtxMHbNdS1sesHaQM+ Dnj41JfJgFgIg8tsaf+xruUHTt2IjSuq70W9aXUInmi73Y9haVBwn7A3E7y6mgsFDvVCuDfHzTmi kaQyADuDfUXcSZ2/6gLqj8rXEULndnI2K0f5OP4VFrpoAURmGjCCS3b1ZOTlfyImeIV99fVuMmr3 4p4JzY8INkR+ZmaKE14wrNj2uTYKNDztQIr3AwQup7e345h9zHo9HOOrgnbzyx3ji9MOwDumzYjN EQvCgXNsQKTG2BsCT+7OaEp87nCxDiXIH8M422Tmr7nICWSwB3ylft57hlaMxxJySAYp1CA6G36F qk5vJ1Y07IOq//Y5gSfNZ39p6oYNWvAnoO6oyeVFRkFJBo94SyTIPHFzo7K4A3UmCQsjtm0+I/oj 0jfxSOgb97/Tw0L6fZFH00+DPOjFrOCgVX7YA59btrVYGpNLYHR8Rcf8KqAFIRO9T+c2K/WssyLE 0vfxw7Wd1j6+UcOvJuexS5XBoZ2fxq0dQizIOJLM1vuZDVFppHMN1RqbkabYRAf5RXA82FhTWR+m W1zg1mWFg4C2FoYmcSP9/dX1ZQFJO4wih/n87Y5rXtQEd+pAiEZ740oVmlIoWrRs66v5Xel2HH1c ZQB1108gJHkmzu+9beSTWYPs8OUimvABfCn+0ksTONWQ6EAeo4c8xNhrv1ht3Obfk880KEDHMrhC LEWI4AAnk0uTx7rJ6EpCR2YeUGoeUsTSmnp2zVFYhFR0FGctCyCiDACSGWr7KDxPBPqMV4Kzpvbw a1ErGV4Hda18u0VGRKx+P+TmVQZyaczJhioYKuk8CjuBewE54lOgNW60Oob4NWOZ+mGgxK2PTfko GmDSdiFga8jCD4Wd/K1g9jopc/kmfDlLCcMqprsiFaIPGbdFqFwFMCGZqsytk461tQOw16cByowT kO5ktJ6ixyfwKcT/yhvWe1mhFz/sBO8CkpXfiR+CwHwoyDWqIqumkLWw8Ow8Tvg6hfc1SXpeCDqV pgY2SbJq054bwm7qtjsm74NDJYSeDRfJWB3dUUuaflKsy9pfw0VThcWAJwNbFAkucz9C9kW1Onkj Maqo/9IHbkbNVI6wOf1QjYscsl0oMdOUHprKPw+MIyZu3y+5o/zOu8JLcf95pejcuYzSP4JJRMF1 qSd88CcWR0D66rajcVOCt/0KqfElHNyzTSnBwycUpUWU/zj73xP+90WLSc0mcnkxbbMKswaqC0lC XOZIux2Jhd5dm/2XmMHV0Ml9APhokrjYMgEGK7YFUktdvgH4zb+g1t2JLK8Prj+PJVOmW6bir83i UemufFrbdmrU6u9LftEOLkIiHCHoYEVfUzKf+7uAZv1vM/yqCGXULQ73GUPCdppt9fc9/kPrUjtr JrOLx63NcB4hWIh87NiHVjvPKOSJm/dmiI23F9Sk+U9nCql4S8wxjJeUC+hUkJdIz2IwHs38VBx7 YvsWde6vzv3KeNKc7IxkYMxt4zXCPfRh+JPQj1hC8uNaDsaaEEBcDnyQN1I8UiKWg7fey47qi2NC VyitetfIKS/vZ52K1KR8MRxHQ2lB7VyAKm17YP3cT8eKs81foCyAnHAD8WlLKW7EaJJn26F6RfoT ypjYMBvRNPlg3Lbqw//IhGQbpsm6Z6LV/gwPCj8rPQ+g0wzAoJfx+2OHaE9ws20yM3V2LdaY6SE+ /xakaFYLLzQO4/0rWWgObrafNKFLDez0cLcfTP0EvvHge/L3uK/NhkD+9ppvRsQ7RH0I7ykP8mM/ Yuo7lmOAyseZHiq6gxFXL3ej+ZYOcEeV9TGZrqBxLUuO/4zTayXkIchT4npXvQbXbP5VDkhWmDoB ydLPUTS8wic/W519UoFCF/eEvQ5Y4os5DxuyhwkRIj4i6RsOB6eesPXSPRpcNSX+VbIaj8/Ayk7k QLhSV9eDSZH+ZcLbE3wPzZhccm0GRYXNv1i2Omh55CNUDivQLOXR1Mc5e5NiksAVBJeClGEZQbLM gGnTQ4KJgZyO3I1oD6NArrQ82pk83Dt6pgyEDWNJQesJdtmN+/jw7m1K0NrR+l0wOFf+uLwL60rF MOUpshOpqq+yeYsYnyg45ZZSGC4AeRlLaues9lF9JjlhuKdxGwKHzQpq4qsxYfmdsmr3iYjnHlOd tXksRrdinGgQ68pUShgnQ7s+rqfSaWXDvih6OKSrkKo1jxZ6xUDjxqvDYb3cJceTCBNF2lcwMNk+ 85FK1VK2JgJCxytxGvCHsmjI94CfrflRYLl6tC1f+OqY3EEo7ndd5ip9O28m8dO5lzV7lVn+tGVy JYvK9GpCi2/3ulGx2hxU/WoFHK/47JA9JaYJ+m5FKBYP9JvcwQ8qEwDA8Qoo4kIJMYvu72JJP2BV d2Nm+viJCnbTtJluBZb0H0E6gkZ7xdDKeRcrMvYOgVICdnyio/PW88H3UrOpKJLM47BX/nIZEJ2A mW5r76biRL25PemhG/srX3OJQkbrjTFXRxIti7MhWpIhJQ/dmfAdSN6V84vFTysgSBieZGaOk20f 5OPLvwDKV8fXHLqNEzKcw87Z2KBwODWjdt1FogELpg9Z6xmBnXKtsW+VfnSPddsT3ZL5oxCUEJGa 1NM+/RXQUNZcC5vxtUSGyect2R0Bl7LR2PrEDHbJuwNcPliNyinc5shwwf8MRraxUrWqqBiNnwAc FI/Ptoou4PciDu6G7HRKdgFOY1MxD/jifhqQr1ifwNTCgIxiWr85PFBmZY1N8tJdXoX8Jwij0hYx Qlnvx6rLrIFSL/4N5OuXLW1FhFxLAm14LTCXqLA7Csykd0bf+RVToGKXo7rKUbRli1ZdIqTPUxSb eUH6J1IT5lfC1ncHfbqon+HlIzf5Ot1ggoTo8dI1DJr/i8bMb2ETntD/12PkZSH8AeL4SqWaIvUP AyQqPk9kDggtoxbSphGYn2uSxbxXkLa0/gzORv3BwVAR+/vwSZEbhMjOnIX258RNcDIeYJ+xbp6q UH7fxQWvtHvJApXuW/uC9AJTrnCJ+f04pg0hD1d2id3SQXNNRJTUb7itLkBlF/Y9kekGL5mV6C1M TtxnfqyZOv94aPEeWd1uKeWWHtVz2x0r6UKkxOW8wJizQDFQ7tXWn+cb6Uu11FCiLBWPqvLC5a5c CAsNUyjF1UFKUDyJyLFGfXKwzoRJfMlqVmo81fb3f7dD3SzYhReUHeu0l4gRBZzIacFxm3ad43XL 5txvm2Nh3/RdS9YEdanTjpVAy9Jacjp3gwRkwIFTLfSheejtczYbyEExLNNVClipJReWREOasgMB bn3S0cLheCUlV51xK5rrpIeGuLunbvoGAy/H76PJHeFx8lGRCz1jMSoKF/J0RI4blaXhkR5XskxN CIzHMS3fiteVupEAAiwX1KhLVxcYmsWVeVQSAlrPPP/E5C+0fzGNCFKvSm0LHbwHvVuvyHlIzZhk YoAC1drMtRUAfLFu56TvbORMFrShZxHBlHxivWSWmeDSFatGIwsSzVquHQYz62OtM6AHxb4jlixg E/6S5lVTwtZ4upggy1hugYN/7MwVmQpGxloESz566YNvwxchB5tn7mk7JplmL7hVrdf29Jy1PBC3 1IS97m0o59NGiRzPYRHl1YH+P+rIIE0bjXdz+iRy2e4xXTdYgQOLenJEbUZ8d4FhkB3zD1njmkBs /O0MRY7uveAUsPLAqIaG5vvVcOZ0qgIk6Qq0+p6dihFdPfkRTfr17BSPWSRKsjMo578RHktLEbLy jCRP6GVSqxK6hIxBfixtQRUCT3VjMFblMLG0wn6V5XH5WzkINz/rp+IcjSpcOkcw4OPZk4/M4f3O Egt5a4QQbQiYWBKjWaQAZtpLwwfCNLSWvajptLO4iW73Bzd6JxsfK/2KV3yVQ7PqcUAHAueowz/n xZ78LqthKYueLyuLsBpt6aXdsj0CDCAZBeRhSuUUB/NgqLo7xn7jmSmx5iyt66brhk6WlIIQkpMD LXETEAHDeAAq0e4PvVy/Dv9JRUsOSFFJWsuVopvk5Y2TNf7PsJZ98RUPRyNgMrB1dnF9XtxtaK2v u7pbAoKczd91hWAqPFvGAcJLJVOlEQ1o6+b7Dg2Q9lQDE8Z/A3fGMHnijpFYQ/2rWaxX7w+2mVDx 6WF6/CSl94rGHpu8DqI91xrReg678Gun8yJHTeb6yKHdKoJ9kzRdc0VsbqJpCEeU6TqZZJ2MjwHc Fll7cadOTPDtFmaHxQp+pG1a19spR6n6BygDsNGf8BLBBqvJo7Wh0qpsANPs7EUs6ma+Bd1tDu0i wyAIhXaWhI3aaSF06eneXo+2Z7Ey6wUgrIYfJWCrsTpdSi7+0YXAaI9vxCoytxXLrTrxTdCwEOjg flKkgV73xFOT/X+TY8H33gLa4BVpFJvTr+y7s+naQRwaqHb7kWkyibzOHWyvLeFh/CPF3Gur/Xy9 +ug0IaexUpkQ9q888xTcONTID2mVAic4Cc9bgcJZ8hGkWjf4fsUw4QtqFp89x/f8/Wcg8TaNajhV 3QCuKOASc092TEk+oe227Cf6YrqGPYAxyu5kGjbD2FHy6IWaWTI1xJNFXIpJFnswUTF4YkLl0GG5 LvbB1kBJAxfPRD40poLQ5oMFu193LuSbcL7AiW4kRQBBefkLeFD8KAT0ro16xpJzGfq8d+j8s6Kp R0i0TsNxi0Twd27Mb//DrLKY6m5dA8DoZ1uIAdfmVXW7HmjZ+PvIgqCzVw9oPezb3mQ7KFW7P2T3 dI7yDMFdJ+t5w7ck3sJ75o55Gz+WrXwvbbFoclaMC6M/Fd6kjRJM0uMX1PKnM+o2cX3NA3OzrtKX CRVERZ+F+aWr4L0sp1GSMtUubiMBZzPKq4oJk6Q3TbBqUZsLMq4sDwIbglASgV1xvgGgP6ykAwkd ya58n7mf2NJ9BEoq0yAey5hvl6hb1PUBEjmFk2oYDC3u0bGB0Q8IMO1oCYkT6sfKyW9QjMvnXPpI ZHgpXAOH1z8/JVGdBAdIGPWvAXt9MgnmdEhSgTNXG4LUOmIZEK1P6k6pnzBN5OXDpRakNaBR3TM6 dNjvxIhrQYCbzbQhxNsv+YsePKcpZHsEdcIXST3eD6Dq1SBBzbzB+7K8Mxe1QlM84OZZ+y+Ad7DG omyeM51hyMFvzcD/kWNpIAwnMx8RwNW9MPejqkpdSjcuuhl+9UbM9OoIh2KGDOCPCdPsoRymcoNi QN2gbqdOvbJif43Ir8zWmLbA/AUHw5MuSLHXe2T3GuFGfu2io83wd/RItyklssZADyl2xm1LOxEY VqPQXK1ClSDJJsSPeusbuErlen86g8DsQ6dF+U9YGoSUT0au1dKtP051ZydijmTiVPV2HceL/8Cg qwvtmdyRGRCuMCVUnCXQw+YxR4RsRJhCaoWjNJmXoNL73ADldld5Si7Aa0Fw0KC4Igj3EzIWXMCw PDcshhGesnkXb/gb9eB804K8ethRXY8NWTS79TAt7pcY7ioSqxShzn6yv0UA2S8+LCK6BT2QiVXG 8FC18fjowhYPJeQXdCMy3k+zxH5M185M6qte9qtaSS/Dmv65LzG/61jchfrcGwie81V+s0WnpkZZ hbbfarO+U9oYOD1KjXBfphsbVVistsRj+iDvWIoM8J9/WgaIpnM8+7mFc9dw5tgeCxqsYEgVl19H 8uDjS/tBSv6HS/HEBB5iNL+SuxVvrY8Vyc2/SRStUhXTHYn6ZDahMTnKk5ca/VnCoNaoAwMD+pjm VDXuAFGXhBTIvOwFzpBfwUAq2I2cpMt4W1q6gVcDkG/+WVGbh9KHrYhr50MC5D1ZkHVtYGdGc67K uDIfcRmJzNc8fjQjpaCAy6prQQXzlVY2ZCreeiNrsYbjAyeC6YqD+GtIPvdI5CbSjdFZJ4MlChUT 1AKI6v+pmCkpOLS9p1Dy/wbV+XWRloQgNVoC2faGq4jgNnSYOyw4+cqWkx+X4PfzB4KPuNV85YCA l5tovTOfZWZxyStRdwHqIBonvoUZ1d1Xjqzl6+RESjjmwzIfPlHGVpMdyBxWIWuoRguqeslyc1WD aio99anrgKHv13FTJcCuj/tee7E2gEULTAqpPy9XZ5qgWApi7b7W+GYr6uaJSK2+irgvvI9gbLBz fZgwi/PAnMZWWkXhYmBFkZsO5wmpt41kaVX15sl8dMYu898Cehy5ew5l+qxBOuGO9Hb52PZ0Jc2U T7nBL+N8VMdEeK3lyL/JcNyXluV28cAQiG0MWb2jD2sXMWmye1VJs2WB7UEbXYZtWuPNaiDo3Cpr S6jPYK6tZkJXf6dSWi/rkDlNrdN9u53kdhVWLjunngCu2cQrrTjxIkFLDQ3TbB9lR7uymSGn7sub 3P58XfgvAebOKvp3rnra6s0996bTW7U4iHzYRful/GXgYMlsIsAMEo8MmOS05GAS5uop6Vi4Wzwr ZfK74zVCCe0PXikvXUaz31/3sj7cDmtWaWsrq7jl+z+thkgTbu5yVscwCLROEG0NgqwZD9Z4hw5e zDGpgOB8prd7Jmsw5OJyUURAwaTePVJwreiwZqNyO+3PYfdp6aGr8iVEgEA+c8uuijeoQ1DrDaHP KCOOurlmJWIv6m4mWXv1LIZ6AL+MRY+i58m2UifF2FMNfIuSTkLTDZpAbaYTzqAZ1hANQzd3qUd0 WoSGQuo5Lm+SUcoPhs73gD8ALfSvDiZqI1o+Q87G1D3h4qRs5vXLcfskshAFm3bM1oz3OSlemyKH MveQeRwQsnJtEZUSCrX+mwq+dXp4F0ZIGJCr+EWd8/D3LUkMQETJcOn93ZuLny0XjavsawQV2SeC YP14huPLpDNr5bdAVfcOXMs/ust4NEHzBKeE6hDMDS4TK3fzk8vHk7EE9LVA/loXAyO906t6OvVU E1Zqzn5v3eLg52BFBf/ZXO2hkV2c1/078T/kAIOyOWE7YAe7sAQPnllwW7Ng8XqedRDY/s2DM1An ReJuQQGBdvmB0qEamvuCEWh5MX4Zd6IbTuz/ReZLzu9sIh5DJDgKNrapJcfl4XZjRTraIrG66lZ1 csWHxXBNGjQNTEhYJrifu1ZWO3/TPOVmRe/uXZQmjs6jUu/UDoh3yQRNG4maB5fGpGHpZ1YT6rZf 82V5mdlSs/A7z8akCjL9sWNSiK2umJje/3iC6Rc0ctqQGnMXbp0AX2iAfkdwKFulU34etNOIl0Og 6NsgDppWYG6kT/xVXC2Un2wbF7NtLCV4WKUbJjTQk1dNwZjcctgSloAF1dkqmeyHhczphAG8GoqS 6Lg7CJUqS3dhSNGVCo+BznF/x03CykCY/6IYYE3SHGWk3X2o6tFHj1SPJ5S+fBzFCnz3f8cW6+eZ JisA0LHVcGVGa+ma30UGCRyNIF5/k7OqqfSTG2OIHv2nqty8bVQGF53DwtV39Hwk8htxrb1ulVxj FHhQD3gB629qDGLSlT12U14NUoQFHkNnOBiz1r4WeaJYlgn8k5TSKE9DDskagZe2YL+VuuRK7zBR 3VLamMX3n9Yge6DKA/ChJBTWwF4KEBLw33lHcQ9U2NWc35XlnezN9D29AJYfUgHMWuNtu6+fHtJe mzH+k+M15O/2DfVEaU94+dNKM9JXzjcEdu104LJ9OyyJeZmVAcE1tcTVt/+epc7EtFhiQjFePI5u FpgtmM0to5Onw/OsMDrbmC3AZNYm7Ui/aw81cvZW/iLPSbzU05lspA1cTKuu10/KB5xOeJ6PUy/5 ck5QqITLdKO3o2AxBS8hqv5SuFg2RzGMUCtl7+xvW3tcdfnAYVpTDDjCloCvij1/v4QcKWGhTnKT QgnZ0KmWCpqDBejYoMHJM9Q+MahaMuph1tg+xQiC07M97fChGlihjgnGceI+31hFIJq0lu40q8Qo pIc7vULUdlP5ctXTVdAQiT1H7UFjOQ7Htw3asD3eKA/bib2Vi1XtDmR0lCeshthuAS/UkR93s+df A3uqbWvnQFDRuApDCYS9k6AGtLISlkNy5jZ4lheFXXooz1/R02MuP8IkDdFaxqF3sKgtFVvVhzqv BItEUjAJntQsjm40B2sQeRkxYW2O26gWYlAbg6aWMtfWn2SdIEf0+uXAqkc7khTxCDxFzMVEr0hq bYxtxlBVrTtV7Nk+A0bz/23f3tx9F03etllby4Ew8yjQrMZlsHb3EDv+Ei7VITfktN7i74NtmxFB dTYG9fAW+ZgY5kz6MVNMbdQ/GzD4/498SXD08qtKSJTqEiwY0zNYhM1FJSbsUlUvE5ScFQtWtMFT 32qkmgBVsMFkXV1DS5kRmeKwv2iGD+QN648a3Kfz7sN8o/n4m9cQQhKBAFze/H2UfBNLd98yqhaa 0x7r8dbB1nxIj+c9lpr96sUHpNORcMg1MN0906eBJR/z2ShpH6EpaAScwPxkKzmvxaV+0Cht1lEv NJH+TbXNe5WWLH4aEJX9NWf86W4HfcyZNg0uOUNPSRAAHXbykOqQhkJNaiZer7jpdnthcYWoKh68 zgJee2KWVPtza1oii6A3xIbb48pbWsBdaAQPtPdf1zhSq/SgUj2dRdh4/p8Lac6GLbnPVtApPmpX rXOW28L4b7TAQqV5F8JFVnCfaoQZ3kEGa6l/LlMdXUr9jPWKERgKnCxxrHqgF1TuSGMy/tcYwhV7 3k+LJk2d+hiATvcseYuFFbajI2X32ZOdOKprN8xkG1aS2WIvDUgLlI3KJ7B844FhzIx+B/rIMH59 tPn4ndK85/kKPWnYFynOq0wAmn07ZRA4poEksYE3yYYZGw43/CEQZqeRvM28GOtQjzLinv68fd5a HC+xiwpejs13Ug1u9lBBXIoO/D792TPdNkGedE9AeRux+kO1mFMYEhRu1WND4gU1hFnllbvqKUiQ D9cb7i+zb7ta/n/CjHCqYB+9Li55Uj6V8uIL+wjH+bB+2JOq0j7rsyqeGHb8ECv3/Uu2r7CwxFsh 7ER6KFxXfwORE581Wanm87HgZz211/Z6GamMs5K3qP4mUoH4ykTOo/D5V5pFBvg4XxoIqm4sL0E3 EEqaAeigKzDl1ZdKVFz4KV1TKeahU5HB9LPAy8AEiVe+U5aiDDmhVUBPrISx3EvGsPwTGXFWxqD1 OZLzRHzgnBJEttHp6CyuufY0FDCvLj99QByeYOfSXwynrbb7ZwymWoeAiYGsquKkQK+blsutM8IO CXaGQcNQpeY7IjOK4uGEwEBy6GJpV56372QZtWAabDRrNR/4yWSoWA+M+RknQSn5RYLpKJ8r90pA qK/qhx5RH5VHhuSoCg3r6d02eZ7f+bFZuB59zQYpXNpmJ/+M2RL/j7qL2/dMbdnmRpFt9P6MKj+U yOmxQaSNNJ7aN9bnxX/hrczeZ3vzmMrf6gBKqOFAQFmSj8G48TUzykD6ebvyfKS1a713yG1Y7pPU Incum0tooRSBufutY0vhPgrOuMArhB07gW7nq/CIp/rfs3w5BQgSOO5qkUADWz6utzqYt9PKbmE4 QNIZ4ww5aCsf4j/kwBZq76aqGxGkKnqCIt8oBGbohb8Ahtpmo7xz0sIITQc34uuVDIozHe55Xmun 6titXxnnf3pwyBuzEqmJDW75KiDG9wx1Tmrk4xthy/z55KQ+nUxIxjlF3aPPbDZ5ozBoNiAnwmqT JyVHQgYIZDlxV2jeoBtFIIPyAiNUAYGOpu87r6lndN8bQeLntG4hLsBCBhEQ+COD3cMN3GgivJG5 9EIPN/fUbbdV0P3HjMqNpyUsnpZCRCuj6A4M+FZ9rKjHGnpx7LMo33Xe1YL3nSDviSopgJzfsHkO JVwAcm+LoukRsyg3cfdlSMfUesqjmByN/SVMXVYeyb0KGcEBSeXuyuyDSabOZIfoAvtrckPp+XID XGS5bGwveu756mWcwBeWZE/rSWinxFLgzSGnZq+cysyWl/JlJy7wX+ox1slekKnkCJ824OtHrfrT NrWqWvMmOsaDtgTUwpZKfI8GPnCjGF9ycVwPh8BtUNa0B4ult1GuNjsis3QiMS0kFbS544XP/FPk bNOmaojAx3Pwo/MarZcD5nKBJvq9Vct6MlLYEMJCPDCAasqbT975eUe03qH6xCd8Kp3IjhWlmkIK zblboMcKTj7Np3mOtkDG5z2Zn3YDxCnoild2+rDsQScQiMOeWH7csGWcO860t2sOxvKUvpTJTk/v erNpKo7VIJ+OPHvwhfSS+OCBLpaomJ14rArMZfBtw1rOpJVcXUW44TGTtQp6aWxaGgBxjQHK2eJf yR5HVGyA/8rXEdYNZRKGBPsZLgs4+evofI+h9GM2YcbgVH88RdfTfA7n9X74nRlrkJMypwqv/+hA NNC/rdvPYKiIIcLxZtatQEpKtEUR1VyKa5ejC0YBJSkzxjZAwe2UZ1LGTK5Xt7GxIxQod2QLYVG7 O+6IhHM1ANB11w2MP1GJmbhkvj49E0DvaDzBC6h3+q4Go73fyEVFdni2ncQhW3TjqSxSliFFbPrZ ZlVP8vosD3MvF8IYkAC1NBgm4RjBm8RxT1tS5aMIrXOP6MOaGELn2sBSoJvP7oYhJ1JodunfIsK2 fLjX2seu5AvNDRIn08H/Q2MeaeDgmS4+kz5qBZ09gPb3Jh2QmB9TfEqNcC8OxhK3o7iVggrjbF1o Fr35YXjHVGe//ka2M3kUUttMkhMMEVGVNfPwut+JF+WHvgGlafXnUVG4KvvXuneQDZvhOEWTVyk4 x+8xpEknZtZYLWP+STydPDPk4HpwxcHNljlnW+dYjjiyBGq4axNnVPuhkHL3jGspQPipzgs+wh5w CdXRzftpx+imM58Z+pj53mOhxcCV1sLbebNnHEChljhEHFFiasIcoZTOm8noguQUDWkTVBAfyXZk UJ6iEkJFqiANGLz72PWuFeLEZlkxXM5CBsuajZDtqmrjzONudhWVAaSJwRK/fycF+obBie4OgFTp IV4EsXIQEkRjObNe2SMhTU6sSx6nUF741/7tU+DFNokQpcaFsj8jkLhpgRFkIbsKczwgQY0d8ihX cS/T9xGkg15fUFl5k44/73nA/RJtfGJqNj7QO1oj88XbePajN2up4UD0OuAHfKQDUFGk0UFXBibo WaPfODHIFNZxJDZl3FoMuxl5x8NOoA01Y8PUnhInf07krP9mz1aoVczWgf684KmAHo1wLbYIZQFL jb3zbRIwRAT49fvOsgvM2pRSGxIQIKu2EnORK9yqopmVtp5x5nUfWrpYjZJ7BUMlYeU4gQoF+4LQ fiGYoYcVB1HBCenhEALpBtMJmYIuN9DZclZehsrS/h+ut8r7CxTMFufd6Y1cmYdq6NDXja3zTlUn Sn7FZ6378IjhvctyTaMCVSIcjlbI757hDVOtAmQ1IxufxjUDYdNvwthwyIWpC9UbwnF2F0rTMsh8 Q+I5ASBkyp192ZfsKqR1augVWvnAMAHQzYcb1e3vEh255h4y2MyulwFGnt8ZiJ4t5A4Zx0JwDwi0 3kmQqm6JfFjuoLXRnSybrLESnjIfZwjluPCI9nKBVVJBYj7S1+C+YFEjxdZ+rGI2xNNZ0R6Uc+f0 b8c2GO7TOLHnXvTUMd/cCNfVro9TMWD4V9akEdhOMyGSorGXyuT7rMFgJiTzy3px31HkIiagAbJd RvfkONuxcE8+UXRtqy5dn8iimjNIcbhKDCDeOIEeg/NMLXx5u/4tWYWMKG6KqVfCmct07PzEgyLb sJv+PzoehwNLqY0bmvZ98+km7l2dpIQLyhcn2uQwiG6hpuUQo9FwyUPvyrc/rS5d95Nqo/DKIeEf 9FUJRXU1s4bu2sI7QyxJA96M1526Xp5QoPft03dm0Fno5fsmEbLOneRpjoTND7NOCSHLRjJCBw9x V9MiQfHyk97cYgzIl1V9AZzE7QLmvowEvRks2v4pmxv1P/XdeKKgia9Kc5zb7l+PkuPp/7POF2oN vKl47SlUtoeURBEIuc+zh/DELtyB2ud9K6qHS63ttOgNKPks3ZCqEaMFF1gd8P65jfp3EkYWZcXP GsTtiCpz4bcLJ2NPfpfK0lCWmgKRspi09sxcdC4hjEUacA/7Gtcsn+US40H5NY0zJaTSmeZ7oJpd IAq3SdpM/fBfYV1NonCKPirbCHCDfRhZ4ffLYC//EByVlcnjUvjKEfqOOO9dG2bBNu3HPxTZ2pXO 5a4zMAWgBEjjg/K99NPY42wn2R6OmoGmOXs7kVWlFN3gUM84/zA3CTzj+iuIUpvS2PIJ1CGPfc0H ef8VDUtzfgsbnJPORxFlXL7dtKtIjIHLLy/EjVn0MTEG6HICVd9D6EMm5IHfa3igC74Q8Spn51WE fYFT7co9FTIy/8CQql7oa/vF/qe22QSAi0N9jWprGtzuPz+RaUHlb10/sBOr2lK6VOU1EJaFfncz K7bH6OmhEioarVLaj9BDNJc6TRVt3TUZ3voydkApw/50MBcY97qULt1E3NmWnAmjy64YDMbofGEj qjToU/s/arjOQJBgmq55SqMpo1vujCSTwwnReZUnnfgVhdnLudwD5tUmIzb2kibl7EvgsxIcm5m3 CPmJSUzU8iEqNlolr5iWFTmJdWlBgHr2zMKX4Hds4GdfTM72sVZNVLwgAP3TwHQF4D7baA+u6DLA rSRlEPh4QFQroN6ZFQ2MYDB8ssjbiPTmLZzSuRpXBfZWWaN5WBmWnELsTVrE2KcwiFnNDlCnBK9W Qmgosh5yXKLpTKQiLGXfz6Mq9v6M0imlVKpq/Fo+mEKgL8Cs/6k0xasr9txva2h7eS0R5PCGJLew 6ryQNEb7kZSd0dkpdm65GsXrCsEtf0GR18oeePjMspiNEuAon2cgPQxg4Ym/pY+IHEjH8jAGlCqf CXOw3BUleEeOpkP5KMXP7s07QvBJPX6lrfwlFJuLX6mV1Gv8u1ezZmTt4XrFN1JUJj8GEre+Il4E el0MyNzO8hWdX7+gIy4nZvH5W5n3v5j16fWS4vyQDbX3/wqKmOjwi7C4OqmTvdstMw4qRQJNQ44D Pr0/N1YFF+Zr3fzBg9nhXlFd+I+ME9jm/avgSCM1ZMrTyTF+ichwx/O6xTjRzSFNYx5BkFrrnL68 DaMnziT8GoaGgWOkbDj1Q1YHVDR8CwmrfXEbzfGocKckodl/RXDR10kcC8yHaSTKqGu1jfsJW0bB cyJ0nzJw/+nzRYWkpCMBNc24qKMU69DOSEMh1Temi7yv9YVc3lNsaon8jDkBEdjKYsmGPfI9myEo U8Vl5dGyYDqZMyXLjvyEVDwQMB6z00mV4tWTW7cFUWE3NHvcxi/TtO/NQ/9ot2lwXADq90fbILh2 CSHxGbpTWd91TLzUqKo8AmYIkSiIe4gXBxY5dYM75I+9b7R/tkdff2INfHEL7m3D+n4nyH5U1TMx d1wLRvgRPTyVyJQd7kPLPdSU1aKmYffGmZr8JH15vdWkjGp1eE5+JLG00q2idEfO5uiRFIfIt3X3 GYBgdGWCbdcfXLh6w6onB3nRoi6nu0RYGT2UY1qhLH0Zi7ApQrJbarlvpsQCa9UMf3OYvZz5l/D1 5H+nzwD6n75h7sbzErZAX8liZ09Z0HXDqjnlhNWfX8q2/saxA+MfYXYl4eQO9e+iU/AGgGCkBNPA ppFCMqDowpcvBlsgAKon7P6LIyt8rVzAut78ttxN+8Rj75ZXJgO+tUDz94WbL2jqznl2Cr7F7Gog LObtmZZDnFjUY1pjR6MHTipCtKmb7cUGkT03i9P5OuulO71ftzQsMz9iAIXiZASFZqgfYZ/g3Pog crt+xDx1ezDtq1I+Xqt2mbBD4DfOGwbRDniz2ax1WMlIEK+Mz19nTHOudGFrOqJ7whWaCB1vVa5c RejxHMfF/CdXs/cii3ayRNz7APyHXVwb/X5dfv7G3T+17R3J5eunmLmbIOk0LL0zziheOZV1imKS 7sNnbAUJneCMOY79wgSHHLQ7mwADUJ4f8HJAAxXjL1/J2ZdzGOOiYdcPQXHH/3srTpUYIniLj8RI BI7D1DZ3joXap6TQunvPGOUvpPHtRNGQNHV7YmAIC7dBJwjLjBoz/ONQUCJ9u2sfg/G7w+QAGWEJ gQN6z3x6YRtkcSChPhToFokr477cs4gkpe+8GzkmWVP80v8iBqtUXOyrc6I4wDJ/ZMuCZNwIRhl7 6aFno50kb859GOiHnqQ/Cgs/nnyKQnsZQHKVA/mAeYzU4mDMcPxatFbFjeIaDQkcTJ5gKR05io77 HCh3kOx5lgU3JmkiTrh7l8yEh/METPLpeNQcjcWNvU2Khj+gRBAyV2fDU0rbRZijbFRSBdcZN9iU ovrj/+iR1OyQpN7IVNokVeWwhIGLLc4rtoue3MGyj8xNPEMGBzXL5w06kv2Dhq125T7w9DLobsYh rS5RAXSP3zoBmyhUml8wvAgMrNx0oVHHvkd7HxGuECN1rEzOUOGC+U1AgA6uvQ1ajD9IG7KEQFy8 LxBk/3jWl6c1Y6p956YCBz1sGvQj1+HBYtH0JhRW9uIexpVejqji6eyD+BDuG0Sldd+eobHfsFXn 3+PQTkOmYVv/AlzuaGf/UyiIHU90V3eAfedmA2dY/GHBiaK/UFLMBEW8hZK5dveOLwEhmhpxcvYO dRmTTbw3AxSWnzVzEpIXuF9/haUXMK/d3wDxtvD+13URb7NqMDNpPNSZtg0Xa3gnIAqchoXvNDPF ro+AkEyvsh7OxAK1o1Hy8ubmzp3nuaT+6ydsHh3/2+QtVu6vPk8zAOeerGh2EW6Tqp0NDbSsilan 6I5bI5G/LjETv/Y1byc9H3jqhjaDJ4OXxBQnxQ0pYmQLpxhZ7H64GkCSNV926v6DUE9dnsGZ1Ug2 +tih3f60DXSHpJvOETo7DhUGE94WUTp87IZKYCKsjtvahVHuKp7iDJ8xzh2qQgyEtMpV9VpiwY0i 7eU0MNSOd2/OXHlKsRVwr33/BnW9MPQISp513JKwnSlaPRQrS3dWmHFFXZGMsV9R5ZbxxuxIkNRC DwOYpKCDPZhps07yP+zBvrF4if4iATDb4CAvZzvGK4DgNwHe27NEvhPSThcYXFRws+7Wv3Oqv3ay rdvGp12OtvbqUjqRtJc+V0+/i95wRetMX8g07LIf6GYPCD6heVL/2zOcUeM5UAfGfhSzNUhmGcq7 8T79bJtuitqWrBpp8YqGb1t/N8X3O5/b3TLC5NxgSOGVb/2LWiIeX9NwOB4l8nwhYhgMeJSEcMLT gR17L8bWatzmBBDEYCSTPHclFbu9qvOFKHL+XDBmvyAaxeL5oMlUZN0YBWgdLAR3omN3WBcJnCul 1uUjrk972WAfa1oMXMKXzgP2UstlL69X737xa9cry/m5rZPF5aF87u6bg2MOAjC3wqCiFsBj08Iu C3X40IijuZ8OpAwHiTW70xZ3X2EQry5qnv9HUx77pjfBcuorL2n5hDhPNAv3gmAfHhGpSKnHLH22 PaqNnRM5H29WiDlQsathrAyV9sKWFPWxFbv9ZnkTHl3Z5PVDp/Ui8GqtpZ0mygyYHSsEiWkYie09 ptKWySPAUwoGCxidQ/geYt977PG8kvS713F9HCIpSo4H6rHnMwvNUGSZcZAFS48D/pZZdo/rt28B yqNL9sA6/+xrVny3ecStomYcnwpW5kO5x9/mmH2CnGzrSH43/XhH+lPSLQJ3BKbcZMrpNM61MNbc ofITYbUOPHtocJmryhw8j2KJ2jR9iANirXEWrc4bxLEHaC2paclzzC7PWa3sm3W5BCBnj3ewChlc z/YcoCROaTggwbuV8zAfIpEdUQBr/Jhvcyv4V+v2d+8UG/nBT7oyOWVNhFT6UT2jSe8mp7QULNUf iR8U9QWdts/rJGlIBNHgSxV5eFtFOCP1EVepfOWNLwOT+g4h0G+2PNdY7D7TzyCN3685wOEGaYqK X4aPN0L/Ndqmfxoxr3oTCeK7J7+o4311SeEG75ZHFus3yYQgjS8XEQPt4sY1rw71mTCA7gG8MoEW v1ZbrDva6dnxoKtJFnnhskoD6icf3aVNpr7nVhfTtLHiMxkCKTFSZJTgnv7ejupTBxCO5xXElmPd ZQ6yxZ8Jj927xJj547Sr5Y4PjRimaJ+QbFFuFiRDHptQ0G7Hp6U7ekE8pa93mTbcBAtuzr/i30h5 lZYMXRnYmF19+h42obKVIX7x2M7zMZbovKzfyddQfqnF6/V7OvheGcVAcS01xUiQ99h6+PaFnxO9 onUUp93+DkN3VmDv+1btVCuTbHWjTriRafMf/0hZ2SKIc/DJHrY4hoUKIGEW2WQQ6QqXqu+rjfG4 /NMbUslR5SWh3wGwFp6NQ3Dm6urAkVOigiRqrrPYMrr7zV/QFkq+ltIRR7EcHela9iiDf2bqGRPq Rh2c7XjngkgeQkQ+rLAr8/Pfl6jc55dPPJJCvvC/gYZEqIeaMMfLrxDlOVFTL5lay1yVOPfq/RuI aG3UkNe4vSHszieDoMruvkegqijgjGNpmDG9KTZSphVFKbWaLPNevjqZ0rYZUecJ9iNMKwFzRGZW Ky+QReiZKU91PJ7MqvNUyyt+eqoU9CfAgIKNbGMY89atWx3yotwT28BXfAr21k2Mu8lDyv6NB94p THxMSuLDQrqYiwqJbJ5pxcnLmGNNhoVZovfHRqI7zcxLQx0pbQCGjoqAP9bR/Tul3drvfPXlMdKf 9yzPhuEWc6oOcXWGDFPxl3gItlAVNALbXfaWImM3zrIqGK1jWL7C4N4OCBj3Bj3DS2/Bv3GJQwPV TIx7DwVBYXGafdiW3h6iUJcao1N2HxgXHrRvMoeab1eucqi9Sso7jvk6oWJmS4Pw5q01pTR0bKsJ /lS+RhrZ5HUuNzx71EhoRXgOiEFnfqXCOcq4tUtPYDOT+l8F0kujMja2FhPGkx/gg6qtWt15krUB nQ2Ek7VAN7uVX5rbdVE7xKLoNsx2Nr5x3MwQolZhYrc193mBo+e9+gzJU8PZc7emc3bohyTr7k7d LdX3EllEA/swkXXJ8XrKu798Sk8/XfLw4YBXnws4fua/LzZVvUq5eLnSfMNXSHIBdPGNhDZaGEBQ ssw8KNNCfU7Vc0ljTamJmqFWzu/qLROn5LV+94LmbKvywovotjKejEv8caXc0X+2L1lFas25YFjC vPYPe2vpej5wH04dBgPd8IO0PzAXcEDF+4syCzqykFjQ2LUZDJ++B6AeKND6G1NuJnypwRnJgVtC chH149EIiguBh5PwBIWHYObVWccwPKlQ/NZaHpQ2jd3ihmk5Qu6ohRQOVRan8MRuFk3HQ1xqX8K3 lH1UC0ouGvJ4EelGMahROGl4rd0cAv9jQbxW3Atu+sF3DhaaEBYIuXujru5HJRYwmhEBM97Qwh8V 1T5b5REIsW/zSUT4paQG/Wc3nt28uZ2Jtv9hrqYE6duIuh1WZN3YOd1Y1ZpQjKHztn2SyLbZPQYI h0ydTcX+zZzWGwYRDwPvQuCXVMZz/GwEe2YcVwi/MXLpU7LKWsnqWQd7qNCoqry143yarrBukYOv Dbcey4SegNOPP218wb9zlqhJ//CgKCuOxdvdvLJxJqB/FfdOUlmalASWqgMB7e9+HQX5mRnd9U9i SEB+xorPUEeK6GMQqQzlvgxGA607L1cGFijH6YUZbOM3CnJAZ5vBNfZA9zj05stCEWtRHv4JMIXw GPo2q/50A+bXkC37XIg1eE11HgfFA/5qSriPddTXxLih31GS35ZYL7sX3aI+Rgl1HpUcnBXBXBgq wZMPQk6lgqtqIBpqCwRyb1qhkMoo5/nThyTztDb28ud2z/RISfl3qu4puZS4BK6yqZvfiB9uHgqh XWAGt/UQi0+7oMluRecaeXatTPHCLWZj8a1DPt7r/hZ2awM7zNapdDH//csC6KLDxXYmNfGhCF71 B9T5d0FcFLuAHGUlrU01wIWS5Lo0fIr3V8H8zrA1YZBQFtAQwAGkNQsUkCEKxxKyr72UYaACTz3S 5QVsRaaPxl0uTKP342bhMl7W8Skhc5YEvinJvzzfr0ik1uhnkSVd3ypeNDNHpD5UoaWkolKO6MmG BDnlPhGy6cmX7He3gMtI5UX8fzceIrjFiqtAKsCGtk2eSTHw+Utyl4Yjh51vV3H2BwLprsAiuD2P h5lxbfN/M83ND8mf35T9+9IkhkJDxHUmBUZ6DQKicl5hb3exNOuSKQGRIQ/xlC0W0/92/iy4i5LI x4KoQGBvY/ZiQy9XHz+jq9StwIXj0v0kcL94wAnpHQqt3o4Xsk5rGbJ+DuMKYhm5b/yN+w+dVV41 6YOurN9RdQrBL9dz6lhBzi9ci/xUpulrtUv0e7t3FfikZ0r6z+8noRa+97EKEX/WxpyizYvu8xnC zHpuKZZzHhZIf44OnBlWUQkLhkqiwHgE6NrHBbrxAY4GRbbRSwInLaUSgFfyIYf0FOJ/B2KaT1YV PtY7QoUbB8cWlRXaIZ74EvV/6htc/8Y3CTwusHTG69dLWXBshHoyjSzt+7YNssrqWZP1uODePuyo tL9P8xcHFG+JzT7yVCgpiOgJoRTX0+/BLGRL9SzQcrqadfJU0mEKeN551inPc+ueKelyJAYYx20s 22IftT0mbUqjLeiCeLz1yCsQgHHB3f1DReY5yMbBDZHYwP1xAdCMQZIEjBqIv3acsrxJbApZCTPB zboxA1HLOBBB+KW+Ft212J6HReK0dx28fXJCKseYVSrP9YuL94B8QZc41CdnIl+ASqB2aBX/W0UL l6qDBD5mxVjucZTcQodDMkMODhEIjj19TkKxDMwjwz1Qs3I9rqMwbr2pCHHEzteq0MNAEWOZccoa Ix2ae0HIVpx5twWL01xvJQqRLBTTyF8qRnOR72cA8OTuXVcXnyUk3rfsJcbAm+FriNyfs2Mt+lkP fuzLqGoOj/6pFVxqGYQdt8GU8OAx8ftsScEEsoFVMvERFE47b1+5W43S5oSoQN2Macvp20xUQqvc tQMlzZgvUknLtpVAYX6+XGEzHYemN0GaQ/Fi7u45JMyKiJXG1AKw2NFsDNXQDRvKIm+IJgyyKfzy 5tvinDyMFjf2OmFHmPJ44CiBynm6RrBa2sbemu9FbzY17Y26hw0b979vJOM1/++sYGAMs2j1aad5 vyj3BucGizCVGsy/Hr0cSewp7nkYdBMXpLIi6m5hMZutcmvikCRZHyd03hAOOW6lNuIoymt/JL8P Nm4JjUMr3fgNrzvqLvNWV7pjKINqSFRguQ3LbpCFAhVRsQu5fCEOOABkO9crIfeCrK+GlyraJPLp k1v8J7O1HBpX0KD+DDrySIgDWzZgR1bMrGioGWgwbJ6Q6x2/PZo5TAvRsIYITWVdiHMXsEmX79IO 6jRpumJ4KyyNr4GNwBzhYwdKSdsdPttHyjzbVTj2l5kwToLQspbFg5ZAnR4YmmZn5CCM2gvXKg9h zbms7qRvtDWgrmyeXcVKK/DPytPLQddoo8xJiCI2RKsH2vqKI1cclTiOiFL2glFUZAsT4NHOyHp3 JAPKjD5H9yAG+6L4RK1EIymV+oO96fDqbGFpsOr2T0loJYz3lYInjsRQx4lm1ktbPN+MwGAuiLcD kR9KMnzCsV1q6KoEw4THBvAtvAjCFY6P22Ijg5Jfk2GdCSLHS7Psk3uVAWfNHMqBmhnIV7cQ/ddC O7PGqzXTjGM7u8U+vCYZ0FjT1IwsibG5Vplkly3vQVH6AdBsdCwpqnZh7zXEmRBkgnq8E6whZAc4 +EHKv3f8XZYdZtVgXnhu+PzVOg098kdm0N7CAtRMyygOFLqkntrwMXkNQ5+qOlepcYp0BnBRZ0v5 d/bRYko14Fp/JABAgib/R/1q4UkY6ifp8Gsk98L1A32TsBsnEap0AqQfO7FgIjsI0m/TJqycaM/A r7NWQ0KEaNQDi/g8W7ddJiO9qm8kY70hG40JYLxodneS+O/pfyFlTXU2XAEOHGm3mJOU8wxqmK2R OxsclHasVQLGcC+NNPcRJ6L1a7OiF/RVXYJb2ShqIGp/or660G04rwURXCuE7FO5DttNiAoxU9XF Ysx0WaDldmwVeKR/ePyMajmMNgsIzrW2zb9T9BG4D+QndI0Ab/Bsx9spPDN6dAVflkcTcPzwoWaJ bA6B+maKFRkkLbr7Z4gvTUG/UxlCK8acSM7q9jjZO7iITGN/gfXtyUJ5wxGINZ21W8l4IrruSJlv NjCFwyf8vlvv4q5c2ZViK0c2eD3pyuMk7wlTSqqB4Np6sTXNC+q2l/kCDoWIteFCgfJ7z0q9qbqT FZp7QrSFS3oE0geNxkRvYjJw108oi1CP5BznU6zTMI4bbpq/1er8fxTCVw3gZ6GcXeAgQSo2tKpp 2lXmO1/CTeP2SvM3AXkKaCXSEzImFLZ7VqWsUYwsdTg4n1FB6JTqdjT0deaz4/HiYsAofv42nuEW 5h5T8SDg6HdVD9DdVD+SYEkstX7HsL6kbuIRBG/zAEOq8hrprlDBNFw5nu44O/qePO4kOVBsNFac yAWdBb9UxeHgIaZB+Ucmj0+mPvY7fNAr3EAPBq2sBfGDl+WFS9ZDfRD9/O2iqxx57ijIQFrxVXMv 1yBnTHU9WKFdZ/4Yg08WtGef24BJZBcv+xjg8fV4n2xDHpVa0dE7atfS9C/+yjkV3D7aUdYHBy31 JM+9Ud5nQbEx6vNFlnWKJITSy0giJI15/+PQQMLCnf6kRWe+wzfSgdHmnJRWr2AOgBcF6VhG6tVF 4a/p7D+rTMSf4AVeTG8f9BonGpM66iNFwPSvORYkwpbzksSmAmhYKgqrdfHfTpbLD+G9OBvXHocQ PoBcZK9ft5KScpkdsch+Raf2gm/brmlMbAu+9h4SJBB1Dnr8RRoBVPhuP4/5DNaNr//ryh6Mgsm9 u85sXGIPXdj1VCfpu1aAL0PbD3FA6S9HbrEFA/CLEZbMED3kal4RePYHZhIovtGlqhRPavfatoez LPUV32sQXN7omowM25pvvn46RRpFXn2nbQpn1gqTPYl9UFt9NdyWXzPAUPO8Ln2z3m3Poa4H/Zo/ 6Uacqh0R1d5gIpoAach94wgL+BxAiKf5q9yPxqxlTXkJpEZe8PLwBNPf97Bdt9j9eeQGMMN47bGX qofTkRyYWT8lzP1qKl58zV4b5iuCeCnIZp+/tCx9EMFRrzFUHli/HViXKQKulQ5uGjrLgfiDMlfL kWXHncxnmSMbHrnEiD6+dUO1STG/tm9+wV2PIyINh2jAgIB5r9dPYT1POVIYr/ci8hifPBF2ZoHd /+MbD+7DfQIf3QtvrAOcnd3ZEH8Fu/s8Wfzh9vXxWE+r5XlA45NVF7Lr2UrS0z+42hZIU9y2k/+h rl76p/rK7Mp354jY66/HsStiwBI9UyBQfOJJ3wpjGDO+6LuOn0wHSyYwVXTBFErZ94eDOXRmTxrF Tur/+96pOmaGEM22XnP2+R6EuwU+pzF40yuqzrRg+d5cmefuT9qaYVLgmvtQ5LKTRfpSNJyNpneD iqQICkNPURZqdqXBvGT47UumkqCVejxupuwBMycwZyqOtzVsJ97Dxs457WTdkIMnGI68GKeU2eqV aWpyDF/EcKja38DfPa9eLvyRa2bpEsI5Lf50FSPWtf8jtn0qBOj+7fD196OlrxzG5HnDTU25k1tF rKX2HpZpwbTFW2UoDkzNo6wasU4dsTk0q0Draw0qJuZCBq8A87x05YQbVLfBEGo+miv4SCylXXtS zmDXg3kpGAXUpmZkcCaFK9OmNAB5Mt7y3K6cUFWJWkToNPZ0/CK3VGM+o0y0uowzqqgV7xij2xnm uDAnlHqsbKZqUfvBB9oaiqvjIFLAMDXOTrR1p3EEKEk0sGmC9iAe40jr9fxracvTGtAaWrGMakiE kO/bLYqY1WyBZEuNmn94hm4LBp/90wc0dCgrdNxjVmDOrwX5Ks+pJVlZ8FOTDHGYTlRdppqWVn4A 0ArHwpEl8d5BdXsqxLxMG9EuSfRrHGtBVSyJCpKeJaR0woUm8d1NTP7/2Bw0ovoEYCh9crpMkP5w CzEwWXMM+Z2N/eM3YCj1DqByo4H7uoNdP/GfcJnbuTlKSHpo9/hy0jfh6nb8TucE/Y/DNf7X2pGr 8msVCmEwXj5Gr4H6cYJ8oQKLOECdntJ3H/1FSoi54FkHgQYa5CPQWstDXcK0r1InvrfQHRjkdJbd 293i75wcmUbZY9hCwXVmW4CY5Cgyx5+nBTXS96RRTfO6XChcYnmh35vhffzxzQ/LBo0J8UkvbW2k 3AzpaploI6fmrB76IN5p70iDLE21Ca0eDxZ9uQIZIB2W1bpzAvbC6HjRN9PMLKtWY0CBs2wfEwDR uE608VKTHygJ7LGu1mgER/gT2YVpM/v7P+suUfmWE74QEZXl44wRCNgBZBNmlmzsJZiRMSh0/OU7 q//3PlcqX+oQTcVFuT/AkU40VldZk3kLrFrzvEQH/mlpjvDFE0F/50X2NVvYTfRqsQmVPzxv/V8F jrf4n/GOkv46VDtS9lyCQCHvnX94Ao76xwa7MHYWiFlrDF70q9Kw99ZH/l3ebns4/kyjPYoxQ8T5 hG/tMcJ3/7EL9nsqiflikjyyxG0FBdJ43Pu+xA4l32nfXdTm5tjlNmsz9cMs0JkgxO7M8LNuDwjF 6GF2QMSWHlwZejQTxXVCbm/W1aNxKHCoB/TZJMwH1XNkmQ0iODf1yaFUmIRiEBYLJ6+Howz09XNA oHksqSoV9P57hoNe7RMnrEfauYqvEh77J/dJBOZah/3bVnithecXxjht7vsQ09djyoKvtgkDs8g3 6a4ow+vy2G8+vhuTH4OZuv5nCGbFWwX6/8GPGMMZqCfUFJ+H76Y3rIap6nui6BZB+j1QlOP9GT6B R8JHYCxK/UW5+gQaMpRNJim+XI+SeAnvlwQ8+GrpenET0eZ+7BuPL6fknlaYres8NWPswiB/NR2k F1N/VQN2u3eVobnZhwPFnhcpOwJBDb6Va0RuA1bNeSlB2IkFeJhpaI+tRHu5Hv2Ia+0aJn2K2dbs Pz6FHaLJmoEl2yj8fqrwMAaMkaw58IvgO3cnunIvKYTlQZb1rcV5ujpFWoosGVXDgGbzxf69SlrY yWNorfsFOrmHro37/VyBXA6CrU/3RUY+M3SMWYJalfs3cmzsWkpySjudQxlRiMPf2uVywKPKA+F6 4wc4/u8dS7WuC4NCTA4BSCcxQXIfOhI9e0beFSgq+eL/j3jgbXw135rsJF2tphO8ertSeDOs/366 1JLdc2rzuGPZaxZCTc/JfTvwAMGGLxj6zNNSTp5H/qgm4/X7kDLOn2bfi0g0qE25nVr8nyvtD38w HV0bJV/zJVwGO2ECEmHCqk0QF88n5r2lpo9Q1bOOrRqqe5eWhpn8nZ+JjOThnj4RPFfuHFEFBdH/ kbImcmaXsnqgb0jcFY1vEBOzkfEuGWHhE0enViuUQQ7YJoGknmHV6APIgWEAu4O0KeJEAvvdg8AI 9wp2FzW1eIaD6gTRrDDkbWmWaWErIagE5J4/qpQvDOvcp1dAariIInKKr3aLjfSvELQ0z+NOkSP3 XgZ+ZpakAs/wTONqSkRqF3l4FYuAsqP5HCvincAVVnaCgQfPg1VFv5Dg4WRHnfCnzQqwstqWjQGN AA1p7t1yUlU1LxL5wRPrIkJv8XotUay2b/vFFU8Skwi0sTnPSX8/BN0dWW5XJ9OV0ZzaqbYEzTEW +PtsJmt/FR8ji8cxZnnFXkvglU6ujzL4hw6uPj/W+m8SdBjhsKils03HM9edbK/mQntPt/DyEJ9M /q6TmclpuMX72HEudJwurCW/1HNs2M/gQO9i3snbDr2WYZe2m5hRZajOA9v4CQOGOS0ukCHxYmn8 MPIJ3aPojkWU8nJhXf9oRXhk8BS5iAWg1fIXNEoAQRnKQuq94JAsmGfdlHzKGdLimEz3vSsqmR1n WkIaVxi4REDdo5+RxVpazqU7cbYnyBHVslpvg+UonOnHCFlg0CDuduY6pWH4baGUxkj60NnvYw6I 9ax20xjuc5pk9bt3rW/ykqPAc2ykgfRPg5J1KQnalCGoU4Mt6qqk52CPbWZZX0Ty6B5jmoNN+Qn6 0SaWzowNYWosu9y/mVCwRhgcBd7ZO88bMcSHDTYkpF1YNEHGAl7yCD5qdlQ3dcu5MRjYYPKqLUD7 YYZZdc0rMUbAo1F77lpbaBphtW3yiszLmuCJYR9NNKUxNCOyVVWYSr+aoarrtW6Yq1uFDev2CIQV +YzXEC91pjnHDkyelphWv/p4q7weil/C/oQurkrGJWnxe437+3bXUSDY2JynwooFSXXRPexbtOOt f289vPTkZFFYPFAYftMAqql5LH5l2JXwfja+OF/HHSSazSeY7E7Q8E2jeEAYMpp86rxji4FYMqYI DXmeB37oT/l4NrdWnfPeXs1YiOTy0gth0WY2R5kr/Devpfey9lXKVOaa78EYXl6ocWxUESD336gq KK+aJJeaNZI1yB9x/db3Ayh149J/XS1gye5CJPwH0kYBFxTdCVBVbIoHzegRCKORxVfdNaSxG52n cHHU9nPubFe07IU1fhZlpFUFICswOtEH77FtrW/44qoU84ksfb3JqsIAJA+MsTkotmki1mxWixtA iyitP5xgaRZ6yaBpWnZHnJeZEXUD0Dc33luOq002ZDvgjChvpoyMySA3meKsGGoe0o2SCztM90vd BJQu2z+7iIiQpFuFON/oHQtCzTCrHe23aACH/a/CXUhXHMap72kZjdtMl1ydy143cbjup0tEe177 J1P+tT3B6ZKriPpdqcPQDLb6ekifEIDvlcWM4tv2YX2nT+MyGa+D0eWKhv8s+80GKXHFsfw/8pJ/ /oHTUaxI6dPpCG9THDzrRRkmCWSgYXrQJVhyteL6mUGOUpbHNTjenPiZ4r8s2xH0Hcx7JfBfPB56 2X48OfnxxbypYtMr5I76ZkaPDNwyL7EFr7agPOLqNDEfnhv1RqnwWRJvamDV89ePdGTr5Ld3orPC nW1JmYI/BmxZ7XppJlDh5XDgXP4quilEiVKfppxCl2mvKgisAxtpkfNJW+7flx4SKyvoflxirPVn T+li4aSllJMph9yb6AvVjJkWactadqLqu2Gn5P+dnIs9BsXPLZqZ0kxaDVLjuUbnL9gMbtjac2Gd hrhC4JWruVft8VkgTjOR3VHq4kAr/Oi3KAqGsvw2hm8nXa2vrL0j5c5wXq8iaC5koVvpTTpMsmSQ gVuPoDyD0Bti8Mm/BYay11GeCZTSAqfaEFno2C5aQJtbKx/3+uv0Oi14QLpNI9g6boe733L5V2Eb Kuo5nj5rg03N5f3S7Cl4g3+x3ksLt+k2IxrYLrX43WgaFvf0CJzuZhmFcTAup9cNQbZBjqXgMs/N 9oFqn0PwrE/FfjlMx4g09wf8FmwQBLeVi7u/z6UMPe5thgDPUADtSI0TO20hitq9an3xpiRruWxn F2KG0jJeBdSrYO52fMtp4SB1sav/AJTcAmbQMo86Xg3rWO57ZynZnGiPvgq5mwaE/G8msuZ/3RwZ 9Qc04d/TZBeXHkWibhME6GEet4iXJBJmIWfZ/gUqfVcRbq/8U9Or1d9fe6AT+/1lj07MMpYIhnIA DCcKb5gZDweEQoZ27iVuzFpRiNN9wy1DddHwSIkd+pLxL9ITJeSZVa3HQxrjRaLecJv7yjS1qSfR V3AB3N0Wcu2xFO8TdZbk5UabtVhfR8Jrrfi8BBW5MXi80LB6aO6uxB58mYLjrvMd+aNB5Z4hE2rb p6cXq5IWCOOJIauK+QMKwhnfuC66WtBvec9oF2soGvVlcscvo/jssUsSApR2jS1CrJCCLJthmGn3 QJCyo2enN9Fr7c9wI7dpybA8D2GL9V3zXe6ykFhXSe/MPGtJjNs/EizMnQyPagcF/B2/lgQj58qx AtX9+hjxlaZnKQFA2RnFfMrt0GhBz8MIC2E+397lkGK+Iia3gYRzsJPACpiOGh2W/tFLMsdwloZw p5nPzeJpoNoLIJGTXC4PCh4NibLeBAzHgpRv336IXLEXtQXJT2ym9TL12uPhflor9DfsKw0DqYOP zgjp341mVp4He0XNoYlCacLc+OsP9WXXlIqcldZDXaksE11ikac0c6DH7+g8QjC9POVfPpP8N+K7 DUKp6C/oCYeARJDH0KWhvd4Hg+wser3eRfxOIeUKxPqyjoatIAKVV+ZPsmbg/V98Vgu0+VIEYqXi B1V7s1a8Y1KiVVeaJ4SsPKVF303R/BLpGiVrL9qPr3IV4jK8s/3tTQbJoKFp3/FK0177XuxM9rkR nSaxE+2A24+yUGaW9ETcvyffO/pHgIg29V0mJxmsYCh6YivQumz707WSRDnDXJRLkx3p3vKiuhQe agJBHpS7EUIR4lWdhYVXZ03/ubLV6C7SeKEEYAAfpoTJbUiQ3q2f68KVPt3hNIBKnvY0zvwF9vVn +rcdjuoewkrQ4STQgDnmH+/H69maMY0A/18Rm25olZ3TxECclqTjotxoYn5pBwzcojYjRZIzBeIQ O47YuokEJCSALi7nd6rmlADiNsDE6VXEeodPzXkN7F9nJGs/1Wye7vVQcuobJJyaTIjr9Y+HFgdu O019tY1xRJPnwXUDH8wFN+1dr9Pbo5ZY0GjSET0O+ocOP+UaL13Sx49qjVjatIJb83XOCpQcZSYB Rnx+AqGCOokTxW4fH0d40yrBb9rCbIa6aIKO4D7wVP1ZEsYgYZjgpCQ3mtVoKTW3D98a4sy4m4NT gfin3YMzmAZsozNNrNOiZzy1brjT4r+8BONJqLt+iTaj6bzbtuRqwlBdGlbKDEqLAudLw590RIF8 zi5A4Nzb3F5urPBOAWagqIOle6PuG5XfZ8X0+TYgCOReYR7ROYZPNFXmyeXlAacx3zzO8njG9bCc 6rFYNxs6N59wc0Pu6VeytOmTC4tU59va+/FS+/S75MU+yJX/S9DOr6rsEYypVEvdWITaQW1f8+e5 VQY9fepJmg0De5shDe4osE/lPXQS2w/pNcw0cctR1fvi2jFtASdSnxdxjHv7V/d3KIq5ZVUjBlNo xmqOzskZDAMsaUtb5A8QM5M54Dnni3iTGfpWXo1s0b3WtMZr270QyihJVDjta7A/CzTHYvKKxBuq psvLCwJ7lFfHqDe549YgIoCiQvOI7wGhxENuep1YIBnC2iILmx6wQz14eA1/9ed/bhZPV3Wc4jaf Yu6ysoFx7NzIRql5lx3Urxho4VDH8U7Fjz720PIidCPabPdBC7k29A1Yri2P/PGbOA9wmEVvVp2n sYSJoo515EEXBwxoYEx7o5MD5By+/e3AnVlMS8F8g0jQKuaNEqFlxrnb4FSnAeiGhYMBbpRF7tbg 9bu8hBvOdNNCaQa2S+TOkcSQfKbESMycY8HkCxfN+ubTKOQ0UjPUf1O0TF11F1W7sJVnWeXZnumu ATVxNXYl0TfAZq3vkcHdmSWJSnyr0Oc6ekblOoFeNic6TJeMw4+qxtM33a5uGRFo1sOeRFXmPFRd hKembRPK7zAhLmGzRKZNUE6jaQgUs/cZGCHpsG1r5EJNT4HxmxefzRtsDCQ4pBgBqdOOqpFJ1ObN kzKXMkhrawWE2tkaZ+YMPG/FQ6z3pYC0UOEGjFgle/L5BQSVD0xYrLiNh/K8FwGih9+EajPtZJWR BQA8QmH7T9vxIsL8CYYumyirmcZxyFzJzJZzBFUhqFtlR5yIazf6jgGRS///5isrdGysdBE3TDM/ x6adEqnHLB9bniJthEFPbsoF/Gos6zI/ucZ3esVUgm5XUOb7gfwbqevaRiTpnjdXcLAVt143AUYD JOL/Yp+kcZ41cvW1psDUAD9gZBpsxWyC8YqVuSESlkimLH+xXtfYutkxXqD/dYXpAUYhWegN9dpj 2ksxy1X/kDsvjs6+uLMVcuzbFNoG7e7m+4uF47Ae1XopuaFf+5CYSrhetEpyKxjqQnot/RcWMteH nUip2o3j2UDlJ0NO9h/c+TD/OgK3YAf4VDYxAj55qpN9/7KcQx5x40umCgSqbEu/Q8GnO1F7OLea zL/EOZcb/vhWploGP86McLfR+6Vp3+2RRyhLyhhwxjBb3c/GNe5VS7SJgBoj0eHXimzlEShDcY+R Ha+tZyTFq4h2iTeie5/blCgcrguoxCdjXy0K3sjF6qxypTZZcLfncmIDPW9W/gzXPqRxPfTQgUW/ lv2vPHxRtBF6aMu64CjZREh+g/MuPCqhC45N+pR3Xfb7l6eYn1BHKwT2NuqQddA/UC35zRTMjIZC 6T1Nh0XFSoRW80m6RAS4j+JBULWhfUTVfFIsqEWems/j4iBArMZzRWzb/Om8QeRvkonyt/Fc8VFG NHocbBad5qib3xaUc1lpS0kcU8QMV5oZINnN5MmmFqLs+r5WrN7+ejAYwdf4i93VfUijAP/9qXho iD5nsu0q8O4mCMxDvBj1dEhZhWySCFfkwtsNVHtfqG4uLoQV4gOHYiJuCOsDpZ5emLVdVFzCbWF9 J4PILFaPg8E3ZbMTqSH8clle4cIY4vMK4COuHZr7GZKO2jStpdv0lWxaIZMgZXaK5IPkYVKU9Jgm pnDRgUaRRt1PZDYcg63qtLZtQDWvf8OfjNy6BQrDDjU5hGTIEjQ3B80GZl3VLywfAr2FzJ5Fzbb+ 9zXezrDsUu6lCGLTn0Oaxt6e+vhP60nMW3q8R61oAbibghnXUFK56aNCJS8B9RP8MM1Mk/5UWMbK a9GUxHfvyk9HPylcV4POmL0UEL1LlPoheZZ18iHP/fBpmYzo0FDwMIruAuunDEjqhnnu45tDX3kY V/Pk+G3B8cMAyDQR0q0TU4wvKZWkFnzaIP4stoYsxSQFIZsINN3/ovU48CJ+Q58dd7FvQ8RefVcE sb+IbbX/t34LYwOrKAJRMumGz47c/YHID3bFzJGbyHwHTfnqXbK4tdWhCECt3yiCJfSP+gmIpaVi IwnDDkcLoFCxhfJv3r2KWrvemZVUKo8314X1WHlbDlBWsu7zWshD5Yi5d8NDwAigpv1mFR2NMqyz rsrcZdtLdS8CEktCrCFUj0i/VaKznguJDcL92ihOrS4yg2cOxVFaJZLnOIuhIhdX2UaPsMPE98no XPjFcnD44eJRTaFyG9RF0U/HpGXocDeO8BBeJyyfxHIJd0m0VvOiJmWCvjwttufSJugGFDiScry8 zc9ca2H017sX+k99yry+NGeeMM2LpSHuK0mD4THXDMd8vKlWA5KdzvtCc0Wr5h6rZncB6NWK1N8u jWm8zcVoTpccpLR/5m0YD6AnzBOT5Q0mqJKc9kmuanq1svnpv6NuSdcW+o9iv7dB+1q9yHbfLfbs /hDuzxw9zRweAas8pBguQ1bLiSQlVZUrniD9fFH4xGXHqFUhu10Rfggriv6PgVA93L8rYK2M2kVm CR+wVI8XXBg6dPBQ3zz1aMO3dVf96M9AXVlm3OyY31skDUbtPnoA9zYzLL0F8Uepau9ammakjnB8 ylvG0NnlImgOR3hI7JKG6szD+geH4fT8u6ECToAIFQJBzjy+BcL1mi5mjQzLXKK6h5WWv8gBAog4 OxLTEAx6rgpY67KIsJyJOGVw9c2VJzMVg4v6J+5Quxsr6XiIDRbNWL5Mtn44wjRhUzjDHgpaVR2C VaFVVMSOpwbtxJfF4IwQtYrQMW2U4G2viVbGFLmd0zKYUsY419RiK1yC8yfbCeJzSbFBeEaF7a2j Uwgv0//LGtek2v2/XBYu1+jnQhjQ048eEr6WAHvrd+sRGeXTbpG7nLZQE9laxLZLhRJF6PZLOxC4 PMfujMr9KLaQDbAduLuXiCt7+XgKCoe32J7h5q2P4R8RJTQ5u3sod79njl77ZlAGDBd1H8A7k0Qd /m9O7v4+1B8TQdnhPQrLt6AsFwAodEkTMRF6/ySeRdpgHsDiymX6N1abmGdqdF8ghL28gEao7cNO 7nOKmcTejFjUMKGcPtoySOX10+lFqJ/alMUK8xNwwYH6WfMwKs6lUDPnQ4FQBqVNJNGzmBEWu4Yo tu0m3ddzpsh3szO7JXtP5aDj3o0xRJ+kF/wYZKYcgsOEOOJ2OYs0/o/aqjGGNB26kGp8YO6/p13l uPj0TAQzy+dAKo4lAhJluWFgMlecsYHXGZnKjKIQSHYp7YhA1qcy/eNZ6MhKBOevc9CKpP3yiUJQ x6MDKyF2tHFzbtfTvWHaFtxKPNw1WkGgv+7lwYXVcU3qJ5Ai5zFgbP9HHeu8hrz7tXpNgNtSyxkt qyZIIF92VI3xmXj7nWrXPbfyxAWhZCN75EWOngIsaZqJEoxp4xG6id+m5gV1LVnMx1zIAers1JTq fgoZV4LR9k/8+6OmOQ5gn4AQADf7+wWsg+rB7g2fIbjebrpovKhZPmALvtXFEfsGo3O/gi5vKiR5 R12w2zt9AMWt9y5/nFeilYq7M71Nsur7xca4VXMzxwCCaQUs2U4/cDWafNITdjDWapTZIoaH2ZVN tMxJ0uN3UI/h6PyhJpjXrdo3h9EqaLglLp5UtCDg0O1tOmbINL++kumtaCVsY64AReB9qfVZVpiN S80++F6C3eVESLxC3pJTYQtHSO9Dn+DXOP6Keis4gLV6AWpoiMUgy9UA8H/6tJ5PJHADdc9Txlr/ JmTRNtHaurQecPlfYZ9n69MUzWYS0puZpP8A8rOt4wCHIWtphBeHZEuuCt3hWSqU9v03/aF7zv5v DDS3Owat3H2KzVAUnuyZ6KYG06NSjTQGjj61CGyjBqaoNO+cbbTa2QgTpNfW0Hgj6XSy4Lpg2IKU 5qgTl2B+Tqt5LNbX1oxJejm2p8Lj2AayY3+RTbMBaqQKrrfIGHSrjzjRkB7EAUiq3wYlc9iMglxj pQB0F81OI9csmWt49PmXtaa8agpBUpTt1ZFScg8dwYvkFRfg9nRcUXooQii/CikbUm6j5OvGf+Cb 8ljxcUJUZF7LYp/mSKG3EGx7S1t4ajlR4gKliddn6Qy6qKRcib6sSUQkL9cGSOPkdrTqsSkxyDnP VZRa0/LEaw2HyC6cWVhBh8Z2QNd38k4osQpuXXS+A6VadmekvWv0vG6okYLmjvdJhIFzDmrhVnFS LNyUH0MWQvwJ2jw9LxENnJqqj3NqKcgR2t1JoVAKrCfEuJpqT4CXkKuOJU7rVoMg5phMHYxCX8f8 To+wsItAvLdpSMr/q4tbq565/uZDuRg7cY2BPyVYh1MtM+l1z17EGfWTfb5MJIXDqdPOaWlBl9EE 7acsj+ds6WrqjbAwJbzy5qOsuYcxVxgFv1aRkzmrD3OShQULX3grLMWUz2icmRuLQ4/bbO5i0HCt NxFgb8wlJ8cQaeS9MTnwvuJ8hci94ovbTuEaxG6xmAOai1Yp6nGJqJvIvmD8YzCTebv6i3F6wyPS AGobPG2JxcooonHk+Z8sSw2+AfUCVuD7/jKjdet3X5cBwAddg3H/ov9kLQAm3IsHU0/8epvivWAU PaNC4tI7uy2cW0CPRj+xQQR+w8IYxKKxFAhuSTOgNZL/P9WzWMmw8wQQPkxcX2bAK6+NRNbyKbRV 6eHK3ZwUOJvvutVaZ5EEWEfrfkFJ3dTXLsSHT4v/g18dwKZzcyP/F+rUnTgj6X+LppyVs6waIHHT YBhY5VVUvrF0YSbXkxdsWYmiEpeE5cj+h8RSEu3BHY+PoWkBsZYJ7juvcylj/m76DKVWe7TUMvWK gwp4faJJoSa3LQiw6wYHEVG0JFOIwNVhxrTns2kNujXLWAUz1DjBRCurJF+PtbT79hjmVjnylxH7 13kPwIQ6tB18Qv48lADWrJs1MsZUipGfX+1p+ihDw+0EVIhyaENdLIdNB1w8AQFls/5WnxeubpvX X3yPt53W5bBeyHYz6GN259eTAKtqRM5dUw8e5niqeDTcdY8KD3uajaePvwS5oXC/Jk6yx0a8bj80 Dqqjsv0wbD2dNOmBUEiix0ELGSc5qE8twZqs/lZy7Qm8kpFAywNbFTPRLNisewFb1oyudHzrllTu Fidq9Sq6GWPAedfUUeh74RGR67dIzb9K49geTByNWA9bDvIexmRYCRsJPiVcD/MayNGEQGbz9/pY RsXzA+2rK2F44M+a5QZuGfZPENvVr7b6P28L5XkU59DsCw1DdEHfMqr8tA5iPo6VEn9Q+1JEuoKI Tg2dVjGWFD8h4tJsN6rHQ+7KIr+ndMlcDHkpEFl6GSJ4dYk0/x98e5CS6VBPoldGpmeaNC+5Ounk 2G9cVBewbHdKmNYfL7AzWP8LLHATlMxaalwcxCb2MZ4OaVnEWr8weT5ik74K1GY4jOSLy1rQU1a1 g1aCFC7jQCnRVvVIN4aWPTvO91HM9T7Hn/IHff9YJeCwC/W54fYqsdKCZf3kSRAuHd/oilyHTM31 hgd5WlasXsTNrEyfWjU0RHUsJC4LIGjuCwuqm/+Ljt5tIGlJlWvNdDAzXO56PPh/XRUet+k7wr0u AVBg5mVCz/AYjlemWTi+XcZtMXG1sIcmP7HJUhK+znB6ZUBDwpSrIktgT7NHMGgby4ocoiXdfWFx mRasNcmFIA70hxsewyQNI8HztgsUqHtZtNtFkYGFSd/qZLPueQUxsbRFZ4VLt6qrB2Mcv+2iCNzm +GYkRfybyCrDf/4Lb6xVoGB8zSu2mDzuTwxNh/a7N/Dl5f/9znLvfnHyshdG3Ish6wUq5mSTKZ20 lXRjyN0NP9RTWns/veNkA+ivhElkReoaZZgHjDbrLyPrnwD348d/THPwjjfo5uEYIcsP89UrrPhZ gLZ1hg7HjoFHMbMHeRTaOZeTyfgFDB3O6SytSb08NPZ+1uTcKFm1OLm+zdi9Z1d5o1s/sUw5s3Um dK6ljzgEyM9utJUATHtWBpnXfgoa76aX2yFPXqDhNUO8DXnburgKL0qpGfxwPGOrClnM032JnjPs MWY3SjK3BpaQTHugBixWvBi1WuHgzsqoBySQ18yii+CC5gq+tsH+noj0TSrGPpd0QB+uCoTakYUB V2fCDYmYxddfUavbeDetENg3BNm+x72llUjVfkwhf4+M0HUjOy28k386oDyXoRrW2XhMy0oX8/rO jdX/FAZz6bNZcTA+ONlXur/nNnMNGj/KSag4w8bG3NfnKOvwdDbN0mxNvAER29HQf/i/EbWaAT0C 80aXht8lpLYOeZX3JaA1cZQXfFfY+0FpH/e9rTcdMQN7BSRYO5GqjVxiNwcfJbVOOjZ2c7OagzVw Jt1yib76kItOHkDG2v7hhRwdxxl50eCYbkVkh/GBGuktsaIKguG7mMmhG8QbADdJqwHzcgDRJ9Yp kYHQmxkIPcBKnXgeYTe2peMfHjdz50xIMl25lMsh4Wuo/pi1FpKRDZR3uQlU4bKWBePz/0wFZuUH tK64wjuwToyiNfeNQb94W+FQU3QQT/4noQ/kZg3Yz4aMZn+dzCcQHYH/JzZZJ2fVrvvS89CbZ+Ov w5uVvr6RCjLtbgAf5hdKFboDSkJoaSSZQbYqaAiiyGuCFtKsEPcDzNHBXXOrYwDQwp/p6K/tmfbW kc/F6CNU2Ll+WOqRUvlbyPzoMddlBRZVAWPnpD8Bq1YvDtdCvrfXfPKtg4IV7jFpNae6zo9Waimm 7RaVb5FDiHyf0f5UEhauBeGHBnoJc+C1teRsMdJgiwjz95DDkW3rRfoBAQndJXSR0n9NyzzY/mfo 9RqbAxLDGGHwVCeUKU1y5AL7LBr9ZXq5IcZnYvErwRlu6YIN3JfZ44cGM8fkM3GaX4BXzOaoO80u Ie5ILHEuLUKkn7OGxW0zpnr2mAvxGDl0BhiH5aeo0R9dO+V41z00wPeewwHvKJ4istUIlGaQndMf 3F1vG2ZP8Ai0T6+QrjpSuTVSIcP1Gnbf2ghDjPzK9RDNOH/1AuMKD3WaC8HSLCVTbS7MARVj/wgi Sto4X9oAXTERnVHfh8sPIYdvvDm6GI1ScQolDprsxVsLuuhgeccv2Ip0MJtqudcqdDgEmiZfdwxr rJX1a8/7yZIneqXY08vddKJZEFKfJ6ojJ47AlYxn+0bt2A8wQanE6/RwtAIDc0aAcXgXUWm41SvS 5haJcr+yz29Vmjd5THPPVrHVVRFUXmz1qMadFtA/PNEqiayMhOw17vlW0OvrO/g2PKFKCZovZRo7 Rs9Qe+29o8q/6ZEXKb4qi/pxaaTEKecWGYppTR+wMcHFrr/aJR6InvmnDM/sEAYZXZSo7g++aa4F XLR/MIU7JdpZBtGBhcbYij2uP4EftebgrL8lcUsmGM54kQHqzn8tJnU30+6EdF1TGZsp2KRv1eb6 BdmXvxtrnLXfSP7tx1WluO0vs6l9zaFMhBUJOuhZClAzI3bFgFq0IuXeObfzx9WUf5S6vaRk2ZRa E6FF3UpoPc8e3AMkbOPzN7gc1eFt8Q4P/N1r9ZwE+bnWtNcE9klbCnXtz4elFeqdCqCcrpRfxF1a QMXV43R1borrE6klFOrI3/GLPFaHsofo1zd+CiOTzZ8ocGM6kEOnkq3GumYR+5FuusF8p8cz640G zOJBi+o/PWDAGwTzYFRrExW1aaYbxFEJaQx8JmqDTD2ZUcSFSQzeD9zwlEJSZSi6EYjeBjGE44rs r2OvXMQ9MA1Yb5j2OZgMsIExcAqZGpl/hEfgnsQzhQLjMtvqyevyYxQmiG4iG/LJEJp6/LlBlesI nHkOklX62web3io8uowDzRVeePv6p/xzAbUOcN+aR0ePt2B8N4j30Y4XeKs6f06u/H6DKp1qVOCt wKxM1Qg8tlyzbz0r `protect end_protected
gpl-3.0
aa1cc52c0f92ce90e20bdbd2d56a42ab
0.951789
1.815753
false
false
false
false
fpgaminer/Open-Source-FPGA-Bitcoin-Miner
projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/blk_mem_gen_v8_0/blk_mem_gen_prim_wrapper_v6.vhd
9
933,353
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block FCjWfM4QrrnRd6QvD4D7Ele+Ie6nk1/C0WVETF8tIdHvqM0SKxnPJBtrK2mimc28JO7sEnz+BRpQ /ZMFp3F5Ng== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block XJvqy0vlU4Nss7sZd3KpI42e/+1zHw17xl0on8ipq6Zz6g+IBvU1UjXEGgY9iqqQ/lmAgjx/KWiv 4ZIumfSjlUH7bR3YzsPBOOXaCGmU2mKuvE637w3dhXBSlhnMXt8LOIEuWZyCnyJA6WbyvjC6HACE XB/VjkX3fH226jpawTI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block vf6uCvekRyLbCfxuL2DKUQhu8p9MoaJ1p+FzCgaS+9gX6dwJxCq708uFT9rTkh0/3dxhSOfbgxkS Axy053BfdyJ9W7YbFIk0uQ2ZPXAjTgVuxuinpx29RKhtsZXy7wzETEM5EirRbSKGXoSlI1kIxRxp +Lbo12vfKYPKOutOE/NM+EvXdAAbhCGkRrgTTMOtIYySRYrF1DTa5DuLTDjiY5IT7zAVWgi1ucdl oS6lozJ0JqhnK8o/MaXLhmwVFtLKelf0dS2+BEZQcYAe1jH84rM7Y7D83RkUKpX53H2imRFNNA0q uIVB8eqY+ug/QX+tgHZjW7O7x+ma0mGzvXrqMA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ByBcJKafcyRzCJuSc2IgZ7O/axnDGOnC7Xzv2X1zVIxOhAJ9i8XWyTOAbXAD14rz73pbkbCg9dtF n51H981xKSEuSvODnLSrzze1kX9nPWJQekzz25pVXnkOchOP2STdnCX+w8vvH7w5nHZJdUPiSD99 Chr/J+TYGoP48x8QLxI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block CthoGwYaJiZOiVTo4P8Al2VOs1oAB00SUJGqvno0o/IPoow54nTCJ8NRYhc90Ua92SyToDgg2gtU X8aEzeNVeBl8e6tAsLXtFJNWNEJUqJMpQBKYQ5Ij+mcOlNhBaXTN14xyFiKJq4lQ/YgyuJ0JoYd+ u3aqT8yMVRz2qmqUm751TLudQjXFEPm+7qCF4/E0gQ6mYY6HO0ewN+t/z28W0xbiH2FR/HUS5nsb BI5SXlY7eXwe+0xwlxn5lxd035Y/uPivF8g1URbOB+HPzrrEkybOhqtqMcJFcgJIo93W7XsW44t2 WS8gWjy6/36ela+5zIRVvb83u0Qgqygr2NBMRQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 689184) `protect data_block nUt4ibLjZm58DTVaNjrFBix9pmMXCoN3aDndaHGUh3/5POgSeBYsG0m5Jndy4Mx2WoQ1xaWjPGfH ZQBXqWuSCYp+BMzsi7Tyz9G/pYUFgmJQc9USDXzx2AkeTmnXqAMrpSjyn+3b6h5pMxYSiT/yQFCx EwZJN0iCrs0gu6iN0G8AromV3g6y+RIYw0UduljOVA/u9D4L8hPbKmGR6AK1PH3JB+l9FoOlw1NU K9AjOk86d0/83F8wD/XGjIxeOYcFqCsCS0MVXyyNYYaCek0jxMS8kGnUgQwShhJvq9Bvi/n85nU6 Fgnul3/M1OhwHaA+tEhh9hHiaZ7COv61D3GhDq2TUiovRbr9i2GElrqpMcVwvEVP4lqh1hSdqnYo xNPJO4SEBehGimHkDVWmht9XIVp9e3zA2NbwatwqY1FzbXtXcsyHWMI+xE2CIxEYE1Ul2/gwXvtr yWSteohhbyxIMXlFH9j6wq8czYnXKeJoqj1dPn9309hseCuShlb8ydhDGW+cBcSmoDXcJJpBaXCG elTOjqHcocezPc1UFils0Ba6DC44A8HnTjOBqfO1/LKGbPGI2/ugAvTOeTODNcdE0H3aqvIDFSTp cVREe2bKprwhCyxorSh+bWuc7iHkLl5nhVuKB0rMZxvGlWsXqcDK9Q7K0v9rfLIiyW4W9jJlHFpA Ki6HRTgnCKTZY+NMPnsRViIVGo4sLv/Jw3XDMZZshYq49Yv7BVkhVyr/rc3NFUuDWdfuiZmPpPZt gBnQ2VY6epj+nI2bgWsHRO4Gh6NCxaqJc54JwhgOaRcbFBy1we5eVbY0Ai0bHgaS0uC/zuveQSW7 QQ6j/9FzdZ/FtioHv82mxUf2czG++rdKv7tSXc+8qIkZBdhhOeNhT/4DkYg1HTtoJtxHS1/MZHBL uxGfMXyvLDjN9uQ3equoPChGhQPZZ2J+IPScyKvzvhMaR37o1k9fKuDOhk48eSohksBanPd2FpyH IC0mWRSloIy6T78uaH38+AoVPC2DMILtmdgq/QCxODwmcXfceLS+wYsWXv9hiOpdkNEITalCMLnf dp+ztSGAqjNVgkS8DlNnO1xtf9RJ3oIyGoKoKEQeePAvw0WIrM567NPPhIOtPv3lOF/hmdf+gw4o OFEkpSDYHlEbrg+9bIDVDWyDoGfQx5AzrGJWK3JF2etqqsIWTGgA3xpR327HGqOYl7BcXpf2SIFo 1j1Y/RA7oR5WnL4e77kQj60mzQHUEQhnmsbUhly41Qd37ykiYH7yGJoC4vrYj9an8DUHjIIpCydu k+eGIgC2SEB8B6PcdB4DynF+IPjaqT2ArczDHWD7GCdYkIiMDTVszWtWHBwfIkIGhy6OhG8nK5GS +t6XM4q4cmBqYo2J/afVkBePGqzOre2+eQMQDnb09Eqc4J4TdaczoMsgSdn0cK1IR8pxx+cDpCnz ii1TSjpM01V35FzYzzVeGfea8HeHUrZdvmjazHmx/h72PB8DnlVfFooVbZzbauinev2YJuHCVNI/ Zk1df81HbmaU0ZNIcpzQ7SKR21AoEQAPauJC5Q6VdXd4udHjiOgzv8wbOftbVlouFfyC96Z2/SOu ldqZWjuG6h9TyQFrZoxEmzPcM3S8Pu41NcwunNvRF6KLW1yFaEPbUCvUoKzfRF6KcxjBWzZ9sqXY KyFy26U5tePGil2ZlJHLjTEOlv+tvblB3WYo9lX+EddqlH/j1QAWBmrt63ba/4dPdvxl1B6nMD0P D8UkJFgsmHBCFeoKWddmi1zOQ428KSzKr5Q2pFkulthJJzgp4edIgaEBU+QFT+EjLy/PqowGkKK8 kSNjYPQyU0Oab7lbWLKDxn/KvbSHF6klU1+7a7Zf/MVci4m6aQHWiVQS/yybt3cJJiFqAaB5k5Om k05HpITcQFu07Af31K8OEZzWvpqeD9CKgvvznrwaAERLiQ/ZqdUxjaKbKKIhkk6bo+TaXmWT0W5R c1yvvOPtHDPeBpZKX7cN5y2tvIzzghpFfOMQBlmwKbIbo54KO7r2Nlu/6Yo0H+vPs3O1O1m1sI+S fkGowkWFbs0QTbaq86WEbb8YnuTnCcunkQwbpNW9V7++Veat6RRjgOAgzZvJqCS5PHCNPqo0srrG E6vZ8XYYgfSQN1YdhsDblvr9QLkzdmCxvcAvejW5bvxYosq9o3RBsL0E+KPIKCdJZV24lUs0Jdqy RaJCU8Ofz+6ndjhB+BbwoBqu4dTIjdCQ0HWocZj/M9G+5Lg+086PnmGC77Z8zifoET4lrwm4rhjz o0xBMTzsyWvfmGK+uqHtN7Alhz7GVUDfeEXuSyx3qEzfqWMjAky6ezdHscFkMA7yZU9ZipSQRD/P SUg7l/ioIC5vhU9LcVTg+5RBr508KAJVk5O7OF/FjI0i6/1Toiit4WR/SW8xSaABNkTaw2UYt4df DHPr+X9hGUxQdiBMG5mqJMq5HC3eZlipT0g85YFpl9GN2B9HoPMRouiOm1cDixwvpmMbpjO6yeOK +DkIlLJd15re2tWZ3RI2wxlcuOS/FhzxMY7ZjKXKAAcr8Vzir+qKiVgVl6cQgZ2639Grsg4n0BF2 T7ZiLVWdwCY5CHZ3n3Zw+oyAGD7Xb0A37JHmhKXfAWpttuVImMgym7KXiVa/eMGtQlXYin2Nm+qy Jx+ZsCWVL5uv1/gTIZPXtKbO/DebdRms0pLYRwEuFWia0K902WSER/EHsUH7ZnhlqWia40fQZwCd GHhVQ2peZW+2bwq+uz0nhzqCZ6+KxbDWLtrOCVo7WceB62WpZxkXqXXFkgbH9C6vaCPqnsg5gr0P tTX6FmaCou6ThGjBvTUTwB+ae6qbwjFk7M5uASidtXJ7N6V2+PzcAjhUiLYlOwU5AEblFXJ7Cm9a 21qgBBPBJAIlkTOuMYnitADLB6rbDH9N4XiSAodF8tjxjW2OltFAfcCNorB5gB27354fXKdkVty3 f/oCFaB2XVmq7+aJA5XAmYEgdg7ygqhlLHhTVSMPv1V3fqjyW2JQD7RVnHB135nyX32dzQRjJRXL FLeHM6Kh9g/u18bpxruoE97F8mTSZlwADEmFVu9AjMqwB15mdWWBuUekvxEiTuSQwwJfsLDtY2M3 /v3EYbAl5rS6mgd40ieMzCcsRZPUeqnZ0pb722YehY8FsEsLyHsgIosYl7QMCwJKxq/0IdILtpO3 6wuXW3iL2yyz1fFaV43qSbZgiezKucVrtWNvBu230M6Frm2DoiKU/vBu3MJf0R5z2yhcWRDNfQ9V frapaf/nTRd2dYMpZFS1eB+l4OegXWXPGLPf6PzOy7CXUIo48C22IRfbaYW/znYNuU6Ln0YpWN9F vkGRvrnyPGO0g8rmASNUAidJ+2Y6u0ONMFiQOSL+fQ3TxRrGyWj/UIM9ooK4lIcY+Thcp+Zmc3B0 8zMpFxfs0zLtKRMabrN00SoCge6us8U28bszsD+v5PVcrjM5/UoGsAsWHodF76wnm9sFEf/GOFdq WmJJDf284fCr54f4UJSInA6K2IbhKqFkYaYz4iAs980KjcZzYoMsikE8ouSi51SoSKq3JMVPI1re C1Gv9/B3XzqsJaY0BIMyvpOKxvF6xAui/iXMWoHmLbQVqjvb8Im94rYOiqMjLGomASUbtpqxv/JO bRwGI00mCWmoY7XvB0ZD3B3JrY7uQ5ifZRjHWYPf8Oek3oDz6fqbuhOEwrBLWF9m0+sQf8v90Q/G F6Jq39dR/Zaaae8dMN3Q/MJ+9LTBLblAD+lleEsB8KWx2+/Xe2xYnszvOORAgVhpm/13kYgjtPzk /ZVkkKe9HOiE68f9jSZV1vuqu7InB+ojfVFl0fYec04zhTN5s3w3W1WDpXEmfKvyQ9BuCJXtJsvO +Cisrb6dAqBM77WjmMF1qZkWe0iiOGZluZeTH0FoPo2LwW2ZAJ00ezMlGCZunepHNRdmS8/LQLag nGuAzNlHO6knpaRWsMiGkOYdGdtuflTKswECuUEySqwg/ThF7qHqjYXsPFmu/GoZdyY6zttxOG5b VkoztXyxndeoTdaxvMk0Q03blYjFRJkz/vsFVZ06U3yTI7/c3c2Clk5s5xJYypNPEGU3skzvsZNK m05oHaSayUN8OGG+AKmqetXlpKherVRL6cbzBqbpg4tm3W15LOW29luB7rBZPPXRV2KnnEekhqk6 GxZ/tDXwfjk+IoWJTVJqlre0q/AWeas75FSVXkioMMeu8LXxmyER1iVeU/Ydr8ZBfS3q130KR6Ys 595YRo889VKntDXGsyk4zqdakazKGn67xYa8+OlJDlPypOwAOcHwns3K+WqARTldJh4Gdeb28sVk r1ApTe/gwxC0k7zzwrF210lcYmHgyTIHeBk0MFfjvj5MFML5u8qBHiTYIBvReBMsnz8XIYyJHmzW us/TCbAVQYpB/S98bojJM0SPWsUTvmqZ+v8AnupHaH6nsLNL5+3NUmBwlTBdBUWfC1UKxKeCvSuX L6k+7Cy06g6Qa+SkzklFL+Er2TLGYg2CEs8YQXDX2T0JVWHoTlxDxtwNBd3aphIWXqJDennKIsBi cJYtNux8kPWo08vJwBDCRmiYpwR6+DQco3ECv0D5qG19AxbbuLhQYD48+ZWXn9P0c0fqU4QSOU2P hFOIK2PsbvEgKTyVYttBQ/IvzWI7mdiFF1d+FsThAI/Eem8Q02QTNugWmDkJKP4B3ySsrdgLACPN XoQc4pMfDRdMHdOs8zxcnZJ3Mhp2M1nrc+3UxkclVkAA7KWxQrO9yBXlXVQL88zK09c7lE90QmuW 9EJGBB93PneUcPOipb6oBaPkS4gHAHYuZhCkTZxiHk8HGs2zXVEWJvx8zA7+gO0RejuChEPtqw0Z RAWK0UuRoGdSWv9Mpp7JKBxa2EmFBWYnKZxngQyU+qyBp3V1WzRC0O/0HKoEQUCBI2Q9tFflNzUF 7dRrjPtbQoHE6jF470pS0H12GHRpRv8G4EgmwDIsvA0mpqgclfPt63vh9wl7mZD0uv6INcblUb/1 urpzddbrycptJ4jRrU4inlbLpRHnEXNPMGITameyNsyxJ+ikvCubAS+Ob0CrxoZcMAQzJs/3HGKd bJmj2x5vHD5J4fubXzZ7I7mL/5Js7kjtQ6+Rzz2qmdfpJG4C+qm3YpsrqLSmFox9ihoZr25v7QBL BpjdRdNeABkQ1rJKAmHTg7P1fKu6e1lPNQR+7YJqWtdpDXPBR8ISe0TgcGQ56s1cLNmzMGe8kd7O wnwOPTfxgZPS97j83M/Gve8pL8ZgK1Wh3eTXw7KU/+sbtcy9GjvK76xeuG8z3dIslX+alIE2KWrh WGPCc/AY2LDicdtDmEOUm8cDyWe/VtTHlH1Ib86Y9reLEkfmiizQb5AxVh3l2K5yqhJDCLotGXzR G35RnO2ZuCmYqrQFoCq8oY3YqwQSc2QXTkp5F2wZfJuL+T6Xet7dFlGoiSTLGUiDgFSq165/mFwN /ASDePJXa6rKzUwFdlv2+t5CsDvVrAHxgpAmgUMSaZVqQ9SxkCjqMAQ3c73iqCrZzkXdLqftVBgR VyYbnSmCJE1OhEZysquenqBoCan4Tw7jvQcuDLeN01l2uoJ9v90GFMy++dzC5gvnSCU9N6Ajcq3z Qlhseg4NUH1A2jU8JbE7YeIPmZH7kEe8OHddz80cEtqzIPKIweWIhWs0nQlonyOospq1vYitT0fp RA1EESd1ZWajXZh6y+8iw7Ae+ndvWlngI4IwLfW6xBg2lvNmFfq/rU2+vgIybwLOoURhZAuU3Tv1 HrYY7cX5VC1AfqCpyqSUxqF7iBO6kV/cku63f08CXWbMlau0NTiC8RGjuvEi/8xEjNixva6Mo1O8 3iNPMeFpwqybYLZGAkCeyWIb2dI2KmdcWtsHc6iUXDepKbCwe+JdPoqwscFC51ykyMZad2Ppv5xI KQ4YnhcOHPjj0pSxMI0mvvCMbsBXlSk5iRv6YeghnUI8Q0Ms06wvGkqQo25mpJx/A+KanpYXlT8s gr8mG78kR3muPvo/JNGSUKqjEu+sEm0C69CVq988zXUO2CkDC+mUdviAKo+xO1xgrJmOt9Wu5U4f H0Dr80EbUU2qzAVSA8DNIyEPF9LR1jdYx5VeJ3fpQ+3IM/0uzKWBxhv8zXTJeb8XM8FuGNrIUkG6 vL9rIV8tT9qkvSoChhAMA0Ii3I8UTZswLQ1tqJeft3X5db/4/wNh26Ju4T4AFUa4xSz+xl6G9sWL ZZzcbskhb/7iceDpR3oG/upO3ZHbnEq1xkHjcnELSFYDqK9FeJBigbsHSbC94eix0x/moe5s2xV0 4mc7EHv0IeVjr3HWqP1l0sTRpcot7HryFvwsRRoqsKc35ggclgJSN0OKSPYWAgRdnsYnFncvTAGQ cMMqY6FbQSG4cL38zTiS4vjTS4ogzJuORlGYnp2HaGJyaZ1erhU7RDV6Fn8HEufYNO31FosLQDJP R4Nmp1jhD6Ld5311lKKT8ePQ+CZh9s1NvmwTkJCyLMEQWoEVEZ+8s5CrNb5FJ9zpcEGQjrzVGBqB uqN/PCgFBbN1q0RlGy3lnC/FesIv2g1j9iFCpWx6Pd+dRh58MMLphT+e5am0/kaTIgS9vsfsnCMi qyDXfbImEyQWk3K8F2LMYobBobISINGDHyhN+T0/UmMsEfNqKX7ETV+oO7kYKDoI5FDS/e18Tp+/ s7fFxD098U/Y4UiPEf0EeNA2EMGwnWYtgp+0wabVbDAOAkyS1fZiZvBP9gywk8WvGKITIgnlLap4 8cwlCHOwnqJMa4PThsiQRt/Ee6EwRpDpsP3GXrJ21ITOvMSAHqUgAdTu0ALcBbuSqKIObQxoRUGq MT5lHo6o8hzbphPmjY5vnRL3TaPJXtbul7DO4RV50ceSb706fm3hfjy9ntPx0VpKvGIpXMenJHVO 8+WuGzjXHDBenNAMc8NyiXDQe2NoSq5vVbileC/3ANb2ny7lwPRLwxF7DqdeG7C7Y9P6JHjS0mpo yf9LwZuQFTHAamoZspVqTTqW4aCPHygMlFjsJuGPnLsPMuzJHP5mWG3mRFC7arwNlv51kbjme+MR QvlZuQbQh0UIsDgd0Nwn6OwCqP2o73MFLSoCMR2lrXBy5GlnoVgzQc2hagxr2l7BB53Bc1lUL87c bZeVH0LdznuR+idkJLl9Ld1jyjhNTj9ISugXpisH6jACxGVXdvXTdAa2rvip9xCnievCyaqIPhlr 0oLj+GoJYdqLFZSztrNm6acsm76FOYSeOI4gUYGTOQigs6LucrSy/Dt+yiVQttKCz4SrSyhBncr0 xnaNdl3RBj9DoQTXW1IjweUNADsCuHyjozFeDcatTG51YTL713YurGYQAyZP6tW9kAoftQPPyxzd Ht+8dJ9uwkhQS0n00bU6DUsInLNw7HjHcfCyv82omfv8VTzWzpJbdLJ6UvKL81MXeiqNIv8XCiaL JIv2aSNu2v5L0+gQBwsPWNqC14F8ZNqEKI72/ny5Ag3OLoHPnGnXeALC3ujnXSI4V16pr6YoDcK3 mvMScFz9K/jYtJ96qeEXXH/k6ZCQ5pRkMBzjgv6pjUZ+TmAmlRduiD1DTWJmbdOCy3rCoJ0Hhek/ o1xqBAIT0W099kDogh1ROLmbThA2nebMz9vYfQkwA8hQLUD6qplg/DR0eAjL4cfPsK593bcSrSFX 75WkaCA2HmbI9TenPb80Sy2Ny1y9DH9W73DjP1ST/GiSOAgBFnfVwPzQrMTa/RYI5FSSsPhm3OzE QYKZDY34mngJ/bhBKdZVBFMaCI0aeYOG+bOznP989GkpRSQw6ZookG9iRCjSJT+Ade+zUq1V20pp eWUzzk1e9Qa6ksPP8dufCupS3wx5vXMhwfV1+6JPhNY2pNqitpaFHKcXdxxqxnFc5+fiZtrGSuWj bkZMRetVlEaRhcYCeX8QLCqdl9QNL9iODqKkk0hpLb8MYejKAOmUlEyVM+uuJC3ewzS01grn/pQh 2DJESSfLKSyOwlKDNWPt9FHjdoDQQlpedMN+u6fWkztz1LQMkBh1J5ad3WJQR7liwz7EVK1BV94S XjmPSWMGtMxZVGXp1mKvt5KvqGs2rgmfZNoxo8LIhfKzbE8fsOYgr/QNwnDOerg8UXGmN+cijMdt ORYHx2QF2dxG6YwKhHKbK/wmUc+2G0cxYyw0Rz2hLufN4LpVSMRg2LMTW+KW3HbJFQQJr37tWAEC jpunWB+wpDbTD0K3pLGgr+qm1uEKQmOeAhddtHsWzx9u9M/30xTaX+OZdbbd5TisUlVLN0C5BKYJ rRIDzGfFXlf5AQSNJpq6UIhvaoLyc2cEgsoYzkiCbdGJXHApDvS6HgAYGe8yvVMenv096j8yClS6 Mc8qKI3m4PEUPYD22tl+jaoviKHVZguQll2iyAgZ+ooamFJqVB01XT0/VAu+KySHr79H5BPH5Ey8 cwqlLaRLdTwIMpq15jtbZc329d2rD6njYnreSSm/WYvcHh8D6wboRcplRfGiTqbcOjfAQG/Hm66R sO3i2A58k+zt+D0U7M7SqK2xeeyzZc/rV/rB21WyWdMCAcU8srML6W2FsfnM+mG9gBMENjE7/qt3 15faJu5OpnUmZbkCLW6oN4WggLZZN5S0zyjZW008fvOZzpdgIjmDIgRU0Ytdaj5lLsJdiyGHIC0J QJTwLpjOdPWNCLnsuP4w7TKV4R8x79e3tB3+XJJsC4gulpl2Z7orBi5ClYZ0x6Kmyh+hQ38oPI0l ByBbYckhUrsdpAahXn1ybbUbVZXXzgDczy9rfg3ShfizyTru5K+gYL/rBnk2tpyikKYNpM7NMWbr JUWJNe62F/wWWwFQSH1fMK7i9JTcdL4k8+rblF2DZFeVFldu3NPy+VsdOfc5UN3Oap0FQ+9Cm434 tvFz/iApQwGVbrIr9Ok/fFsDxYNRO1PIBgzXltCdqGZv3Gm/ZGcH/sFvBloKFS4cglGjAaDwWgWj oz6mTvIc9MjJO9Dh//9eFJJLugMMCDzVgmzjEEV5E88m8/YZam/SCS0Au4lNA6PviBn59WfSjWVD gqn7P+26TsYyYCO6QqTYeqKPVc0UVVfq3vRfvgQ+Fx/IJaGjSn7t/3Uj+/ax0uREVNqkWW2HEFQB QvOFRDHYYzKanmdDgQmGi2VstNdnOPPzjub8PtjevBZUoGwXxqv4qZWx7HCbR+NEs/1wmR/L53Xb bV1r08GtmdZ/uBLYJufMZUNy9qBFN0RQUc1jxsGYrkAnIJlORpaNA7UF28Vq2FBe2NKNag1Ue4L1 82EhPzazg43CsMN+JKL1AtwXdpteUA8bg3tzEXaSXqz/duRbvjxd5Mninzq1k7hwmT0jEPHMIksp eHh5VWaJoTtvpNm4bSW+DFnTusg/JSsSG5xzty1x59w7ZiY+QN+9x0OH0mlUH/rjuQc2Id9sdv8g N2UeW8CIRY0vY05bGI32no+L/VFQFFWkxixcK/3ECKeNtblkVbV8lM7uTdX6FJX4aljgZHLtu27m +oLe8MdVXZSAuV/0BcPXktXVbjbmlLRp5S0PEDd3oqQi1wW2FbO2Bc+NGYdlgKsYorXfrnDX7Lbc gXeEZ2+E0NkVkC4+PBaw9eceS0E0CHcfxsXmRPR9S91l1UhekiBe95Xi9ivBSdDQi1Ts8SMaC2mk k1yedBHWJCF404zvNuSPa4ySy31bZO0c7Tr3kzioR/a/XQAS4Vf0Kfej3uRHFQVYchikT7+jweX7 9xkyDSk9TUD30jRlk5QRPNQYz++g1Rr6DC7O0RChNGt7wOnbsLFT6D4CQPXHxsW28rKRGKEGz4oY ywMBREsgAdg2SWL0sxiHIYZ7RdiZwpysFHPD3bCvUAXsft//etvA6J5r8Im5bpUlNtMa6i1wd2is 1LIy5yeiaYxu0+ikkh4V5MPTlsTJL5XsVSc3B5eha75DOSN7SdHX0itDv0pjP27bZQLwwPJ28Epr d8pAFuV6xHa1w3fP8grIt1QFL2SjoWb1L6l/1CwwTTvRk0XOPfW/6w3tQ4ZN0/1jmNKa4sUbkCU7 uVjVvTF2HteJvFVkBysa20tg6VziktIOBu2SogkqaJSWF2m2Or091WUzl6SHAc7sP5ABvcxRwTtm 8TdHgFtGIfhAbjQh5Me5QDLFu4uVAw/1YaGoSkYz39FgUCGRpLKUziKhEhlXjZcqXLhV3SKMn+Qp 0xqin7SjSJDpCtp1D5ZbHy6q6oR/l1DEKyIxvEfCl3BTTm0/zS5K0ji+oJjwSlukBaFWlgqvM55c qzPtH51lxgJFpJsmPEReMjO3DjYSmKXmluLRsmqBhYsc1BClneo7QyGWC5ySj1kcSixdkgs/AysP qYJWlMLy0ziKuUNSu/H7udtSXT1LhP+Z4gJpg0ht+jqhBWaW4vsEVLCc1uJnNmsJKmLZj2pg0Xpz W/eAXkXdkqAcl99NaA0Q0T9w1DjWFPFiujju6nSaCA+6r7qAU/MCWkYgMgM+HAJJbga3ktVffFH6 DzdJQZZWSKwqIfo3JvzN1CJnvh7ynV6vA8cHu9urYx5JqJ797yPPYwc1VGA6aTXzmouodauPj8Qu vYCTJTpbPS7DX/Otfg94tgJlg/M+CauZh9SvbLK0bj0Z4w/v6EyL4//CCeFKBxvQ7s/2dxToU+8I PUFEbIgwMUi0EtpCAvlE9rSGuV8pItZa+aKrj2iH40ONo97ZO4Q3dL9ZsCQGIkw81yVLQRlT+qQA BcEhjK/Wp4MNP7mHI6YXd7kpUqJCYYeXtPSdqEskMl3W8duSqdVgCQi6RDGOjO+ESK8MlbL1Umk3 AaT4OGUsvdV371XHjINoJZrg+uyYwoZ1p5BtXgwyvZn+RkEYAKkJCOTg8m/g1Nbcp6CsFY+jqZae ZBm68GdESpc2iiGVJjcIkLTcbCxvF+C33LKdLWhErTyFJuyE9rwkLEy+1mCa0E+FJR7cztQFAxQd P4bLMxeJhDM/NWEHTnm11olBprGnWHpsmWM0WZKdkTyLSU9ffj2EMOc+lPVNeF+OR8ObDKNz28Tw FHFsTnHvt9FpxX/WVIz4bP00sB5qViaiDYx4J1s1/1bUTZFc0eKteykByUyGECZ0OLhDPpY9Eke6 uhCozVSdQm3LQkh3bxpEBr2IJxKygliDw83y53KPFrfOsosjIHmUPuqYxMWgQuMnHSanSybt8qwQ 7NU8CAPeIhhl/GweN9sWHB6EhuQfS9Ey7Cz1EWEFyz1GTOsZxKCIIinH9vqufQcgqr3tz/SImEjg IfjLjXo5OaPXTA33YK/HL32L/icEV7xmYE4pbStdsp3dRopI1MMo7zHZDy4FxcoUIWSvFUb9C5M9 hraYCT4Qjd9ys87OIz2Iqsg9MiBsWVzwhcxxprcSZieKoK9PO9Hc1csMCK56fb3Xnro3AjbXsjs0 On3gaLuY91MzosFTiES47OMeXn27url1WREkpxQ3dqmIiDIobDMFIuPU3xiODlRb5E0H1uhfrBgs nIXnQVfWpFTbcKtPL6iKAi0AuGsV/2t7L/hUzyqz7DDxaxeStGopwEZ6lwrfKeDO/VuRIxS8/qNH DqqRrms7dxle2SvqemMFlyOlWX9M7tNZWQEcIzysFHaG4pzjr2xZzGkP6Tdwg5ZFgu9yI0WszK6I CYKnKd3bBem9vgtJnbc2pEQsrk5AaP+XwsDdd7Dc/rJ3N6yjV98qXIzDdB7J4n6FxRZqzB46gZKL INCe7Ho/EKtCvjln8SQPQT0EUNyiJdUNtEROwa8xk3seFERCzVPu0XgXC7YHjxhbdkmieDGI83/B 6TVMHQKC7hFy3wtPJieXEL5x2tYY+24Ux9aLC5P1af+H01Wo4A+bFVUPPclAgfDZ8tTMc08WzHKD uc54o3D70/IDmNa1m7ZiVqHprr69yZSmUJuBRf3MP6K+Zj3ucgmmwix8ETAKvv9U6Mpqa8Q9u5l4 G13Icnb4Wn3oQLcHCl/U95MN4K3AwKBZSlCA/BxWlMeGqNtF/RbxUTj6j7mMSEo7AoMChBNLpNH3 0HXDurcgq5OPs45aL1t966r2owIqWSIW+meieZAvAV+FNi+KXQQbmD4zS73Spn7XIpidhVLrgMGF VEMOjEi8zhgxyyVW29TagZiZY457DsBl1fNxPbjjejSJqRKvjnbByM5cO8p6RC9C8ExO1DSM2sPE AVNIrlYPhlO06lL0VTr79OkB62XJ4IFhBDrY3Jc460x6hu6361UpO6Qm4tsV3cHm3IPBqPvTZ1UE aWU2CuzpNKix1h+g6HUB7lzfccooYNSkAT1EXsHjwQOvg6ltrn09Pe3icMT5IJ0rbyWJdOnT0Y06 qOCTL1nBKxDGyDD4Wyi0i3iZqWAl7s4YpOZVBkIjXQmz28GT82Z0AcK5gVMzD/qcdwYjwj/10ZEM jxQBcXlFwXH/aYfkfFoH98BY16JQpBs648vdEH06QeWZBiaef62p/SyhWIlU7XntSW0N9UuxFOwM X4gwxo76QvGNpuKFeYulb6XR6PuYDKfCiFVrPKfMSbZ6ZV3Y/bxaVnuoIXxSOl90RZxR4JFM2Pi2 m3PwZ0ukDwjmoqqEk6InGQaKPDBEVVKpdhwnUaaI5wiksX0ePFXyyMnpkPL7Eqr+C9GxT9gxM9w+ wWyk8Y3birW2ROz8B9KAa93DIkUPYASQVve/Q22XhptitUCulI/qh0ZQPrs7+yzNJoLfxauB2/I+ xDjPl9+lpDLCNtqLHNC0REed9e9dvUdCvDi7I6IexzLTA7m3asu5tMQtT0HJpBwgGIk1wC4N0d/F CqKP0/nU8YEHQHN4c/Ium9Cfw3FSsxBIXs2SQ/kQu3dWMQ3KtwHa31Dvhp2AY9jDFFeM36TiiSkd YJG09vugGuGiMcrftUID2rntRqbNfXfYpqWntao4GPdRA+YBV4XpZNmCQjn3/CVC735GdHRxnswx /tu+FXLyL0/DlBVeSdneBeco0ED/SxX0QPY+1uGF0C7sR5Cbr7njnUuzgZrz/akgtk9+PvScaLMd +EX3OFbPjeip53BwxH+spwMjiKzaJ4t1jMQiC8csk+JZeF2PUm7gmXOlFTo0uds2uSRHgDcKiN+J BTk9xhqGGDGj2DbnjEPgnn4EqZFIuInsabbsjeAtyJGgCioYFAnoZUF2a3VGWJKf3HNemIwTabop LGBx6GyuQuQoGJTLyABH65ynUVJhetu3DugxVQQJwvUo7TZyQiyj+poO0ME0Hlup2UaB19wNJ3p+ UTSEJUb0fTtnlnkawIe9U+v/Qetpub3qGLU8e1/pOaExmNzii/rEx8erv3nPJI6D8zqNf/ltsGwa Jpmf1+EsZk7U2Crh1h3rnfRrk5PAaQBNGxyTgiIXP4J+Hh3OOizQmsYoq9x5y16+YyL3YQ8WZY9A QXRYb/0A1zwDQQ5VYXvCcqQA9fKimAmj79O2JsDjvzUHAyhKt3Psv42nfQ1pCruZd/eebIOnT1HJ N1BNhZ/8IN0L8J6GtgTpcqz1ie83qEKQY8HjYdfpRrK9e6hV13Tl17kbZOCbVjFcxHF1M86JGHPV Q1I8ziHZX/S70/h2PVpDLmG7V7nyAjxmOR/oSp8nKtDcAudJTrvAVx8IXMyWYXol333bdpmGA4f8 oPT0WnPm/+nf/jJMCf6miqk7zl/EJeN1/pprm979Lzad78zU4o5K2o5wcUlq8ytMK8XK93Qi7NTE htJ5iCAB25K+2i3WzQj8H7zD6dl698z8dSV961cST9Aeu+dMgHj/08sMJdUDfqFj7H2QU+dm/ogb Dap9Sroxl/ThUjLQuSDwYR8Igmz9Rk+4uEdUVo2LITB9FLJA/0/Q2EAuaXDtpadQwnSKjMT9Jq+J vVGTGbql+AQYCX8HWsN+IqY/TEnMJ9LrBGRyrrsEVbyAMSL8JcshaQBzbRUZZgNRMrX3yIHKwzSZ D+gMS/XAwoRvN6eNJic9eP6bEiMZiltVjBBTlZPQouTQ5qeSxAuGUHE8jvzqNaGUO3XRNjt9KZDt ky5rZP9zFGrex3lA7sQrxZZcmz5b4r+yUTczyT/01pwA5nWdhN34sJRmehZt9q1tpC95wA6DpPZt 37bgRQWDQC53DLrXiuR+TfCDgmGh030svGEXJV4NTP/cs4q13ht0qRXesbCERuCoTFu6SV15LFI0 ACICzBUXcBj/BGJiuszzC7327JmHQ8IdM/ZqJspi0uJ+yGQqkWUfIMow0cBlTSmJxN2CE3x5g/pc aRWpc/AeacOq6ZyMoEkrqZ2pBwacfXXxj+3ZOcnH566Pa+cuJ4s2yTQkLiqI+Q/G4Q0uvTO9/fBO 4iDdj5f9YlY91BgmLyXV+GrvJlwdcToz/SLbW0dEEfZZZZl0s5WLl/z9YECdKe4cfGMGQrxtYoW3 jDwLGUn0JYGs6xmy5MhENBOUgmhbCCPyTbM15Qpq4OS0MWfXuexCcnR3kqfwjmau5vw9VHnFBLVu HWT291NR9NFeD0UwL68dTUF2tPknMXPSaJcCmXnl5muElkexaDoAnxSoUkWhuykfDL0PYJcH7FK/ XOGGvUEy3PeKhE8SQ2SLwslq3f1n/QRDx1Le1fSIOND56GE6U/tk6JFHqZxLk9V/25ntnF3QiqFn U4VOdzPql9aCbSdGm3LgA80YrEG6Rlqsclfgj/N2AnzzkaSc9KgyRbFIllTAfrpsAxiWRXKUDZ0f rblYHrwIMgJ6Xef+gMigmDEstXv5RVzUKwWzv847EEDWhQ6IGF35Lxu1a5I04JTe45THrbeeTAnw S+VFWbBA7vPzYigbac+N1BC0U9yqd7MftKHo7J+n+e6juLsQPE/lo6Sc4q6AFf0DUzMzQeT22dkE 8bAMhJyqvYcWbLV+EpWfA9Vox4YQhu6QMs3exfZpkFqFWz/HOwUKK9urHurBLDqOP+MSqbw4i/Ba o0TequwDdLvsamnN9Ketf3LTQC9Z2fzeCHVRynWedVoT/Am2RiI1ehkpl350jdsfN1CnQ6Jv9eZ8 6oTBb5Lz9Ls9uz2qTRWHq0JeTotCo2vv8qp2+EvuzDD+2q3eSumUu9YWbLaKUegJGZBPjrJmY0PF GQHRxpUnNmUXlXpjyOVHDvy9uZRh6o4xGmYaA7pXKHYW1PlM+PQyhFUapnhDfo1ufwJ+OXbuTBFh RI2FPCel9xKQs4lN63BZz8WbnkGswxGrrY6HoqxVqd1s/SonzIhw2oSBBgKUU5wkM+zebJwz933Y 8XcyA29rr6sPvMNM5PsTJP2kv5gWfU+R20KBagQHLPx4TV+D2GxMvL7ez5lEjFJhduha7nZ3DkWv YWnhx/Cy/logGeTdnW7IESPHTSC19HBSCtHf3DFDRMxifg9HzxOjJj0p94FMGzlVHVq8WkYD01A6 2R83/TYlYvRIZj6F+E9224rXsYNrkS/skIYDyxOlHz9JaMPomyNP2x7dconxhdqlHrRx3dBaS4em pI1f5qaAZg9s1GGdw0imyB2SahCllsY7612z+1ya5A4KQSC9Gw/jXIunqjb7Y7vA5wkgBM5z4IvS wkPGMPqAvKwMT6j3ROgS0nfruH8uUxNiwGyp9gIVjKNveNPPzpTrzXG4+YpkboiViX3yDnSxwDEx 3SZgqrwx3RO9XcgGjEfeSoODlk9bSid8gMAdAZSp3uGrC05zv+h+D48hTEzDcxQgimfrreu34dkH 2IS+aZtCDs9q431PbKFOoB9Z79O3CUqCW+XXdt/j0+fX6DJ1IlBrDSPg7oswzb/ue64uH/CW+yPz XzW2xAOwmmOwJ046r3SoIw4nnG0KvVfq+p0m2ZIaJT/9Yfb5a3TA4oXOiqalOaP3f1LSbpP4Cb3h iol4+azCQtF3Bu5Krwm9GCLk/q4vZ67+IAvX2lFbGfhZZ5Rus2sMm4Nisq7A8S4lBG0i5WRo51cl uB925AGehvi4EJDZbiII2jsA1yS3DRppRMpNKpZOlkfD4S5VlxZA+ff2/mJWd+8inzNZKF/3P/Zt wug6gWdEm+kizL0Hpu/o9gbjemg8Ldcw2f8lZZttcZiy5G2l4J3cdPT0tTrkva0QJ7TewgndwqeH dPnJvttevnZCiaI2Rm2GAGGz5gUEuZxkz0l1IUCzHS0l9NpubrT+vU3S/XLb5gNk1PsuQh5IaGZ2 agatjQ1Q/yA6P0/5pTZfWe1rivJmVWmpWwsYSaLn4X/GOvCV6izRgpWc+7+tDZT+r5wjTZjRyOgw MhAxarXiL5tGXCKW2T+9aAxL8OltxztpuDWhRvWjSWzcbbYojM6ryEHvmaFdVlUe/+LiT8FS6DLV mq9t/j8dhuuBzsKulWPzAcFBbrRhk3ih13YbxX3QEekIr4e4f1DE427es3YoAfSzJugp+n4OfyUs h1xC0NBVi5XzVIMxFuFXIkZut+R/NC8HVp5TTd1YtweUPyDTbjCuMBSyA90SeJMCaC2LEXO2tBzj Enjxav0aaSgXZmIMz2rPbTLkKuucZ6bvrSgTaUITCAzfK0pq6VRBcCUgnYw+H3n9paUgq/wQpYKs FCl7ZpQfr4X+y+wBiJPR5oMEy+HAEFogqotPZ4jwvWU5OWBONAoiVviTG/iTy1XaJk3VYESwTRqY V1woDtUy7dw785KFCuUeUy7Ofi65WQxgWMPWHccJQ6Iz3mVHg+Z26swtrMxlg1cKyoxSHLHQ58km j7Vy5fWgRp8caS1W5N2893vW0BQ49URPRTZNNt7Yg9iuo4aleXBLQiM+PBRM8gpg9Cg34fJQ40no ErzN1GuF62Ij7w0vyFvqaZudIr5MShBKz7th2VEoO9I0O4vIxx0WD87izNZj0iu1Jb/mqAFN+U4G V9eoejN1dBOM/o53rxR+w0keQHwsweyCz8xZK+/Yp4Dpgv+re2p8rXdlaVMeOsyAIwlMJqZAl0s7 z0PcBiJ2uJ3jWmlh6OuIS+TwNFFTIDAfE5BQwYrBiFnz3aEy4sJiIq2kN1inbl+40+DkdE4sF9Th 7ttEouvhoo4NdtpaQCsLkqaDBVTlQOKsa8LHfnMJ7oJM3sNQ+hdpbLp+u1X+aWV8deJCLEyIM8ZL ERWA8/HYHVk+QM07XXeYH9TDNDJe9QidepFrefjhaZBCHeLdMQ9SzguuZdqKK5+YPSkSzBfXbDIo rLG+R6VSQKPQ9OJwp3rtiuB5u4WcnnfeyFyHyO/5TKQT0j+Ys331CQpMQJWX3v5OZBw8bSNKt5WO I17hXPo+pQVlj6ljnQ9mK22AZHTzZW2lMJx+A1RvIytiYqlyZmFOpDo6ZR2R8cPIKOXzrOOZPHs6 d/XWoy1AZOBpDem62wG97Rs5nFb3YIDu53Q4majH+qnkkQBDNb89PlmqktmRx8lbkM3pvOsQXV/v 0GKNCMSoqMaz/5HCqIWXpVVWEmmOpHcwo7TqtsFiXrH6h1lTTX+LN7rNFC5irhnC1ZA5NbA6s1tY LEYWAmuDTbX1WpnoQ2YuvKlV5RBL3AY8Zz4KsMcPZQKKHMPiZQzgeWNYoyGaBQOYYxeLL1GHvQ2o oGviKf6PT4ZEP5Id9dWz1ZdZ/qV5tmc4ngsz/zu9T26P72JWs+ZwT9g0N9pt2QpVSKpgcKkslDz8 bhjl+vsJmhiPfLzXtCXkaZUCe+pXcqTNxUUcYnEswdYCc3T16hhC05S9heQZT+rHehAHlSe9tbfi P+za3EW/zxGS26uCRKjip92uopM1RiBmnP6Fa1Eek2Bn0Z0DTVZ5eoX+OKuiuQ/Rx3rNvwiwKwKR FovQAD3hTNmtRRf2P1xuQT8O8HKjULQ2fPu2QkiaRNuUDSouCa8jFe89CqG8jz/3YU5JSO+Bk9D9 AoCHl1cbZBG1w2mRZxTSprERWHSkoRCVE8he7mDFVpJajizdULL6whjoJnNY/7PtUOVv90G21Xhg +pc1u+wPbnVqdl/D+Fb0mrgoVI20x/PbxHKivJnH5vZPQTVQ/e+y1gnEpAS7kKxAyBKi23iT4F6/ 8d5eW4ntjsXbzI/PrqTpOpA2uh+el5MtxZpUm4JYaNpfZTWjVfsfEDRQX9gtDNH3aGFUOitfIXl8 corPYYWOWYulPkvPjHVMsJQovy3Ak+qILUD6Ap03nzBTRFrkvjWmT48cvp5Rsl8dmv0K2nWhKo5a VfaIexP39bKLx4vcgJd37RW5x4PKH5jbRfYzHdLJzA+i/l9KtakE8pq7JR8GOhDZhjFVJdOLy1l9 6aZMDemPvPPTRQZbt9+zff5Tvx6kB7YmvnUy1sJOB3eOP5sevV5NZYFJg1RrpO6Z8fdnOaMULJhD B6sUDFokViVyAEz8IoaCCNKVV7RnNoyUgZfaszmmozfstbL8cqYoMtvXi2K3OBbVGOpekPJi7Yil S0wigSDU40FqPMs7ztVPWr+yHlWApStXSJgps7o/HRfMWvmWiWUZaXJy1KN3p3ALxN3mUdG26Gm5 cpUaH1531n+zjj6dCATjCYx45z7i50RaOfLIW+OXiSjWmhIio9g0mgC6rs/mlOMuqjonlV/k4QXs JGukYHSoUCr8Qac09wduXWip9fRpkjKez7zB3txR6M0w2P7FIgTHzGKH38mv+9LPYxCXhIhkpPGV fs5Aqd2N4nIzR5jPHr1qpdDRsOAoYwesYYQ1qRKTy5WKoUF3b1L1zHXrbuv3+nN8X8REZB0xCaIA 4e3WNodc0sX5cdtnHTkdTrIBjuXDHOZWz4froHvO11zGA+JR4VzNXJ2Jfv6PqPPEMm6GtFb9woIG 28FICIAsWnT3oLZfuSKiMCdbuQlNH7Ixu+ZeeFD+biPzk9rno1yL3m3k4DkGleACzR6z8DgzjWPF XtqwzRqCf/A4Qk6SEyyTvMJXkBAHVBKrZbcAMRAerVqSHOUPnQtjN9ryYW9QhjbdYc9sMpRy9IHi a0I8tvU7ExdiZjZeyLk28HwPwnr0cJ0lycJiH6ABkxL8Ze3MSmdbu3CM7+mKCDIrFzwSs6eGYODf 8oe5ceQWvjRmDu3ndAEJ+MdBZJ2CGA59EyVSuOtdtAZKIgi4lY6raN0aM/Q71hNhkVSX2FpcchuZ 1uN1jzzTr6S4V4kxmMSOhtJqojpTUgahgNrJRmukwSvVu8naYzgai+2jjH251A+2d7VWgS82XDo2 vsTmrV9ukR/HyBrJ7u/qPg4HmNcmfb1DQVzn4xZtxVTf6BPrKfmg/4g+kfcwo4YSZf9O/fN9jQSj 9Xgn9M5TJNe352mIXtytnAhL9KqxgGk2DOAaxS2zRjUEKoNiUx6vkYfZeWEVyHi2e9bCtNfb4pkr kgSYhepzp8p3Y1eT+rkas1bgD5/tooERbVNgPmc+gRtQBYSjW2QwiDMpafwshCkn1HPaLncGkg1Q IS83FPCqN5F4ajIrOFoMxrpu50+BsPa9cKc0kbAhDd+U+Wk0kn6M32dmqRUId2Zw6U0b4hGJV3hF qG4MNobsig+a0zdBqDr7ekoRaVX0Mr0nHOAWHlMAt3d+w8PJ13JSMhDCIMdVhEYYhQDwfORUrCHl mTf4+uH1gCyVv+Z0CJJfpt0CxPhqyIsAxY+6BHLna3s3QZGaddzD77JxqZRrYJwJEcLzQk13tdPQ UfDMvGpKemCtLW0NqLNElDD2Ge2mTCW6OFKvvpRss7xsVqcJd3L1cNuEEPiRGZNn5P09ItF4tgPO SyLBPKwwSXpSGb9GihB21N1fB4I6h8U/Ooa65nkGxHbTDX/85q/GccAaNytd8nO0waF2F0YcbcaM DGFw7hAbBjEddMNwkFEENEdtcNcyyerWjM04z9QvWlIUtZ7367LRO69TGNrVQ1RClyDRLo4g6tZ3 7C5ecP3C1D/jMt1KoQyCJ3JZnmsIdb45pUIWbaNfDKq6t4RSWNm5d7PeIGvSCpt8fYPnDJX7Yh3X kseU7xm99UwQCB6XY38RB7kW+zkdM6iRvZW4uH4n9XYmXETkJA3Kco2rptH5yo7klG4jsGQ/RcHJ y45YNR4CAp7qA8A499TIJT930KHFKghqTldvoozMk0j6r7k5/Blx+z5XrFJ/d5nmRDkBbAXMfDI9 /cDOLKwGgtNZqPXpN9eWxERhDBOzfg91Lw7plJAGYQUJxZtxBBHm01xPbqSYiwciAYqfc2DD6AkI PZvHDcyC4vLHNlSQq+DlekPU7gZTx+iMcRFBjpXwnci3xJchJNkOPF+D2xgZs6BlUyz4MvQJ4ID9 epw8nMUcT8xNujA5HZR2pif9NlUumXb7yjcCcBq1UKSDegGxvz1ilYPEZZduLE0l4id7Vgi6wzlq E1TsrstrEYcudrYNeOTMd/ijss1IBD3N7/BslfHFYBiJFch9n8DaXCKSLgh4Gf5MwbTkT7wzMOtb +r6A+3Fbr2OAyIHqgloSBuPsIbkE5egu7mCoZwOdnvxw2OmKsB6Z6AYIsuzToXFyf3MSRex4MSGK HpPAr8f9Qz7ZT8LQVgBSPlTOakVC0UtFkA+/0PcUbfINhm+6nHIe3/PiEfBqXt/z2if4BcILGY1J r0klRFBTehPJm8B1TZsSSjGXrT1lSmOBFGZ7dATjy8Mw81Om8n9PXZWMAyWkEM230nSsbfGx9zd2 8c+DYsSsUkZ15JewL5ZoxKaF8pyIU0ZZG3v0nJmWgmWaTolkSyVpqoESOhFYJCyk1l4rwyGjcW3l OaqnAkQoy7Bfam+DZPVc1o9PyEGv22eQ/Tcowl4+dhveulHgIJ4n4Q3gcvNp5eG0IkmuWxGq888d Voofz3EcLH1c7nScjSVORmp82LB/YOIgxbpoXq/csPhebYnybot+E3uEt86k0u0wHyKZi7CyQB8X BDBHRNcpPdKxqVZGHk3/VNtPzpoMUTWdBsxrV54N9jfN2LdQN3HRp2t/v208XzbptxQD8DTkJ5J0 +G3hbKtKHWGWlBja8iVXDYf+FcxP4ZeZuEg3WtNkkKwm1i5PN5JH+jb/Whb04pvKVQTSVnOaYajV 7PsFA23p19HUMIbDHHwxi+P+mwxf906ZqWuv1QdkNRq1nZyK4e29rdMpksu86NqTfC2aGTSyQm/Y /6sZDJNnz1i+AYE0Yw2Y1McHudYQjLOTOn9Qkryurm8LngQVygQ+/HLjL7kliJ+bchUrb91GpGSc MhYoLRohP/q3sYrGOyIICsFsRDaVuH4Z9B8u63UTp41+0GRUpYtX7ax5nucazerBW7IX8ZU3N9yn A4uQmwGXFERxumQZG2Fal+UM8zWH2V+9LHpPH6jZyr3SA/icPdJ85McpRbKqx3416ZcXog+mkVmq RnlZZzXVsm5jYnAvWV/SO50Nkmc6ttZL8GZmIx5ig815p1ZnB/aqHWLBrwMYC+adYVxdZFtyHCoH CnwwEIKynq02wMnBs9IHFQ6ii69DlD1MNANPTGUnUWtYKIH45FTZnWhVY57mSs+pAXvRmub48dtM ibd6DVmreAaZUYG78J2mRylAPOjcn0Fu9qDuQHd/11b0Fc9t20pPg80NjSX5JQm9obsHY94DweEV l4pjk/UbTJc/0IqVI+qh3QMoyG3v0v3ms+F1MsQb26ANE10bDMyJuN66sx5nPR3mLe0tl/6ufWux KUfhmfV6U7cEWIxmfFlCVE+i12EvIx73npUa4F4RUzNXDodku2R9pr+lH++RlGmf/LEEWf8Fg0nq 7+2tL4nXFya5GfLRNZIFaCvK1TKeR8z318p90Lgb/hQV+LxmBVDpmDGAL+P2OxO735em8f8O7RXZ jtfGgVlvBYigA5LJqID+Kq66g4y3//zcEWiFZUcmeQVAX7Jp6Y9b+AP7GVCeMbm1llRb4c026Wzm aWOxLJlfJx3Q4GvOtqJ/B30UDhYwGYhN2l0/KXUlwRL8RgFKj5jUNhJleCo2hGdwriDVFDygOKGg LlncQupVuH5nwbBHsKdbvyM9CTw8genZNjCGT3tyL/DVQi62olb0OC7fhIPq6ujy25BEWLlbhF0V H600+2zR/8UR7iTdSlgBC5ZGc/UiwK7T1jw3iKnzQUeUU3bVoO4GYYl0ujbcdqp+iUTxJL9cY6Sp fCIK5qyoySyItzBOvxR4y4v9DUlZebmPp78Ft4f21xjGck/jxMG9NDrt0VYAQVulqUmhuADfhpaW RhLomzxaUeZAwBjBGTGYToz2cyo8QcFfuRXHj1BnIAo2BmopkikwdZgm/7uwEVeP52fO1V/+JVHe PdOCeQC8eC7DzJlcSeRtTf1ALl2tk7pThMlf8nW6msSs3J9K4pZK3/vcCeMjwwRvX9cjqJaVCTeu J+n+poKji2xEKqEw0JHENHr/VQ13pVNm4OKCqxxF4OvpF/oi3TadY14bz6NRim0XTAlX7PvwtSJ6 sWZIicxDyc2D8lgzH37p/jd5h0HDMtbA7rj5zpbBA8dPuLlhw0y24z5tuDJRsBR8Le66N9yDao2M BP6oqKvjZ3HkZ00da98SmS99ROiiz5PRo+/K0Yn2gRhJ0YSrTLZmiMQdOFRtmMfe08qL+4AZnkcQ GoOentMf0eLYWKX0XQ63d8ihgaPWpiUUmSVGFTDq1GPNdTsKj0blYTVeddydN3gDiDEiNpipj+ew T9jUwx4BgLepxVdPMvkWMOG2WWlhVTPBmI9VF3gtrhOsPbLwoOV947tu3unTNd+yIuekhHDPwIxD PQb3Kz66XgevG9YZg6+1lxcysxabRhICgnl0meFLlBZCOsA0HJGZ0E6ZR2mBxPVlL8VgNIQBbXQa rpf+tdjQUm0H7ZeZ7WWabsCHHZlmT5CZWh3RaUGDbLnUe2nQ5lpi/6V4A3bTCqcD4NBRx+bBKuhk R9ZhMo8vl81fd8xKxmOM2fG/Sdcacqtruzou4wjDB5ofx9oIb7PfaxRdSEV1huQRUv/rP9rmc6fm E8dNhW1f9ZtkbKldMpVpZaaUNzT7JO4U168g7Nkh948EO3bKaU7Ju7eCAsz6wLQ+Ik/sAHbKRwfW DfziDeacOMpJW6u9eIRwj8R2utKsIh2k8HkPa0Uc6NWdU2j7c/2gkOf08nMDAGHux6jVZ7eXz+ss tk6Qs/G/oo9c18KrqXJuVkBLepxvY8uhFQn0iM5P6f/DwMNGTK3+pnvq92T3SH8sgiV39n7rFmM7 GzlGPVLSsfUXoHUS4C6ggsky2SkCMq7ea5HVupE3TFXHTBErZytOjmlKgMShfmBXZK9q3yyTx9B/ 4nBr2XMObHSDpJOZ4YZnK67mQqVszs5j8v/3DFj8Emqqse2yy748MwhvJiQT7sxD5HS/f4GVhsRB lbTItWETVSFbgRH1kJX0PVgeKN7zfyEX7i44yZwDK72qveptka069P24B9pD5RI5eFlO9lRoWJkE tj5exLxFYF/URHi2I5JivgzFtb5UUbjzGnvMqSdJkOlNio+UCIz57b/S3jUbooIRdqhfSF5SvR9/ kVn5zAHK+aP7EQfoobz1PLMEwVmFSQRP828WguviylkrEcVZRcVYxQxIkiXDdkwxJ44rN+TG6n7n f2R/edOobXKcQ7/EulbrzGhiVej2gCY6Y8oAlZUF/3jTRKggyiJvMvpMUTN1X9jHVOMHt2zY7OxZ HTIj3fvU9WaBTmjzNsiHGocA9EUtjWqcZyqA4H3A7EshFW6hc3Xke1/5THITAS75/e0D5FfO3L39 I0dMHkrXw0ADc6sFoVIqSifgCzgfJJPTwIU6SPEZyYEWjaUo+vETVoPyP03NsYMf3Q3Apgt0EE+M kp5IBn0a0T+zczPHeI7qyMbPdX55cDUUH3cf21bAvMTyU3ykrbT1pKP/eJi5nrVv+gqBFf39VlCH GE/gX/k9XsPR9NRhmm+NRTFP7FC+8S78zweCtfh/5Pn5DajExrrH3PmxnwJFPMetm+/5OuIcAL+C hFRQjkmwRtX3Mu0u8eH6kaqecZcgPj+S0WkcQ5Fd/mRtKnJZZodt1snLlt49lqXdeNHr/j1WyZqZ 99ntgj9NG+zNLOOGS3sCNGT8/6ZtMBNoV8b47M5778eBD8vaMYOIqVMSrUDOQkBMZyyTkoMfwEEr 1V6SGUvbILafpxIcLfBY55KhF0alRfCGAzAPOtSdznJA8+V4VgPggGP6jxr6jOpxlt9z4e63vLfz 3EfJpgHdDwJuyF0J8CYN2UoTHawv1iS2eNbHGs5zs/wwB0pM5cmGZ1ZdidQgI2AABC12f4VjIpgu tc71rkfT/sBy29X9A2gMK/WPjLAu0LEDJYPmK4Yf7kCFCJO6iHTCVYoNRnGj8lNNyxjCaW1U7ZCg d2XLuBM6Qeb9Gk9jTfL53slvws3Jkve+g6Rg/KiWAjlo8iZnyZJCwRLeFaXazxb/Ur9PMYh9vDqL +t3nqzWXQDcfNDDkZwrwKlbw8hkcrW835wL5jTCK7i5O2nfq3g1w2Cv7nMQlQLQLG68G+4UsMoz3 uzcsAndq/iu5qdGAL66zPUFtqEML1Ex7wisria4JaOhN1b6J7PvRVdBCOGehcuehQ8KpiGDo3oCy w/J9FWI8XyXSRzkK8C2/OsIHSYWKp1oTnJwLKqnLwwv2Eok+hry+ttUf0UtC9ElUugtwfObhGl+q zmfXQCWkTJjAks4ouw2kBvr4PXUD021epIgAhEKKrtn7MjANayLcrxaN18bMW51q4SDQzG9dQaPt IR+wqNSx/KMcVQJg8zSSx952vOdx/So01GLZrJiNvQBwcTgQenrzj5xu3CufRrCfp3A2MWmrXQbz ilKOW5PFWNCtRWFgst3e9GcmPj6peCa2/f+v1b7TvBiytJokWUTrRP2YADdx+VxbKK+QiYcHxfdq QQ68+1G9Pk319vqYKXsVnofdY/ubFtdJVdF7jzpyfvwVAuAi+1xNYbjZBwdlzxKxAED4e7dsyBab CDFQhTJ3mjj08CaBbMEpQFJi2nTdOrR7Auf6xcCHX8BfOJjv0VhSKWK4hymyAJmO/Vnbcbg7/z7b JZ0bhZoMhboFbRGclVCWZCMzbL/WWLTZ5undusSRG1WOCl4Vb7xmdpeUTdrl/fsn7qkCod+SQCyg kCN3Swtz1tRRoU80Fuv6RVnij/PSlYWlzzcR+77yFU4ZBO5vb5HtkpTLS4TihooB4IUyGSkQIVOu RVbZR67btiEwq5ZF+kjwEpoq1ctvSmLBdfMRRUP4dWzNbYEA//f5HXlK+35RHTAYXXOVaT9T0BRB Z30e7kWmXzgViZThzt6A5a7Ji38bBCxPbuFdDip73AfHxYvgM7qdZ/7gqb1gQagP7OxgiIMIcTx0 xEpRhOqm2GAwQq8jDpqTfY6WVGZ45cbZAOqWJA/QIcq+U2+BAhsofPd9xHanDJnQwTbqlEKQi5zp XyBrFEjRwVUEIqmN7OrW3RgoCCMQoIYSbibVX8l+lDKLRgr9bE2dXkpmvew+2vu4xEHXiZbS24oG PhOESzOZJ9H3ZKB05eWYhWxCqWLjLKytnQDebu4RulnpzbEE+xybYiRXTVXRXXnLppgpodSfGZ+Q hCJs29+cXWtDp+7go40mAUSQh4O99OfVsDU96MCARNS5LSaT+4MWfS0AxKZj09lm5JjbObGgJK30 AovDVDyS/ld0bxoiBdFlP7MbivOUrNXaiBlbfpebWEI3z6d2vE79mP2hxmc1rpGFOnxWvEBQ4BEY SdYc4HpMVqsl6tQnUPK/LeU/b9IVjNiUPB5uUHdnqRREF6BdsZRdiHY/aMQ/tAvytaT1+9BtxLKr B3JGXbD+g+EtBQmDVUni/gEOB7sTM34/CQ8WlMHGd1inDxMpCJmNwy6qUlrbHR7EDenalPZuFKTS OOki9BImWlcwZf1mWl5/DHIbI1f1/DwgK4wtqLmea93BNtsAY8EcVJXZka+pMjRe3iYrPRPcmiGj BfC4FsuU62Ni/15oXbvlHMWs4QHWQ3LQFaybtPTlayFbz/8HGGRzAbE5XAomsw9ENbXYsLe1tDWp tEdm5Dgzq0W2l2lTGiTm4C5mEBRPzImyDxyRwhvKye/WQFRSJ+jCq8ro+GgPvP88OJsL5tMkrC9n yDKQZQCMeNKsg+A7ka+rcVVBboz3hVY3DkCGnV63Rorl1nIYzJpeHxoqqIEbZAX3GSxPZzw+z/Dp u9ARIAOTiDkRirl0r1SsT0OYPJug70I8z1Jw6tYJ4Wip1yLHYWL3jV/ze2K35vnw39J3NazrJGh+ +yBpFAxFSKkPfAF8VyUjyCVGDELTMKIiv9OkKcmwGc63JFB/iOs96QVCjbMMTt2Ykk+CMoVATR2K 2u5dtNYwzCNej0qk7JxR+sSd8L4ev3KyZKrizwB9ophDHlH4SaSK57Ak1s5Pq0ChIwYn0hWnUONI YRkolW1CXq6NX6K7i0vrfDqQJOL+UP09LAk2EdLFpkaMpzGjdznM1harxdYPVnAqjeNgAQgnHJZj 8dH+vxEsgOVD5VY723b9W1UPm10Ki1+MCnhKQ1bjy2ZKvGv1GlVVkZQrB4MKmtaPTQgPkpw5QLTr W8HR18lAE1nuVbtgv1FvN1kjQVQo8QmDDNlfDYXYWgom3VKuPKdu6C4/93ncUk6e1bX3o3yLjsQA smP3FeADNTs5meefgzoQC17QMKkCrkwfCr6C0Du7tbib0f9HPrdMTZ9kTSZoaBJQL+lKfDgiRDi0 d+qhZFthDf0vrooq5/gXdkiOKtI8zmtLOfjUOm1njJcHAHkNxtSjqd3l3VInuPsjwkGWjPQ5DK4T nK/B8w0xOu6shjdROhlaqeRpqxLZDwA5hJHAPlFUX/BVHLfKdKGVz4RmUEWbSgNqHMwcSN1DA2TT WSmxKoYrzcbrj3dd1uf1g4mcyVJ8tWuCZDhXctdknxfCPxHKLwwnX/en6xWNcSR7vGdRRiyJQ8aG fm/km10bb71sqGvL4nZywI/aDz8tEymaGmIqeW/8u8PdbVRLebALNYqBZ4XTWJiFgrmAAeIzfIH+ ZwFCVG+2Ujnb7wVUvW9LEkB3d+ltOlL4JMNtislhG3/Vfg98EJ/HdI4im3X6QZB4al4xqMwEZ+ZF Seks3QV2PlChVAUaxielJgIUMvj9HxOrdFl2LF61hVKrHW31JjomOKTUF1id05YoFAG+WbUDxGsI Lx+VM+pdhbUpr2VEnptfvC+RwCqgKmbIQH3rcp9dgV94lDmVZuTPdWQg2iS+IYFGnZoCgoV0e++a 55OmJ2mc003vXFewqsuGYhCKQZFVv0ia7BVZffbOnLc0bXngh/ivt8p/YkebURP82aEv8L4JlmFO tY7/3H9UGUKlwHsoMyuJOM9/d1XTYpfwJSJzZELVirQFqQbMpIo/a/CQqM3O1JBTIQgdBA0nFi+E 32xmJlQRbXCXGSfpMTFoUgyJB2zZd37y9N2UOD1iIcz2P2Mggu6ap/F6cBaqD1PuZMNkfnzGRcGP 2JBS38Vzy4J1swaaqWfDFtTr1U3Hj5n5nQj8CxAPHNicmPizCXCGBj5mHxSbHKBI+f8LmYdS26D5 busCIZII5nD61/e0kdW+PzSnpXnasMNEmScHkkr3n6df3v/vbTt+AEq/QHF5up8ZKCGiiSqgHV0b lyNZNfEh38FkgnD2l8oyd8ZUsPrsWeSETBIFR/6PO1iooEgm17QZzwDsIQ3Dy3ZoNj3D8IHFhyAN nRUdsD/nW4CBymA/fXLn/QqqeiWg1KezAolLbabLxijWWAf2Q/ad3AhGjdUvDwSFIAm5y20Tcosf tuvXZg2gnOI2mjrklmMCiJHm1f7wfMQKluZl904jEQBGl7XGIhq98mJBPD/cM8iN/Ekzd9XpUW2g B6ecD+gUcbhvOyUXOP76dLTPoBPJVu2PMNc29fExW6C8f8oW4wr2aDGmXRSVkF9ByCwcgE20gM4/ H3C0VM/Sd68c+h+F4OtKhsSi26uVEfP2gkhZ54WzVYJBS9sJ+eewxmjAHu9t+jXy8ptR3DpshgHl e+ZUp35IWzacDY3oAPYAYMcUEVYPHMyeiCj6hCCJLACqXKZ8NOUbYhrTNQ8+lJts/W+mtywvh1Ob u5tcOMvhKxAdRdVja/saJIyUlTbENcdNsFQB1eYkR3stONPmi939wCiXIovM2xD5u5PTzw4YRP86 gihJv8+7CmqykbzSvNRQGf53q7WjLChSHtLYeK7Int5+hRUgtYpOZwpk9CmD8T1QaEApJqT9BWWv HHvCblsqsXLmTQRRTOP1mxxNYl6lcFspw9WIq6GC3Rs5gHHehlwDWUt7G9odbASPw4gKNPvU+ky7 iSXEPCm0aqtUDe7xVeBKTR2q498xArKthT74evSs9P2Rtu7Jww82hV2rnuTKobYcPXEWbcIZdt3v K0EHTCgT1JYiGs4iUl9CSwsTg1Z3Ua81enskGv/VH03wfw+5G7F6YsVhLEp+2Y3mgvFNBlpV+OjT pO1bAapLQgYmd4gvmhFyN1ntAQVXdibnOPFsPe3qdcBj81u4w2IObNLMiCLOTxKJQLAT79ICPcHe LMZk8OUjvhr5krsnFlgjx5Bt7opv7QHX6IOUc82sdomlmyh5rSP28lp6lb9AFwVLKmpgm5OYDzsI EyGIT2UIZTvETXk5qqRuFxYVcOYo0BU7j4iw9CLeOgngeVdtq4R+xoh4cLLK8FpHQOPYjLXUgPBh 7X73NKjNJJXZPC0OKOxj1lM/Ja3jRoGrvjd3ckOBOQavy59pWLdBoAcnIpAHKV19xVZCqfJjQXrS Wy8PM77alBjSnvy0trGHL+OoFwT0bZ64HEA4q0PFl2Qj97cPfCn6XQ40c66KswDGEMCu2XflO16J pYe9H2w/C85v/AU8gYa4rtlLGPKCSbNnvFMvVyR666xdyZYBLtpMudxQMVA8gBwC6fXPspg91F9N Ujng/Ir6go3+5gUp+EOM6mmosgxOPisALnxEPpYuzSFx3YFhE1filulnSAKGWr03fRVrArrsDZYX I0qfPLYUPd06jO+3W7skOUhMHAJOIymT9Q1pKlWLV1/E0X9Sq17H2jA1MpKm/pxY3CG5C1RSndvh ZIsoZyz6dAF7GDhDcLR+KB9QmEmcs8ST7O8GR61ChyPAomya1wdkX3Bp2xhANQZLCGicniXylM3w GXeJvrZJmlEcIiLEGlv/4YHBM+WF/bU24oqCjHsvLMh9Q/3jnpRUH1qpqPKUNHLfHKP1YobLACIx 5hoA59grvovwgw3kzSyF/lssz1+CtGTvw9/rWpZXiiGJhgVF+yJAEOselJLe6hQk94x15vSjpa3D 3nWMsoH8eXxv6c9lmoxSjKiJVlF15euZAdzJAfilLvhVy6Gzvfaaznedp/w09Kz//l2F1EdsbW+Q WNquXUDRiVUMdDyjTtmt2F5iBcoywE2zN6QVd+R8uOVjFMGth1WeP/I5Ifeg2qwZjIu+TXsmR5nQ xCgCcIxLNMWsuvS1wdsCSERnozAKJ9ZE4otGaIHuXdpQCkKxIb8jI3j386qsPK7R+A/vgyfJvfMp DmdMnkNJXxiTLG9E9lgYlNs8NyBp8UF05kMfJM3lRS5QZharkKKbFKP87sPfpC9K35xpw+DqEQ8H ztV8Z8VKOvBeJ1RqCn9PLXbngVKvi4Zl1sPmPjG+ePZ2J2dh6fAlkPBx46UNFCCav8lsKYkigATy QINsGh9Dr2Mq/iY1KMb1LnCmVTaKjaX87fFWhMkQfLNrd/D5w9HLPKMoEy46mTB6v3uAsWbkj8/5 hKgqKHPD+fAKHWfI5OiXFRAq0e/Mb7NIyf7iV3BN9CpuhpMsn4hs9ZW84pD2tfqkGkYlspyu9Wfz 0h0TEe5dao3iE+F/iSMGAUSDPTZopl9Lhqq55whGfP9fLTig9oAdYKka2EycvX5JMkS/cKbrhVB6 mCWkp0s3T1LBgMYGSdK8fB7g5ML63VhH1x8P7kdmDwF1Ibez4JX5lboZkTWESQ6vncUEqgQVar+A Syh6V9HgtrBvtTsvPfQpUCiDXNpyL0uymI3xtuRKhw9ymaV4wtF0KMhIm87gr36EE7Jtih+oeNdY V+SYWn0Dl4FYxO3jbK2TQH7sRV4O4Fpp3z4wYxI5fx80zkFrqjbqovqj2xqOaqmjM9lyvz2FhWPd GmWEun2LxY1ksu00BOLYXNC+8XG1Z0LCIpDzejz8XR4pUxrUDgRxjpQkkXu7Un1GkZjpP9iKL2gx rM83+diToyx6+JoC7cBKKlrFb1nUE5H4v56U+3/oiGlUCxTqBAGQkCgqlaPjvrbE7LIOGcTBWE91 gMd6IlquZani0OrhygCYjiqevGGs+FDQF/Ih6IFwBPuHnu+lk/MGGQaYuSzK+UBIWcHM9G8X0fa2 mdZ5b9/RVk1oq7S6eUrLeDpqaPML707S+IvjWaW9mgPAaFSpC7q/potH9AVl6BcAgzT8ib6gnV6D a/tCKd5LpW4Zues4lqcAAgZd7vqCKL25NLamIMsBT9hctoJX5FrQ5FBUIADpmVxrs4wOfOvh4Jk7 Yui2lzHdZsSEbSrYSSsNd8RyJUQR6CwBasv8CQq2E8lVGTFrTFvZHWyukieNVf6uGUVWkSOA/uxf xXGA9uAa8C6A7xsCxs4MPeC6fvvahVJEQcwTlo7ssKoiNF1bi6apBy8v1foRK3/b/zvmFDiucXJ8 F+0AgCgcXCI0LorTIkxelFxfCfbVZgXlF4nV5SyR7tn/Ko6Fd9M75YanFGKwnQ8VR1bFd6lKwuKt yD1DllsXlYTM35+jmjoiThylmSlWM2WA1SWrmtfGGlg9UbT82NO/yep0lntcYMhkEBEVy2uS2HdI TU1EioT2DSsYGomU0l1IeOBtaN/vkZL2SdXKJJhz+8FuGRKX87xQAwU1eohILiC/6GUTj6FkLAlc 1e5ZJATLPEVvjxXjNtRV2Vssbb2T1BofvZ+EahtrvJuOjETPfcHrU1jTYoNnbi/U8H9Xnerxqynf TS2sCDYuN5jnx8aVBua/qyiwGT2HV8PW1925NbkC1E4wYXrUmyBPr6m14W/arGkACorNYvZK5KG/ e6eEFkk7yozyxR5Hp5HkAcCOZV/SJNh3PE84yjibCjO/SAXi/QYZcUr66uiFmA4NmsK/V8QYc2DC D3GIM+/ETDPfECAz/3ByAJZGEue3Q2hYIT5zxuDU8QQs/mbgtvvGrZTAciocyCqjxAMsNkNTAeRi kjYtd2bjXrvS8Kl8qwHGBQ/i2KAzqxiZkvJzf0HEMrXHvERQDrTt37el9O+mSXALqr+pN9Lc7he4 acY3wkdfP7RUKfyZB+z6JymWtZ8VvQuXsywcKpGxA3wXJa4t9rOR5K4BRHSzVpNRM9KHHl7huJ2q Dd7dwNwj3cJyuhrpK9gv+Z2aT5soXyPbhh2LUfUPY8cFA0qZ+4q6/ACQoNclFkAxhjomndZiaOG2 zoQdXDgOuHar5pA92RAucVZMrAODlcrI7ua/G87+3zJAxyVEz5XQqrttKYC8cN1W9s658nov0mUD 64Psxd9ujSfpEk2jj8S2L4JUbNnbOHkCGbZ8PCe+oT+nyQ3E4b2O7tp8BMms8nS+G1ZRpPc+rmYW wy7VZ6Fclnu/JQxibtzAP8w/MY+1j/YPlFU1OwdxKHbLP1lNCbQKs18bAJQgatmqGqB3wFMy5n4O kCzpciMRKm9IxJwuMoaBya+s2EyeqlPcOtdWfi/ZArWfvl+kaLI08wBRTHWSTOa/pbluz+G7ELdh 4MdpPqvikOMdf6AAe00Izh0CAuX7dUUMiMtfROQE7nIvPZBtnlH64tuMAvvNyFcWwMCSzB1+FfVd +pKy7gvlQweeQ0nI+H/9VnG3//YRbv0ALeGW90movaiXkNc8lFlnFxQ5zrfHbGBrLC2TD2Rr3Cz3 aB9O6Tek/d71jKt7VnB78rr0EUFLWTS8tklsfG/XLPgNrcSmvkphMCVkmhgaMQ7KgrxwkP2wUVI+ F+YaN/pf6PeE+Scgd9uoDf9ihpXNKetQN8nBYlTa1cwD/Mw1CUnuhROuRJ4UI02MR88+aFf01L5v qXp7FQpUzZ7lunKB0/uLnDHXjn9uxzBbj71M9v7rKagNG/s+9V9kn5ZJlX0Zjv/JUsYhozOUgWqb 5mD1u/fw1mTqlQWlDQaQkOyAY+nBXZN7WliWme2gWWOlrkrp8m+fyscSKyOMiBXjAB1L8Ms4Wujf vxNTuCcWdMPCua9PIl8T3CElzoq5TD7TWM5S/R3eDWObhXw1K0qbhbnG1i3O4fTjGHoYoGip2pJ5 rLPU0AQV61GmZ/cMZTxqzJBUzj+x6oRg3b+UF1thmMdXsbYlvweoa2NWuodm91y6Hzoowh+APnsu HpH2QFvurYeUm2pEosvT3sdfeo7BnGq+67TWGlRgX0RQkgY172qe3hnIq2kv6oCcDv9zXWQ3J/PX u9K5kZnISrmxBBRf6kX3IT+haSWuwQ8UgD8CeK9hIrnUFIBaHDpljRHg8QhObA1ktmSXAre00No2 CRmhwyg4FFapc0daKTR68Hl9WlwF+eQNslNESzSQmX5MrvhaXdt3ZcZ1zVDQzCTsrqoOtgEn0IfG MuBvh8szlkpCvQsssMvoJZuoiZwW+DFAfcTYhpb69rn9zXpahivnnSfYiyGzi4DfBwPJiXHrqnGa PzH82C0z+eW0w+ih7+uMbTXND0KTWZ2n0x3ACQtXwOl2WZIl+c/6SLsfEvkLk9ppZgJsTXEdvWh/ v5ZHql+txUtKpx89xue2gHw6JVxZjkcikG14BLS2O5gvGaUGNofVigk6wZHwzcGjqIAewgJlrdj1 rvH4SuDpN+CTdyaC5RLdgULI4+loYkLIy1uDSYIwnr3yG79TPxz2nrIKMLtkKir5aPVo/zc7x1lA bK9Ouiq90uFtElxfnsgNtNL8PDOufd5JCF6uqEMyzQ83JYa37IkizV4IcN6G0CePU6GAw/kfJKXf cJLSzhL7msKn5x+fVxoGlEVoz96W+OGKVxZrrl2vUO6rtgfejUoP5cskUKOfhx5qxbj6FThkQEim uulCxiUfi6SZ8kETmmjsxc/NWLmG3+b9GMxlVDVmGQ2tr7di2B5TyVkkOlnQyVGgflLuFE9bLH2D eV8XptSQflPEY4/C95ymfOI56aDvvYfR/7fIxB636o0q2wKS6ZJiXnXYusZK1afY/6fKrSp6F/q6 ze0yTdO5EMhELzN8DmRpZU843qoYakz2ZqwphOS9TTCXyG3W7bTaZMbwh5vrmg+cuQkLRxPtA3nF +jpWAlMIj0ocy5S89BGrwNG72MUkw/b1rOKI0TwWvSNzhufAiTSMAgo6QFpqNzgDJPBXtkKdRbKj piNSnIo/zSt+dgzotARgNidJpDNgKFuFz28ZjGOIXzBtxvbLF1YW1pemUSuvp1uWdE16wxAM9/SH xfQIBjjTtOzIbfKuY37+8xZr5x08vzPIwp+itkanRzbhbUuq4IX/e3DdRwpMSJNwbECibedCqgz1 iIAN86u3SZhtXvoC7teK8RR10/pGiOVD199DeCVBNmGpqlAPM/DVxIYPE70qtyI3EVT7qXalLdA3 HoLFPbeFaxyDUU/zFaOlH1pI8LtFYij/xDkCf51ahJuqi9CLC5HLXXHveYekG6LNiP7Xxo2hsECm SF2IjRLPisckDjybt58/szqxo9AyqRU5ZuncPwY1bcg4n8uiBGSApLY/CXkhmqUVjkYc86KvbHaj aZlBV4otgAMCwasZdRsV5hIAb79WmmztX1S/1bHT0YXhr3/GQUXrJZxIHLu3ut3y3R9W+UVehJ1u s25FFLc6z7pdgZEzcUktPBWwrKMweaFpoKI4BxbbqFn0lsSBRaWhnjfMr3qiiQFYrmHr4Q1YvYaE az3QaOC7w+Nq5gNcasmyRtefKCi8V6/qfrtwmcHLqvVuqsTjvU94PYj0GY0cYYlUPHisNea17dL3 TRi4/72d/dDeUzDNocwE4/RBWVNrT7yvVVmJLZoPHh2BM5GVrYUY/iK2v0TdXRX3CFbCZFZ9sk5F yMDlpnohBlaPu2CGJY6T/25B5B2lmiI8ycZwX8IpFQdBuVyh4o8KtdSyg58nJe7Ta1TqI4eXCpmC +PkLtB0bkS7BtJOZQwKlpmY1w06mr7ZdKYEia5GxYSjCrYAnW0IT8bSW6/A+mctPAPpz2jDGXtvG K4asdR6BIxFpx5/C72sQR2/dL2fAF3ZjbHq1or8xZbmFg4EVhBN58UD4md8+d2bUd7dvxmAtmdv2 kjWfp6cv7qmshPVeX+blG7hNTxFIm0oZmH+S9/rNtFpoU11hlTwpZCMtsVWp090xHM2iUZ7dqYWQ zGd5lb70shi67SIeh8y9DXYS9CUbrtGknCIcGPoGJUkuDxEfIx7lzWM9XL0NI+xIYE0YydjNScQn 4P4pbzi4xu4ZM93djNWDZbTUejKrAmDS+H9gN6vdkfwpDEV8WonSegAhj8+8DLfaoMabRrWr7SVB tkDcGC+1vKzOFMe/XUqZglVjztmMMfeFkiiMMu71UfjWf1NrC8dFKzPv9B3x2Z+Fne4FkFIIrszq y30hkzhWp0p1ZMgs+pXj+Btpa0NZCKbLIX8G3PuN+8/nXp9WcMdRHh7SM8wF0OxsCcReEWXPuvOc fVqdnaz9hpPMQZk8U8NjD+g1Kr+Iux5zxvDawkkFlWC4bjU3hMuugqIh67D5C8tI0tqHyMQuU1OZ h5l220/QMuDGTIoVhtE3SsmhZ64QCf2gXTtwplhSP0guTDrF1QKO8pn3UXuopQd4Irsepryzpr3Y V78rdo/+Zg+LnNp/KKGJMvBESkYhn+zXoJQOqIGJKPdLUPv22ORYrNsPST+UkYk3872ua3WEzMiy kDidKQkkW+/5fVvKZ3CfMA2mWt+4B45276WlaJlQN8XC0Anwmg1ybVeL84xkySax9iX0CupKKE7q Czog11o2xngDpjxLYdNlsVoZd5E0imgDv+Ox6O3EpbkV1zYepJMz29n6jVHit4R5+C11bV/7kSLk 0Wb3Bmw4CWN5c15zXDq4+u+KwYlq1jMqsso+VhEThwY3Vv8J53leI5zSVNgVb0Yxgk5HcDBhb1w4 NHgApsoWcIAcFaCKt4I4pu9mXVprSTfW4sdrM1yrLj/4HXWWuVBeKfux5vOG+qkeqsNt8ocXRoNj zN8ss9cjn7VF2IHtNpnR4wrQfuPSeeyvenG6OSALGvFUiCvfUWLmPGug+qQcYnrzOKvdrbB5brak OV23Xvf20M4WhRTT7GoJ5LCZORxhUBbZ6i34tDDs7IB51IEMWGFXUsZmNxuuM3TGeojqO+Yk+4qQ 7K3O2Qkyk8cJwTC7yEyC6JW/ManAubkK9KLpJzQVEDUgWx/nUCxMQs2by+U9S+03do67MkE/avco bInBBpqTKHqGRc/K19ZVHZqZYsuIOgy4IF+XeaDthpvuF1RZkaP/qRckbz8GYTLWPvMUSoHJCcT0 5kXS4nPn4jsFX+il1aaN+uLv06yQzqImu5HdczhcK3JJtdIUWSu2JOvnmoqx5uWwNzK7pnibdmi9 1cys20l6sElhtlsUCio4N97KT4cSgfZo2v/jaJfn4lcRYLwPVqxgLlJQE+1YBPSgiuwww0L5yMsk xfy4RYltTUmIGMoGjG4lwDkMWF3guKh4z8IQzUDlKnaiwj4Bj2jR03tNgrhYJhu+qQf4L6/8HRPG Mprv0yYIr9aHrcH8/y0aKZ7o1R/qS8NNEA51orFI4nhnpkUj8zv5K4KCrq8MHPuYGq6ckpb+4kd/ WBkS4UQbh2HKbyUqg9s3bQAcizRTH2IOZ15oAPvMSJzUH56Zv/JPTDbh0Bb6v3eUMB+wlS7DEpUp 95tXsBfAqEdv8Cwa5mLJG2FEU7350tHNpqZyPXqCkXM4+Bv/9B4vrzSiVye9z8KyimOEjFl8S8af sNv2l2IMSWgEXOiFXJGxmEWSTMtzZlfwx9dNlwM84rVw6IZe2ihkAcG+ovGSA40v4WJ/BTX6undD r/p/5hyFd3nzs5swZ505jLYJTQ/hDJYkSBIAoqkdGTZvPJpQ7zhpSO8VwJFyTrgu7bbDY2/EA5xP FBx8WsSY08Qr2vOMRTYi14oDDirDIx1pV69uJkoO8KfeIE1HaRWTuM7uCek2IZMEQUO3XywEJkXQ 8zvibAikzTcs/CPpDgNA94cL20+8QfxKJjKrhTFE7R2ApWdjit5YQZHEoUSjaarUmxs8c+j4iNtX QB5f9xbS1QBiVR1tz32PB9YbOaDqxsmei1gak4SwtpsuAju3BXt0L564/Rq7sWGRuyoTwJlVt3+2 1cu4t9scvyzO8G5cwAoicBKLLuoMMkXzUesjmzCO+8Qu9mamxoVdMmIiHOOEmMMP1hvf19JFV0fT 6nzUDKBCJmiC2gvolrtLJ/ba5zMccnQ6uYYZ/UfJoUtxYPNA+ftDIXMawN5mfHE14dHmBeoVOipu eCMak3qj4h3Bo7w6OXIFyKHDsv+jqGz8fx59q1UU3Z9PTg3GOznqnzY1TO+bZQkAnutHHj2xJhyR DN6GkIzqgunCibcuPo0kUKLgLw87XADkv0BjxJlU8pWnMYJhFhKM0+DREanCHxKpZIwBxQOGStTn fpfSuaF34FUEMDRT4uHYfTncOK8+fe14bFbD/A0ZPSg0C96b5RNYKIXngU26/+Fim3EO6EVxDgjF 821GRqb4/+UZeZHEapr8wMRZgRNkXkGRZPgdcruW7ybEz+zxvGQQpVTkyPy+vzRvZERtMqsyuS1G 0ZMEgcAPJzM3qdnRDNF07lZvE0ZkXuCy5yEPb46GpwRqKfBsexF1A+hma5it4N/Q/eFyJOeFYGvR Ol7rl6CvEogNv6377mtwoDeEOWGG39Q+Y2Ua25x/SAzi+Zbd3+1yxZWnlhwqDVMV5o5N2T3DihKd htzj0XXcHlroB4qATJVpYXnRImMgTC5dZ7FS2r1Fs2EyKQQqYEvM2Z0wPmVRH8BVq/xx92QvW11j eoeBeBaAhEv0KTjRZH6XOT/Ch8n870apObJxUrKhwKHTIhqQg7mpCx5W4jWU9LibDleLdfRhPUsz HRlTq3/QVrnngBZ1FH8XLo0xlgAZMIjsM2trC6Tk0EnDdz0beHIg+0oYUGWKDc6lcqUOOPbxGwQw kX2NABSnFei2Gy6k/7+elXTf17HO26Jz/V84JjaET5Pg2ebfUef2cMC10V+wcWIHLI3uLVsdcI6w YYx3jjCnJDkv2l36FoFi9fyycG7XYT168X2imdxvQVdBdOoAekGYvpFoBZmooXFciTeB0PZeh89k pVt+4JMF/LTNgpL2sW5bbaamqwtn5rqs5cLOoTN9izTOyxzgV94VGv1JEN5AgaOWRu5LATGoeXNn 0pV3vFSk4uikUUScw9oNESW/63jEK3ir/VZvnwX6jGE9xHHhuxOK7DdCMjzIrRVI172QW6Jc8pOv Xt688lgeGUHuN75z3YXulnfH4Wz+rtxztPKnlKrUp4U/GFUNcUyKHUTaA4u1eypuDy2ptgAWwgHY WNRkg8iAd6B4sQrEB/GAFMlbTqh+BPYRS3RuPV+oNHNHKNHfppqrSFxAjOPBYfeNBZfeleOnjA0b rG3+jvncM0x6oSKmsT4+BNbIxXM1EfWQT4WHpK6ZgpuDX4h8QCPDU3ox04VrOvrZTCQWTNF3DpJw zLRQFApcLb+U0gBaZHqmn8QEImsu3bkCaYGMmYQu73ousdtETlt8O3z+Fh5yMc02zPOcornxfuVY OWqtFurvb83w5/8sJ22YzoBUmQGQomKTKUSSQwGiqWOfe5/tXjMQ5c/ETyhyJZJYhqmhv0FKgXUW UwG28DmRgrRGT0A/FncW/lAAJzZ41N8M+nEVFynX5q12ssPPeHb/R5PDxySELLpj//4mlhs0/tn8 LTWcLys5FXz9CfJ5s4rG0yPsiy/k00f6NEmlHH9PKdrvVJYI0z4f80sfRoSd1l4Gs+J4x0a9NOKo rQe8TCjK7rIYEi9Dn/sqiLLtTKZY/0ku9ZkBXXbXgV3dk0YaqV3J7WAaKLInE3jUAaibyQBwBwSE Km7tcbvOmUfk7dkGo3ObeerI6fS106wOlaLAMK1wTZrAusP4ZDe70VS4SxaHQe37Y6uiWLvHYdHn gP6hLpGsmbggggB4q4W8QMQtxjqTvhHrgYo/JtiHQ6U8GtYLMtQ4MzbhZQUJ2TThMrdNtFTEusev aHRiPXu9auv02m15ZQ3/RsdFevnSEiI1ufxbG1RsbZ873k9wvhSqi1LBnTLyWR1PIK69MbnyX4Qj kpUGgWjUPGTdr65WEX1dinptTMhgedSImSMd567YR7IkLmV8gzygRC0tN5l0peXZGVz4pK9LnqoG ASALmjllXPzy2iQmzMjBnuOIbGcaRokWMmqtd54dJKN72LusrHvI20IG4uVf8Zhpcu4Uh4RbUAv0 I8xvzEACwa8Yb/+NG4p74B2/3sUWJWdkpQg8uE3CH8d/P3g+gkFl0jnsWyP7Vz+nD/eykI8+HeS/ abLbPQViXbplqpCC7Ma88Fb2xOly6S3qwnMcuFV+oWDJJmshpEAqI9411DDn9g/lbEGqBlTlkpkp s1/o2JlT+I6GrElyW9PtFd6itRGdXCfhZ7fXeKX4V390X354KBLFcJvJwVWIHkzDMcKPPnzlcgAM 7KR3PI/70ZyQrnnwKJwoHwngLrgrIUbV4ALc+/OL7lrNG+5TrwWV0tV/jFtHN2Ip7DaEG42TjtNt xXov0Q1ToFVX7feCVnZP5HumwGlPsgCXZqgtUDA8FznrWhYQPGn4fXzOGqEEK6I1kFo2Qc5ZSrBv 4NHrMlV0rwZK8f7DqVOAKQAxKRfBp2kw1m92R0a5cvINOeX4g8QWabFOEY+kDkZhk4yuARN93f7N nIDUtd2JcSKFZWd87BaDJLg3tZKjhlOUnyFX64dd9PLeAsN4cuYsa6qo5KBP3Jd41F37GSzNA5EW cedax4dl5RiTpCNc3IM+CtWPX/ZHN8wtm0bIl6G811UEL41sNZDzcDcNJbIcmCDdEiird8ICPbLl Lji50wRuvD9CTrIXKVakXEht5aXwsJDgzQJPTzw/mhU9aJ8YMStf/z9QaPsPkyDPEP7RBp0imtHX nTa0g8k2VOdpgg72m8iYDL0UOblAvi4ASq9T9+MaUiAbmd+b3zxY3Gz5pk0Z7094P4yOhkXqZChg 9oPzssCPIGc3b8BhjSehNTxCbpOuPNzjuwADctpuTKAWnxa1w7q2y5drrh0QMpC4RKQK4XYCzNi4 OrEbeQzfYaCNfLNpeiBbdejcX5yO+Y6fMqRIwkERNZs+Op9a68iUqiPNuz6Jy4jtv4dhCG3q+6xG DBCiscCRnx9mwkTHhT5ngcuQaeBJP1i/ZXAyVy0uddd7G1bnCsUK0858S3pY+HCeU2vojijLXhoj XS8PicHyw4HTkH1nHeMBER2dnR6Vt5MUf3Ztsdv7IGvfz7FyzROTQwdVULm4e5EkezbxlS6Itcjm FS3165TgpLrGZ2dbqVw1d3reI4wvKU3Jr1XeVAsHt28F8HydiG/JYWr9MHX56qRFJPIJOlPvV9Kn y8KIunOA9AKVJNZ1RhT0Fc5SdGNBERuddoiyCbDqAl4pFCRZh37usMdJLz4XxYvNuBAhKvD1p+LM bzpcuole8McKut1EuzT9ty4KNfX8NagMoHWmW53k6TaNtwtDlUNfoep+5D5JeuO3wQJFYrh6TMF4 CDkadbaj9SH3HtO5gMCKH7QN79AgUO/o4vrCtEnb+AW3Sbmf4STJNDnTT4ObSh38Cqfzyj91Xai1 YU+WXAC60DDutckEp0DQqXfV4Z9PU6iPxgzvYXSVWk702mpKrkmXUgxZabpksXWqK56ShooHhWbG RAldSTBQ+/ru14W/or/UygHW8djmJiIRRzIIfxWotZiC4Tex6XUlaIs5gkwHpDC64wDY5Ga0mhNz ZVgLVyGrzOHPe3QOrilumpZYKbpdIU83hF/lrII6GeHMb3TXYrcg2JA1KSXl30t99djNuETvUNVG WgBfWs6QOHRturxROB36nZGsp/bgb1SmGCuLjdjYeNVt0LeuOBPLciz6zameQ+m0XOB2+nlkJFSs naxUcLJ9XdU26AJFc97dLtnIzjqdvFkKsL7d9+lDVBrWKbBv7sdmcd+0V5s3ytrom5krc47N2QcI 68Wguc/HC+ewQI6Vim0tQmVTKYPV+80co7CaLBpHYk/KwHM4cU1QEz5W3BcOxIQsz9B7ftEyYlB0 OguTZKVAxEQvdg/pu5fS/oPy0farYMZZT9K6z9/YqYX37DrgDLmrn5C9HWNmkB5/NYW0Jg1G9RXQ OeYQBpLcZBNsm5vaIssSy3NBr6pae0VuM5ecD38+6+2QRUcztKyEpBufI5wa5QI7TnhGk0fTvdHS YcT85oOO7m+LgbQhRrhRjM2LdULPxM9eiRlfOfvioQUG1Xgu0ih4QFtoC/hJC1OpU/xwgLRPpNvL SuhpIVEY5R1rkG4xJ/zJHqr9O6d+Ofw/du3vvFOSaH+bW6X3xhse2CTldJy204ptXqXqnRx4jD66 5zABLFEQvbhoZwbftsHHmQLDO14gsnf6Ona0H2N8pi9ZtB+xeOpv5fQLI0RE3jjK//I8iqxzTPsq DAl15tu0wfHs9YUGmxTm18I6Zv/Ddk7TjI+ZoW/AO7mDGcuTz8MMOdGt0A5rAFqG4duhV6SipCwi OJXcaqMVOMal6o5ufQ5B5YNmTpWWyiOMAVU2as6W8dt4ykSdH/i5Hbz5ZP/Zg46mve75QvpMaxv/ ifHCORwDCv8PdlYiMcYuoSnnnJh38d/aGlJhXHA8mvCRTAvgo728JQ9pkdXx298blSlanpJw5mo5 s+oI6EEgslz4qKP0we/VhwHBm9Ckvt9z5q2pPcuk7Rudj9xOoxJPnqbp+auB8nLwfIJyJUI+9d1H DirzRsrRAcuemwf7a/kZKFUgotmaplJwrrkxVvu4D106RBe7jdrd3KZBkik6OZuaBdf5KuOklK43 y2MNhHyZYPBAUiXuSXCuvWJt+e34y3t6lzYpWW5wMNfP2dgIKFVVfBs3eYgcd0mEpNX/vlNQ7UYj lQjmyjJJfSJWNKH7odAb0eNAgS7EhVXgvlPg34+gSvHXB3TViD2MNT0V9pG7AW/IA/RJRbMdER7/ Jg1BjYTfC2Tqll3qDhdmC1IAwzWO/c2OirogKEGjfNn5Fp2yUH5Ym6FYd+hGGsxwp0q5w+3MqLWg sjrXWWzHU56WgKgAQLke+HUfPrjapmWzj1J1ALuFur3egS0oYwim+yvKDf//I7wLR5qVNjRjQTSV SZGSG15DVPHSICCr6iFekSZ0P4bfwKBWnReQJtm2Hbi5fRLJMls1HUF82d02H0hHl2XkoP8x1kxw gm3ZGjptg1oyLA9DDMsB5yYGMhjLpNCRfccT8qWkfe2OM7fZ01kUemqpr/K1JhLOLPtDlfxR7Z80 9hKIj+0Xpj9xwAnIKY2iy6yUg7CTahO0wj5iaIe1xasf+Q1Vlam67M+koWbY4jTysGwmIM7xbVzN 73o9Rlh4YSgYAU0QtGIu4LnewcgiIIkyaHwKgwSUU4Nf4NKfewEPFq3540WbzRDxHkbyyeZRWNfo AuLwDeTeDbfGRyAEloBduFNLA7pSOmEwsue0dPNxYsOV28eIYVwbQt7o8a8PeozKuFF66WTMWDf0 QSj3OXXllxTYVBeFs2JsuKUljMK33ZUfIKdW1/ZCqUV2n4UhvmHI7D1c392xLMt/odq8QwNU7ORL U623mC3lOiyEJN2xahoJPGcA2641gC4rvplvkV1v1e3i79sPjRHe0QhrFglaTxdLqGNUKltc4rBf r678mCvvYxA1EAj/N4Mo/6D75AL2Pw+mhZk/K50azKYAhbNm2KpXifYZ1oBhmzBrcHzzCRt6HVv9 9cALvBW3CWSgLT6zzTPTP+u38MnMPIU3RKEZ3jCBSJ0scLRL8rc8qtCWqaxJx//IWs8lPzlA33D2 4dpkzpBQf7dQl0bne1WTsUbaZ5IEVecPT/prURlWiPnOfrA/h4zwW13/RlVY21VyHJJQm3IhVOzn hlmuWP0YELEvPNt1eRWyxndplbgp3Qyn3ZFyqO7jCwFf7u82kVKZYV31ss0XIZm+nKmjSKoPf/Tw ktSWV9E+aIQNUfNyX/LHODFhFxphCFe5MFhjd1aK0vytDJnnaHweM7yFVCEexEkLp5E8xNSFsjdB /PVcaqIvsaH9a8pXX+r5NfMSoze7LW6CRjQqcMFOBIk3xysHBDcOGNNHru27H7u74J0FMXMyGHno 6aA46hbrgWRoKLzVJ+WzMbiqJOfgACOwzyt5+pf6Id9eLfE6KehxD75+CgT2dxzMQkCo+3MTDsgr kLAhnOBsDjbDPMzbXXVGIcZtyvd9tNLiLjKYMbb8ylo6bDEwgYSJG9Itfj40TsAZa5iffrIDnQjM 3w/rorkCNTtQDft5Owx2iIKsnEQA/gYRKyafatux7iA89kTZ69R4rdiFQOB7KBg3w9xqX1tKjGNG nkYJ8LXTXGCjCKvabO3520vniTZjKhF+KQGHGbBh8pikVixDiDGZJNCmAfIEY2KwU/g5S7htSUpU OWlNd2C6X3mau2SyksWCc14fvdDAefvMD6vx4r+xEUffSv91icoVYsMi6slslQ8mNTmlLuoTSi9B evZj5vjPJ8XkHVjhz6pysDmM6P+CgiQGk960wzg9PywJ5ycw2QNe50AbfhRx5O3uaKo2FsXf2lzu Uo9Sg/O8RUpE1FNGm61HvZv9td2htR+0Hrv9Aw2nUn5b4QwiBdmENMYZ7f7KiurXesfLX53PCxRH xGG74VFnMuRMjbI4PB4VNguIoBUBGbi0KW/04QTBib7FuES1xm/5fpfLNCOC2kTK4Y1wqapx7KQe QyO8y9XC0kaxPSzBH48XKRMvaepsvgeFLkPYEKgngQGgS1y7D4KxiF65oo7kJtIie6qbohsUMm6R hsntSpofGA6A5HpDspD8y451Oe+nxqvD2BOuRxPFzBo1UUUYb3crfwUxZUapgAR264LVC9s6WrI6 6flAudKqCbEKgwuAA/k9TV0BpDMWnt8SpAkK9WfeHxdaIbPQEuTiLnJf3+mBaZFl0ykB/ulU1DU3 1Hg196nfnE2ObcapxhSbsPDiec4nRy2Ewq1PyJbyHG//qfX7a2vOhZfekCPcFk+mUk3QRjznHT2y 6qGm4QBmG3PesHYDPts67tjo7/rnzP0vk4AdLn6igM0pMpN4AgDqjhKB/B2J9tnboZPXimPPxehl L7zIA7wR183IQyWrgyHBouZi2Wt8YPAThKbULV9x4jDUF4c7nFVrvrzNHAK/uMrnpqSEsIBbCzhT TFV8iXz0U/hMXRsl6yaor6WZc5aibnIcrpyrnwQREr67SygJHmoV6uyUiFOv4aCYgNH/Oov68RYe VgrhJ6nZyd4aB04mBJ413Y2XYKqimruRLWIolx6P3y3IDKxbi8exCKa9fRZkH+fIsDmTSo/B3AZZ cHCc0Cw5nperfjPr7uHEEP4NwvrgT4i+yQtIxaowgSxoDSDia3SaHhrTdrclwekQ5p4wOILJKa87 fOzts4095zC+Y9rwbf7aj2f2H75vy2tQADy1RrT7xclEJXdgh2B6iElyMrWrqiYUEFIavhVacsLw DLDwyT9jiR24S6rvYBY1LTzfIaakdjcH2kokyITyVxBMFYBQ6L6oxgCFnnsNEoWjI2AQLd9ySWH3 uEZfFITeXIBx0bYAdNbaew8rW3ZEf6ABP/flwOU5a2dJ0q31VVJq4QvzJlPBVqR7+60MzNrOin7k LsJnoPUe7+ahYNX6uqwOOP8m1rMj7fEHtkunOnkC2/Rx28kIjmt6jg9FSIO+gbjkenJgH3XY3/Oy +K0R7GdPmF7NQ7sour46ReE/7kIgm/jwUM8gbEYv7aPVjrtr26jkFQeVSJTXVICPBEg/eHSyqEYF xAxx/Mw8BtFYve7IgUFuTBKbFCbJdggmvwJIxFhQ3Wx7hi+HA1pVaoRcKN90X0/JN1GlH9ZmHkzA fMIka05fvR1pIerK8BD1IdufwZ3JBBG3K8HCiGG6ojuGoLXM1DgKOBp9TdpQKYCqzgxxCHjJNSWc evDtVK02gtEvjed90wNUJRI1wIOa5doLF7VnRBf8/mTF+E3HBfAy+33KR2S3Ki+2GtuUnpPc2Atm ZaWsaGD4bWAWE6yFx0mGr+kJNHg2TGHtfUULgemLuT+/DyhkWq0iE2Aqiz2Mb2AILRmtcoZYZXmc wg46eLc4++boGgKf4H5AEBzJ2I7mctfrY1d7UjQcsPqD9WInbwyt2GacpzBF8PitPIPQtSvCy/rr TWMm2Yzco56HlABHnsZBdN3V4mIqIEdJGWeufkLsYW2F8H6LDj/w4BfQx3qXPWowli//D/4M/8nP WEE/LfSa9PrvAF4YSw+3ZttiFR2zanC82vxG6EAOFIxvxufSiIiuitpUOhYr37pZ9kl/aW7L+QTJ DgYeOcKWtuwGKPtAszPnRswoB5TcEqc4mpwWYKQylsgu6gqoVbLPDntf6MGYCO5S+kk4L1cSO0Ht KxaDTJNbV7iJ6n8lY6eYy9iEdhYVcELdKC41JbFya/XCMdphNJsWgs8GnWfNJZo0UzRvHp6eIEg5 bsZFljvA/x65RJuBmcWo9ZJnN//N5WuYDAPFFtFoHSvvNTfpSSiosfQ5Zd691davaP4pYGuR4628 Zmq6jVWktcGRM+oMehnpI9rsb/IllOABoaIgI38HX28wo78qhIZoJwgfNy/VKmsgbxLRO3oQGxoB Bb0vGO5a/FyuxjEamjOEi3IsUOJciuE9hsytxxehIg0KVIaTnO22Isya0MyLDQz8tpnPtNib84eD DDZ6waBsfyhi3w3/UmTeiF7ab/RSOMJF3O1go+9Quyz0sMPy3hTtNaP4MLNtKwfoj1BqM45DAPvA iBA7LycaPJyHFx/8rboSMgtzACKFvU8tA2sNIzWTva1bKT21fSzhrwak9rHnjWj9D7jASW/kFevF nMkJABl/qD0Z6vDoeoX7/J2nerktUMipIm6Epbxs12Seqe0/Y/NOaH6GDny7hR2WXavrBJWtEXtj lX+zqzYdooqUim70rdKX4QNvzyJp7+Ocyt1WqCtAcorc0nqoKoh9vkXI0ubVD28fTwiVUYP5klE5 fjq8mzSKUmReq/jAq23d7Pn+Oya7RzlH11OuSqQyYi+aIUTNgfg9wq23N/5T16St4nXi3ML/R1uM pZUa5oD8yScloh3atl/VXYLnPG1NsIosMFnkYcZ2IzvyE48Z8/jvGI/Yrb5xlYxqiqq3PFKjQzn+ JHYUYauqY/T2MFwZEfP/A9kOCrMVrFxb+m69TdW1pMWhCMTvUZVgkPs68T8WlkkJsO2+sFc/byGQ OF8ZYTmY1QvWuoQPp+i9+ufdTOXm9pS52sI3pt/xS+aaKpFmHHejFhbyPfIrw+lS9wnr4KHgBb9w I9+CkFVU2/nZED5syAq22D8nzNx2QqNik1SRIW8ELcP9o/U+T2KYnPuMEAET4YXzI9UksEhvwD9L NfXWWP5PnrTyMSgs0oAOm1NPUmQxUCz8ycOwxD6xbin/i8CipjDjAOuSc8ybN4uZIgibuMcP1L0x jG8alWUprBOXrUWNV3Iyb4OkPmG1wkp2F3TbwmB1zQz88Dlk3TIquaVa5PdtdE5GEZ8RBHjW81Eh umE2UiV7vPx5J1fcOHjRU5/UYQ4gFHvzHAIedNHlCpxoVb7jlMs227fqI4EoPda7gqC0aj160p/f lb/ogkEqY33qxkPmni4dqyci2wDwl2WBjuDjEYOZs4fB9HdM4rzczDm1JNN78JEArVO3tcIHF7vS 7sLbG+Sgg54zRUc2Ko4v6jpB0XDPjTnmKJ8grX1E2nO4BauJTFgGzLUO4uWGwOlcz7zcnGBnNnR0 aVHBa4n+O8HdAp2Wfd6NLl3OmgyGZkbrhyG6pkcXiPAdB9HYqEjS8Co1AIGnqmsjrR6ZkpzNmeqx IftqqRxsYYqNe4aBHB7I1IyhdnR5sI4Z0rL+Q9rkqwEMquUpcBK00ZA+KDf/J4G6p4ijEGw8LZDh WAIqUJBAet5dbqLklXur64jQz96Eud8eMeTPtPSyCm3BLBkBvj1cvvjWElbORSlL2n3QQD1E4Pbk 5oOdQ9Gk6MWZns0i58wgkz/WAM+OdUHdQl7gqTxLLlHpeMZ5DZRCDu7O+23/N6ds6cQzrSjKAz4k d64fzRXNcHPQ8YuG+62KCOSreOQWD3kKAZ3hwosdL23t4ECRFcgaYTOYWWcJGnw75aVVnHAfHwK9 W6AOW4GsTXKfFGI8Z13gX+sehRCGiwaLDN/5vo+uY/EOd2UX2kEm35pEJdcASGcf6mQtz1BFm2Go 7VCZ3mfauPfey0JcycrLNhidvDyMVTQXDKWw1eprqY6vKbljAivLA2A4fzA4Uqm9MvWkL+scYpv9 Qck3VPBBY0+4SiM6mAmWoZcaWSGk+qNaXNvxJ5BZ6LVGcxcbzS2efPsxxJcGm5MfeMsGzvUVGyOd 6fScRXC3VEtDrj1w+lB+MTKmWgCLXzgfvMyffXGx0q/GcA/ywEYvE0g+hIepZtG/s70oshI7c/gk hW+0J6hqYAv1BwpxeyNrrh0s0gvcvq4sgEwzx2CgfHDxoZXD6Zi22T19E/0PduN9LGqKPiQ990BL n/RgnVFu718eQlaWVJZn5iL1nojkgfRPBZ1+2NEWpQ0Cdac76wP0j+TBYFOCdUoiFvf5PaBSkZnz qKlxsVnvMqq3r8Km1Ze3ggnO4Kf61wmiZ2o4/tTovMJeIm+xQafRf11RzHSzTI4auhRNqA6keWEI 5B8M9Qh2X2Lf8WaxK17l79KNSg9H2MURQRjtG+W3JGam441uSFu4w6CguEWB+0aJ77wEA4t+ZYVl pmvxBuQD/Wf8CBMlrG2koHkBQ6XSpub+BH6j4raj+2ZoGgoYsG+w+cLXKLDp+DzD28si5a5275F+ vYm8wswxG2kN2SiNTNexUrMlUOLy+X5xJQMwHvQxNflWi2iI9kbSQ+jUb0HDXvnDsgySv9icEiT1 b5ps9/fs8bfn9v+0c+RlQYe3K0JkygZVXHslwMgi3ctmKctc1qBhF2Lqmw3MWtDdQgilztpQkFsU 4yjIg1AEc9+qMNwckR5Db4k6m/8SF2C43oYQ1/Jt5iSGLr9GqX+Ut7T5IhbqayhI/TpfH1rCScLI hFvQ7F7/BllH9oaaU3iRF4XNv5rEk9sFDLC2Wsf8ZQraLnyxPBZGBpw/spclwr21sz5eEqSC2RUX eeUdA3ZBfQAhmHhgLHzm+GgC6lGG983piwoDxMFdxZfGnm6vHcA4kUW+t7m/Ms3wqMznNt7gQVL7 3MfbAFJdgAYg9clcDn6TMt1I01V1+517LZZtb8g9n258XgQl7lqL5TFdl7rXsanRHKoQ50N8fFTb vR6e2S2jNWBZtjs31ff+1w08W7LyMF+spTCLdf/Zafv0mfYxK55QbZi8UPOZkJ8n1NcH3BeePuQo fqS7/0SItfa0KyM+Fn6YWlVHpzUSa5bLi3WT3SgbkEt6iNEJuGydco9rlADu3l6Gc/xH9kjUWzVV 4faQ/seiO6RmBTcnXtqLL43w2EZ0OraV5ulCw/qzK9awLBio3FINNunmY/nG0XneQy5+IJo+yMHk IXQkyQ3j0RSlP/NXAlLYBLgODEnVPBvTyYjbYNoFeWees+g72V8yS5GzdxUvQ1lae+iURmsNHTX3 B/TueqbYYNq7vvmBkB++DruBxrDbdNA4WAgAZQ9hBvXlMN3yFNqtUBXFqAUDwZKi9X77kz4e4G3p nCiwCKAKjMBJj6Tm8SMHzDYGl3IXt797MtIOCnFzM/q2rNmY+/NeG/t/N5h0rZDHdcoCVTf+b7Le BA8r8uUeY9QhUKwLfrjLd5flYZVzZCX/6jDpw5eUGCLg7Nlot9pqfw6KpNYPLjPjeYjy+pK//qDD RUmd+EBMcDZG6giznNSKsucR29wniwWkwHZrydbdgpLjDUIlVMg0N1vaYDv8vYUlB6QJYHdl431t bHkWVQQXuthNjq/NK5G3D/XY0e8dA9iiKxonIOMu5mYTF0wvhym6ZaCfFp0nr7G/kod0lZZfgBjO pWg87/5CFF2+VdSUjDKK/jyJ1bmDQlsUf2QgDP8E7GIepds54wa8b+QwCOFY50Y0vUkVvKqgz7MI HbKxZoLOe6d8jAPhurwQA1ZrylRO8FzfBaH+MYzYNSSYB+AogfJVeZYgeo3wWpXm/U0ZGsKP61of 157QihcQxVw3yI6ZVdfIetxywPeGO4GSPBzNhR7wxmiptomxXjst/6ZXw8QaDkNRsL+xDdrxmBip r0Ns8EjUcThKDK4bH605SHlDba2bjQwzaoRxosptQIpgjsdt89LF6k6Zl5lioq6EEsE6XPxcc7vl CirI808Z3OfYHWcJftnsAKMkjFuYhEaRHZnbD1Kj0EsvHUICBk1LshU2JY83wdvIt9AP2majO5iK OR6GoV7YSqYU6ImbN5szDmdfcqe05tvRQIJWEN9urqrfVeHc5/CQ7k9lOFcwtZ0KQUZ0trvJO4Jw OkcIg+0/5uSP5rQCS2XoMHtFRyfBuNKF8Se8uCIu2++n4mi/APP65xD4SRdggV+T8mr9KTpKo8wb JXKj5BjY9bJVrSKua2WhujO83jDgoEfniWARSkBBVFCUn9oVXYEWvVbHxc8SPUxK1DoMNhypQOwN 13Rz3Y3VE33D87T4FQS1i1MBW2EdUWxhTA0Z/Fk48eqSpLpFjOHVE8lQPmT5elo41GzQo8AOfwMD H+c1a+2DGca9ThJ9SfwREydwu0n7wM/pf+FxIaPbKC2m/RupSi2E6X7gekgE4oX7Kq9MmXhWQSG4 w4FGr89MgBqQCsM0iW92c261vr38N3ytFKH3Bgs6PAj+BJ3HBLk2Ij1zkuS9DhE4ZO26JdZ8yHr2 6fvlzPyOIbmpFuKjuObBfkhKiP8T1RwHtvccVCvh/Edcn8t6RfgGBwsNd7nOsrd9fGh2M1RZS7Fk tprZA2PCnVJyjZhfafRH1s0lvYiltQfwsqw/I7LW+2VnE8R8uXUNvxZVx+csVBg762+CvLwlXDmB Nk7EjtKF20ePBN3cfs46aG2qbRrn9VrtkKcTcswde9FKFjCNxKXTFdyBASjyULpaMcM3YzHP1Nkz bI8xO6hPWW4mEgPNpnAar+tBv5nQVZRqv+mwen6G8vV0Vfispu6GpWiJ5syD2j+bIxubxbOQKvRZ XtEy2hDksd/ZbU3zKwGgYMds1wvsq/1dYh5a/2ziEhYh2GBMv7RhKer4XddRTeX0VFIN5a6y8PSW +UyP9VyUGIo5vpngIaBkaKASh/P1VTXVY/E8qUm5DOFaP0/AcgPvaJJEHBje3Mt74z48ZGnyLa/B BB0B0Ds3LfTut8Wyh7nFxYFK9Mxszv7VrXuNDtquXt/fHQxXuwXkgV+YR9Np2Jtzqm0RUMkBjz3m 8R+cxJqS2JlGXh6rx6p3vzerEK8+2+C7ZdR3IOvWvwbyZcVTLyz5U1NLlj6NE+3rLDrMf0cWRCma P/OIVdULTQrVOIqHTXnNlc6q1iVZAvWlS5L6yQPvsdUk2nisyEpZfKy0rZ537Abfjfq2DxSuMhwj B5+QyDX2e6HpWGbqlqJw4eAUAN402VisQN1MiQ1+GvegOQl68VfXTfClLBeskQAZ/bVWA++oHa0T vthkTsus2bawnI33OS7GoTxAAaO5YEGvemGXaAybOdwBmiXGz6mn3qTmnonxAXa74gBv8/iHy7Zj Fl9w3sE/VFKCyV5tn1DohY+aSuIa9zIp9GcTdw8BVC+0ncyXuPud+NX/5GL8kv1HtuppL5Lq0JvT YMQS9BQIId0cXLINnWWnPIAiva5owJsLZIN5CVkymONK3D28mOdWycgZf6rR3Ntl0gLdX1RE8hcI Fr1x9KwwKXmpWMgJCdpQPlpPBjjcYEDgmf5zhS+wDn/jaa7r0sJ8+jUfr41CrwAQ7vSykWOqhDws Qyjvz7aBuE8+2pm2wGpNdH2AXIPf8xdNFArbFq6eE3huqAQCVFaXQV5M7j7e0SdSo34yepFGgjEX yvcXeokFeKn/pV6iRryh9+X3yF/pzq/YfeF1RpWPor/S2vq2PTpmyB/oSmo85PhEjd4/peLMDJzh bUkn1zN1LNiwYncWktX3abH2nopeVL9+SASXeKkHP/lUUVnuJtBLvoezjJ/dbxoilL/wpE3vMKMd m2/jPP/34sMsB1JyyDXlMLVgKGhbRYEhf6P3AkaY2hRM7BzAjAJUs/t1qqmx3V9JVwokgq98LELH opgCtMZxqllVi9teg9bBBfCb+Kxi1dTQWSOZuI2FcLCnayPv6H7qfVPl8FK0cYYit1afsayEgSDF 2AL/y+wN3ELMiKYbTAhV1uXnOKG9GSpgzirzIQ9gM14oNU8I3BdSZmTqzNosIHCwE9B+zQJfRE8H Ay2NlqWhyWkxGg0eMGkYSb1Ps3N9ind5f4/jvJWOpAOMIzugOyXtwMa84QXglW2JaflmCBOAvxPT qfMwqjToUo8dGLQiV0xUOJHrsorzC3LCH0qvrU8Yj3dfindk85fslyZ5wN2KuNaP1yjiLKDqAZ1Z nynWLkrO+2xjZif+D7IZROxUankErFaOY0tADs83GXpOkFswWleyj1+oL3R8Hb8bmlGdRq459kbY oYVShQrCGaYkDAPj0cmYgIF+p3is3k6FbJKu5jlEVAsMpOJs0AUKMNXs+ILc3AARlcONHvcFafCv HTLcZgamB/0VgS6/CI0eAVWvJB4zUSnn9o9kIx4aTZBM3CnFfRq4cSw3Q6HR1W+/GCgQiI2MXxWn LQvMYX3AhQwN3UCceEYPh86OQZwUQP2G5DG3rRK4+lfrQhFLYmz9zA/LSS37qbKxIwwYPk/MP7zZ eEZl8s6CGWgZCQPv6hv4RLtVi/dQtxXPjPSWsL3D1fxNyRLDGi2F37f5HKXc+b05x5Hw6ZAZcfkc upUO4uMhTU5t/Spk953/3JsrY8IwLOZgS3rUcoeEmC2RrxovCbaY7Ry1LI/viIpOLemvDHJKPBFQ chxDbx+qkY0ba3xOkHs5hKUHiOd1NF8OdjUK8FGnNOd/AxKJDv6yNm04ovkb8dMsD/xyKCKbfifI hcNU2O/fzgEGJxIdrcRLaMYDOExvWgO4DYrafGCGV9iqXnZFpzPq6V+IT+ouCVatB8WEltgPZL+L hmH9Ns8SR7/8KMXMoatDnHEXXPWs4KfloErKmo4cfsjSzh0ZaeP+PpwzLTdA7lzeZr2lEodYqFRz lxkeKQzAcvJcL/YLDhWo3AMd0m2YgpPPcTP78kOavr4jC3TSgnNuUN/VrVfOC2axFdMqbN8YNz/9 GBO5IFpc5kaUy0EC8NE0YQGFgN2Z3K18bmbeTBKB7w57fpD5IqQVL68KZfB3mMuBgJDMtipmd/lA kxOIWhXv6SvYZJls3ZI+hRLA52maYlM/nHoYHi2BDJuqDti8WeJx6QLeIWB21hYD0rP3mS+zQjYy j2ecL8QTn5P9LrZqcx2xFpVznSgmybvQDzBW+c2TCAxL27rBWjMSm1vpIrcASj1oYatbtGZFzOOn /uA9Wlon7+98nQTU/y0Da7yBUH5gtC1i9+sQZYu45vxucnoYT23k1nWzYRQUBYDqTPsbPOqW35hJ /DScRMDQyv8oP/E9zZTKA71MZdb7mmz5+Ag1pjgAjd4yjrAuWqaydjU3Lbf6ZyIUbagX/T1YBwbp z3uIylzSelY3VlHen1CDs/cRyrU2vqjPKllIphfQNgW3o3m6Fo6rJ5xWTYn7aaN8EBq+lh7iXzsO lbDB0jGgmj2oDU8P5QccS4zrAR6y3T+1hYUE+RkY1W5JJA1BD3l0BEjQcAwHCKytUpfNDbtd3S8b ik9fvuWwd6OG0uzotFzryPH964KsMtL4a+AbKe+XtIwnFkod8FnoBDXCgPU//NPvFaKji36GceXr lM48EPIhpdUQ5SoE38i5WWresUNYOiYtIDSvxsWbpJMgvRa97M1yWY8Emc5eoFZqST7QCW+borWM 18KsZnOyKf/V4v1YKP8JYvKBYU8JYWvcDiSZftZx3cqMHa9/z6NQ/2X4oKNg5ia/rwblZiwBz8ML 6WkvE6xn5gPc7pS6jIhQMKGiIagaS8IBUJM40Bba5STqlN7kY4rVdfz8I3oJVZv8xlCKAtbdDuxg TQEJOYhVjVqtJ3bvp2XomYXhxhmoTfx4gFhcJpuD06OMQy3OqUBMjBYSEeVAKcs4xg34j59KqNuy bMEeljjhBP2m1+ZeqhrB9gG71a3NXNqv/osAQo/DaP0cHRZ9NCjCAO9AaogT8nrw8WKgnGQA/4KN XDDXAeiohs0vDbI9V8+L5NmXfVMr6UrS3ndUx/NR8oTkgCShA9SrjDSsginXFMGOnaudOwOZp0ce e/xPSzt2KAdGzThLZh7tzmnwopBGZvaoY71Ddv90KK5QSML/yOchOzHA1l61s/LfuGq//kfeiBLH qRG1L+ieVh+GM3Ub+a67uNyQqNjKQBSXOWvy7oe1GieUVgo6N/2371DjuiLqiMezcMtCtka7XdFy siL7wfixQh6DOijb9K4byERfEy2NTdQOAmquSNaS/fW+goM4KFiqTr0MMAd5sgjdMKosV/oZ1Ldt omP5zspDFriNKOBZoeBDMqHs+SPRrqQDcFyr2GZivJmr+ugHznSAZ0MrjP4aaJ6f/04j4VQAaFsp 5osW57ePLmHOpEz7KVhhDl6iAOkm2Ps9nGo9tj1AbL4tUiPlzOqeydrCCgPNDR4OprV25CyftY9T 38AZSDlPhWCHxm0vJr3j4zncUQzxG97781JxLBH63s+vL/BL58rVX+WX60GAlbjIhEfwM8HN05qG Z990DKNh2heUvD2Endw/NSm3Igp8kxm15RKN8pN+9KeiF6qLgcA9+Ax2JwmVcJGVQXjpXY4sCry+ YxhWwx99tLvu2SW8yV9DwYYOgoVxPnFuEBqH+ms2o4T9hpAxBCa5aU72vCsvbnltVME0sDtrlF5j kXRdKWbaKON0w4WaxLtHvthLRHDMSVpYpARkEDmxZo6rqDVaoHvTRLaHYM+69bG6Com++eaJCzIR DpOTdbc1B4W2LBNlfJQowMB3amIFX6dpy2KzSpHISQBSRtg6hkEWFT0k4nKrG65DcRISU1Skry9c S2vvBI9JXYIaRX9D5duiNTHWOATE7uEFLo0i8UQeB/rVIaBnwbSSE59fmvjAjUGAmAkTyQluW/o0 pcBHzXHp5xQGZ/LYRWjB7A0Csje1QJCNpmwI+QGfrUjf+DHORi46lWaaXvT0YC0vWC1Sh3LPas6/ +XrItgwc7PKtwg6IEo1jDQc7x4dlDVQDa0RCzpgWdYHg/3MzIxkS/LUCU4fWMZgUnGouwY5p93II 9AZAQuEt9Q89amWECTtO0LQgmeZl4ag7lLyv16AtkNOzmxp+J2YDANrfxboowKhaV0LeNJg/BUc6 Dz5S2p1k2yMZq2DlMfwbPzqUcBqQeM0V9MzIEjxwViH9T5uI8Kmqlsy+Gq+u2JtPTBHv7G6iWVGj LWjTWQhNa+7u6v5+Op/00imJafmFfUQlWj+Jj0CxF9vnoFhh3QOYaMyGycZsK31vGVYkdfvfyy/l IlcGdIyWN0XtTh7Qs/quk4oWbpWDq3qheqAakiFiX6iHO8NeDJI5qZNuIRNS5Vssp77jz0ImciGu 0cUQLJLBR581rl1f7Zwtt2Smfyc7cRht8+vljaFLlhnM8GEOe44Mt+yhZyEyaa8rljXXh/ig5qlw jnc3NRU0IlDEZ2aGDiT5lCcaqIP/H0SPDhjD0iqgTeRefQB5LDNZAijsombymN55j1zHw8nZCL+I UtpQnUcCHDtrhtJ1cayeMTb3LMuO/Ilu5mf8WrCUudiUL8D0uTPagoODst4fTihJFhlwQEwe5mQG 7Cf6Zggjr3kq/DBv7YhlcSsIIbgzyPW1ZvQFJH7DtHAWAOT+xXNTJiSb6RjqEr1hH3AH1NafiBCF HKSiYDwNunuAvuPkDSHAwAOTSxqmRMOcn6eu/+g88fwxepZWEv51IHZSgm8IvnAZWdN55Gs3pL02 a3+7oAPg+3wuqGoRR03Ygh2YOiH1JR/qzX9O+1arXHvV+W3dOUD3AOimb4abXvLaH8AV7JMhDoa8 ltLWRB6+aeoanM836+JqfOwXiBvgbPfQQ26h25q6DALwrf1uI7k18gGe1fmeWUcLySrDo9REw0rw Xw+PesJFI+1BrwUQrxW7N+jk969GG+N3KSr0XP2cHFbttPDANWGK6QQ3GvtLAVPbnFvn8z1M24WB N7wToNypRWpygPOkE5FzAUFQchdCOOjOdTMXvpB12lArZtmvW70aVx6JvWfx3q7+fLru2eXI8PCA S1FNFpYygxw5/I5vbwwMXezlY6LGL7Xs1D8hlktI5PAgNdq7Zeed8+DH4DiFwycAC3qof50ngdrl JP/u6h3x+TSdOWhEbKo5Hfy0rO0Rm2NKluxGJGelQsyS3us11t/k/3SBu+1MKLr7O6Js/0IsGiz9 qmVv31/l52/c+2QYFg52bael21/qx9uwU5MTqydJ9czZ+Bp+X7W9xjU96bxFDiMJMrgiPf3tFqF8 QSulf7aKoJsKt3Id7rExiqoVts2B1QKkXQPlcW0tBMbrzv2Q+geGU47CFOyMorohYLZhAct7sj0h AGRgYAgbWbz4o4DxjzfxmRxPY0ynRYlUCpR8e4vdWw9ll9eeJOsGGm1zu0s3bXG1mz60I0A2XdJQ 9zOsE7fzhZOq17t7ELtPXJFAkqR8ErRl7wbzBG/FVT519F4uWp+TMUuydrQ/m7E6LXVSOu47bVan ER5iQ+uWTx2kEJT7Z5Sr6nHbYpnhbOq0bGEvSjQ3CUyGhW4kbIWGJoJab6GjGnXgvbG2d9UclSmv NVYf1tPO7YlwvgTNVw0Sn69wUULtGJ9oC0Dp/MJI3/JgDJOmO1PmFFpbbKFrsDE9VQJp9XEK39Gb HzwYP52MHgGdRlXUKl5uB0Yv60TZi4zG7ukFCvlivH+WaPgh2ov6TJCVXwRsqA6fk19J6reqyNWG aI9lxoPc8G5JrKUcUdoQJEuQUgpZvxCuPUT7TfPrS2c5DEOjkRqAyg4aCv9kBv+W9jzfI9tB8F2I xQkDsAqlbXeZNPhLFb1XzIoIfAav1PVEUMJh4scytH9w2WhtM2fuIswc7pxkKFMLD/Nphot31NaN /VElDWDVS7XnD3qWMlw5+6epLojg6g2f6wZf9xomM6Fi94//8hKhSZoxK/3lnf1Ab87LRh/ofYGa NQIRO7PI3eplUgYVNGYT0v5fU2dtu/AIJvjEIg1QYCbwyP3MX6rMGsiTLBCyAtorql9XwA3CPeqe /2z1d5quo44yRIVlE9hXN7IIQ+Jw1T5G3kFbIxsi0ToW1BzlN2J5wUcZmCxBRNVZwcU/4riOW85x dXaC+jcpseQQC4sRSDnxKUJcIuksxzyJ79VgIdQhivLt68RGC0D6WVw5bZc52DxhA3Vkhiud7Wxc hmU/1pippRpfRv8Vtrhev1/Z8v15z/2fChushCSAawoTkGVb2G9wMUvXp/TY/wnWCdZyAe4Kj4mo gduuriZmvsRvDc+gbaTpGnfHGGVWtJt/PG2ZRmPNXypRIT1JzfSv7iN50G+fadrWLMwk6aZ/q34v PS0urubRg2kV7RkNRULefIBLmoKQhm8iNSpGHM5pI8bhdrupIg9J4Io9LPXDPLHIlqV7YqviZdQP Dt95A95udR3k07IAWK2/4AqhUDSWY91rqA3IQ8TieoQAcbeRoiTBrrCPIG+5IjuXq7Ho93sdZptg 4jJErSU2u2+DDvJUb6nrypOGyfk9hoj/xxkYYtQ5IhkzmkRqRYJ6NnJCyjH4Oea5LijFYpz5PECL sag+OXLxM/ieZIPh+NFa3CSm7KaJ0PK2YWacs1j9XTC06l9TUcSopg1MuA0BQOW96eUJMh0Wbx6w hjBrTv9iq/9dS1om7aF0zYaLz/rCblejbi2p9o25jjTjuVptJ7uRnm6H72Gkj6ftuHalZyDkmS+W oRpJhB4YBuS2Ti2JTBDCZxpXVzQv/iYiPEAYDl/E/TnwpHU5pyNV7NHcE6NK3HpYsmLo2p/hC0CU eGaiCiJhl28HNf/xF2afmIEn2vq8iLhtv7mt8fU8ErFCi2Cndq044j/w007SfxKo5oDrGm9FqHYP Oy8WLpAL9egp2/R1joIuWBBCoEBxXAgetqmZu7zWkxcCEGGPQ5RMTDpK5zXmlzwOX2CaoM/s+Y/5 u5YqlKtoXCeLL17ZqL7eism9vfwid6uoUHl7tSN4VYHRZ62BUcsuOjxkNQX4BVb6xMvERLZOqlXh fztObHpW0u2B9hSxntyaBKPyIoXEWKVQzXuTGyGu4SUmE/HDNDEFggWOGSwYLQNfumpZn44zSLr7 mc+ArxLtKf8DkH53kCnaAbqejt45AB//nkbRQuMYBf/maVf2F6YFHLEHD3enMhMsLHDUzjM1PNDn f85Y8PtuqTW5GC9B42R7Qx7qMJdW7+xNItZZdHRuceacoVG271gGSiQx2bPUL2jh5fLpDBvaZ6ZM AEruYjKtCJ/mRljHGpWQ8FUCVIvGF8eL+HOwZsxhOZ2dZ5pdE4358dnTkL3LX/IR+CzvTb4y5vkb 1As9l7lA+WORPp2SraR5z3e+Q9IM1fn9xJFFth+Ftq8Xia9Y+P9PQUiY2c49l7QjgQUsrads+MUI zYt8BwtMWImERiCj0oAZLMEKSuMXAhOeJnV5zSLLIeWHtw+8LJZl+WkvNpJSFY34TEaS+THO8YdA iFWsVoNU1J91hflJznAoUMxwQ3KroiPZ0z7sJmr63nFmz8f8gTia1QoAAtc6/cHn6wthAaMK6WQQ zpRDjgZbxDTTgi5T+KOUbsItU9TJet/zVYEMPSR7g+PJADGCrBm+HYfu6ONqNHERDiXjFRqtN2u/ NHuOL5dwPZRhx3139E94xXOYjgCa43XbBDcFNCyTGXvOw8TMgbP0Z41FtWEwVu/EK+GcZCRovSna hUCaNzrrjByL1BtdVKYp7V9g6cnCVlxHbaxJHcU4tDLsdjHtmlkxjhCV7F+HRKOYnro6Ih5Ufts1 5qiNuZ5O5w3yHDKQWYMAll47D9uStCiQj8T8CIJIEiA9HOl/QZMIkhZHsEW7YxG08VzGWdfVGUFR BtCF3g/GT1SAJGG2QPCJbzjbKh2Qsx3TWxd4NgwbasG7nr/lCB0WTjRxl2HUY4leHHCH0vMsUVPU OJXIGxxEYwnxyPR4mumFxoBCb0QFw+GFMmaGCsuPeQfSleVOkuUbvmSNCTOx0qciJ64oroVtBd6Z G9Im7Rf8owOkBovlnv+DcbXAHPddcKeXtdFrFRnTGdh0n7urJPlbPY7bs9pCcTLhvSOv6SwJwOUO c4iDtm7PdCZWrw38UcQXDYyIvxTq0Zho7gFfdwD7MQr4ajYNWFGLCX5aGeB0wCPYipBG4V96MGrx bMKtMZjZYM3l3S5zl8gTU5sVgHIdQio6wbUnyJhrU5dZLzyL18tpShHaWPlsK7pGn0uusGM5Ptt/ tdgKteMBeK7vpVQuzU1An9r/YMFxNHCACGNcAar9QBqKIyKQd03LzS2xfAN933baS4mqwkwB8kB0 t7AnZq/RGME+DA29xrysubnhqEPa6vMUbcyg7fjtufu51Osd6Lz7PFsCERalnjpFLp5sIvDT+U81 wnsFoUgwG080jc/ZVGL4Gw4lO0lsoV7VNF2DHSym4jGf3jiwl9C7opBJAUo75l6Ve66DEwsWAeDw ckWUXqB7auR+2xPl2YAIYqu5r3zPIoy6sA4p3V52fkfUgUgpejZ3boaPP6PpyfDrBIqp9QdzUcxq wBVC6Fyi75fbK5tOY6bZem4I9vW8NjVaVD9Seps8yPNKbGo39Yhbro0e1xPzmSF+5hL3zk0FrYSm wzQiOhxGIqWmKFBKnVPSYVTjOuAfZE8DsgIm2482CHM9ppDfY6mA0pTcTHGP3xR1X7Bd6JNnWSgz kLdKQIITa4sFQRnCFjtM0+Jeh8Hg64tQDEDoWudVjKOKZ8+x7qw95KUWpdmapV7r+ltztABEE5P1 FhiM4CRSPSDCiSqZFLGLcZklw0O8rSv5Wuhq5y3kNkFy7v7S7C8MSVsPPTM+aA+GnrGNyBmL8Owv KYe+YAIXtTe3aVxbqp0uVpChV2t9nnyjsMymznxcdw4HrIA4g4KeXb5h3GwXCYYKEeidnRlyt2zP j15SgztNCZRojrO4H62Ja053XFOVuoeb3ako63Kzob9pgdX//VSWxfDHZ93uFDwWs6hDZs6y5gsG cF9nDTja9UZW40zmfpKaLZTYPiYIjuGQWuWN7tNB34FDJ9I8RUg0X5A2FoKJARH8Q0hT5GsVdGja 0yUQDJSEic7wZNLCtwvbDW204OlJdb8JRbobc/RCgciU4vIeybWRRAUWURaHLW6c1PfXrfcnEo20 sOxuWP6QRmHPqu+Leub5P8KeTtpj9bS3APw91ztdULfNAF6M6w6/mYpkNoeDfaIaRqCbwSfGVL/C Mhh4LLxT9cfE/Od+wJwfNce0pHijZLgd9/d01sBDoAo7cIpFmSQdzEad315ubIl27TGRFc2mCyYT zDWO8NdoQDSD/vefnb+Dc1wNdBtjfy/WuuiylvfrApVAZrB/pfrBrDnPi+zFbg9uce/BjhcE3YTz pFk8Xz+YeXjlUfSfMg3HNTIA/wZQaT4aH51vbJciVcJES760E6hGadIsjKUY2ECotR4uvBGnFxn9 3Mhj6FMbbv+c791wvSdYUONlj571ehfw5/19wrVXMWbgyYLnGkgANZLap+UyEcSl51UbvnL3VZas QFc8TCNIb8nrouvDEE2rF/SADsXL5XHTZEa4oVcVT8jiCoWJmxNfksB6m96iwYNRSni9CWARtSiu KhdzmX4gqIs+XAbh5Cosr+C9pKl+Snb3CYm1eZcHfdrloRJQ+TPVgdr3UzPzEPdxAtqTqwmx9tec ovJEmQs9Cj259tX9SD7wPbMn9NlFhlMFKg3WCLIKnLt3zJVWXXhNcGP09U/X+QHhWIPHlp9izUrX 5BqOqfybzGznRpMo6aj9NwedXPQ7kEwWYKWg/i/TsKgM6Pk7Z+rIo7ZQvSPAy60xGozoaiiGNcKx doFxhGYmOXw+dojR4YG7MJclCS/HOPNxpyVnTOKQJ2aILE922USEZT9jpzoOKR6Mx4wmdGNUftsM gYt+lhfnN6MWqXg5wt8+5SnwUy2kHHNfBjVH9nwNuQhlpXVglkYfvBn5cJqB+fam+nCEPsP0RgAi oI8hNbv83ZrPBc690Bg6lnx4YzsiCTuKh69Z5qR+oTH3ohG9XmfESbOgI8jUv6s8J7gBJjG0UjtI PIRv2HedvjRyph9G4QvKIH4kh+ozH1ODfJbRhTW16gijKUO/Yngd5+2LuhStdJsocGqp/dk8nJIF PJh9XceqWU/GPT5i9GE/2s8qgXJmTcwFYEnv/r1HFhaiX9xoaooynwNYOXxEcMS0T4ABpFcS0IDb 0f4o8aOi6NaCJxy1S+npcvlp0m9xHislzl0GAXTG0H9Wl3lgaox4DckCDrnxfE2brxrqF5J/N8NX Me9Ivy9CZmbVg9W3sMqTQDcG63BlIR2HRPb5S5ld7IW0/GO4NmkQ8iT+X6CZnBUm10G8k5WHHvz5 +iY/pwNUdNwTASr2wdCu0LDHlJnTyt2lRLQg1Hv/Lah6y68lX9YvAMC9DWINPja/s3abg7uMQ9n8 lrgMMDpok4dx4Lfcpx6Wnkd4GB/hBcVFjUPf8QqmPcWschO8g0JR+938B4Tn1PRwMAiuJW+nTLAl aACBD77g7ad7RcrUl/Ox/5J8NZEOrPcJW8sijaLz2vByeXfiezutxhCfn7hJULKe95FlwWN8Omji X+DCRYihpMu+nzhhUPrLkPZ9mxNyl9KC0QxXzeSxwZpF/fc9oTBZ5M71wkjvhD749RN1ukfPmKtH ZZOY0+ZU3BOrVhZFnDVzrDxPw+CHoDAVV3MgHrM8810T7s61iT0ZPBEqRZoC34CV8qKreo69xbBc Q1+nk/CdF0DrFxyk1JxaeQt3Ou0T+iMd0qlw6wkiGLuHLpwJ1aaKJmmChTvdJZv6DvFbesWjFNr7 w/qgzUtxFjSlCF0bzKfzP0VlR2zBar7D8AlylvMVe/qp21Ut2v2VE9lAnEt6iGyEOrUZA89+dQAu RRNX0A5awEab3pRaAl1BbooEW5iMBy6fs3fIg3VllThQ3bid5ECPy5H8OAKd4Xb5Mj2++2AK2fmM YiAKS3Kpcr6PWnPIZb2gd998n1URGaqyXxzfJYV0kZi6V9vw/tUrUQQk4SYmrfthr6Gp3zMOaO2Q j7wMAKbMSkGhx+J3H6aD1cXF7IWi+YYQ9k3t24LLb7WrZcwU1Nj7SCQWvbUPH7lYNS9VpSTxYGZD /raxEJ2zH+If4Vrc85vwDkN6I4s1eRSVvFq/ibdBTvko9P4ascKiYrlnkvbatbfT7Gvr2QROY/fJ +vXzRj5pjqWRiUXsAE2f/696wpZqbjwlNkaVk+s7i3fgRrm4Yaf2hNRhOHWy+kIf1WXLfqH+pwP0 42ou586ASI/dFbHxW793zQ1n8148oo/g3j4UrKLWr88+jm/Q4CvahIBge055xtGtsm6XJf7LT0yQ rWR9YjiTBvIjujT3az3sHV3LhrimwL+pU7Agb2uNzRAOUKC9uOfK4aJ6hqnWxS8a4XAyxwkDgKNn ds5JPbLTbCtjTuGGH4nfNfUd3+IzhaySCSY7CQS0fNx4ho9SuTrtgsKQY9g8j6iSyimHBkK6ZCEM bY7L+MU5deSac/TPV5Omiht6zCy059LUavT9T+QMGyp1JBlKSCyquB8GkjQ1yWT/lS7yONY4ZvNC kmwC1EcuFbNJk6YGdGLVxQaZVqf3sueIVP6poV8xIdxytoCNr+hBnM1d/0qVy5umac/TjbGTPSNH VTxhRdA/r+VokV6ro86zwt2oUZViRa/sHWTpLKIKDTDElM/Y6PLDLsCV8eofZgra2FtVxp/vkjX1 m6qZEyFvh5MUwYSdAf65ZiWFYw2jJqolcMKMWi2ccWXfNINCOu1UUy/jA9ikdwjbP93JTZRzTnQ/ GXgnXdS2J7EKcvFrmetQ5sCjL+XVZb6Fqp5oP0nPBruHYp5OzfXcFWaAQCMRCnzuIp9bRbv5/+Sh 9roRaMOM3LTrE4n1n0BW3jmBvKpSYHfdRgLwHH1/mkFQ7OsuFPvEhwEzMcJLWaIxDe7vnAU0/L3I dRdx9uAlxt9NaQRwAyYRW8zah7q4m1bF37Nj+tc2FHy+8bMDXFK9A16JZeT8tEFkOmcm1QtZPZVW kNTMr72C8NoxYeL3cOD4SYbirihfXJYuYmzLNGsyD7O9KBdjphapw6YNCYoJa4mzzHSPr8HCJVNR 5DxbfA6bsQcYDwn1zIeY+7c0+iUOM/lGQMFqgDUX2XSB/TbVmY00jkwWcPU1xJNkea0gtRbsFJEl cnCrol8LeU9v5UfOy5uAles6K3CU9VhsXvj1BRfGpxGQ5ZPEpbQ0nAfMbSj9nDLi0YhhWRPfiPbu HxkNIKclmP3B5HjW0rcTPSMAJjn/adCf/D2IO+MXFiy/8nHQ8hXL2q/wHdGbPGIm0c1cOMmpJrkM E9KolUnqdC39i8oKA4J57qrZjqBmvlwiMnqnEFV/8NoL6k/HbKlPcvwCTYHC+wEjnZtc26vX6rLk mC0Qq8MKLDrTy1WYVzcyIe6IXQjxSGn9LgsV3IPOLjbCYg7fJuPQPykPxjhH1iLDtGusM+7JZ1c4 tMb8ZvNvL7twlXfie6G8uw3kxjx9B/LJ+P8WJ6hUKw2yIthUqe/WfZYNg5a2+HQvKsY5pZU9G6+9 rGzZVW/On0dVa2RNzsSnivzSLisdy/4zr8H86IjJez9lxQ9DGPw+IarojuzNnR54XzjJ1UfGeTM2 HgIWNfU0tfqMeolKZtvmQ1ynpbUBHrI2fr35NywdNKbIxKQul+T63rfdnCDJvm+1Y+TDy6u6eOSi zkW3ChbMwcWWskmwIV2xGfASphEZ7ZU9tnQBVcok5IFHMqxrxf3OFEjVKyDeaTUrv7nDmQZORY5c Cs6wW78lFe3e9BjNPmZim7CQbPsthC0anCjD8CHfi9HKvangIHqslEr9b/gVn1bNPg1fFCM2LoOt XcROZ3nYfjE7fwueo5Csx2fgB8UL07vS6UbDpMilPSLDTGjBD3ZLYKntHEJ9n8ojN6PWavrp3lZe E82JUJIL3gSxdlBNgslGGfEZFTxy02/QA5BF84j5QWnEd2ntKk2AdyxgUrew8bPME4sO3tiNzcJM FoJYK8p9B/2dTitKy47YqFPlGCOVLOYaiUPvW7vD6T2m2MP+vwmTi7uxb4ohE+LLBqw5ZmQD1xwz 3AkRDZwAoJrxtc7WWA6nO00Beu5nJNp/nvEG9J71REH6NngvcYrIwZdIth1SktdnZSIWWVvfoUnq CCALYOPln8injzw/AipCZLgtJawI1UQFCyLLGhafk1VgRMCABgFrsZSRbh4GOLcK3p2VVpCCdu1T gVu+8GRhAZOFGGa+7XCFcwfU6PFc1Dv8WMu4yNf/JcX5qLCLeRQZT+RulFyIiWfY/oT/uEozKqjc ACF372jGwLFykMVNh/+HrX3r+BZYeRr/YWki1iCGEtMKhLVKjkfq2cdWGpi6SNsvNbHufyAK6tC8 kOY7IEhS/xzGPeAtZJWLOpGAbcfTHKGFwCcc7TsCD97AM9TGzMNxWmK4zXM83zQfBTmQWUrEzLEN gKW8OO1csvLg9j8+NNiIJUx1KMCDOayqJrXwDLR5eapScj6hEhsSABxOatzuI/wUesL9C09DjE0v oZxyqP7xHkEiWN5A5Qkr7Gc/ELWsrn371BRaoXGggwb8cChWOGakkxv++C51cAxZ0la/Wibj/PZZ 11E+G/FsSp8dmLwC5QSe+udxgIT0RG9IJcmOm003aJoHuoVI3RVLM1nqtwY5goQTQKctrObFy1SF kwhw7fqnV7hv2OlMhoz1eBP4qugTVH89DUFoSiYTLefuoQVYCzGuGLOJJW5MmVDR6ynA6o4wbjiS dXWUTRAkvOL+4L9oSXmkAOuBgw3Bhawz9niypdv35eG7U3k5Ii2aUhjSeC4JD0dxUx6US/SQ3/Wj rhUh9dioYR4tdBU2BRzfiqkK3fERuWuOFeD3F4+2y0+EY2ww0RcUDzDouMa84aHE/Y9ewwiTIs9u am9AWnUyoskncHiNCn9dZDO0Kqf4WeytrlurpTGIYTmy6dmod3vcmUuOucB5iYqm4GV+uQoRsr/I anW7mjT9W8x9CC4oJqFZ7Rpnzuj+Zc0HnfNqv7mO+KiB9jP6OaZBZwIccd3sTdPOUFs4uGZZIQcG I4+OAJ6NRgthC5opNjLj7g0HCtXaDoWMyGYyVwfr4xN+78Ulzc+bg3B8qQEpvFpHxJKyj68Jr6QW HJjFjnz7JLoZDi4yWv8+uUJ9/9y7yZYkwH1kkU65a/WpkaioboJEy9UURKBPs4ljkMZHBLa+N+dL xgAZewsVjrV1UOm9tOX0UzQ7oBOLM4vRupK5VfCnoNIMZg+DVvb6sC3ZvXWM9NXZa0FFW94RFK22 uzzzt85qofohx9asyQjRM0pN6C7HteyWm7o7xK9YPUgwml+vb6IkcNu+SdQ+RFfsGFlkvN4OdAA8 kXfFrWjrhKv4E6fqNjSzekWFhpqao9nj39ezt2xmIDwo74b1kaONgKzGR3Xsn8m7dDYGatNXScN0 DjVtQhfMVyS0J4F4CKmzZ5fzI2bW19a5MO1sKLFAtSMJAULOiODdZS9Jc7U1MxQDP7huvGzoDj9P KLR0iNCuPuqXaQnDaWJajd6iHyBgC0NUQ4xjSC8j201kiZBa573Pj7+L0OwTJGPcMqHd8M6aal1g J+QXuwM4QXMIJubyo9yMvCxb2DcmRoLBgGrtxw4f7dOckhlUA+zKBqqGPWK07IDLmEnEAcBOm3T+ 4gxHRptyMkbbKUsxbBKkF2JcPWIYQY3HSwdU0jezYflQSxZZE/ew5d+oxKC8jFxxZRB9ekq+Eucd nkixRk+BD0RNbUK2X46jzSBp5wHCfBKooQmc+jpUn7+UkuRZ3WTRF5Qj4jlwh+kQkiMyIwTXG4bF bl0W+PSZUZkfgBFWf++7rT1+67sAyjTxP99awJBvDeYFjIhBC0peNOivS1WcD1RculMN4tcDLEYK y7y1GIO+t92sDIN6VCP9dQhSrZaOjf+tIxlXbKwCHUL/eqk+K9uulUMGl9Z2IMyQOX31bvqMmQ7c OJgmklHSFUg5oiVbsHcmBDZ4L0pbxBU2LYpW+ScHApRXAO12tGPKAkp8CWLiJ//2L8hx9o9T5Y7y JqNk1/DiuNtuMZl6Ui2IyK2QMw3NV2NJr5d4l2sft1JDaFKo3nCEw/D7iNhsn+vrRNDcuhUPcIjG wy536SjvkDXI2pALoRkPxUaaqnG0+iy7MSBn9nhYi4eXT/ZT+xjB29ENnFibv5Cw6rHqGvgHFm6m M6mp/xOYtAX7N8YFHe5qSHVQu5yFwX9qO1LDH3WrKUoGrw2BcT1J/hX4ZuSQVVor1To38o+i4wVw 47a5zfsd39dVPqvfOQ4+CcTIBWO1+gNQd/VWglKPVHnayb0YHiMIV/jz1BrxSGR58eOgRO6QvIqR eDRO0md5e3HHHmnkT/UbZvdzGGtRok/Omod2Gc5RUQuqwSotCP5hLA2AGi3u/SZFkZA7725caSVu YWUbf9m5++PqXK/7SaybX0UUHpU4BlPJiOT2tUBVy1vIl9atGANXH1A1e6z217Qpd+cs72iPCXXl uhYXaTIq7CfYbJr2+7cMw+dU1GE6erf+Em6XA2RTQyIKwtLJqbc3AYJEOH2LH2eoC1rRK2m1airS QqiVjaerefK9J+N9n24WkfiID2TJYvz7bGRPeh0RFlwGVZPyFdtfp5VOPbEU8PxRB7Iil9KMQMuw IVuDW0wHkQiMcHtI3jug52ARuUYeoqLbgLDgfB9Fv4vpRakLhuKSeyW3fxlGguFEAqw8icZMKx8J f+tiBeJKUeCozdQ9qkGvZ3GdTSaTKfX+JRwS52agVtRAUWzLDKbBNJmjQY0RjaLmnlomt5oCnGgt pp2eUV97ZDL0fnWjjV3B1gegh93kXPMQ20wSqhzE5KdilB7PJTZeqGmp2tD9i3fLcWew09qh9mNT dS4nxWcgPX5UyiCeHQr7W+qilA2raJoQzZ8Fj49FUUWhV0BAOJ/bGS21pFiaDGpNGSNpoR0N8Krg pbYM90tPSwoH8YUA9+1JFpJlIP1Kl0TCgSVZWIegMuP9fgKACXK92PzYrgIIy4of3ZRxatabYuWi n/jZxAOoFpOBS17P4EqvKC6lwmmRbbr9mu/r/5SvR6SItMwRIXG0lEnCIYlktXDkawUNpe0qpEY/ r9yFfPd9w95+/yZZUQJd0XBhy/sMZTh6A2faZJ7mi7Wk7u0kJsimDidfxaSiKE/MWzknOAx73rAU mvS4NXKnBTiKMzsJQOlwcJmfuvrBwltPZp6nN1E0epzpXt/0NMCqYhGQJzJ5j16sIJQ124cHclAU XgDkUdn18JyNmTCXZwBgRCJdtRtNCglkRN6E/DFSP5hb41LF4LfOIxawQeSVlHt4QNbjJfPtaZaV OZNg9aq8PDtph4hel9Tyu+t8sqpW/nGD1RlH2nxmfHv82xKNKe/FN6Go9uZ8HY1PzT4BmauSItAP 2vFvvF5XptAUbXJYZQxQl8bICStZTfUb2X+sp3q5VNQ86L1231ItNx1uLKND0ReEPcYkjoDtt24p EufO9kzB9XN0KxYXjFsMdTwfOkPwNlDfailUch823PkxevozqZTFlvPjD0ZlvT1A97+3hVIEnX/B PpCosN86t8nhA5uVuCNCq8Pd3tf4Ku4pm4i/nVrsMIcYs/mKbuSF9eKJOxnrEzgREbSPHvXDQpvq tl4UkjBThCsqsUIr2krIEiU5ND87F/IUP7zUA/FabufJs5FQJ4KQhHeYoaabwDWecHQRJRDuk9xr Osfo1tuI8kN/vD+5sMjjxkT2Fw+ATAGMWjxuSlccN+c4JCsSwB5jotnSj8NaGPf7g7EyVU5nGJFn /aDCruQ5vHFRp2KmkRM9UftmQfX7/8a9UedlfBF3o9D9g4hoETlHo0+5mtjnuHrThHh4Vr6mjN57 xJ2Nc/cgnof8tz3WY4eGLr6bKIaJFo1SQ/skF/TFIIj1WySNbctsyPepHL7EMGNfPZfLTBvok/sq jSbIJdJVurGxCmpCrAu2L6+acpYgoug4ddNrHc/g0BCv3dpkZF5jHkCEoXSux6H0OMfLVhduzJwx BbsVXyK7m5mUT0A02uxY2CQmKT4NhJWoqlzM1NQ44E0qzOMZ8T4B9LsaSx2KLGJWQXIOJpXmlR61 llqqogWQpIxQEK7N+xqFFhT5vnPfDpI4VuDBtB9MnlRWy4GIylxJzyQSG7OdJTFoLQv2QIfphKYa hd3cW5XBgyRJb4c1oRdu/gut385BihwuPyndeZGWwpTxpOfiiYoEZF6cmLlBeqKLYJfffF/Ee9Tu Mwnhq8588uXs/SxZG6COzMlEdyDbjg2c47tLGBoaQjZf9tAijftQBndQeEORpc5RJnGCpcQsStCZ 3R2r3f/axyp9n+B3ckI8y3Wb+JlQ6Lx3LqVhkFggfvqbddr0XR8IDzFZN1anPwANKZcNDlOblp1X z5H7TA4qZvsSDYOU711ZQeH7GjoQTfAWo8cWyXjM+PPUmokKt4t2aoj5XDytjuuUQrGcgZiqeYYK lD8riJkx0KKRhwBlS8od3OvCRELYGlBNVF1zEjjNqbY+YphKB4QjWUmwWEw/m8+m5wdv9r9Ew58f +YOV9/X4gx0DKpv3nMeUVpplzsCPa65UfkqkBCNSGj8J3uw30VapDSr9gHOT/C3spByUXf8pHuqD 3TCWajmYch+dqM6pf4gYIw+GHSxUDlngnXjFkDwF+oaby2c8Zy+GwofuIKZMFZESVt7kBeJyMdSR LObj/zCLri0pgPxU3YzezkCdp/Ef9hX9jTKlHUZk/wiBRvk1wBS+fUP0oq6w5PNKGTYvHC2NFr3E 7CrfgznEYsLCTcCJKB9LxT/EQ40SnFYV4Gr3vmViGwp5URlyGMf6Ifai66Pt8Zaum3JhPcLLiNJH YEsSGvnn5pdUT5FXMsVmyFXZknW25zWtRayEvzxwmhmSLdBlMsAa8zu6sphYcY3X0WwL8PjGX2Jh gyEVDB4T/Hbuz898ZYk6yUP8UA+PwbE9esn13jlV/KaV8AKauSGpGiWmoiPhq/lRTXCGzGglA/Gq 8E0QUMkZ06NnRYmvwa1yLxezhxmSo4U1JoTbGRYXGPYvtCk/Ur4STVL0MVp0XvtJunZT+GWoDwf3 db6fygVrSFz2Oeh+65KhnTszIztgXh//CVDLqMZmUPtVQpU5pLuG/R0QrxuWK2m2SB7pkJOvIFcS YiTXOwJ0oXjG/cP7BB0/PcjNrxRMRT2mQO8mbAAMFge8Uiu7je3OBGyiKo9TTqcXvZZdgodh7RJc 4hpMEqFt7u8lS3IdCBJAH4a/8XUSAG0Au0vHCYQPxfyKtf64eyFzoUWIRrrXEdxz41mzSrJlGOgF vz8Xr8yHdWpO1gVewMF+j+mhOojpe1dz0lkiC1duuoMEPjTN+DEUH4nbM+UtfPq25/kdtSU+VbI/ +aHJfkGoTRnPIsH4CI/mHUdrf/HPgN0N4M+rytXPm4sqAziIIA3Tmt+7OFX3rDospo9c+9EVUjk+ a5kcIj810Y08YGH4vXmla1oKxy5gV0n9d5+3Hyko1fFFg5q9DsLZIGgUaO7l07J0UkP1luo1gy6N abInGsoqQ0wdBWeA/GXkbZHk9sJ9jv3DS9lJ2l3kkH5Oxrom6sLUhP/KdE2gNjAX9jy24WdUnY3e z5QlxEo1AO/z5Hbh3vqSm20LctK6SjHZQNV7mkZQZsTH41XaTDk88ys4+zhFaS+CfFXpHR8E+1Nt uSqu7dxuYUFBWMe6sCWzOV3zZxqpYI6sxyEUy+HhrK6uNJsO7OxrKkV4arYqU+VQMXkqPEzr4xYW wOPaBh+TxBc5aIoT4ybSb8/7N8SGP0kqScNjSzZNVFlKwkUItnty3TFj/jWP84wzeCubUA/lFkKY DcEtwHEzr6k3Ww5Abl/c/Wo28aPAioaIjPffka62vN4M06W1QHRYsjiyhogJ9Sin1WCEavc2QUVZ GcGRAaG1JqFaEkiJDu2mczzRnK8/FPo0f0ieNotHqlbThNTy6KHKiMtmwy66zdyqCmN5byQUG83m mumwmdUAmoxunFym6TkC06VDg8yNumPAQC2kjxpi6Bc1VZx/iKqF4Y8cs01zKbjRfgXn1YAJsk7r FKFCVlMnnjCdGbYqIlgcp4lAJ4Z3w08jQp6zpVlqi755gslWaUEJRZ3fcJloiC5Yo6TGvwsvj4xh 9Ti4+BjUC43qsvUMMc6xrLJLGDwOkMXNmI/o/83vrVml8l7mKDMBeGd2xfpmpyAcfaVy0Ew3okxV 6TqA1xXfnJ6CidbgZdkTGTugiyw60WlZEPftN2+HOkLuZFBaUvr1ibCouYOlZBCk0HGtPWKRhQ5f xlqu4QuYKkzILyMFkv/V8VPjRs/31sAfkyo87OR2XAwjcZdtHZOjfaKY4e8bWVLbaUsRbJLpZjZT H02GzBJJS8TTK8V8d5AYzKsNtZRc7Ca4M0G23ezWVfvcEKbcJ+SM98WIjG+lV5U2r9xKu3NDbz0b gjwCy32FkG+mVSKCJRfnaN488IT0hqEU7OPE41HJ3l6BNvLBfB0KpZUdapqOOjlFGZ6BEgJC72uq 3RyTDa1z05sGxmhDBtqhEBssx6/7PBuiKKYKq4DXdlUVu+FnKxG0Kh3wMLblr7JCgubuZ8rZQuGb C4ANncVotkWnLjJqS8AIzmISEjYCoPaT/8eMq8VFKd/2QUWL5pMwLJexjofrR6xGLZjZPTWT7SKh 9RPwtyp0Gu5oJkry22pQat2yt2eoNQyocNV/gHGeaM6lVooWvC7g5qhaCvS+7Tg4DhXk7pnYuE6a mJcWB48hV6Zxwfi1OevnX8/8cAVvVPBQGUO44eMg6+TD62laoVemFDk6dzr+gb87km9T6mE9y78D GrFinc4mr0WseJNofcK3qUTVc31kGIZyjnrKVpSiIKLTlXaphHsM+le/BsawfVEXbiFHsoPQOQ5j jU38XrrhZ0lwTmVhHNj/mcpoqY9hwf1E/ac1Op/Y4b7JjTDx7bJABYzgRRGlPdlselcoaML1jLwU Z5sVtl6LHl5T919QueycxB+sQ3+q2Yl+XwJH9zlQKaGQtr2S8GLmJSa/qsu0Lk7C8D6tbGCyZcxJ VnTuZ+2sXa7d3NHgn0zZg1SiKtTb/mY4mZGmbAJzuIPVyxcakKsb7JF7yH/GwPbLbeELsHXELTsb lZ3zZJdve7L2MHlyS8ARWAhPq8d1mbHvw5t9pndgWryAuI65EyN07vlNlTiFSDRe02UfE5kn3kLc JlZ6/X5BMZwL4s/3tS3ppomFC04PekvYgvj3LO0p5Ou6Lr6wliCkFsK64eXvOaHvkqsQXtBe+i2f tsE9HFKIsAy3nsToe0IXn2zzx6KQMndlyuAaR28Wnz3FvIqwADQLY50oX6jhiVIjL6r26VfdSEe5 2SJb05+n4pKQFrV+TH7wdWgqrssbTy2tRp/o1zaGWf+DTpD3+u8AeVcu5U7UlyLNiGnXWip05gr4 BgHMdbm0Ln/ZmZF+Sks2y6p7NfjZ8/yAMNP0cs/hRHWL7VKRoEkMTXybDTBRM8zfdcuCAYngwHLi acmoMZscdGdoYNlS6pRETkJPA4evYSg6t5HnLqxXR7fVhdnGLUIUc1KApG1+GUWW5PKa59bI26XK jAOsNd/2mjy+xWCeJZzznyhnN0WKDPm/ajGwlXVK98D9nUzj2h6Jp8Rc4lO8ATEhGthe95AJOn0r 5f8JNrF30u2L8MvBAxWEOM9bMuFXfcdi6PnommJUhfrDFB1PnqhXopgIOicu5Cu3uifxcS3qNvrb NGc5eijlnjeGxXV3c5buAQscFeq0TNl5k0eb/8GbilGD42bZn96CCSSmoyHyeG+ejYJGC2zjj/zt VshGJQMno65FFxSscvE10tEvd8wK5fbUPCs41gOTSc71pasHYAZtoAbRlKfLBechSj0YRRgLRtPz 26ZmcQ7/76qy+CEk9zZ8JTBjHPrFuGwDvrFL9GWu+6kI7zZ9+xriyNwngI8P3SZ1Y41gyU4v2ydc dPfHcKIoXFZ8S1DSkOA1pHYStsGlDDO2hezTf4diq7DKroUozCMvnSG8wNh5lR2H3XdEiyf+LuWe dlycdVZH1yYY0Ow3hk2LC9//C1T+csTI7yjWRdaFhUrorx6d2gc7ZLU1W/XnVYP4QXRtcQ6y+SSP Svt9TCDNlLHEFwrAjp6rHBzvSxFnVgA5J4taZZ1y0p0etuVJD763orQ05j1fd958tHukEvXS9tRk SCdchgy8efC+V9AHEi6NNW7tWlHpemFV4QrM89z3/dv0lOtv3/xbQIufhkSVWzLZT7UPuGvafThG RBTTzZSKgHJGx2laEUfJkY4rRfeZW+BPNLCCLlqB5j0hs5MWFm90hMy6gbo+3w3Q7vcpsC6bChd+ 0b9Klc0JfmSfdGNe8nvs7iZEBYFfXy9+o8jKgJN995ffeDXtxiVXYEVWmUZuHPPbv6GYFgmP726l +TVBGbCcJtXzfXbQs5mbR5bbFjRY6wlsjm8ZjNKN4LmAsHbraOHgmpa2yvle38JC+KhLZZyQUFUk CmpDeIO1wQD5H/E1yHPNK/3r+ZcBu7h+v6CxZDrJRnrLo3yMu01UcBPdgzzZpiMUN/H4ZsOOcNSd Jx75vpZ4tMcyqhUWHp5ZixOqBpuazBz3Yrk9swmBewgzdYHFRyfnKYugktrA558yuEnwnBwDV8p0 Y6yBjRv9UYvfYMPBSy7W87MmoqT5RsUmPDADtFKAjBcT2qA6uRV1NiJg8d1vvSWtLJBwpSk6vR3R VUsx0qX1SnDHoEMyWvvv0L3s/VFUZFHE3/9Ee2t/WXcUhKNn/BCWlK5SgH7L6sLFaaC7VhkrSyUy NHRMsC/wN0ALlQffv+VUiKSoFtvZVeVM/beTxdCVrYrfWFi6AVQ66j6hGPDp1BkdPULxWt+xm7/m jr21IeBWb+AArA2WnW/6ENUgp0XTIf9pNwNR4TCFz3rzLR+4htOEch5JQJb0vzSVdaJlZ1H8u4mA VwUehzdMGEOBees9RPC347eXmK77SY9VpZonust1fPkFay0KLxeEEM3rLLhwu1opMef6GhBFgblG skzIaGelnlNr1VPWtuyPtOhKNrTNzF+xZ2vzqU+Zi6p0qYqYzlrpEqSaldBLpkkUz5y5CNsgTHp0 HcRVT0UTp1B4CSMcNc5+Y5K16AVA5skvqmwOcwo1zRwAN/ruRzLuxOoGaY4HPRcBBDFVZWasjxzQ UFxxUifY54kmcb/CK8U5McnUEApgo7ObKp62q9bdU/3m4tgePuNT0jz349WzofeWUxStn4pfunoV 9bQA6bYtDjUHYZ9qWUkO/HwsNhdzuhWgcGdc3K1p6Q7+lVAv/pJBXI46A/zcNbDFN5CSB1FJowoe ELDhTifuAATjl4Ye7pjmIpJSjiagay51BD5Sd8N8fw+eksNWC9L45BbnlYX71Jqr/6HDBjo3pQax BWp69PddKKjJvGdbLMH3GaD+OjbXH8gdMeAQX2KlCKEJ8uaCcnHg57FOi5kTZqh7oMr/7xiNYo+O wMGkzjXsRYisLB+1P69NfHsr6JFuRI+kL4n9dP67of+QyPBwUZwXK/+axxAyQQHzSKSgHqlYqXCL Ob1RjWHZKdLkgO4ij9BxQJBtlQeSq8YaOkw+HznbJQhYDEHPOZbR+8IOVTZASDWCBDWTtMysf4F9 uFGTBJEHo53nYRrVPGrsyfB2WYZ/fGsuuV8zecYC7f56lO0Zrt/G23dJ5PfQLT2WX1MpfiYJ6aqV qYKvMdtNCydfeM7br0hjQgSE48yGiKTswkxESyWnBq6ZLo1TMDgbMVyvdoSiM1SFCfi3uUIa60L3 ENT8KSvhWFySdj+/nGOti0brEvUiRc1zXfuoTICMdgtu6C19VK86i3j4EdvPceF5Juoyvnkhn8lA oiV+AQeil7Lm6xHjdjanIxcsgSkZ9Jc4RqL0FJiVrQQipvzgH+d95zojBYeG1ruwc/iR8n3fXV84 8ISo6tIPmDS3pf4by7KwI4G9WPPzcnS6qMLvTX3f4X0coMK95BbBqTOSZCCzdkniX7RjVwgtQwYC kV+3B11FX3WnhRypFbC4gEPa9/T2IgpZTYWUWC7eY2+r9v307kGRPMR8ZvqGphtkgyb3cdV+Jb45 kDhKiALtzZ72Dy+FE6w4IHjpLFUjQBCc/vLmFqukEgZQtZpNFlwDyds/Hk29tlF/XrhDQFNiKrY4 P+UGHfqqDcyOX8DuFXWw5vLyCvg725LkCBaxGaUV9RNp6OOFG0nRJHDGRWEuhj8XEjWNqymnGJKD PEUr2+fn+9R5rChe8h0PoyzLkwypSkXIRWRngKHxybl9xASW9PL9scmlRH+jgC8vo9AC7QseeSJW DFhufnX3dreToa2aItp4N809zWioi4IJpopeqXw+BswRzMb4xD2C3qXINw1ODKuJ8hofXcOtBqvt cMGv88b5NFmSTPJWKvoP4rGaszDBkJGKuw51Ko2laYm7EshuxBsxdX31uMJuqt7/z3lNtYfblyXu AUILscpDBem60Q3E/a17LlnjGSzUCS7zznAxnXEJ7hz8k6qriCdLCKlv3bY9jbnqao6Wu5VPp/bc wWnc8OjjoJMxsRaQVj5zewCiDwEgKc7NutylyYswAjLyphzetcsPGZnx6HZjGPsWwahflSXN9kS/ zvLMsz/lc15C1pIw1rJHInfyTpZ6iM0Z1U+F9IE/nA4P/l6AyxxdhRzdT1k2qfEGPwvQtWUbXncO k3nN4q4ccWGsGVxpDjKEmji6dQT5wnuGoEkok3e6Uzg2vSjmG23wvEVISd+/neqsIE+n5ooVTxDq R4Fbe5RjwYnPZcCSTwIyo0+aqXuAr6BjZeyh6qRtDt6hjm9agSewTv6LQNY0emT5RJ6kKcMG3ElT lB6LYVs70ZItTOtVJWSd1/NL4haWvlCNMGIE3qiXwHHxQSFN80H0OWRPFeWa40Gi1QNKAJTChh30 qwuTHFWMGB28YfYP22GP0Go+l/Zv7VLrNJXClzG3oBmIsYpYO1hZNLfUwNNd85jnhQ59uUOe/qT5 Rq/qYHpWVWPgURLAFCsnykpyc3Y4u25qstitUDEMLz28MY96zOK2gWprQ6YhlhqJ5aoL7QYeD2Lr mFIPRMf6we1RFIgzX34ZUXi6SdjeJSzMhFPuq1F6BLuaBpXlROdb4+oUWOBQLJlCN+bUHTATdfKR j7mPlICZz/2genWtIIJ93tbRYqQ+8AkcZEL/F5oAm3dYG2U/kwIyY0AgGg162/9o4GyHVACQ/GJB H6PGaJQ689pIl1ohAGsWQCDjlhlPujlMAuWOfOIsYnh25FSXLnJjx7xnlzi6N5iEg0B831j3+BJB 2Rn874NWCuqZXls95abgBl8ZlNaiiXH+24EZxy5NgCtq0CiHvBO+GkML/N4J8C7TIlMOQU0nr40j b6wBDjA79q9pEqTXZdusb3w/oWmcEKZZavh7Z2FL6fNfVj2fW3QpNztl0phs7mElCoS8wB3GVuiO 2tNwbikzrDvjTkb0J4I0g/ZaG0xOD3w1xADSEX+S9GgWll8YQ3zt2NTyFPomFBHbB8jmcm1vPMOG waxXH9+OYYAwHKAHiHub53IwpjH++YvmJEvA3eTisQI1IS2UX1+lvTtZeWuZVncMk5wFApLerSbw mOLFCjbJeFnJRLkGwvF61g1gWbbofeW4mBsdGEdRQcd0cmlQI84lRdtIYGZHt/asPs6Q9NpnuhAz RgZG/6nnhuJxWE/uYDDAHMJ93MG6QKLuflhqn2Xkqg88vKDOPMoU7pIQuT2hNQXeVuY5BLXTzvi2 tPX7ixddQdGxRgWt6S2t1CAJYTwNW+rkM7OjpS2Uu/CGgPHXSzzwbCVy892mIwSV1Y+vqX/Aycxz WZHsVugxsz4Ou3Z2qFw4EA+w+0SgL+olUUSGcKd76slCDrF9OSsZHHgUpgnSkaAr+WYGVJOipguA eWcVtquCfmmF1SYuVUfSGs30nmrO7FDPzDwI7hpWF4i8ixe3QPNsYTVfXb205szTd/2U6FET83p7 MJrKY5KGxli9ebvkzy3Ark4y4Sep15TASYDM9E89AfrLEyYzm6O6VRSmM/BfbRZ7B7SsbC5pn789 HgRfI3UGUmdJTzD0riBz0u8CwhLEBONukLFmLlhNSLGFcIHORxp8l4Sw7axgoxVKVDLSM9hVSwez 5NZk+RTDBQspGRfYJlaI1ERkURwroxi/kmS19K7026k4yAdSgb3GhdlYeiZGEMBeHdLO6dPSxENL 2EIQKhDsyYHWSbo/bu7FCiN8J3vAP6HlNbaLd6OQQPwWtOPOI3o1lyKdxx8EN3KQq8GIx0STUr4z iTxuTvzjNmuOewAB3S7RD1R7YUWvi4qc0RJT0k5SGOAwictJUcXmxBvVFLpZ0JMMCxjVUfb5J1aA dy1GJg5PGcGp6f6oLIiEp3u/sHV93aeUWnYGF6UL6KBNu9fh7h5KhnE9zt5e3jjS6v8vK25upEN6 9+gywHSQeLRSyUSFOVnNTcIn0l4OVL5brfCMIgVSgx7mEvSXWL1SJ/f42Rxe1HZbR9hBARDTdkZD GEMaH47hskElMbwxmbQfBILkfFtXCysv9HrezqVEVZ+FPkFJf9A+mL5dBY7tjDc1EKwmrOiEPntY j1Oj7n3NAt9G/TJE9ybFTNJSei2J6jCqaCyoo61HZ6tY47nlbWLnYhymaP3c/TJ0/6crOhU5RDYL fZ210ZNmckUkB2vmnTdINpugm0WZfnFm/mrbrd71VBR2/QKE4ouhXH3FjOP0h/KfCTMKIoEFtlvN 58bUA846/4KX8kBSAdKfBGT0nTagqh5VOhmmsdS7bYFYXSi/3h4Hu0PrH/eWjtxFUcGUWcvUN6sr JCLefGOFfUWetn4v7ohFJbK7aBXm7wm1XYxBHetJbje9O5KHfBbD9OeTeiaecHzVg+UY/27lFff9 Az7yVShXFfgqXCBSeiiiRj7luWqFp/VnnJ55wmVTWMeUMXANvmuJP28wQVbfCXMCp6NBeY3P3+Nl W5ovoIHFpsFdT1mnhn0QreI8v0P2XQrJp9ZEjdn0SSgnTL0xb6fBiraGP6DVAvtrBn1Nt53RXQBD gzEklwGEocra0pZpOpSJ44QrybbVztt5MG42z/AVFh/1/06JoHQdI/wclx+6odMFACOXfhYUMm6Y tsJZusJ/lWDFqXx6AGbukgrT3Mm+PoLRPtamYlDWa3d41jYtdDmyv8T+kWJXjL8RDgVi5yc+Ze/g 9KJw5Md5xpqK196F/L9Cn5MqogeCLVEadhSLWV4WzyoDro83jAYWrLfH3J6ZETCyBZWn4DnasRyO XQ/9WnHbKQOu35i+lxYacz96Syi/vz92EaCIyFAKK3fVCJbFz2MMX+GHzkW4xHndK3/qo5OHGiIr 6sk5D4+/RlqRJ/hpPSAAT5igPFT5yKKQz0P/gom67CwRu2tgEn2r5l4w3QtM4hQeimS+SvbZrXLS fqD5od3OEpvp8nyAXaVPDaVthzD4M+X8UVBAF1QBubrNWvmAf6XHnY2Ea4Zdsyy6h03R6L7Ylw52 Lv0WsyroJP9Mu4+s74IqUL8TaiQiTlSs3U4uDHmiVWvtqXVv4GpQujM/mzO6XeAdnma3w6WMHOkr Z0ieT2dx5yu0Kr7TKsr7gpakIGIS+wulTRxFmz/qYQWx/qVnY9L72skOX9ivsV6VStRIfbFDkbgd N0TYmWhH7fYBF3vNVzKmdN4K47+efRT1NSkxo9vKaKOBjlqDyjNogDT4fHAU6Q+JbUy1EhDw2oWm DcC798VSpr2/cqadhntIlglsnV0CngrytXpHaVuscj4kiGKOfQzpQGzDtLaiKaWaUYcFQgsHpP4r DKwzDXHexZzYMY9T5CyKHwCWs7aKln8qpEBiYnr0zDYUp82ZT2jI2VB9zWM82lmnSJRVBUBi2KOd 01cvC47tJT9cbUu1Sbq737F9NWR8LUHklFUpClyFhQTYUS8BLxdtaSG2oMTFTrMHGC7JlHubABxU 8OB16z7remcRe4VfTJCLKAUcGCLKzRkQgAfjwS+B730mgElf8gYljZsJwGlLDAOxH9f5pYiV4Dqf C56JxQlyMBERnwdS4qm1X7sCn6oA6FkuSEPmlqyX023JopOSqL0t8WBFnm4BZi340uRm547H6zGm h+3xA2se56xtBCx6L4hapPRg8Pg+Mj0YrUH6EjPYzb0CD7/wFuiWTdS8t4gRAfpaP6Eua1SU5hea FgbjFp34ef46FAljV18m/h1IRWabLq3u5KV/CJCkpLRrAgcvq96gQnXYtCbMGrSQGpcskLEsUOCy gzN50uqQfRvL9ufscNiKdeVpq8U2BmhR+EFrx7/NRnJq0vnvLA4yahw9AhmuQFihfrzuKN7ISBPk gN3fBiK5ydqhewpHoBWVtvzgFiHovosr4HYV12+1qwebUQUVeYbWjfoyS8Vg8YnUJByD8HOa2RbN XZOo+/iOOEbqCDjhGKyWGl9xLsbDf6yXYP/CJZOHyuFv3DjbQeb9WqtcWe9xKaXuuES8hmQCjhPe GuZ91DK4KNz2w5L78CTwumQ0OClsaJWSl97R+ZIMtiRjU1pXX0QrTALaNZ8Ju+VOw0A/YUiPmaVE GZ3/WQXkufX56R7kEmoN2JRLHOQRk+0cGRGRx+1TMIfr6FUDV6m4fVsVbRlaM621+dMM/I7kzhga 6qQuT5xNRhc1Sh5XYRmPya807cqqfgF7jMbNl6f3B+O8uZv2UswNdJJjkvgeKo/97ydals+9JS6Z KQ1MTMgieM3twJ1bPi+Rh73tdsb/HYxFxSEhEliWS6brtERI/dMnZO7EV/159x+gAv7vu/kqS/NS 1iKams81V4lDll4X0Opc7+p+Dqz1MK9gznMVFQ4oCx88J+IkbmP0eBptGWpcTPu/n31rf1PjrgkL hTqcCRIZauLaInLnzSyDAetUA0mLlYZ9mz8uiriy0KgQ2ZJCjJnFh9DWNGv+Y9vKWJSZfW6knlJH H1udeelVOa0GTFCsHd0HiuSiK1kQuduZQTJ8OSFc1tOF1yxfF0OP2I+qhx75sGLQ5egMIwA2OJ0B eT1uK3OzCybokCpHHTCReR7gL85v66Zc1mi4EcrBoN0dIAHZTUXtuuTXS2rrzvo7j7q+3+QMojp8 Oergjr1BGyl+7xGLbvbbFToCileEKOsNKjUeiwbF+L1aSB/bDlR7X5E/Vm7eysQ83gbp5NqrOFxB rPbe83goQgOjia1EmC2nUftcCtzKf1CV/rBSE9bt+36CWGyX4R3NDpJuo0qGqYddPe5EbUFDeo/I uBFYBell8GGIPGZi0noJPYANyz+I0ZgL/IeyJSSeSEX5+4f4wYkN1WapJVmtqfYmqjIMVCcLInCY wESNR4a7opbITZTutUMaPQbfeFhRUzOWgpxpb3AzdCusaPvTzG/62z4rpN5436ByzOilwmdm9+GY meby8yTMYJ23oyclou1Y6aXQql3SPAblF2j5YN961gjOPgm9yb0Y7dibU7ulVlJbBXSIx4AOk8/5 8GxsPos0u+KWxA1uJYf2rjhLMCGIi5IFhMVORItoiMIWzyrWdZxOzt5hobBmO59MLledYAfVtjGx JdUbG7TjMNFRMhADbQZejtZQU2U1SyKkWCRjP+AS9WNKHfAKg/jnB7int7ZqO6zHJFqoCFpKGFZV R2mubnW2gDPUUDnKdAMSIw5dsaxv23sVFIVCDD4gRUa75XBIHeHZqnCWNERqByJ4Yb4rbxR3mwHW d5SbBWKD86li4PYqp6MQlQRilrAg6lunO4ZELNt0fPKpJQlFp5AmCEPKapSk5GZYDBGFBI1NzA71 An9o/A8cDJCx1fCqxo9E+Z3+/3FEKJ26a4V2m5bsG/RYd8CZ09ELyMU1E1l6dI6jthG4pJ2+A6GJ Go4pxs1yaNiJzwzCpZZQRUpOiH6rdTzPHi4PdENmj1ZpcBiu21Lk3jIGlayy4b03qfZ5LqCJsWx1 P+tbwT1ZDcpShuNoVmZLwX3mR4tCVHwSTWAeVSQ8Yc+PWJUL6b9851hVWoSJ1T17qOpVD0WJOy97 Hh0iJWfEzyaQ1uJxEHrxzE68Md4bt0gmfQsN0pV/b4qtKjdpeMDjOfNp6xi7N/sGD6bN0/OvMwLo uwTKWxnUqyYHGzhSn6Knfn8LBT16cptSzGaqOROcBjsX7UTxSK6IvWxoUrK+JrIjUFkopB3KEKGR eI268dyg1akONn2tjJxVFYg8l1/bmSmhAw3XoumnnTUm3LsEpB16fClvwBN1ZXGQBEiehYP/kJfO y8S4vW9VosxK0MMmbkxFXKUETCWENJyFar0eXMGwjxD1JJwFEnzoLMiOuLcwhZqF2mYTvjQIAM2z 2aehIBx6uZp6r3IVlxh2s7FwBy6P30fLmStllqeEZLYeMsVsBH96PuM2JB3to7YadybUxA4HMFVE gDcUzbWV8dS2aTov4SRdKkyExzvkv54gCY2ls7IthKjXvGU8/dqLEx8ZkfzJcrnlYgjbHeM2iLcA A0vo6PTapKVgl9JFpSNaZhG04WjlAXDB6wSU0WYtjIvudmAr0e4uLqnu/WPKWTB45EQ33gLal0LO df00JfUAM8SydQQ17m11IK2ynOWMn1h/hf5v367EWlE96TadpoPXe2EvMqorYVUfqm84rIhw0tHs 16uRQU4n1MV6/Gcp0rykG0htvdkgDDxIjO/1h5bV9yAT257LjTJLGHaggP+EkR1LwzlSgDGuz51x tujrXoVcPooO1e2vhv2fyM51fAUN/8Ln/+IavRSsrkG1ngMOoCnexBeYZKZt4wkjYR9yuzKOTi9p zVItMqbo6HsGwB9/1ip9KiGrWmXEGPURF/O4KgCiCDba61FeauFMNGUe+DM2IoQEyyGauvlVExvU epFCdkdUguAF8dU5OciBmmUxEJRdq01X9werLQv96QFQjVuij4SEFAg1eYau9MJcNVoeJ8Kg1/FM tD0Q5Aw72DQy2K7TLRZw2EcpGMJjiXXRox1uXcL8poNX51PVHjSxoczXLuGn3jniQD4T+YIJJI2P ZYNiBZJe76Ps6f529sLUvaTa/RyuHNiRJrTdEPP5QYiiXSZQOTrKh+Uebd/929GvfCzi7aivb7ZP 9YXGoClsilsfWZW6H7UumOJC3EBXFcAQID4JPcytxchRPgLoF5LRQ6l4L2BPC8qp2pQmAIs0BaCx +mE6FxjLrQsLOUi8b0pSV6N4AUef7IAejSbQG04xitURq6pDFrhRnQyP6zCaM9PIaRp/uwO4Ub9k 5DGjYvyzQq6MAeIZEckfE2BT6RSc9tzeFEWXRdYrb9Kw/YKfYl/u1V0hTl/LPDOt+/CdIbjW7wYf SYHuo/KwVk4Eb8DT/dHgXSDiAZUo7/nIZuY81IFI2DwePttXHUJz/oukb9eS21QZkZeJ/9emqnx0 r4LaDyOZ+K3L9JIyLJn/V8VzHBaCNSl/PZE1vTgZa2939eT/tB8O4pRVDvRFa7lPF619RTgoFaUQ c9Bbsdhttd3F5kCGRLzgN8f/74+bnPvxPIXl0u7e8L8THFBMDB7CDZNHKcjEWduwpzznR81nRUcy 1avyuEWtGpYaiAtghpnjl4UB4hL+M84td9Mha0SapdgEzk6P2VN85Pl4fwMcbtX73giQzjhSUcw6 pNCqaxsxVyoV0p3h5ujtn+5feZsyybnKnMWx7RxiFxNGu8+DcoJ4jsCf0PjBc/MXvzkJKWC5u8by R4KFCOqeIqZvrx1306kbYApXnV8bQMSZsyD92j4S6Pd+ysBOI8dGdPPFepqzM5KwZ0J5AqewbRRp 4b//R4rzmJ0UkQ6bh5gUTcWGsH9Xs2NZ7R8c2NXiAtXJ1bzp8XMea+mgRbzWBXOb61PeS7XRUEQs j16i+pmWM8VGy96VgLp2SnXjaCYqyysrkeI6t+j0DBudvH9thWI0PdJBajDJQWHhEpFYBTmdWYTX 9/J2rFnC6m6J0Sc5NZ+aRWshGsZwe1VhrkYX3g7Vy2Y8RVWy24HqPC00EAzjEZVZ0SLlvdYoGUgW EWv3ZcxXVeaEhoBCilcmsb8xRTs14uY32hQsEucgulB7y7UjB1s4+2dpkWjt8OhtND0GBSGM54+X PNYqL8FxmvKLy1mFo/DWAwZq4EJfvJaNai8oGQRpHvD6wIF93O9NNYtz3Zadt2sWY5wDzvOGVmO0 g0WBhIi+Fu267QJM+7GIzDkvmpbpiHBDnDL1kl4YHtnuYcurL90b+Dqcbv90Gbsd4WGc8jIdOE3U lT9nR7Re+kqgZz+kX6E4Rtca8WeEx1K6IbyWiPlNNq1D5VJprbri145QP5jEcBWZ2Q/NLgpMXf9T h5I+XMgj6ErZmjFL4wBS7QVuM0Ip68JfIw3mDuFCxLw9x7gyvryYnPWp7/kED0P7SipJdWkHGIvD XlXgWkqTWmsFh/zHLqvSAgbYZw+s1tjFs0Auy73V6LAhm09Kb7E7yrZUba2hkJvT6t4I0UXfdB8a aqVz9VEsbErc/N5pfZ/ndVYd2ScJ+/kbKqRNAgP7pjYgBXawIAWlJn1kGrTg9qvv2BGLa669BZW0 0Y4tvCWnFDUaqmZsDk95s7eXiayDZrMcVMLo9Wrw2h4vAU08Buv4gKVXn6s9TIyyp8mjcLD28B6H araY3JMBlNzmqmCQEdnSaOaB/xIgNWO3z8hBtzvjnArNRrbOcVMAz7DL3jAru8rJ3PiTmxD39h6I RdMSX4p0LElEaytAS+CjtnDgJBstasw/N2QNMgIFWfUAjeCBRQ3wWxVWKea3GhqE6EaPkArRhiY4 xbjvmeqa5UjTUtcbwJ91cQXvl/X2LGAyf2QlscR0LeOFrHiyPihKWghSgp4jUQE1ov06yEoReOVa hzo/Oedl1b/5UafRC8bm6cYkYXBLFkUFxgMHcv/aPWVWUiImlNWdzlQi7ELwEzAFzQYgSbr27VUN fQH+FObcm6gNkAcEtZffN317ZQp1h5wj+N0yXma3aC6hOacYClo0M5xozn2JPgeV/KFdNxwdtx7v onKPFZ3/YOC0PKMuN9ImWAOQuR0tDlaTBJJ+9VI/Ijbs1X8/hVN2J0DNiUZgI5Ob+eWveMgdkMni 2P57AgLaaoAV663XkaLJrQJhYVOyV+8ZOKEdSSVPOiRvwlHzJLuc3S66o9kH3BkpVWBqD5pDBJRM oj086GaLuodNzupTStQVD97a12Y+i/assogRpR4Pbcf5RTnKyAHv2AxVdKy6fSErEEhvCeX3xl2E Bg3GDZ46sxXWGM6XLpp+ZfdpedF28XvHHfGmKdXfW+5jqBIitqpNvbQXkt5yxDM+xQQgQrhXog0z ey3KliFC0au1kPBVXeuO7UyAOfT2idv0sSBWikBqKvEJzFWkWm5HQfLMwUJpd3as/o9CSNmu7Ekr 1gkGJvhh59j4wSLHvP/fdwlp/CElr3nr0doMv0+R/LW35Mdec+qokZYAZXxU6IN0Ihxox7hwVpll v4tm3CnsGYcj5JdTHoHaMzJ71TtKmZ2PdywKLIYVTG95C1gNqEwEUduhTf5Jno1HPNReRq+pY5tV a1+9ljWWmrSchHurbKH4gpf8qn4NnxMY3TmfYKtpNdRROjmlBvUTAVCyW+qwPRNwUocvKrG4YcG9 CTlGRZ+b3qQnKUwqvYZx2sjnGK2B8+i1dxouuzyzYEsW4NgZPFBiDL1F6OgZDuJ7zTxk3PwV+Fjy rKvC5cZL0qC+7UhMe8Rgo7/QcTUCUqP3kR8KMOb3cZcl60rFcPSRTxETrXM0W0guKl6twmhTh//n kVsaIr2WboVUK374FDYZKIJKmuZrbfyMzWzQ9MTiBuRjFbF9Z8DUr6Sy5o2aE6LSMkSRoRS3Obv/ gvbceKqZeCMpiytmFcfYjIjArcAeUfB5mUWse010ac+05yb9kkO/V2gYSwv6dbYga1YT+xS4nKHV 1jsYjzOdYm1mG8zvyIfYHhad3FNaxhg0un1DLjJrCLQVEULBLO488iFy5NB6aCeSv7hGCchBEXHQ vFjFAdm2ckRmdKC6zjfWyu8aS0S+SEzxMdx5IK2VD1uaBL8dfGz34cl5q6yJ9PcyuP0qjIdcVjh6 8iwBYfACnUFacbfp0dilDlMg4ZCcEg58p5k/pHzsYFuj+hIIpPjOVoLDbZ8N6k5GE9PjuiwZrU76 tOanqIaIUiEDkQYnHjzu+rjEij/ArluDTy2tAgBkn2GdGORF4r2aKxF/CFRO0/5YDm9MzJcnn5EA 2G59T6HrlPRXsRHsjIQNeSy22Pc8eyukiG9zoS5g590NHt0vxRsxyKI3crh7Sh0qmGl5ZAAES5V7 vJZ53Rp11h2tUoDgsX2t5UhzHRfFfMX4BH7ne2XCQ09amOZl8/x83anoKNYIpLtQo8tku6u3QBaN X8+bOprdLjSFFd5GeNRhsdfhX593ruFUKHHET4sS3LIh2N/YjaX1BIPRhlDLWWJ6x5FrBwaGb6q0 BvIPBFqeg1qSF1coYJGBx22L2GsV4J0Uuo9uLSpPhECs17VMxJNdeM7A2IH0qfUSRVf4fG5Ba2/G VCAYlXOhC4AwaCwZ9DWHsdGQQiUY+rJ+1Mr/3fYxhT2gibldxa5DpfxuMIVuo7Qc4flwtjFZo23p Cnj/LZNjoCG2vkGm0cgDNABnVRSguaSqSHuumV2XjECcRDeLWxGEtxNBF77tavQNnrOZRrNv2+dU aTBM36etTYGlpva+3x65dw6BpEW5tp8ziyfhhfThM5TtOOHTWncGAKG76cjCGkp5dX/KUvdSZDlQ xCSoSxvMOxpSCQ36tgiqr0mL2IpmIfS1nIuZHU2wtN2sVVnlnpvxzTrtrZfsx1aWG17TYPoso5O2 OO7aJSICwfT0I5L1YBPscLmh5LM+xIDabrZVVzIqUuVD3ssIo73K/bIkhTa/GZSH72XYmKKAs0vk +zQrihAGFTI9uLrcYzQllfGKg4PMyVBYucxBaoRMqhiwHhJXmvd9LVS2dlgWRAHA3LxwyEBSTUGY 2B0BrhZ+mQqNbhH+hjvig6lupnQxU2c9OdrErl5/oGyBc2tCcQ6N5++4OzMif/kMNqgScUjz6fNH /tABUZJt+3zWoQJKw5GDuZSSrEPu+Xs8acMsSgkn1aARIWwCeaLKmLdDYclDL+JLFqKag+M3WhA/ JyYuOo5H52svKZ+OsB1x5dAWJnTtFyx/KTHRTjq3sXtnN25ohH2fySqpFykyZ8dJpuFFLFQwBqlI u+jc4vwA22Ew2DT1oRYY8bdrjaoJod3t6q8EhFqLCEiUzNjblUz4wggXJeT85S9KTxITimHFaU8O rJqp+i/nw0K5/75QjK44o/ZPcc7wOD4svDYSSbrrGU1r4Cb+CuY8hjSYTrInajXaec+Jgo1Crztz uGiWxar0yUIWqV8MUT7gzrZNvFNcXLFGeoIpHcHSU53qg21JboLSxUTeVP+OcU1xLQkZtJODY+30 PEhsIVXEWMarzjEkN/TuQPfXiZKzgqVReQngU+Yh1NTyeV30OZEz3lcRA4/EeKCfd+c+MmgOH+Ny rgOxwgHYfGKfcxNQBuHyBChPjfxs84TI4gP53kJVA59FDIYuYNWDPFuivK0zwq7iVQk5waTcxZ5r fo5B0U3xlsFd8x/jk3upWtyDauTcRGK27ZNJxM7sdp0Qyj5Mz8UCqBMxIcMxm5ozc5CBS+hoImO4 Oe5LRWA/f6EfS90Oiy71k2GyOqhwm5RmbkQE6taWGyxhwzKabVXtbI+n/K3naOlNz+6aAuWbHzkr eLG28LJ+84ev/lVX4cYwUAMPZ7FXt8PeYYN6HB0qkHqSUofozx1IQlBQI1fEbR5TxcWB2NFUTJfC t9Zox7Vh0GvUpp7dBAxzzgQ91cGvjMEAjzFY9bzS8nnONsRw2hV6V+CJMZKiWmiE7iqhprM8yaCx 0N0qXceXOE0eV9Qy0VWlRCJYK7ql4gA4sroMINZxM68sMhEtwBciGaPj0P+s8jHgjGf498QzCTAA LbFUS3eEOzAV2eH9HNjeafKZEpjSxuvKr9zsxlAazr4vqDUTwrjPZbim97pv/NhhIDlEYFc0uYMk 9G38tEe5+li/ksm82BMLlw8ZCFV/q7dbMkr0vVBq4DUSes9zc11iIXOH4f0yBSumVKroSbaHqVSK oXbMZyQxI6vVQu9LDMossFoRK6/AdNeTIqpE5aPJ7b/QBOoCo+0l2wamtcX1YW+9gBDxX0Cs17rT JmoOgYDjgAgXUvrG1YR7C40nqktR0RYcsMSy6LeEOp/Rf38UTHJWJico31eB3G3Di9CgRyHgbk9b K4NWYvl+xQg5YDKxPvfdZRM4fDN5LGQxgLynLrdISZQpLaV4dQkXfzYfU+7h5nlWOPXzNubI/1Ig X80joRhqXzmd0MpDKRw3wLi4s/1PjXPsOggeg8uctgK7BI1eMnYo4eIrMtndCxaoJID0a4+pFg21 q4WjxnFYC0nFEN9FrONgE45q5M1a0v36oxX6v0UwMe1gn5MAyry5vUruPJelvbm4emCjZ8tthWAl rEpWoYAtizmPsQMNusETSp+Gwoa6VZFM6dFC+OV2jIOTYy/iRwbv4BXkPkULwm2Xq7/+gBh54YgZ W1ZXiFwTMw0WHgH3P7dn/U9+AeIau6qy6Y4D2N2c0sxQcVFPM84PZGzH1zxnXQUO1qmnJT8rCS6u MEBm5j/1rrBX+8HrYJ4r9v1bMK2WLXhxjH0GxZtNRL9VBKmpgX8kNsGIK5ZpOD8c9CKCWVHcVbil Ja2A50M6PtlD+4mxN7mCywNaIsDKfvp88bLWfTWkCUTigh9c+XR2aejbNFW7J/thzu3ZmZEc93Hr LwMRbTrSXvbXBp0F+yWyyCQlEJcUN61Qz8b7FXInlcKON0CtkF8np3gedos3JOrZKmRhAPzJq7S1 /YUQrn3QkJ1sJxZYPoq77hAUbIhAD3hM9tiVXEV37kx8O2nEN78fLNaB5vWk3qSI9c93m4mETeaH zacmCUHmItXWXPftlnBeJCqONHkYcBYxmmCkvm+Fo/w4PnbIMOjlm9EYDYgohgJQaH0Dl0Ti+mFk 2ZNcCEZc5CCkajXLBQsNZejoA9VYru6WApCiKATUnaxYMsashM4QpfQZm2eWgxfu80sjd85BQkyZ h1lHz38FDU7fQG3pvO/kZXyyGTZfpx5xhOjlWHGheap/21QUPRvphfyFHrNhAqWtGmBPye/muRhk nHa+tHrfirlLbY8OTT7nOSgd5HC1Qj2zDO7CxmCXiPbTAdsCEwsu2wyF7fuUD3Xiz2lD0srY/PJv m+lJtn22tk5nGKsrESBCXMyXslnn6egxVyoZxOwhEqFmSegzNyxkn09qaxKwftzWKtAJFHK65ufE qhw0zwPtQKTp1b19KRDRtqolr//bvv1f4qS7ka9YUBkbJzzwZjOnriwn8OWE0ekTiGKXfw6bKnIS 3WvYq184Vp6b0moGXqirGuyo7hlRMUzVz1jkBP+Q1uuQ91L5yuaJh6BaBthjOY0BFVcsTw2A7lNs eqOt2AqqJW23U020Pl+uQ0YhkWxZaRNslqXUmSs9G8ZMLZzZg4wadxEnsIAXqU+rAJ7Y9x/NSxfE 2DKRnAI7zQl3YA53ccqUA2ZQylAc1lvVsqzodNNYh2WGgIANi9tdB/DOt9pB12nj5pM4NGr1oSaJ p2Qpzz59LYwlS188Itmdgk9zdItVxnrMxJwquqrz62DtKK84BLKdjW890hCppaRhWcerylbEAtMG Hcj49g0mGScHiZ2ZCcTLs+kjK0N5dxJrDXnO43hXlaGhRn7ByTkon5gyvqFulYUTmLMIebH0B1du x1/mvbjhdHlhUhwIUIYkDc+Wvn1T2CuWENw/7e1Xw9P9MzWYSRrP2v8dN93Qf0BD4okb/tBeXrvb eAaH0s+2XSyHQlMMtd36TB4aAnEJV3YCxHTL0iAb3i9r4HW+tL1EJrHtuewZeLLvYK8zYVCOeKS4 PlzUfTJr1H2FgfAKkEf3d3U1h/3b+RnX1Lyfh+4L6HetCxNCBZ7caspfs8qnDyvvC79ZF94EIoO2 6hwAHH+gXSDEh5I7+znVL/mRbWxmZ6A2a89X1s4Y+nwbaYdRYT7sOBnV4A3GT7tUyxe3m0kF8m1I znHNlihiHl0up6FRRtZBjm1CbsImyZs7Ri1msgvpF6f6FYQTf4zQhlxRzuHV6iGI2FMPxSZfJB3N XJ+g6aPsTdqqSYH4hcl0CUwc9n+q5xtTh8uyzGoo6bmUC4jy9jHBiwtcPM+PHj6gju+akQUDTWVx faoAZ9eh0DqzgUleqwFlHfu3mo09+gqRPvv6EGXQ/XOoaQ/nnS6naAVF+L7ImoWcjRBw+m3y2Qu6 LfWeJt98rBGEHxLVS5uSBG1RNOX9cCFPw66+0OAMBi/SC0lLfcSHTXaks2mznZRqUd/dUJJgBf++ 7VF3R87zB16vghN8d6/N/ZklBcHgS1oZ3SC7ahLyiUrDcWPwzblZJ1Ke6jgnqgHD4f/mdJXipt0h aQNlV0VXKHjNCDisukpWgD2sw7+btj3qR/4742HHhVWb3b0QAZblyzEFoGVhFOSxjTQYP9nwT8ch vL4YAbcOQyQD3SxjS5OZBGDkVRUvofBvbNOned1VYDXf/Tu4o2qh5XjzVrgpzviUwoxSmqoducqF cVp4N0fZbE9w7uI4CueM2ELIVliqY2rNUG7nQbLbkzn2uA4A/Nu6RLjGAciBLiY1HjhQy+2VfTpg t25PIDp5Tguo3BrkaHJt7Ri8VW1B6x13JsPl3Ok1IZRdtZe6HX9xunlB5/B/WI5rIDH3RWWIQfOg ylxNFl7EukZdIvqFS9/g0Uvt1paNjWvsmm9qP8y68xhOHsYihcWHSCvIFxaa1PM16viz4jV+gpkx bNOpjVcZpj9Rk1NKDu0W+cq9dvHzRasT7GspVCMaKTsO5s+paxTE5iU4THreSj/3Y5PwDZG9AbeU MQRw2RpdBB9GWO48ie+f7USU7F9Fsw7UIwRX4s6hWcBL8MTV+SzXTrYd4SwW0vGiToOa6/9EYWOF 6Uyu3M6w0YerBVDnL7jYRlH1C+xeI1MYj7QOupHkn2U8CZikuBrqTJPy7FoVw0jnCQNKhCez9KP0 kQj932SFnLVMeu45fpMb4y+c7G9VRClYO2W7H2lYI5yo9A8Ez7i83C+0y5LlDRWXKxa+tOXMMxdm jxRlx5JhnHpyDV9kBI2iy8uqoE1p5810TBB8Xs0P7sWvJ9JYv3CvIfAsEQFZFlhzld25K4/dEyVz LCkfh9D6Wr5/qxlJeyLQnI8m8+ONYSb5EeElKenBc5bmJppNfmSYwhmftGi/5N9+xVQ3Ha9wTotr x/UKQCFRfC4y3ZTS/Q/qbiQpqzKp81A9uRK8Mv0apOnMrrNbAnAHxwBNY07/CZJQo3MnMR1SnOok Zp+ps4NnZTu6Ctfni1WncPUa/4c4N4LE+Ws40gS3XflVWc04wXo2QiKFdWWzNn1jdLgN8tiTQs6f kr8WWLomoqDjabK4WmlWHHtL1Zb4s3OL6e+1Mp/5b2n6rdFVbJq1xjuk7pAOazB6fjQdKflzZ4vL JF6Ibj5TCAQAEby/a0+8nvTiWEQYn0nJ7wMgxSe3Cwh3LZaW7bq961zqUcjkIAs9dHJd3s+tDmtW AIilv9SDSEyeOznry9HQifzguI5EW/g0J9XBgysMM3iGKiPP4r0xms3SuXj3ij7yBkYZUYW9Abia 2DBDJLwrTFUL8JB3rvIsbIAijfFQ19xRgxkT0XvdStQz6+L9koN8vL+PXRihhsKEWTmDfi70dULR UFN7VcV76IcuQz8n7TmLTUZU+vR2K1lKByJR7y+1kXI/avqto+GUkQfpAHvPMk54ERFfYNS0E+3j Zle+YpxlCXVRUPU/oX1ID6mjal1Nt3X3vTLLRXIbz7UIEzsP+3Jxp71EpMTdS1EyUV/+a1Kdr4PV BoT5PlJQbaOuuqtXmkSe+Hmze6R5fqUF1s4liqmvvd4Gx1aQkJgRRgtC9hOFxTPK9iBG9Or+qo8s ifZ+CUHGQMuGF/569J3tnY9tIWqzAPf3p0AlBhkn3V5EW4ojcsepqJ8AgJEzfDpbLYFhw8nkzsqI 1faAI4GRrzm3YDOWFZArf2YZUBf2sWCCE1sEYzLLlHMu6f37HQLAz8ElCQk0/5CdnsmT5UytjUps owT14vSPABYJ77Qohm3+jB1FWHZ9i3xDkkUBL9y+CojHn79AJSZ0/smMR2u4QC57s6vllqGv4swI SJlwurzYgnViOvjhuOvU3vMIkKP4pt4mpuvIu24TUGeyETq670BTTb0WJGO4LAa91aSGC10Zicz5 5C21qlqX7O2gCQf+YcOUZJX9eXtBM2uQ7Ukq8uAB0vROKIIbWg0/mYgDufirV9kTV8tgzesP31lo oQUcCeoeBqSJbKs+G9VQ0l5tJHqzO88gkERJrfdCRr1u/KiNU2X00q7McQv5vEw05blh3ZKA4ub1 dSBk0HOpnB656PTNF1WoqwrYQKlG1RYXsSGLCCwbLg8iG/M/vO5rGl97XYxfCHJaELEB7pqRuIn4 fHhoYp3O64mlsehYPDzRmiSywq/YsT+UMobXi18T+gvJd5MDAq/QspAFmtHKra0cmUwlwU/gVrf4 LSQ2vBbOu//MmRseBViMCaCWQkOKGMw067e0H1+1ilHkIA9znDcKmi32yHvgroSGk5Wr/YMubcrZ hcnBCWbSNmCk+A5iVnskeSWJdTIRVKzREyxiTswZ2XWC7cAPXJZpmQrx3QFdscV7kUAOG7KZxAjl PY/p3orsQjqLVLF6sedQU3ZxCrGp4Wnqoy61A1eWV7CKqPpV8dg051Q6KFgasFrOrkwvaWClyEkn R/GIlcOXt/QWRuXAO+ZkY6e02xDFKjOEK2msUWuSQMCqaEDNgjVACBTdyKy1IMZYEDyHa8EaMgrR 5sCUDBwFgzcMR/JoXgUzNLdBIJxf8a/DUtQC2p7w76O7RmBfm8kxuuSkDlRiHrVZYGNFdVqPIds/ NX0OjOK0VKbQ4jspIXp52aSom3CQMUHPs20r1QXlUkS2+NYk8vvjV0YqAqEJ9rtyC3eED6CNRwtr vSxfGUP4GvOgDVJqokmZJdIweBg+QWExFUvjitpYh3g82qI38RSbXJZEsm0RN4vdgm9C5SYnvxFC jSbhYZjHvroVgxyvBMgj3G6jHcnj6c3s/UOdmOw0XETPo8SscZVFTxfzM10xqEzfvjVQgRdyxCIo oud33aG35krPpggK/YxmbG0aOJg/S9nr3wqhNPZSbRQu7Q99DMew5469fqpWPHmE170a178ATism qZHaHe1EP8XHAhMW+OevceCUsMsaX7oaMfUSEJHgdBlDYfTNswDjXjbZDMC9HzuYNMchn+PYR74K bnK/DSXtcH1uFFIq4uYOmzdToNtq7L4tiN6lJgJL2BBodCL0x+Hk/2uXETCNwTqN7v8NyQ7IAwal TsEhueceCk5Yy7+IdBi66iOktZg5ufyMEjt2CizZQAEjneel7M4gJFlTSP2DusGGhg3DQGvSP5ZB W8on9O+QxzAtqkmJBjp1fR4OVfpAWLjNEj30CFJu/6OvnRkrp2wwosTu1E7l0QogUWSn6gcIlM5+ eMBQA32D1As5KVbfvuoEn08dNAqRHPB2dKtxuAvTpB4r3APwufwacEko+FUjRINqHW7dY9Gmlg7G VX4CUP1kFGJRcir8lVZ22Ip9TX7SC6Bz5od8elX5yJRPJMhdq9bPi17pEr/lad5HJyN8ABUkU/IZ vn8wkYUQ5AhndmRsPw5FQAnBsyQlJUwCtWfbWGMqPk4RHGrhO0I7H3qPM+3ZyRRkAibKz3aTWznD t4kwgXBdZGUQcTf83V4ghJIlY5UeMm9DKPp4Bd/XWCNWi7+T2fNjou/Nj/lunfHCI+D04MWrd/1g cxsmzzVdI4CjsOwHe1VoAZAorpihmOUYO7eD09Be9+rei83BlapTpHXRtABkWpOk0PhIdkFV1ZYO Yzwe7tXhaUo8Wcqn5amMjrjJc5CUrkLvMBFI2yqs/02+bptXWnOLj9DQZ1nuhnfy6Uo/kHpugris 1yWYEEuHiAaNWr0ZhIjNS7G4GqGHv2nbcm0jMmHnyGciEPWy9UD+kx+/7XP7R2D1iA2Dzk8+GQbZ Qxic0gu9S5GPoEXwEhXM2yuj9RvJzdeE0HSRdcnInBy/mjYw/ngtWKqLYiIQ9kc/AmGuQb6p1HoS pAOmrX5oHO3nCI6XJVWGZKrEqYqnDDABu7t9ExDUqFg7TnBkUeNOXITHbGUPt0tejuhcSpBYzQlm oji/+AkyKQrVVUbXiKoSpSEF49bD7Wc4p6IRMTjEcj837+5qnyGtaNRAHvqjeOy6gDXnwQC3g9zu i7635stK5AF6DuwaiY9UUf5YHS6X7WV7OJ/2TlE7nfH7i+b5bnCXGDwesmE4RJ1NZTr0jqrlotVj 6SHxE14zFeiH3IwQzGg62vo3n5qeyRtaLjHm9n0MnRqU13qdU5eaZ1N79pKxVsXs7yPuGV39uYtV GGrq2TDSF52PebDONjdMRrsOvQMxyd378s3pshTlmF72na/uROA2dtqBXqNk8BqDHmQ4hnwcZZJG E/qum7sTYvKUVLuJ2CBgAMZzjMGsH1aNFs5SaCE1tgvvr0xb9tZ3WQqfme0Dp5U1acn4BwLPIr0R weRhKPmInFmyPGHcLzXZkQW2AM8EWdhjKt/YrlAlJ8JJ2uHX4i7rv4bIBtnsxySh5X2xh2SuRtXP fSplqYsMHpAugQ/ibRF5SSqXoh1QTssTUMGBxiBtHSpEsCPC59kKhOEnKOZ2QjqadCsqvFNihG63 uvasnWHmIm757tzFxjRM3coj5T2YFjpJcfvd/S9kCR4AMzTfuSbhzjqN1DoKpPu6GmWo3V6UI13h C13JbMQLDkWQDiLbynrgAfnkdCsmbbXk2qdR543ajd/UBXs8sGaQQql3XulYhJKu8bgd+YAKrUmk zdLagLY3cGXZdxBDA5rEefkLpAIu1PmhkIL2E39rW5qFY/CXrJU0NwmQQfBs7j4Hpx6fHwfkbg+x VMV1+jL+893PsRgFvnsA90jWvBbOgiSKmdmtpDJbwCU8slRVAN0ZqxTpD7a1eby4oLCE2ujBOt0+ xMqeL0FOtuqrJesfQFolgyqFQeLwt1RZtWJ5o9il88k579kL6wNQq6Izu/jJ/LPPQmmUKvOy36MK 3YGKS6fkDG1wryfvDnSes1mAxiDsmgoAZgo66e/gMrSll2kwDGOFD5+lkPZa3bNmSY/gBoJga53I DACobEVFYNr606haHKEh3k58+5U2tJuY0kX9F76s38YenbebXImLijHsRXlw4w+2pUNsDUhUI+3E /3k8VIlRwb2w17Rau6Uc2xA69IRtPL5uEjLAG0pU6jB7AaZryEYKHFO9/uynqdgt9PvzfYHR/U+v yETtBW1Qc1cQurCp8MqqzKhJBDfmfQdrBNf0PoAV98mKOCWPkVjoDT1uhdKO3eC9C2ZtjCnDPvPx rkRqC49aZiGPSY0SVm7ZDlkjtGYBBZ6MUT4+CN+PHLZ9aveSTANvxBkovcPd1H1UysznOL4rfdVN PmP4G2TKsnEnFlYQ9hXvISHZXktRA4YfQ8h+gFBycpynj0S6WmLRi/oKgFO6nKuwdIpaiT/wIyyj r1lvNuEdMShH/W6Eqxka+ia9f3AzsChXzD0Y8YX4tLFvrjnfPOCyHwXVLpmoN5br5PdIDoyXtLVI CgtOK5KiyF2wsb49Mlkbo4cNe8YQ1rpTf6bcAoYCSD9HguHZs8tX/tMJDADHG/fKM/62mWx2joNQ DmvboB45lpsq4ZK7aMKtP8W1rx5y/FMYqolnns16J+rhyj/zQ8lGlG31NXfdZCHqWQGeGGre24R0 BSNtfG0Y5HPwVS9birg0AKqHqHBdHxblhLf5wRx/4FmXhSbArSzDo7uwUgWhj7IqpQzP0Atzg43P CfhpRBqMGGPi//RgoK+RChyAoOzBDx37FDh474vyZuAWSLmbbBaqwyK6M3DR06TyTWv5wJ8V5iAG egLLgcFslRsnfHEh8VsNzIz/wtZp2Knernzsakx+WF/At0j9guH/uQj/JM4xJFTN8N1x2YdsiXti C9ijjsjdra2EplAoVNahWu6YENdZeQb52pzWSTq5BeTdtAJe5D+UIxLZEN+XlwCSNpyekmXy0JhY eQV02wfCmuqG5K3zQUcRAP6KOxkCqRs/srn6J44Hbjqh7cXJnNIiFhFwbpXya+voioBEB+HkDQPG UKbUy80x0eJkJHZbMpQXjdIvY3MhSp6rQbUTuvWrrU3fMhSdo5Xta5W/30qa5HtKbrPq4Mogu+U8 +/einDLh+NR+0W7w+mPBd9ZRxRJZsGkIjlXAwIotg6zVHTNhxlCr7F5n5Qsk3qAEpy1RiNjJQfVX Lh9icMFIiJ+CqOhTl+C4g6WN0f91px0z/iMBkkWeQPSYi8DepZLjigWCL9S+Jc99W7gLT6ZS3jUS ayeg7RC5kX2CAbOQ73V2r6iNQqApRRe58y8gFc/ikCjc3El5isnAe6pZ11vn0suuE3xXomK4hT45 tWnNdnGYnWVm4hfSLb6jXCda+KR4RIu0yEcNNrl6bHQcXOXNghWw6Aj7FKndHsBW6uVs8e+hRgHq 1NOF8DxkkVe4+ibw9tSCicQ6VhdM3dwO/8olMDD+KIgVEefl+2OjG3udFLTKF0Dl2kliGZNjglq9 7RY/E6006yYLrAargpEB/rccRTU3KyhWB03IOCHWCT3F0+t4vodWJ3i83jGf/pui2Q8SABj837ej 7ubH9+BkeCI+MGE12qaQaOiiav7ep9UG0eH0hf2dnSKadd5EYa7+5Wr8FuEM5aju7+hksvRpjM8x Hv0IsslKytDNXPv8aDz9adConcE1DQY4GG/LLwtBTGh30qZy3S+e6Xj0OfOusttZZw11ZkC37ubG YgQ8k80u1jBhdbcG/E1vCHQpDQ7sejd8mudU1N9+wW0vF3/gE+u0ZJBoNjci3YC+T+rUX7jr9izx /YkUMqW6uxsocf71LrtTh5JO6JKjDXfrEvXkIsvGWyUJ2cfYRA8X2Hf83hVAHRlYbhGdt2KL0c9N EdTLhwelBKjIK7Q3XVfyA6IZO35w5qkKMlti0d4pN3pnHtO7ygCaV/onHUSgjM1peofYE7CFWlv5 1UvgEZJrqyDLuz0J/1EuZ/i9/Cj35U7inuQman69Oq2SO5kdiACMAhcu4iYrs1dUyafC89Yv1l8m fQ81DFamREkpTJ3zfF6AwpYWvCD14OjB6cZxaidcnaDe8yPjL78wA79R9XeaHWb3iAxH08iKayER CKp98QwV0meOLAyWjQXX/xttvd2stvpgLbacZ5KUEdeN3iuXRyhUItMeAwi8Yx6Rz2QP4LpAeHlb 7RFQVV2bS1sq3ZrpFe//p2VgWROkH8NCSNGGm0XwuEauXXhCko3Yy4hm0++ZhWcy1wuYrC6mqCdk gRL2APpxRUPIkzSQVbN0Xt7zmkg/WMGtmlWD32Zmf40H2lf10JvodXwQR/3V3MR722waI6tCH+Fd BvJvsJgapI6bs3TIx6rXKueemU6BbQS+fbJMYAdoHkpLjjCyqAu744QA0NOBD1X8GHy/h6ULL7EL egsRCufoFqR3dR0wFxPHvq5XV5ISdKnQ+TFQ1Vl1+8jhO3bZTK3gKDtAIv0POTzQXJmV6oSJjggR 5h1dm3IciLQA3oVfJjT+zW3GE8m4eUdxvTQpvJPvO1EZgSsOR47q1c6o9muSHxRKv0S3WeO4544Y CnE3poH3aE9y5TgMgw7CI8Ge4/KVCoyh7BPyiHr2l2x6HsPmrGu1w8zQfzxAhcO1zQzjBDphKvr7 tpOP0ndUmBxsE229VPvzbJ8xfwT6uhDtwqcGFCkdEqn3jrWzMz0Z0oIxIXMZ/dCCe+zSYHbmYxl6 lpDT0D9bsFgKymVSKafnU/1MGhEN8WN7eOKcWYd+OxK3KEocRkHOKfKoGpGZsPIpc8CVZWkByP4E GL7uObvdO/Mkv/Lw3VsBq8CAj+sxZgMn5Fgn7SVe0Ckn6L7CUN1hFQNbYoEl9T3pX7nWvMwNn8iT hXTy/7yTmOx64m+dmPz8zPq28enYvRN5OmLbQZfQMAajDWLiepgSHHitcvy7DC39/FPNV3GdnkbD r6MhB7ThdKi3AjENikoPGqnbOn9gFzfpPJw6lVh1qnOmEdL8r40izV8EcJ1a1eaF30P7exWX2ObE muDWG4fK1LxH1r8YKOdQGn99Te/DJFPK8Eaz3adkmIllhl1SHlJ5bhcz5UDp7HpJynhCAGvN9Og6 CyxfFUoKSmM9APrk0eonmq30D9R4cqHHWjpDpBMgdU6IaTMzJFS6dTmcGxAtluPb3r11MUnugCdR Vh1edRadT/4SLaEcgb5GsbSZ3wzcBQ6tbOBTZns3Cb7ce0lyDK7JChYr9AceItkyX/xw3ZpaW3Ir q8kxDOwF48qHC8q7m6nqJaj4JXmSEkXKKdVN3VJiLc8HeCE7qfqSvOemxSv63vAAC5SOm/C7YH5o ctTkXjZuxR25TqFkuyJALSEUf5yPeXdqRl4w+8v2TCj81T1JYd1Tsd4IswfvQhGpDRPWHPdu+Emz 6BPMgNxpnNOcTxl58DIA6oRgvQrWRyJgNo+fPCdf8PD+Vcv/eV4KipecPY8b39b2B+f7oEUBd++s wTUUXlnsB0uJr+iAzYFFTf6jI6p7zsWfbJpz6jvUMaQAxP5EfSyLoo+JElIiLLQz/Q8wVP9k6SmC vCvuByz2x4QuWs9TLJnvb9fdYoWRao1mu2ARetCjp2NmvuUh+OvwXqDHGi+68jU+GYBVLxrwL5ND 5MM+qjBooGZ3EE8IzkMTc19/OlFyWcgDt7T1r1+MWX/I77+NMJBiR4CX6w42pZqeLrGjhucIy91Q WUwRu0aIGqZRMypptT0pbwmuh34FsPlYgnqLZW8LEV8GBGmiqbMD7D2/wCWuG5cKm07iQ9+2/R/P R4f3NHgjP13d6hiREGctBmA5zWHFVntvctpRdQMpTisre7YyPlFaivIZKyUUxRbzeXnaF8Sp4EHD IfjOn17bczYndTJBS5hiq0c1yYc1ALOSTlBqXCzPnD/1SpVu1AWTZ+C/HyBWMflEbMYIdVwNK9gx jNFxR5PZNhluynM/J+rbUWRXVv8TpWLiKyCTf84IRNhtKFNdjl57pa602gabzd2Rhc/gzw8ay7x2 kroGtefYthilgztpGL21n29Vf2UoRmoqs1c9rHwIFjJCVkFJ/fFWwEWwzO25cZK53YP0xmWXJ/n7 yH4x1pbXipS7qPTVMkQLvM75s0JED0K2TTyeN6/4/Aj6K6hvqEkZ8HhFN0OwxE6/Znt1cOikm8wU DXKxGU9PnxrVEVcb/hU3+fAqd/iFJWPef6L8kAmn53L2WUuCZLctBKqWwh4dpAyYtZfpM97S7TGo JkqIswXWEnPsPJLNpNQyVMcHZ0zikNhBOK+NpXrMMyMqyC35WlgFc2qSiMINKhTxMMjEXCr45SeV SDgOUO5UJlJjaOHteJ+8efyDvV1LEf9Zc93MzqnTddXF1oaCzxa4JFGoDutyAftr0qDkimFnkpuI 2JwLPTVTkd1wa1XBaDZxwVaNufSI8jAsx88+djhLkuUD9vHsYdhEc7KnginzYZL9oTDnVROwQlsT JSUt7mxa8lRkLcfrbOtZAwWrxGGrEFpVnMwd9qIsZoX/qL4YduW038EqirFrt3UaGBxwrJR7vbfK ldqoiAS/CsaIpsNtVbwsmBEEskyEpNON4M7PwxM61/kq2pf5WYKADtsZIu8v5oavmLpELzJQBAbT MHoP+thxOFoyALc3SYiy7cKuYCfJlCxllebdHt/kFM2pRq/xyl1mOlOGB6HsP2Yb6K5gs6WHED7r xLngjPUXGkPiVgy+4gXNiL0K+raTeozZC2uMidc0fgKFVcuMxw4qO1cKMyM2KmrBXmmIW5eTfM7b r3M/QgARCKZPxlX1oAFAqeEIkwa75b2pVIA6D8jigj7XOptb1fYWAb/MqW5bq2O6uF5wEsH3YJqm j/J9J9gMHtBeNlFmazPjA89QxOfgmCYfsAKugOIsxD+n7XvKoEOEW3AL5P0bxvxWy7TfCA833exq NsBnul63wzZtiZNdNhZsD9PSe829mERBvjGxcn3tbLFskw9z+F8IPTN+nnmwRIpMdrLSZZExVvtG 0z/WBXVnkRGK9cvbsS3I/be6f8qJik+Zl1D4b+IcEZrmGeyKOJjDRzyDS8A8UWqmzKbe+HYcyxpM /rON5M5HhV5Bo9r+PVAHBHxkCEpArccl0Ry3uY3lzpJb+QH7AINcT2oCJsRw2OQ5DGabujm6OQ9A P84y5yGqgvv8fLbeiqpPlNWOid810OVYYHB3N0G1XpToH5ljMvZjBJFAd2DPrz/ibqUYtBDaUk/G 5eY9hbiRXROKFVJwHxJ3prZ4CClZiSq1Z3gTvSBsiSnbbwzaYKCVoqMw2sB+RnbVgLRWrIv+caYw 1gSmGNqqd8tyeebQA859z1dtEz0qj65VFBm0fwffrlBWeIe5Gbv59KxfvHgbcwm4abiQonEk8vvd JHTpRMfR1OgZMVoJzNzxPZZ+0+9zzydqjBPFJ8dGLPeIsDwuELScgZYAj8qVBPGnVPhJJPKMC33J eGyGlPNx7vlE/3XiMGy1jq9ApRe7yRZv64gXPS7LyhqaZXV3QOqxs9q7vsM1P2zMVI+5k402o3uD fumjuAy3QOdjXsWovxShNam46qjI5dHVPgFPrDwW64KxgYXgUeuKNtOS7HXm6GcKxby2aBzADHt5 /i81ykm9Vy+NQB2QbPnKr7vJnS0iOX8s8s2RuSOu/Xrzb0/uzk4FPp0d9cZ0DJopoWPmm8P4IP4G ET+33V/vHIxsfk8s76NsWeZcqXNbFWm/aPGfPQFAbtVP3V2XwXe2coHs7q+USWop0+QkGMrdtD6n m2Awg4CWx9NEMYVBQumC3uCAu3PkuMQOcJVELQ5YO68YP/2UrqZpbpQGzQg0loF9IR502BAii7DJ KpeKp7aW7keyzgRHKa4PY5x+j7Q201JY9WTuacI+9yX7g5irSQjikE7WCI34K+YxZfX3wPNd221+ Wd8OpyapvwcXXtkWNAmoX3QddGrtN21UsohYMb51ohZF4ihAf/4CcaaIwgdaZTWpx6mCLqd/JPlQ qJzaC1cvr++SPTLYdZ7xKy5vHt90dFE4xOJ675gARrivkT7MhFBEogISkB2GOQpVPwNrC5OxEkYQ tb7xsw5NTFrnlNTZvboAwO+GOuQiq3dUAg6x+S9vcBIsloL2sE3HU78mfNjOxI8pLgpXs2a+I8vK xRPVP+b7TXCRWRbVgsRksZb0nuoRT7tPYMIUhR3hUnLDasE4IbM/6LBo5quPyKjdql7TyuRRelsc 2MD5ZM88eqv0XvzqL3Ow8dX1bhfs5kaBClxk1/+beItNr4Rza75g7AGuOliOUB8DLCbKpwKrq+fJ 1AhVmxkVfcWp0PiiuJkHqCHWmVtw9DJyAcAxipzyX3mYN6ttZus9LsaVCshEbixclkJmewStVR7w ewQszU1gGDjjk3yiXbiF3A2dBg0hBxFN/Gak/CZPsCzcb2DlaqyLZfGxLW22Kw5MwbqsNenCThqf aXBHIJs8sc5xfH8Ok3ZzBRTJde9Fd7cs3ftVNncOSAWmOgKqeX3waSVcomDhD5pL+JRdKvF2JlHs LGhZywF0CznItC33STMxtrY4S06p4ulYv1rYITOAX1EKc/mnrjoPG11BO8yrlpypGf3jPf4ZOOPx iNQiyXUmFjEkKoVCV3oJ+LAtkJH7RTv6tLanecaUowiHi7LIv17GrPRRxN4Z1NIGrLVN+DA8uAA2 4cu53lSwjRpJIh5HCn93Kf8Cf65biGfHO31welr2OX5QyWiM8+HOHFJ7716MmRmq4XJinghuQXvY 5DKtouS6w42zanRa554p01U2P9VubvGnxlXdNPjL6xrfdUXa5F/U71G2uukGrtm3Y38/txDVjkRZ qo+sMAMlTEVzyBynVIsKHtmDxrzEJAJ8QmUwiivStLQ7NXKYPxYR4DxqcgA796bqEI/mEXliU9WL j5TF+YK7zZWGNsR6JS6SGWYCzqk4l39kbUXKFIjFs3kGzUPs1gy9wbYJsElAyM+pkq3qiMisvcBW bmHIQo9k/ho5P0ZZav0Ya62HQdEY+MUYpJryfQViFSohIX7mS1g/fktpWs5oNXOqPUMAgzJZbAGG 2wQBznmZO4ss8lZ5V74Zv3t4orWqocTuqu4mwOiS77lp0PatyHV77iSyFiLlAHJAXe3aPVFZeDfH NhFg7qdWLkaTwSGCjzslGW+/Nd8ZMArmCYJe8dO8nybqYf56DGG7i01gRdspF5uFJqF7gJJnmvnz O7D2eP+PBwaZdzjSGl9+Yj9hXjKpRvgjdPHJXN9cV4BORp8M+7ClcEckgFI46bfWxICzlWPTPHsz 7SuvlON/ZsjGk99b47ed4bx9QqGimW7fHqI+4wLv2qqw8wmgnlupjFVZWJlOshckDMqfB8v9qRFD 1XuA0vAr8eOTzuMkAXY25MjcfqtUFWX4sVe5u0lI1uaRxBXda6BcTirlXXKGDNA98aIYw+xFS/zU CXHaIEHWDwql/36iiEOeo+0h8Y/BfTTW7AvdsEYWpNJE4H4y5y3HPg+iXBSL/y495lLW/QN/quVO dPeciJVhT5u3Hyv/k3fXz3kd2jqzvwB0v00/cEW/6GGwZzc7X9xo6EnVXgX7HTlxfvzjCSQ5682L XgWTYn7edtqyazfqQ3f6ETjdDuoKs72WjWcBz3/2PLOUd2gQvreFFdUcBmP72b+5CMCBeN71Lz5i ugkVv8mT+vvkB2d+CtAySkTuO5IUTTTF3Pww6r2ZWlJ6BOCOraMQRUU6mmCDxoX79GVAa17EmyPf 51rfcf9PklbcqQ5VKA//fNoMFlo/Rs8Sa+SL8fti17DHP/f4C33X/2b48nxCqX1xUwGVpOIIIp2V VP07Rj4IFb3U5cnVBEt4xWGm8tiHJyZTvVi2es1yC/bE/94FDSWGEAK+nvfBd12OjPh8ltwhoseQ IHPh4bWVglxvQolFZBa3dd8ZHcsWwNfw5iaexVasDNqlu5cB9yaT5OwourFpxLoA1Jq4DUW/0H6w tAqZ/QLrAMQYfP6TBFhcEwjL/7PvuhpDbuc37RUVf41sPTBc9SlznHlPK7kUbRLqN2lDNz7r+gGr zbLVXgw/eZi14fpoPYnvYgO0iNPZ4q/m+PcsY3pZ/cFUvGEIye7U2u2IXn5a/HDINrEsZFMk4HRr zK0eOhDmshW/Qm569AhU6+AWkk81f0vo40vUKdU8Q7gdnzPg7AJTc4IAmnlbdbdPKRozPa/bC2UO k01a630LXH7p2+duzQz9XXULDMEXMxq7ti43KaijGC3QeeM4PWCbe74wSFhiUz4HMLOiI0e3Qr2h o+naodKpAip3alLQCIpp6TtV1hPmcwftxnkeZjuwRsnF1hz2eFe/QIoCVtjglkoFzIn6+wIhDFGS AfAqhqK/N7/ENjLaNRgomMvV+xSIPK6vwkAU7AYstHy9xcGP//eiaAPFHADCKNeZkT1TzKUCLDHP ybFkDfJ71vXo4Y/DYAN8mEqs1YGvRQhiYjVvntRm7gCOQnPTInLMAFGZfzLNXMxA6kScpPaN2KRm jJzhkpo8J8dUPWxKknKwjytYZR3ifDqBEYQ7+5fKBhuRwlklu/MY65kRr0ztpXNzcctfegjTFsA6 gQNy7QvZdgSNYZ4Bh0KPX9fzrwg/7BWU9smO7w12gdnQUYLFX8YIR+9BwICVPY8zcO1e2usyy0fT 445ezMvAkMr0unjZq6b83V1/QGSDwKKyDY50aATXDF1rfXKoF5w2X9H3JRQwUIX0XQHs94Zdqjf9 /L5pZmH+tVYS0xkiPZYfTiNTXgL9lUEpTI2A+TGO5VGK5q86BiTfgUx9uHYzMoMz1sk0Bifyxpf/ tA/mG5leh1RguIK2pfoyOu1R8p5nrCi7EfJYhPDK01nFzTkpwStXTud+3L5nGQqQH2ZcUIGj5ycC ZVB/zcyw5PacJ0rX0UYE4wv/5t1t7vxS3lu3jxVrBi8pVGzt27XXQOB+llZ7ftex6vcnMe9nodIk bxElOqlOktKdBxwv2Ww41eVJ7pMMzGsi/wSzAl5fzNJ2iQH6Sri/xfQqy8tDZZHJD+7uGd/BcQnI 10qajhv0l5L5h1TDe7eS0FfHyXkCC8R8qZ8d4i+hPX3JKzhyjr7iNyrIAvGQz+g7Zu09LWnwOwcf k+0WckbVlnSE7kzjnJdSApJrgXCvWs6bITSI4tnSHs2JsD+wONzHpB0sGgVKXlcjPYCt3H43wV2U 57f2scWg5sEbmEdqPjtCn7XLeMkmPW2BHtIGleE/Sdr4jl3bqJK3szSo9wPRpdJU+EdmeDONHqRs qlzYSwPBJ1d2Re1uHqrg3GBwQdSTMZL9pv5bNBqG/8P2ISuHiu96GlL2d2pvGRHaUx5L6wugLvVj RxLeng/QvvBOpBf7Cg/yCI3/bHoccbNnb8vn/uwXhIUIEtsjyxD+eLxMGGt4GD5KgvTWVclkOFtn ys9Lfb+T4xszus7kkf6LJJ5DA7+y3MSeUYaOViokv2pjZbiMeGi2U/2F/LO1WgUM8lonCR7lvGkb AvA935XWFpT3DMQONecBh8wn1xqcz1pV5OSCzi7pR+0q7RytUQ6emeCZjIi0iBM7jUV052+97JRk TE1hrbdx5D5Qm7Rcixg1L9jRcv6F4H0XgaiYmgZlgYLOviPVihs1t4P216vi+T9ZFR8z91M4rAcp F2hnLdBCyZ0AX98F9qOn3aYfp89iUMs1bpU0r4h7+36yGQgosfdKUi0+Dyc9fZYN0KJ5uPFA248y vnFQaWm4WykJs3JuL+bHYJ17WsW8GuuwuB8ByjLDyAO4bI0vLTJq/JLtSl1AAG3d4PoeIz8OERVu hkTYJfOS3GgQ+AGNMlzDk4OA6TGB8maT24bHOLx1/4ziXRCfr1TH/pR8redpdI/d9fYtiSpPHofX ospHLD26tfXAYZ6ZWU93ZiVPkCSBmIi7gCYweR8V+yAEaj5PfdJx9bCwqZ78bR1cYWNVWfRhxoes uUNP09NeSD7LKAKnpgIMpUAyf5Qh8I5f4HnDpgZ1ISxxQvhbCS73s96I5KDn0ZwNxtHiumsq4NXE Svd2qHm/IkYuaHai48O34Wgvnbymox03cTJsfXV/UYOz3a6SJ5N3tPEeE/D9W9JK/giFM/2YoWwH mlDfnaCYsTCLtVVQJP6+l4KHiNCliJopKi2QShZkAE3dZ4SeWPOr9c0pXMmSPjKs0HHh53V06dZx UfO17xlpnSXRuIVYymxk08n2ebQvXSoehXZmPy+zIa74Q7aRasEVSupkGwetZZcvOzaIm72trEDR uc3fcZdK3BELg8Nge7Wz4QIJE9TNf/TFlotN4ygfsduhQeTuyB5trIFlzgfqkfP+fBvxXn6/zTgF Dxt++2qGQkarvGAzRB/DE02BIe+PTbkKqMrNdQk1TzEmxwx1lcvt8cf9lfvNv4xnFni/QyQpDPtR ZOSkgEImaHz/FQ5PWlllQ7kUzMKvIRF6p38v/i5+4AX6G5lUZntVm1JCsuKEMF6JRRbGqG6FpTBj i3WUXHpt4Yj/gFZ3fO2Mb2kh9S/HN4izgYLEO5rS+Fs2WECSo9ygt+sC0g5QyQgA5VWcjQRIPtna /oE3NUwdJzGiD8alAmyp9NoFGzYIfwBlyO58sItL0obYaFXSgR5DgymySV4HBmyRSfNdXSyfzt3+ R8008CcwIKhWZuZ0TG4IRWHpiDTQ6ne3NbTROnFyxvAioJWQke/ZXhJWAvsDhTiWjXbjnZJR+YDI 5LKSNI1gGNfOc3amaGOc7A0Zgtl1Hti7DlUVZ1Ky8c+YVsr+gDOKuM1MXNvrFiJuavBKZHNVXVaA fpsM3nW3xec5X4yMsVTe2F6ZEKu+cTXEgNGx0Idnd3dV6a6wJMhFV3cB4BlKhCpAPTE6D2uZuXpX 2+edt94dbGuJLBPXLOnT3GW8TGh/Amc8ZqPlYXV5mCY2bfRYeeLehjBszGUIMbbqvhi7aMjmvHlJ +ZJAblq2O3zTeUVsXHKn+tUF++U4Uw2T2FfGZzujBJQA1NiTqn3GXSaquQqdr0vO1wW7C5jWBS+v dxdSYnL++KFHEQph2cHH70K/h8UekErKaOyHCQ0l7J2qPaKVIPNQ8IGlDRfrIbvrzgAzFXqBUos6 9iunMiw4ZbStVblxa5oV0C0plYQ5MqKkAq36/moNQlpVbq30WPMHGUyoi9bodFGvAnXCs+P+sOWi M83TyBfxdyRdZII077Hna57H8wFGUrA4MaMts6NRKtm9fQBDZ+iCYvet6qaIjGoymCTDYU50k/6A 7haN70mEI24duStZF1ktXhbAWuXSohYhzjkXS/46g8IQ58I9LEy+PNaAq6UuNJsvWIrBmPn/B1nT /UdHKA4xF4l+GlVIV4ikC9BePFC+LoAg6e2NozCAQhBkzDoQ4PaAzqKG8ROsUFLfIwlXYiBFf/5e Dpr/gaFZd2ZUgJbXK7mUBK0vNuN4S51Y3WIPP8X2bknbcnwfUc2rSJ5LC/Lh1Vq2EajFC2k8vAya en0y6Gjw+Pe4BUzXm3Kit1/+c7KXf+45jqYQnwggEg1xYMnrHnwJxLkYF7XYUjcCQEs8KChZBZZ1 o5TTkhiEcreTFZ6SbonTz5oAU8Xug3Edjq/UtyNHYmqSEi/TqYgPW5zc38AA4FAn9zHTERcjH403 wnICkUSRrH5DRLTC8Fllk4U41ebM6fKXe/rm9mASsnL0Byi86/R13ouNHuf7WVElZcbwtIOlqCBV AdrVeXkdaFxq7LcOYEcMlAnVo3tq8JbudFqrGzqMoh4+VwAAhTGwSbBX+efgYBP8DRSiO/eIUHog bb7aCt+Lanymh5Tk6bvT+0fB+jE7QpWc64KmZ2nHiZPqvcN/yHS6vqPh7ILr+SUv4W85ci+uiR85 P5a9Nu7siCgCtTsHHyUJpRkVnWKTLBci2NaF5pBmpxTiYt8vBRREVjY4cFxMZm99MsCypF7YXKH/ zpaZFx0ObX+oK0ZqlJMfefj0vy9QG3ENX5ObOSoCRiMUoazGm7ze9ookbylHErhKiqo05K/nQ7jH 2HdJrf/7BOQQFjgeTKfPLnzJiZ5sxNKoKnmIdNNoo5boDZnSHPwVV7XQhlpfbsamYPjZeZmahzYN 0T59WoDhA+dfhNcm+9vwkO6y+tR0ssF4hm7CXlQhLOtII0MymiwEd9QHu0jSoMuV/KG65KWlfjcv PX7Pik7lmYIVvw3QucCLKEfggt75kR7Y77xw8YlGTV4r3BMb5v4sRpHMEqPZAHtmsKgNQA69xRKr 6GB27ad2Ajdb1NRiDwbVBSv24coopri60epSnFnHlRrke5VD5q8qvybipYc/1B5x1AXyCJS7exqE Dm0HqsANYhPwce3JRiSlNLpMGANPkQSxD6gh4Ig7l4ANq33RyJK64DF5xl0icn+ZHZlpwElEQ2Ky D7Sjhj7HN9FdBleo0MjfPB177WcsiPhHYs9lQWQoKH5yZ5aYbctAq4Lb4ONRBdfaP69EFQATSzA5 WW0WL938SAd49JYFxhhS8yIktYX3jkD9A5TMHe1at+JQ6b5du/2MGlZOfXshg/yBbgXVxCcbHGtV 0SDhV+VhTpx1in1QDqP6dpyJeado6CYnc4asxk/hpklD2Xg33bLqOUjwP1+rI/YmEk2AjBlbOKQN o4YyPL57ikIL8ILMwMvRJKN8AjhHl9bslcWiqm5aSM3uKzmCONtiJlVcfku0YrDh8+Satt7hDThG RpcuhdA2PTVARKbKepxze3p+62Lk1Oa0yAwVGO22SHBIloLHfthhUs0emE8OGeYR3jOSoAH9o4xm 93WNPKIOMHp9tBkCsW2MGbsH5EqSiWqr1ep+t7IFCp/gMsspNHlmiUOnFCHMTnYysL3ZSYbsMJyy gdDw6n9IYlO6+zNgJfu/8sqO4OvhOXRZvYcWo9S3O9Hcnrhyau9o0ZrMHyTXYHzwStv5vHW6dLpD PF0WGxisutfSuarL/m7tRy0L0Pam6gHuwcyw9eicFR9RA2Youkz7gSCmczABNvyZZaEqQ/2rCOoP ppNOVO7P7cYF3ZEfPEAAGpKIp3+q4NYEJ68f3GoYfsQeDDoVqrQoNylf8ast/QM8on4zT3pwpX1l MZ7t/jbWVIWZqmr3D4zJKvP7OcvCQVbAzqR3WIYZ+6q10Pl7p+AL5qXbxd/Gcv90kZhuczR8PJNc q1tJzh2NbRUlmz1alCRiSm2OuDAf+4yCOkxg0TGIsaomOVoYdoxAfEO7cAJlwUBwEaoEK82hkkmL 04NN7Xj+yedKU/FFIDOfC2YJJQ91OUx67l/JuX3fS1GN2EWpkPEXnlVodH5IZRTunLCJcJ3XBjuN hiDlB2F/cvw3HZUh47JW/OvkcIzkoV9SjxsBNBF/sfqbG02tW3Zf3ZJHd2Rle91J29doJJ/wNWl6 ICYYtrCr6Fpd7D/Wu1sPsY5GaMSwR1weZ779/23ajXTZWTRxBGgejeaCeerk3s9XZuNbBvpFMXM4 iWwhREb6gX/KCMcmdBeTFfvogYNoxYkAJivSp5y+6wEsGndeju4mdGd5cRD/xdKAYPEdACDKM1iy MmBYgjOH1JqVoVfdeWjtfiVuIxVj+dz7xJ8T7nbrWl3HJppxe7z6qU84YsW53TPiZv05p0ARTkQ0 ZNj+0uCOjKBg9dl+YOWF3od8lRgTNmS/XbKFFKbie2oIVMrqAJUBxIhR9yxjCSARUgdRSi0gxKp8 OulQK/kiKVoVKKdjHUcM9pjx/AnZM+cZTwan/qPTHoYOYNeolk74qgWve22uxRFsR6qNJalwbp8H i1wRKfMSE0hsGGmc/IcS4S2f9wzO9FlWQutBgcfypsOK3bSghUnhGRUOR16S11+oH2em6mjsttV9 Y3M2lh6DKtOLRjKAsjN6WdGqxMkNCQrMPZJElmSZ4a5IjzXVVjtR57dAlin0IyGcDIcVL34dwvZQ ISLoj63GlnHkSfmian88NcOcQiPbgTL2Ap4KsO7KmU409LCa9dE9NNmWzdcMJPyPkTjKBwq0Gqu2 ZjVMQBRK1xtdK927kD16qLHwG/VieSYvJU6kazrZEd8SQDGyVs5sKUMWhmuvqIYDa7O551nTlezB xk2dtF3SP3pEAjQT3xOrQP54rz1/JeQVNNFZCBaRj1FnDqTjd0qYhWpdXwYf9b/fj6ICDb4cZkJy mywffLJ1g3EWf8FZ/zHrAj4gHs/C5BT45wFQqZcVTT5LiVAJ6wyydTQoYRUCQsjjNWSp+4BxOEKu VuKs8Jik2alio0w+4xoFr/7i6JYJyfZ3iDL3LetBlFbooCLEnJaDpOa6p9in00N9duug6UsJ7f7m EmHgI2f7dlxI2fk2dkuB+tu7++oakWVBylfNvrHlP+EEUDT0hoAR2tbrPoqEVS7nZl6BavbcecYb 2DIQO6WYoRCRYGAZADK4HWTTVcEcJ5BqOVp03FsXK6CO/QIJE0sUhfoWO3iMv7q1has+rcUpmxx9 rvFTrjSJ1JSUL41GsaJjYWOAhR9WGlQYn0CYvvK4kGIyF7QpvgwWLra1Srdoa5Ct55jHqviExkw5 pNli04yd1uVBBKFegsBAT7Eiw33xN3bJWiuDeSfJVxmn1CY7LFkvaU/Fs2tAhWrLRTr/fh5DLyoT lFUSzBu+/W4x9mQsPv60soLrzhQnKK++WMeqUy445rLtkCAO6KsVdQAmJYO4FT1OhBaC3/XJ7zLF pH5u29Uc6N5IcPX3zha24LzOwWVMieAEALIXIHXPnJoizQLrMz/Jj07uE8RFbjTsDFSeqe+lRPaX Q/8jtdkujjrFA52acXOWPBH1UH2J7EjJ2mv/aHSR4web5vH2xojqtV/meLWWI9J6tgRUmP3djD6S 6i6/A1CZ8SKc0LDy7oeJS5MBhne547lsDuexgYl1dPLyJVBlZDxAAryn8gzZ4P81GaD4P2lGbucz xGwkezlwJggcB1FSWz10K0gbb2hQG1dh/ly/nbX94wHhQeDBIbr63Mt64h27ktUGNWKxM747fu1b tpWSfGid6xsqRKERF9HjNM1qNo7fWzLswYLXhzKMzoPjYKxZ0RnHzQlBZuDNpNTMzZgLeCUty52W 1hdCrJ8WTnwgP4RTVncfmkK5l54yQxxO9rlNVkp/5DpZc+5JOGZXl4vveeSymlg/s3YnEZhbdSxs VaaLjSy6lGlClzWb8xsmyimV6Pw4fyBK3WecWjPQKYi70tnf0ei93ny/8j1QXZQ4QT6oN+QXaAxy pdiRyJfB4lJSnp1NeSPfGQee2JKvyfxaZsurPIHs6dHl9GJ9omwVlgXLK9aUCO63qR7Um+94Y+2M v5aTXbZRXlEfTIWiIA6tkdXLUxdGM9XhM7jZWd0DHaVEQApVoU4QJmsguO759dsVMGE6+aOBFtIZ jdSlNaQypWANhdcdvJsBiaBqpV8fodsqNGTmLDKNq0qVc7V7QHIS05ybI5F41j5vpUUd4m2Uozsr MKIVqYtAnHhy4J5btSsfagT8MoSOmHo+ljA2KCP3N9T3YXeCoN/GkdNmir7Z7w5bvEGS18FmUJpi 84aYLe11wERUlt638ip/sPI/+okBBUoYpMm6MvHg5AP51o3Lco/8j3Ld3jkMm10EtQJRvrxNiFfw GzDfZ5rerOJ3BNvqQ9OWo6nt0kwr7bYaBnJXWFtZfkhs5Lwqn/hHRwjZ4n+pUFx1kmHalT6ESSwb odDv0NDniefiISJkkETChpzZmb9algwqsuBbZppA7ztRERbKcwEfnArX6ugOhqZUPuG4Y+ANSGXH shy/xumHo/hxF3ooFDwvvn7Op3npi3LHO1Ps6ofY57tlx7hBs/dU32nJcFvCB7PH/7spQJ2d5dI/ UkZcki3QRdxBC8sGidLQ6gmjfETT1RnYwMsV4TJ8TNcbwtrz3FD4lWsduBPzQLE0ohhYhVd1ii/j /ywtbNsxmfQZzUgOl8JzsV0JmWw9OY66Xe4/jog9YtxND636CvAMzdW/sf+TB+JH5MlfFcg0eP1r hGPLcy2PNMf6HGQ+Nge0cQY7MYXsW1qyKyAcEOJFMhCkYqhUiZUvMQOmXgsq+RkkGW8ovGJNX73m QhcoRiRGtTmKJg/+OW7cAGU+1bOlboLGxgr69HvcOJXHXn7OcOtdHHYXsueRhvXEBSDBDCrcO5YR u4YRkMg3UoSZcLQ/3T5br0X9alNmvnCftW/UQTFIEOmphzEhiKA16YL6BULrkwI8ebgl1NPUPzzu 8Q8xfRRuAzddPo1BL1dzgqe03yVYuPTYdLvkahyQ5JbssByhfdDyEoXHAGJW2uqC4uenFKpFbRJI Gpn6oKzwDJNgIO37b5cBtIK7hR6NdT7SoZNFIIYhZ7fKRle2e+jFn5+2aa4v31edlZLL1U3YmaCW C4LmgCl/PnrkBe/nVxD/aBoMdozd4ZGboVPQfFCe5IpsPPChRYzsBAO86yBM+bQS4uXcxKBfylA3 zRoidJC2P3DcdoNGNm2+OB3lIJjRA4Z7FN4cP2IEJHV6WB984t3JI//0VEf9pTzdtZdi6kaLSn/L C84139zcnksIGkPJ4o8ZtfmvDW9HtopxPA9EHGgxbfydHc+2atjtZY5VlKthF6f803SxfXWpG7HO cxSfuaJ8tc4XgXhpMEUKK94zXeKi8kUpMfsPGGb0sUHyQhNHE0s4X/5mTZo/u3oTRlE20ng1FyYB Uo63jou1CwJPxI9nXUdxqOTOyfo1w+6P8miFdMPqKy15VhfhcY1WHu7FaNHpfAKQct9sJGfRydwV Cu0kHlr9/jpOZtIxVqnHDqTMEAprbCV16EzKKnOMkAO44Z4Qe6kpNqq08vSkHX1LuRPs/4xQ9ZCy vtqtDaZiDL6Olx9SXQD4s7nIJ8LvNtS58kd7vNaR/3Qpngj2942d44wjp3vz0i5DdDNOZvRhUPGn mHsTV5Wd29JisA3GTZwTsKWGXhCGz3l4/wlcxfnbxU2fSrCB+Ja5MoUI54gk7Qu18bsgFqYRE2y4 daGnw5bAvGfWkxFf2fyPohj+gjQjj+fvctMteGzl1Bi0AkE/iMn1d9a/HwYJnDIzGgm/Hp8gPGJu DdGtFV/uwpylVUYwwB0lP3narhxUcJUw7oDO3DGecGp5fIrf/cJPaxf3p6du08BAhxk6WuBxQTGy 0kEN1bLjqT32Cmt2XlzXKDw3hKrL9wMu0f6/UJVYAQIbDvqi9X1PoSL3CyzFdhofRr9aQPvkNlBj SH3ncM9V6QY+CappIoqYF0QAhrtEcoTkgwOXoTJ9iScYH4T6rJExv36x2pfzJo2UGPtRnIjsUhBl S1/FamaVBDfUPKFtj46TLYK0StW3+N8DbQthgkLYLY8D6Zb7O7Uy7NABbdjLAa+ScKTGYyoYF+m4 qHRNesWQlsDGFf8tjZa5WqtfJh5WlDXJ6jT9gGdJ8Eq7ySKcvQ4nClAAVx7Sn6C6ouoGHlhd0o7A 2ka+3gPEwUQ+StjUnMA3bT43tMK1bJq9Ri4O5KF7RHRIWv0+BxNzIliUTEwU/t+AyO0XcXZ7IcMO UoldHoNi7nYJahIoHF2oQQ0dFuvpIxaneXpQLwVnyuOgbYD8teGX+GD+QOGmZQELmBttxd8jnvg3 RflC6G/kzoZVaCXO1pMPBwKh34wfJhgpiZpCfFMzTurl2uMt13SN5eK6RrI+M+O80MNq5YXGcTBT bTXErEBmPVsdO7ntf8Zuzr1DLOLVshpp22FQ5Biql7iMz6bufrqdzCaB/qiYZ9H676Xhw66GUeqk ObnQ1pyubKDD0vC/+2KVge+qqSDYE+SjAB87F8T0n6mma60YPX62l9sBOTiYd5mA5//9PmJCFurw scskuOiCRx8fkEpgaerJInl2Zqx3jbtNm32InZLu8FfxU1XhQqUzfBH7/nwScNOY2ELi+aL5LGpF JBjjb+7Qn/iBvAgHyLxP7Rd/DAW7nQhgpgJx2H5N5VJQRYb/kBZG2uUMCN9DL2pv6frNlwe6boJE URHSEzW2k6FhLoBdA4BcwMslbc8ohubivvgyiLk4MDvCVcIIKEJkZpspgdqWRUeDsfciNm59ygyY HbsB9gDb/Z/O6UKqa6UNvRe/mVmxW0uxC5rOp5/twOCJsBbrfzyOEZJ+VNp0ZUl7X9EmzTOMRndu CAEA3fJTxuK1r3wNQawy/09qC3HOTRjae3+4R8xjMdF4kyylIubsPS6VxSHOJXwi62DJBAjrX9HG zIbNJgiKsFeWuBWqtg6qTURipknLNUQXKEJVuraun7rssfBQsvejwVXBEoGIDH7ReWOoRgbI1F5u yYN3q+9ez1bKpSNlTjETSzGf36ygMQykyYLswBSNCPxQHgr0ZR7MLV3XGrCNXtLjKY5BgWqMJPvP bYyUzsvZeAhz09PGZrIrQXxYdgcKWmorr6PFsxLM/STU4eOydZVACaA/H0MQfnusA6PMxpDNA72P Qb5EKpHAdeYiZ2KGdg8kih1CnE8j/bXFbg1s3p5EnEtx9eFJbPfQdcgG7wTHCki4HguF2ViXbylX /2MnX4XVi7DlM1teZENIbzMNiGAPYrJg5AgGWoMd9TUKuR79xM2tvPSIK/pCMWKAfROFBVF/A4zU d1ccsIJtpk5c7fV5XL5hZfjja2ayAoeCKBa3vv8vnPE7pbT07Z/ZQxygPvLvMvxyN5zCNrjyyT+t dDqTyMY5vxfpWAaZNApcBRFzpJYqpOQa0hCm7/j8kbnKJrXb6GdSUGZSLGYaHgBktBN6KLajK8Q0 GAmCX+3DGZWnT2KFOX4zbN0aWPzd5tCUEKizAqlO9cLHtsp/oj3RRyUYF/NE5elml6oc41IIiLnb MnIi7OUpVELFFTwBiaCJUbnVgW3ZT15qF/+lmB2k4Rw0RiK657MPBorZcVTEUm9nYg44GtmniKI0 Ts8Q0X8LNU535042RsYU0Cci0ecezmKqARY2GXGusHuWfut9uMkFKn0hBIIhNGSazAMHNGd4WRwg Y1pCK41JBT8FjS5DvNoqAN8eUNFJTKgrJ8EYhItQRNUo7Q9n5+334JBHs1fOrjyCNAKQUk+a56/J ErYnpv7QeyAOhU60T6fERiXqSaJ1uKRwYLHfPe//KkfH+1MgOnS1Nh+31Wk6rgtltMK9dx3gqUqT 5MysNbIPQbO2r9ki+lgjx7fU+pmK3/IQIkzOyhr1SpUf2uSzwTcATtmnF9bTz9OWiGG5mAzHYTUB ymBpxs8FStFAvsDehymSqIX9sMF0Ix8L2A2t7gp4GLnWIRrlVCgrN95UJUeab+wNMHlkVHMwcYHW MHSnIis07IrqEvDuqRAkMFRoWJNxQMAioj80LJazLlKe3XfKxytG4rqfvP8nijM5rdoDYnLO31VM VPbP6l1sNoShWMYRtttYim3VvThVK4OPAkLP3Z+t04ygB8EO46rg0WqN1WSUTl4axnPPU2xZ/Nkt pF1BgqiEygU3RrX6t4J1LDt/V+iizFPjcepJ0B/W7mdRHLgRRUAYLZO4ZYZNZ5dVqnHmkqqjB1qW gm6gELaElSj0MskqPjy951nUEbBL3IHgbC66xoK3md++/yh3ZHpFIyR52iikAIbz1LJaEhQOC4XN bDoSTuQDmqZJK+mnN/5qxM8TnWX/HxRT4+WssR0oDsXRx009H89O9A7pCqFXI4wr1eZLR1mOMnC2 /5nCKswEhddAB/4VodMEDyD9jD+wqDdA4MbHhoLHU+PAoaurZS7f9g/0Tfd9JHgwKPQByBFySNuG 6VU7x6y1rlFABd52d+lBUFBLdDIr6zjEnnWodk/2DWxaKx68CS+0kPfOfShc8T2QVsEu3Xbp9wh/ oM26sG/aRQMyOAsomHVAccAQJ1ztyzQVdx1GwMhWuAGbLS4vU0gxl/XoIlufsiL7ofOwyDklSFJx cTuLwiAGiLkVI40o25Hgnv3fN6JqRclx3h4WfLROfDTe8tCjxPwb8HMUV9QaeeBqx39pu6zGim1d WdCvmmspCBvgz7NOTT/fZmBO42nHRntdWBW8w2N6Niq+LFj1xP2PQcVwMzNZ771ApngLA3eXiHZ9 amYhWKE2Wzxp6IIwrlrE1mPTt1Lq1xhLhFPixGs+iA5N+TXXpVlRpVqAHnWKgfqdy2Q+QZWrjoB2 G+EPu8eu6NLIzEp5re9/trfmkKqyvCnJiORhBbxPkLvcLoyuMUTGAUeE0/p/q3Kmg7zuFoeIDHyv CirGAFKXbI6murTxpBzymLOoPP+JSwX+s4jvfSLb9yu5X+y+fUeJB7KrP3/CoStaFR0cHkGcpyVw TV3qr1nTsFVMX9+t0M2DqkWvryFZb6zm9q8aJhFuXyPGwu+Wj1zwAOA239UfIxtsn1t5n43psZrP dlHOXbISTEKNf0uUVVbAAUUXx6EcM3f2WHS7uWUfeOR6AdTBbGOp9A3sh7mjLyNxZiOuT3fJA+RY bMyS1gebElClA93YKL1emLzvesOxocbIqoQAAzq65hL2/anyAqU9wIokzVfEbLXuwp4i1s3IfPz1 7hyltfLOSiqWfL05yHFjfeW/dN96k65j0uUBOyFR6R0gmDiDhbqjAm+NttMxynHnWzk/3Q4Zm21I tXqUXg0ZorBmzE/jv/pYgLtVAR6bzsZ7diuU8BjuBiB7m41sRb+GFjZ+7FjcwjhFP7SjSAaVnrq/ glYOrlk+o8eIvmJtiGhEE875gDhANDGVFSXZJsX7idLcNkgTNk+Axom5aJ1mGzHqg9DM6tCF0Tvf V4YWgAEkEGj52figv7mz/Aqhb/GAit5TzNQSrAQr76CMZgWAg7aqTqNhOTeKHzPcMC2TqMDNCxzK A/BxgOH+xBPbCuS+Th/7mtPAWe2UA5B1RZ/h1SxjdrEqGADYz50FlNdo7tf60mOmYRrD7YkqCvd+ 7Bi7LIgdf//dqYftfsMqngVOEnviICXMggUFJtYUtXEtJtLzBN26BVhDXNZeN+3B9Ze9utrztF7t ziSFp93XIWrA2Ew8C61p157GDDm3jdyTMqtrF5UFAfyZrD2uFcrzuXqvAT1Mrv3OLQUUV1K92pli HfM3DVnPL+mQi6CDnxeHZqDze7BngXzZJKFzilbPx9xLCj5ALSlDCgEFihvfEE9m2eUZhvDlNnWg UXwQY0f9Z1IBwmP+/7qG51oB7GUjZu816rfevM03OpjEkVNIaLKvQOMVlLfZKv+4kIcaJGVmBFCB 6wuaB/66al/cOSYMvGmkqvbOcH+P+7Vyq1CeVJbJW0fkVZgqcdYhVO3g+tDfKKx8qcejloZGe8Ze WP1QlsumxUr32+f7mXqVs0iL216ED7KLvbihcvkocp9Jp6EXj4FTgRjFGjFqdFCcWxmF9tRb59oh kI3lpLjez8GVuK5ctl+fA4AhEa4eCTlANIpM2ioMxRVJDPHHWmpFhduLQ5U/yoc4eXHUdoVB5LBu ksqDT3vTndIbwcFJFh9k1zNKmiXvb4AqzO2Kx8pjNBmRe3zZUY08JWZLgJw4MNVx48bi4Jxay4qV /7TjdUtIDpaHpgE1OgXq2s78WIxblTPZmp9C2+e7nC7b+oJx3nLsqrxAjuMQl7rRYzJO/GbLeQ8B xGFtT707td8PrbH6qI5c1IgLW2cGs0I/QKdAXq8/FZ49zDWKe+RSlzlydgBRHI8A+OlFqLFwjqaj susgMl0oG8FlbCiEpixG5ypMlcj7apv54ilbTIt00rpmZov5FLcWVvfq+AKlVRe2ZQTLamgpEzu3 YuU3FY4O3yaLv24WkgCik7hf8I7vSyNsVdA82O1meUAKYsrIn1FmK/wbktomk6p3yImNVzrg5BPA uwS2G+DLwruF29kslRkpU1l9Lfik6r0l9N/sCinmGAq4QejK5qMt4lboG7k5ReToktczv3KnCwyz lpcg1MGgkwJfsfBLc9SQWtQuKnIqBdn5zhVhl+fR6TNg6fQJVdTQ8+DQ48jggGILUnELhGhVzPrN 1Jixy+nv0AP8zHIokiPGPuys0sLGCdcU+D53h3C6hJItdnCP6Np2gI9OAxYXANk4x77oIyQj5nD9 xX71jZjUA2WSP8kvIDnmn/5FWlPjtCRzpd6zHJKbhfuTVyFXywpS9E6dybneT1E7a7UC42vZ0XBG 8A/nG7K2/TL0lu5YIBZKyUF69dl/Yg9yU6tTn+MF37BwRlCythyPacKcOQJqQ2hZlekUoW29kOEc 1K9YtlmxFyPMkxwFqty3fZ6AwUOgNXG5u/cR0uwtzwFC2L+K08E+icS39C2pExGp5CcQ80pO3SWn 2hlKXr1rxNub6eXauEzzMLMhpuA3tvwWXODZbICYk+LGhz3Zd/ROm4bDbKHTpsjCqpt8OFQLBJoj xijobZzPeIAY/X/EMYRkxT0FkB51atNnQ0APyZBDbmLqJ9JT0cq3vbeiIUGPKJ7wFsfNlQ7LGmgV ff/VHErOWUL3y/EFns/6xK2EhQQI6+d0jfpoHM1BJLJpGttEmq2qhaMD5Nx4QkmpdomLBTODTMcI 8n9LQkBFkNgAz6LVjryDLvzg8BEOQ7imUqMuEpuu9TaMKhgai7Oxq3PEdj/7/aAmzoJm7t9oDqTg +Kf2/Mh26f7aP1i6YyLONaQ2Dl3Q2SOVxwumyq6WjkzYQn62ButnzhdLOx8mZHxGqVfunUOOF0Za XA8liR4aG9FkEFYTL7QN2g3FdLCUXJ6Lt32M2wEJSoEjSNDdSPhgOHRGfEY330Of9ddR/WZF74kD NPd5bTNKvgB5VDfCpqNItneAmRkQObCldby3SS6VFYLH594cewEDLo5qdvhcJci3h/vSaeJhmtmx Pft2f8ydK2IkKduwY/HVV//5PKfS99K20tG4BDXcoQyUSbxEsK1huJTs+nWajWdJUwYOA3r9RcDe hMeC+Pax5eiz5lqedcBGUaJ+XwV8AOKwBDBIkhEDKgvlQ7EAELEXcL6DUJciex1T8b7xoSqOpgbx nI8Jpn5TtRy7e9fSQeBaAju/JRaKE6bfzFvyshuMdK/efpUUE9uc4rWfGGsOEflK6ppHk+8QIXJX OzRQjj0TUOqM4ljWKArsXMc/SSPjHtmncLZyWA6XUCgcHo37e7dBFjIFT6aUFpvbyTPAaOOf1k6a zI3ZlxYyK3kvksQgsfePeqojtd1xZkZay717apaxVilZACeqgczoP8lDke6EckRx3o8atmcpNuhJ QBJMQ8alMBpl7uROc7tvOCmEdGz3fpIzKFkQvtRbz6bfUxJXnNCMGVE7x4N8stUycWI70AgGhvBs TkpEICQt7E8PClf4HtfnMYltlh9P14f6v2uG7xT7Cv0cFa0N5jvemM0wCGCpT9UCYRwC811iaFQZ ewWonrwQ3wEyvyKDZub4fDVyM2ims7htRmbVLDGjzOhlFpIOqrHlqcqHSJV5WtlFkPX/Du4UDgm/ wagcRpZoiOOyNXkp9tZzKFq9R8B1c9xenNE81kmLXbEvI+adfMh2cg84wFJZ//mcMaK4rzPJcU0k gxlLEpDJskANLavnhIcQty3YhWKpF17p/fo/GTy5NXyVkPBt7hlIsksC2sIRdOutrETR7rVwSEm2 BHiZbMchyO6ide0qX+0hc3jTyB+Kf2onqMvsR0WI3ypJ0QaHnxK9I5Exp71a1j96LDftd2phpsRq ztEnZ3jSW0HN/z3QtjUAqjbTiX2//lneh5gpRSm1CBYdhzJxtTqqIvcdYy9eMWpcOK3883z20Olh Z2znnNKG4sT7Z29idCeVnf63af0X3YOCCqIyPEo/IzG3vSQ58eE7ZKpCUehwnmNhPhHOkotOsoBu jTeRhrfod7GdZeMjdSM8QWQt4eU4Qz9QLi0/ffqvS6yz/LkVDDMWb6pRY1yf3adP5PIRNG3/OcAX LM9YYihkxmoj5U2NAOy/OXAp1/uZ8VLb1MKEyl/zWKgXa2Um0Sr6/FHLAOLnX1a5BMn+3aF2u2Qd W9YILQ/UAxMz7UPfMRmIqE2iwFO0Ix2QVE0/KHq7hQUyh9hj9tJSlEN8p5pTgZLG9IMyHLeKwV7O t9CqlIsvPWgwKclEyqsgJw3+ZjeeUwc6M8gh5qlMjX13vGdLu+i1ClCqj4SWjv03phWOuRmXh6t4 Kwlp1KAmFX95SgrKwROqGX+hNrUP/W1UZgnWY2q53u2KLYCGsS7cWROKNWtGzFPinYfp3ICCDJPd FgSHqjuaEaq0Osn5zKmnAD/9HNup5CKLpkIL7eqm9+5Calovj2f1y/fUsgyFkyu9Z7zncX2TVf5h MyileB6AvSJu1tGBWfkEf2Ykd9st6G4gJEQ8uQW1DAI5UFHG8rL3d7XxyhlVB+R9jXKe9Q05Q01p WC0AjTEzr03o2NKzrIunSyphHSHbsAcXYxM3aB7a89Vw7hfpzkpAFnadyTgnTUvI9lQE9Ijl4HWQ A95o5dQsGoBHcBo684mAq2bj/lezUOFkOw4dtYgXjla9IPz+b8U5i9S0k/AJdWJtiD+wUoiDXB1b AFcuYh9fEWNCUeXHNj9rAILYtWtX6A3dLuyi8jVdKN7eNj4sxJhDnjXyXVijEOOeXDdFwF/AIJCc s5aR9ov7idW6vSvNOGYdBxHZ4bTcx1boqzl0Jg0PjRoYAdtOUuiokHzBI8TY2TE9yCSP08pYcMfx dCvLtPGh9F1/yOO92HYom7PR4Y392n4In5J/Hj1LqmlkOtOA6rDYoK9lG9C6vDQtYjNuzjngHSXx 2l9j4Fv7lxekdppWUhymdJ/gYYzHNSakk5QPaW9AlfbmAz0ZEmiAaH74KH1ie4X9oIgMzHwT2he0 HZYaZXio7oILhsq6MAWOUFRipfSi0FI26xyri19cfO9YEeyzh9Y4uyU4hKgEAdFRA98+Gm6Hm8na /OpugPqp3DDaz5EfajR7rBXGKRYr4I3LuYBDa+o3VnspQ4k4nalLEfw6hVzHWEPY5ZMxSNfm7yEO cn5TiI/cU5bv5Ky33uUAB6R47ft/SMRmZPIHzAQETZHREJJ0MjxGIg8W4fZV4NXvbML+S80hOPRs aHK7M44dZADQax16VS+vOrts0xeHviGFKG1qH9xIWzCTR06pA05wORVpEXaV4K845APUgeuxA8by vF8ABwyjtsTdTs7nYr7R2zO//Hf1SJnwZsaLxMs/8rsZ4JS5NBV8y/ux/EaqK+NqA1Hm8SYM9jHC UYS36MCmDESA9opcf9a1sm/GOmDDGMFDjqbrPZCLJ2Spe7IhrHlbrQ0yYu8F2L5joUUSi8HWf5ce fwoRz/97QJFjAJU7Lpssum0E37eK2bSy5ATAyuQL120LmmuINWuzVkdJtIswqUD1UI254NRhVZXS CzbTwHGkddQVlElJ8h2VXotYRuv+u/DqGu+lMcPQFY9eoN9/+4xQG/DnM6aLG3PNeVB5WlUI7w2d uGHiHTI+Aho1wbeaVOmno23VA4RfaQVklF+IeC5MhQtevH2WikVaeUAGWWKtSpEyZ3B1EWvnvO7a COooOdnCkJlqzW6vpVj+GTtyvXMXuuWwDWwIC3D/G4npQyj0XE1l9Uaoy3V+LQOl0G1dpTYDpgen cO9m3GVXJYZYsyZdLaJl20Y7HWfXgwVQhd805i7LRxO9wsS5ARtqU07DRP3qneJpNzMM62aDRaCL ndctR1Gvk/UbPZTCdw/ghtu0R0Ui9cR8DsvoTFkuwWX88eZcH5TnH/LlqW34cwv3vLSWu4rokxbq tiPv5uUkXVAdPcMkb0hJajXgCHmhQcTNx0javnOfNzVTochnCpETRegnIXxzxgcqevZNvXRXy52S E4LvE5kVD2LxQkkAfFLDxDCk5lmkJdP6sFFm3fiXFoqgxMVVldNVLfWcbwAg9P0Y+AACgVyAF6UK h6wVdrRQrcZj4neHNxi51yWoCHNYT1SHT+qY+tVL855AAP4klcOZCT5xOX7wDDfV2NVZRkT9Lbbg lNpGAIlzJgDGYbA3QXJt0UTRxrGZvADzFCg9/cpFOftUNmFEWdkXCvwp+cKrscjJREhJ1+Kub1Ni M0Nks+FmqQogE2eEcmEXNc2hYmRr73mPPMeMu0M4aLmmCIrpx+X5dSqHh3l5MNhcb6RwnRHfTMsw Cd2CRdJz4OM06XN51G6vpoegKaR+ZX5uJclQQdC9yk2hkronh+3NtuwqWNq/guI8bSzbApq3gnAU gLWgMQl7co07Uzd/vAiXYX8lQD8O/lOa7TdK9Tqn8VqnUGB8R2TTOye9fC58NY8gliVGacfhiirV YRtlmF8osO71LVU/4fkS9vScPBlXUjR9JKVnZzT67ruENJTD2NpWwyaftzAf5QuR3u2KRJuss/W5 peFJDrZ7mKPeuGDzC+eLIR5CjSqVKEgywHuyGCpp2mOfij2sBw93H5+u2WyUuhlZWAppuDFWyqjl HpWOAQW3WYNubmLNFDXDhEQ45pNrkWsAMUIWn4L2DUCo3J5l2DLltyxrUh5gKfZbsUtUXy5kN+D5 iBD4O7h4YNCib3PpDKrH/4D7uXQ+vXG0nD52DzI4C76wIKeyp/ADK5/QnQQi1CcWW0IPY09T27ry NnbSY81IuVtsSrL5V0avHUtOd8+ud7FEyblWbTWZvsm6YURtEfEjZkqKZf+pUSDgsnjwUd6ZqKyF I6KFNaQjXfeHK3bnGTQ42nRB/+t6xq2IEWINB0bopunJFSpXDcg/Dte3d3NO9h0jF53P+wTpSVi2 mp2R+ZymLQkDx771aJek6WfUVDKBvc1WuxQdWJ5SMh3HJSrOU56cMfo61rMGT0N5WzcdAnuDnRAp XYXr/2RF+949US8TP6Bh55um4y3YNs5u5gJjCGUyHIXzKAevw6UVyi4e3LGWuTIGUEk91bv/U0Sw fdFtywnhJyuLR9VydYhMClTACgyuQRMEcb8iz1msoSR5wWq5ChtEBGuPgEmIAy1vwVQp2B1PryJI IJn4pEqxqY2dT7LZEReQfxu/rS7m5M7U+us3DuQzHxNKpil9H6Tc1VlOpfAiLfkOh3AXy2Xu8PkN rgO5Rsy6g5llz3zpXs/QPxGoCo6Ch8nHacxfnHbIALPHYsM0AN7YBJZ6FJ2wGxBtR1w/aGeG2+jn JX3dU7TkaunqNlvhFGZ++gzlXNQI37MzZUY0iH4+ai6LysVURKVk0oND7+1pe7Gh5jDtzbcyP/5w U2jCIkPwQ8rw15NeyCgdbD7ZTaI7UwVaZJtPryrEAOcVQl4etnv1XpgfHUirb/TgHAZhZNLdCRsH 5cI7ucjyoFy5FCCymHwxe6Z98U0J9Q0bKdHDXVkoqe0yNRrYn25bG22BXtNLrnCh1fPiP7g5oUoE +3IO+A/V6INramCCdO4WQr4QjmoIMAf8sYFt+iG93gv9UdYkux9m6wetobuvTcaBZriqT3MERDcF 6RCQy6p+vLWm0HfEw9dryljMkFZOvzTSr3cXIyzjx6xvUaxiAhp2tWcZ0uJsO66aBNM71ZyP2GUM rZf+e2fKrp3FtaA+1myv4LdoaGZpFYxbaXrSTsYkTeXxWeCNRJXplzC6gcrbYwomjY/58H8cglaa en6NYdHK/QKGIfoUcw0bk6lnQrECr8/zWVmUkKdvPXay3N8bAoK5rHnZUbw+kFi1NRU1oCw9Iwhv qChb2d2zcyvYC3PnkXncWoHjKDbZV3+j/TjWBfsoRH3jUAHGkZCPafks5wbvFiWRakNA29R4w7D7 f92hFnWBI1QMEp/BD6KSgLsXYMCeJQnQ2AJqZeyhWgGbncdIzScxgtUUdFMknUnonxTUbiGR4BUC j3vlTJ9wOFjRt2yZf/jpLjbQiW0MXEWjKHVMhKHOOZ31fSQXppAoFPu6eRyUKyWF+aEFGtowKZKW e63q/3wrMvm2qZE9mPzHirP7SMbfInT5bu7J5CSxoh1eyGHk44gGxBg71sQEHKWfVXtuWLqnLfSW JKJ8Ie0f+cKbei9BfW15/uBc6OgLqoiujt7H2LMETDLjWWIcFUZUaMD6+FOflYpNq4k7NE2230Y1 N34zYQDyU+WXcUBQYXkNc7Yl2d3M5kXnK+wMF9P+753geyk7E72LueXnH70SMIaz5VKGoArP5b6V 3BPKzmDYJEghBq11Us69vxbm7JiHWWUoI/eMlPFDlAdcg2V/u9MscM2W0raG1Ebg0cnAGmxL9pM2 YQa70Y7MsEkOdiMPjZthf7v9zlctIhX4hp/t3He2qodTgSg9K6P7gRVbhBXMR1z/kYQ2cWT7idCO ERPTdFkfih+FKPfs9dxeK/qq+WhvYp9vB8YJArzadM5hm+s6UFB8BtSEyw/t6micAT2W4ILbarGI yU3LIQaYmHCKXZ2SHp80BGX+8Ba09uEtcAurZkp0kVWnIhjXk2EmyC5e3VBFwOfSYOUKkECR98QS U6eXUy3qnI2zoquwIILNdBndpIQAH14X1NOnhRs7yzswspEIyrUHO7a8Ka6yQG7MRdUy4D/xwLlN G8RouHHDWWkjI8Lxon7xCCnePuP9/PtJFDUq/Acla8w726vP72lzRuAnk3HT+IZR9bWzDhNkS8zn 82GPnXkhJ+YHSE50ccy8+bM67V+/UPQfKAXEzljEW6zDyHaWUGVxea8PStJw2AmmdC+6eM5IOnlF 4NNkp9Wp57/bJSUy2a55rNC10VA9XSnHMKYghER96/q7Ud9TFhHTPxPofC7bT6dDSslMJa2wC/H/ O/PjhiYVcTVqAKGwFvyZqAJHI+/gWwbY0Pp+R7YIqaazOd7hfq9n0UaBUXkdwGQEXKBj3ptlGxv/ 5R+SQfJPKYap440cgENiawyAMe4A1HAXeCPiG6/J2DktUkQ2GgMNPd8RqWeIEAJN9w2i4xqCM9+4 OSyorrMATX5HIP2U5MTiR9MtpymNKL9ziuq8oEvoNgbhQfCbPmfgiXWW1huLt2alrHlwhezqkSEC ihy8EM/IHFSjqRDo9cDMSahTz9JX3aTbzjw+f9JWp2OlykugWJNSxFbddbk4UXMtax1xga9tvH6A dEyegoU6XKyfHbfsvzN6mSDw0weZHoZjc6r8aMH5iJOv5yZoAGMT/Y+4vjHEMs34ESeEdValhME6 cKa2P0FK6PBlw8FaQ+JWq/mOh5jBRCpa8EkIpt4/ovFIvY5kVSfA7iSrSx+8d4QygzuaUAZ46qsz xZfYgx/WevnhZAahY8prwwclAGrjAQz1ZP3P5bsV+KHUqTV4Ijfe+q390D+ryRmE/vP9B6niM0Um 97PZV3OZ6lC5ZsgxLRT8VLXaL/ErEzV3WspIyP5qanPEfm9LovUV7doJJo2HJpTkPENeF2mcBHDa rSdJUJWEzY64Atwn2Ncvf4nR3pLYY8nH09snmzJ/xxtqT0fIoSTswYUKDGtr+AvOQ8aGISWaaKra sOBwoVT4KxkXNZ2Hd1/exOp26jZ7QRiLU/4jMnifvugwReMWmAKbbXBNfqpBgbltPkNcw5Rsatce 0IZG0/e4NI+OIsdVnqIsn7wY/ifkkZC53uWHxmH06VF84N0PujR9t/AbhW/0dFrNx16R9NXcotC2 Ni4jvoPuPFfspU4A3bHN+9hTLHD+tcLL0fpT2zkf0A8SREloEkYNPNkJwPYwTwxYE0OEaP5u9Zmg RFDJjSLhuCaj6TE/+pBncXRPeljhk3ME7fNx5W0L3DZPWGypizrL8Wvq79hsbhZH237T44asU6DP 7tch/4ajmyThdniDRU7QI3XiMN+R1qx4Luu3dPxEkpIcbDPBmUwz5RZxRzkSH5B9Ptk9SSQhFZy9 5z69J18Vd0pCNEbXZNpK5A/2LE2TncDYTT/kD+vYCvomDKOqwGL+Pb+3vfk+iJv6eCNnblEa+LxI LKFF7A8ZlvmQZd7fUelndnF5ixTHN1x9vGi24C+wHw7lOrASA2X8iboR5GX+mhBdVcYavDqFxb4+ H6/POqBc13YkCi1IwlYa489tEPI7Va4rlDheIudw/cSndH4VUkhFLnpts5Hzgk4/9BZsQPjiabdO swQ3rYqM3SGUehOa4i6YUchOeD35hg/gMnMgoosQesOqgt3+yTqtJNSk8e6EHlcq1Y56OHeN/mjB 6J58rD1prmO7u974Gk/NGoJvwGwd5O2z5JosaAkKfhfRaDoFfrsfa+oi0ojZ6nXliOwC036QqQJF zKlpDSdBoYeevIGuouXUYi1Pjp0+iHKG3TOAoHBiObrLgRDfjmUaiMYghsDMN9bBss+w3uX+MCws eM60CxyDjSFL4QZM4nRO5zCMv1fmmv50ghpVrBXBbShs0uUOP7TtLI+E6G6XZtA5sQtRw2WlWhqS cbiT0nQe1l4JlNprMiPQ/PASAs7U+YS7dTHkqgk+K6pZ2BGc3sYsM2wJLp1ANiJmzA+yrSfjgp9p dFoFF/Zo8Dgd2+fs4h4WHYDxT8LEc2EZF6RQb3A7udLcApjk6a8+1KsqPhB8nUC+psTaplpGfdfG M/CZx3HKLcVMre9Fk9bg41cgfWRwmyRL1BDt+8lbXdBuna37glUPlZUSvFAoFqqoCkOCoWSUqeKx 48woIxNjAN3UbPp6gORTt+7ifo4UJbmPtY5OXWi8EDiqKETIXMiJWybV0FyhJlQUvnIZhGFYSaza pp5CdYF2JbLDLwj70DQdpdV2Kfa+zruMD/0FbKitX1bCtpBA5+nb6HtFu/63gNCZLdTxCMTXCz4R 0ZDdNxerA8k7BJ4BLajoScUcJDDwfT5/s17JfCkn8dLdjXLnLmA/XYpdrnRVzjtEZLUspS6tHTFY R50AiGOabFEjr7mvJcJQWhHOJmOz87j9jf0fqAP9x5hSoQTmPGYPzNxYqzddll0ODwyoHvZ7hMTM 9oc55SO9DrazFNrLPPkF5GIWVtL/emMZxpPjpRocrhnyz5kFDTJm33DGwM2ESe9bsMiNJu1OjD4K GwJAtUmLjQl2PxXZ1RV8XfXRLVMygmllIiwtEryRtEOXH5ukt89zMOwQlSzUpOc0LuSkRzv5Z59s byIzpuc5goJqYhsrrs+oR7t1im3WxKBT3Mrh+GEqhLONqUT2CDUfrswylebYp7j/fmJGAr2NGelv MdfRpHVxuAv4BN0xzAHa9QOpxOjZVgJaL+x5yYY8mmNLsdvX0GF1989DpPlS7kkp8+wwIl8UGcrN hO+dKzEn9qxE/TxZommTpmEdxfJEJiiKf2l2UneLvWnTX1GV3U2nSfkfEKaNzI8tGqmN7701OVka yDGyvVjUx/2f7uqaWxCgaU7RGFh/tYLV8GGIMe8tEc6qmUJunp+irm6loZLBgciayE3sBpucmPdQ gAUkhBClfhiuhUFh7NIjB93xa4hsHKvwbGydc1A6LpmEkg8Cdzvz9gfY5MJJk1azc5a7A8Gr4K/I 86L3tzmtGrRwhXOlG8+HfAv83HDC/aX7cOqFmej4poM6Tvw6/RbGsSkv53LEImLC/vuIh8B1yJCG FQi+UIB5li16cdWCu6P993mUH8Pjidkv1S78Ob77p3OfL94LOYOtfchhBd9HRXDiJU83M2S5n0WR hGHVwN30k4+hFLBU15g59iGeCG9hbuylHJFGfy6CK/3x/B3jkyzfIyd7RxHQgK/1WKbP7l4ajENW +8YjnWEW/NtCwpxKNYGE1YaEHO4eEu6iFJYokx1i8s8/cGdMP/H6EUZhhbcEwD1zJ+w8lYBnXJh+ hBsiYgJZSub/NlwU09E1VD2W3Eyq1sdmfvTjNdqGIX05w1Tuptqz/RhQ3ErTIFUF9xtGQQO/4hTu 2jt6QSEaOK601+s3rZvkLHqNGKn+rX/dDau4eyGT/RW+xkGV2inOnSlB4YATEaRcqfqoBKVFQEI6 DZlR+oXTvo7GajaHXRD8u/Z2lN5zSOAp7EHH5diFD/aJW1DeLr3lCvTkkgHwcO82E110LWTKpi87 8wrF1TFZ8qbtdkdsgZ+FhDF8EURkjtrg9g1cbqRJkY8WPuXZtnbueYbrBCzfZ7oQiXFXaLM52v5t LCaQVV58cvo2YuKHOPHi8Cr1F7z2vJNWEEyUqKImRtA6a06HaMxzd6iRn7ngT5KtGkXE9MYc1luO WKVZb+rqWoaK8UTqILvQ9D5VDN79OggzK14csFQCIsWLByd2Bm+G447nNeGGlg68arEA7urU94EY xiN6BX4iUo05QGayyDWr+0bMf+b1pI/A0o5ahNBTm9GXzAAS8VGNLVpiZAigXDrEgzSmno/VW6pC FSQjIsif03vZsgi3tLQPZSQPK7zM5V+IokaenLZ6cElhiZJ8jrQtHlN2CBv8WJ3KVk3qhMnF6jom lb5pZ/2JX/Y9sTsSl+R6oJWXFxIEWFqb65S4sCaWmh1eGtpPOhY321wh/A0aRlWdQIuf8EKQ8G8S 6SlSvZHeBxV+MvoIDogILwVpWVP4WwMlvVhZb2TO/FM9AavWzJRauiZEyfbxd68cw7y3TM6qElrX T4SQGFCF2hF618lX2S4TMCCpAoH6PJFtYIRF5SN2QPW6NeUeFnCf4mFUDZw2ZMUB9hrFOQlcQTdP FIr3mxELVBPaf55gEnsqz1wWhb/zrffhauxd01aqOoLh2xbmadmjCuj/enBwuIAAlT1XsYCi9WMB b9LQTG9Qe7NmHxrwz+ODlO6wYDEatKO8FtLFEJd4HawTYFZRN7pGgPSvqoV9KpSAvSWKiScJq0Su 67k0M5PsyJcL/888GinhneSTz3m4SqemRV+l6g6H00dew6qfTnm6hzTosTFHwUK0kFq/XoZ3IGtp Cd9taqQY8eO9XxJ+0evytoYvXrlqoWLvA//xkCi3E4OlmzQXeKDqaImmO5NwjTbJd0QPSipgSQaV 1rg94UHUvjipSMqzIhp3hqUumDPJFDyGv252v5PNZpW5xvNntCOMyucIyrMvdvYn9ylCrmAgIA/8 oqZsvLvroWGBN61u7ZeMpGEsvl+iJIuKBrowDmW8NRLoKJsXm2EWUegmQHNfx+OyoLn9ibkgJafR 8mq+DsfFAXOEOP32pZDDovR+FyasotoriFLyR5e/wy5NMhlmMROQF14hRnQd74ycfasnN+4fjd5i B228TJrJA3A9gJccKRtNSJA/lrW2tJB8olRqbPBawCRD0f1KdOj2iDTEvTnwVTRPxxwv9KW0Nt19 dtS/h2n9T+69ketoxTFtVz7COGCU5ew8SfxPXTotrowTWj3gbNLIG7zdvmAGrpSZSX8ShLRbO+aZ EhOhTOvPM/8J0SpArhTA8UxyAtJty6/HA9o2YF6DMHlif01O5Ejl6mX5Ok+MsMV99P9A7ZpFuONY v8K0eE/I+FztgCDC6iud8zKYI8Bqv4JNCJb/8bnRNAnb9mnfe5hxsd/H6ruFCGufGyHtryhr+oGt akt979awtigVq2O86DJb3NT2uFHGM9H/CNCjhVJP7oSgp9ASZ046K/Z9GlelBJK+2QejNOpdTcXF Lco1rX8NRuTDtMp0axsyHxJlGeqdvqi8H+lrMIxZi0gpizFq3ZuW7WaBjiS95UmKfNFbJXdYFpRs cmFM0RdKGVOx7jqgHBanjLDHr8XopXTcRiZ0c03JHzgrQK7l1paiRlCk4BkyA4UzvS2VnDrVJK/f SKmT1XZ6C/SCeOzUAYc95koXuJROj6CMvGKxooRcCY7p0ZjVhFOsoPmu/hSLMasmO5KHFbl6Gh3U NA6X2TQ7bY09E3zgFE5S4NGfu9MkFrH/MnH/9xKy6BcEZv3fhshTNAr+t8FKMl4TaI7wBLMVbw92 4MgTBCZFh1aaJ8aQ1UXnDZg5bfpHbSP+AffBEa4wzRjLFFhNCm4Akso0sKWvUnK7+QiuEqXmYfQA FvP//VmNe6DhTqV8u/1oP6i+wDWcW+HuN8c5bIS67AfC7QdiKimO6Co0vigAcaDt4zlQ2M+41HYo KIZ3tWT8bNAJMBMD+CmrhYxD+CvIkKKxAR8AswZwX7USa/Vd1o6dwqG+eAgV5vc+FpSOnvxhea0U orBRXzlHL6+AqAHPiP5FpkUTk3A1lXeLl2KC5rfnICs54Fu7h5KTE8As3aQYoWvqNiPAvBFa4p2p tD2jihvuP2uNQRjd/2HPjxoVSTRgaEQTR9YsDCnN5YX6e/RpqLH20428UOG8zB7Pvp2yKun1s9pK GhTds0bsAe08EsphgBT0I5XfmW5TgWo6n/5YykIB1GC/j9rxeVsBU7nxmXHgHHSHv4ihdjUIzgew ucBQTdypd143saqTqMIzbsgp4xbaEgSXdU5H7C65y5XI3eW+10FXV84n9giV707idxy+HlvaTN5g iDd7uJr6PhHcu14CPWJywM3BKwJ1fkVwCm96a6ulLJQpCLbPQ2r37sYKoOC+YgD3UAJNleRtvTFC c4xGgmEn5yAjKZn2S1a45E+rV6+6PNys2MgSAoNBxloanRlgC3rBn/gj3zAihL3DKO0FBpemoJX+ ofwe61az+W/gBQ2FF35xv9LflE2OAZgNj8U0cDjA9rjneYXzHmZu+bagbxnvl5320wVtIqsEKk9t kcYGLb7Kg1JIShtU8Bsv3/QGWWjG3tHoIKy8EoZsG7KCkSSYeQe2D04X2a/yvIpGPmznnWksb07Z Wv3ER4D6v1DUD3reIN7EhqdLT6YWOrgpo5NYUBYDtq2cX6idoLSakAapl/kzMmsR1OK79lbW3pc8 lvfqav/te4pSYNy+i9Z/7V2XO7ORIjlfJUGi41NQL7XSN29+iVs9JmGuLtMUlZ6yihzwaQLP/UM5 U0MNefrneoTyJVuMaCLuLuX0uZOVtNDYEoQ+FuGsm8rc4MkijOXCGumnGe5yRbJH+pstMS3Z0Nld DEHgvuT3lJV3hXr7isND4cSCpUwqmL0PfyWeGv4AGYy0HXmb1X489Mnwwv2YEmVI0NRivQnmWbK7 R/I80h8GdoY48eI1okOhLsc7wLVxdPIvkcN95CPNXauwdF6cwJXbPlGzlzk+3T8VvHYXCHmd3yIm CNhU0JhLEckr3cTc7JJ5ptJQV6h7yZ14iZghdTB1w7/Z+JJww31dgRiTUF/DTi6W+HtBWuMMkR5T NvaH6guAFdQTRX9K0kvVptNvPapf64t62dd48ztrzX0v8a7IgdrQoRQmQSYz8RQc4WaSD1v4sBdg nMBTapQXHyx4/pnFhshjk3/o/ruEBEjVXc1f66+lJZbsAaeKKZ/gbjlLsYY0e9jIHJEVScTjmfd3 CdPAKla9xBAYI2f6CP6pG76SYijDv7LtK53shWUjtYJkDPQnXrbQAzVFnQNrxigRQajwIB5MbiaC W81zrdAYck0jmxUXFPYiaaG6Jek+bucY5zwtaV3+Lo7m9rEkxTV/Q0ETnuiDHRi1E1Vf5g1Sz8d7 8LntiaQikVIGm+iabCJbU0flO9cXzykD1Uj9rYHJwI5P3F7cL2JFhzF2Fbw9gbcPTwvdfOu0VTFM CXSujBumJJoDznDFkWHZMZVhN+YMK3zNpIbSAouoa9BGBp/HJ73Jr4EIMR3HKqg6rMnyoCpA59wo w2/C/WFj13QU4hvCf3+zqjVM1tWSzHZ00nOENdjfjncm5zcBTWt0duMCK7L8mkRxurnd8X6vFmf1 8/OoxreWCcUBQPO2KIlFJRFoUzGndkNX3FatOCXcblUFHbcsc3VQcr8Bk3KBJgsMB7XvyNYauytS qsazhm15MSOGIXHx5SWbsdsDCK3NGydsD1OgbLO8jtO35dM/nZ4jbgwdBjoGujaIeIvmRQUZhr6q vVijP2JIuJXkYUeLNPwnrCDhxRwkXYQ284jkYGe67lUp5EfD8riUncO/2exhLaGfuOg0ZqTvKEO2 MdCpo6Ob/DyRdKzydciaXJlnVI0eWP9uED/eZura1pP7SsVkbVRmtB+z7dwyMds0dvn+cpUBd0U6 L5ec9eMieq5PYL/6bRN/n5Aw6Pljo31tzKxcKrq8HcQZUjMXEpriZJSkRYjouZiuwvQPq3QOxlhy 5AgI7qdUusUNymsljy/xkW0utQ9a2xkAA0glrW1YJ0bu7/vEs4hnRMeEfggnvWla892ckjNYnjzk ot5lcrMeuWDlF5RrI4nXW9mivIRoiKjpuXVmzujYIfPoTRlmPXlJKBqyASgFUS+6p8gH4sTWVaP4 iVuVo8TAhdg28ZPoeA17iL7e5Dr+5puz0GzBqAQ1vV79j/Y63IWxoLu/kIt1dsSeHjLg0aPwDUe6 3MniD6eWCoNWLqE+dshxy4v7/quf50cCRbihAou8NJDNKgrt2/L4SK/Fsey5q802t7DpedM+50Cs 2ESLftH/GLDcICnZ1XkIIX+UdCnoPDMmM79EpvkDwRoFs4bDt9YWGhyLqKAecQ0FWYHskyauYTrl nZgwsngtB7fXAzVeRbcG3t9eKQCE118cpCopHUD4hx3Tgq3u3CB9XexdxF2dzU4FfE5PzFbEUZtv Jb5ht4jKCNyCdOIGLwf9ep5vOp4GO4x4iz98SgZUMY+D5xJQHB3eSgF9WiAIPefcfvJQPI6J4ZhB 6SUSmuJcbazanDb7vPECT3JBW1+JQeomxK4Jm6uIeteH+cwrfBwu2jShgoqG5eepIMa7RGypTYB9 wCPfKxi2Ol+4xTNTZ8eQB2yUhYRBI1LWWQKJSnxN385lfdzygmBEYVh+URIyMnlVgP+LN676CfAX 5/y/QqolcVpbhfCNERKHC0UE4o+oMcR0gd44yHYP5heizA69ZB6iQh4SGLVs99XvGg9JPcqeAIuY O2PfkehRhjJtgCRJJj3o2DD6zaIjWFq6xqM15bS1UYDmiluibTzhaVj0+NkPnTm+q8jqKXKYLtTD 7034Tbo4THkJNtK3QuVuZ3HL0aT0LIATmhC7k2PhaCjwrCjguMbBwojclk3ag3eYBoSUK/bl6oDg 4BelO6JsoYa5oal9EO9y8bQZykQWPqO+dtMYha2WYyvOA1reZHjg09wZX7UpPBuWs+rR6ssg1CIj p6qlEgysLuP8dsP1uJXeEYun17Z846X/ymEYXSZrCc9B/3o/Keh1FNzMq51kEaLb1apR0UvZjzIA 9xlSSTdKLlUAKzvJIT+GPhovrDS8szbmRHs3Znv8bF0u6W0nAtA7suXJ1LSCQ4ZyxpHPVhmjJGaZ SYElXdEhpmIRHynMup+yXYKfBnk4LH87UT3/z8ypamEXz0MnhoGSfSokyYbq5cNAKmXFXS6q4kbC RgDg0ifrlfQ6BU3PyrFtB8IfXUOgZIX6osCJcJ0vsf84YsjP3QMKf5EHKmDdd0jZAYfLB88iPSou zZtejHc4B8dnoCnkobErVAhevunCbp8+7pCWtR0jCeEcQ9agYHer8SAzKnnfFkdTco3ntctLAL/K pRcrGVC7qW43+a5B49CUu/bfy1cZgbJsC7ipTXPVbACwQGcmE/vej+SwaVLGpBDzxlks9/l9S6Fz RRu+7Z63YUmklYrXs8LnrA4C4E0FEKu63eZLg4afXl9NHadA1X+9o2SwZKuAPZsuTt3i8pEdYp3v YZcKNkiTLktRlOFc6s3Sj1g7Zniv4OfYL96cjMp/eeG47x5rhfKFGPrEGPXrlgkortnT0VyCFpN1 vstN1GB3Mh4ptjo4OCHNzjVCwogzAu6OhF0ZoxylwstJHKovAogNJJIAqiHs6g3Lf777rFo9bCZO 09ACkz3brhN7tcyQkDE+clh15Royx+ejBAAKbfWcNHLJ3ELBGSBht5Vs7uxfnyPBKLHhWT/vp/0N 51satVfmqcm+2M1S+xv1p9sGdMMRYmA2y/dfJuYD6IwM+qE4I9xDFR0azru6WIuyOVzvPrYsX7H7 yxsmKnn9OD3XG4gi3fgRGILSGt/E92a2dKY31EsgKM4rJtSVfstL+guiFddNZ0NthHmcr5YNt5di ixwTmiD78diRn8pe38pVb8ctukyjNbpQjsNmtYNFdR+WEHntpqjgsqguDaZOYCf7PV6l4Fk5gDeb ix0KQPhRnfA64dZBYWYpHcrgELycweqym466VF8wP3mtvtlzav+OHMr0dfIanoihUFBN2mJsc7Vy uibhi15G4WCZYquCwrs0D2GN6k0agtwVNsF5Wfq3DbsohWGpOYct6AT52haIIlc8pecr0rV1XVA+ nCZFCorenbVEw8Ej8hhptDP4gXRveNcVctH04S2zaLrkNyrfaZam88O+qxXfVRAcYarhVBWRmm5V MJYEyFiyb0Bfa3pvDlD0qQCKCcOwMpgYqgebyRN2FH/4K7VOGrJINcyYrUwqaoATWip2ykHfEMEB szft4s2JT1iGYZDFFbpqNsCSIJ+B+zRCFA2lP7TkM/2Ii9bICsB+eozKIfrnE5rxS5KPTXHuZl8U mrtVmRgBKQ6mq+H08cEn3oZibPUPIBoAPlCEFcoFJYTWA488+uDeEb3Tdcr/tGil9uu+jR1PveyU pBWIy8OjmFc4hxM3mIxylvZxJYlq7mVDbFGiU4hkIHurl3ROoz/g8YvthkRG3rID6o7toj2U458G 7n94SeNpqZ4xXuLrCQTnTGInMLQZC09fDEyoH3AC5YSFMQxFFZOrEyQPD+sKYmyJEsRubU08PaUK z5aRg6FhqS+ozMDuOMCTloTmHAO+Je+u/W1Pwa3ugJatnGFEmwQA2yKdxZMvdoxpAFwOq9HipGe6 3JFKqsKLeCaDvpG1w42l2FbSt28PVSz/eeBmjiolIUaCVqU6Rp2mICJaVSRl6NbLy0Itjn4AqRQ3 2wDY5Qjcyk8FmcInfUUCYcN8tkY96PhnlhvPuhQhGv6VbeI/eWMLrjceyXHlGarqhiTr6TElyDUm e1h/ii1EGtGRxq0EV85BJ4pmiVNLKCy7hDCLe5K9q3YIkNAbMAZF/wism0aAKgCoFos+Y9HpG2wS fcLq5Y6Pxe76/NkWcR+/2Ih88mvSRCnamaUcmX9yGOEhc+1hgLINZn/NisHxzHFoAxPFqn2nS6di n0fINBXAET/dZ5+Wo+Urvd8FcxGBtwS2D5cqA/2x5HQdpERPYe4/vb32bWvhQV5Hisc40Xi2eywj RMBG9vR9b/clah/4GeJWd3ztltdnbGirPDgaXUJPNuoVXMSRIBCjU8XwA4W9SEVCEqzzGSoeV71c TvOTGCY8qrjF38Vt5FZyeOtT++lj1p1NviSSGV1BeQ4wr6zeEqoYMH86SU1Mj7Dzkm3d5XgCH1Qi JN7SUKLPp8O6XHYKkIxaj34x8KPhJxW82ybDliNWv+uRfjN7+D09A/EDpce9W4QqmsmvVgbquioC AobTqu18em23SUMXh2SAOqh3VrrsOvhVFoq8LOaVfxhYKmO0O/tg3JEMmsX/onD70kYLhuv1q/bZ gFUFIMK+kJ1jbNgUUYWi3njT/hJDZ3VjbQkPbGuARlMm14278ixPTgDyazUPhTP/QHdp8GcUbEHm P53/2LAS5xfTVOb9pOHJBGcuft5fqFgaxtTzXOlWzrfGkg9uL4SIeAY5xcnA20sQMFmZfe7IYygc IBEGyv2/rfl/M3wDMm4H4mddhWn82B8mUSCZCGVTm/ksB3OT4pY291riwBzcSsq9hXYadxog5bl1 uq8fIdvcK5Sxkr3MfBJ78ou8UhRC6jwB1RIMt8Ddt3Au4DUs8NGK/Ecs44+u8EZb6XMEwmpR2Tob fFSvqFXW23Zd4EpAfTbwZIDy0S7fmsHwXOdj+LPrnmQ1yp2pcY/5UAvQ+R+D/MoB5HOvDXdhVt8k wpP/QIOMilNzKoCY1Lkgla8rI7AwVYpHyoncoRH3arbKjfNTG7N2bHs16YZz3InFRmZZ65/QxF9A 7a6le1fPEtHCpr9TExFzEfMt1bSUGLIpp78AI+oT2B+zAmRm6qvtjec8ZgapH3y1kBzGSVB94cTD MGt+RpktF4w7yJCV3vQn6w7PvocOUuiNbfk04A+widIQAJWbkamZxjbLfgLcc5GssB44JDYe1Az+ 07VAvqvdynfgThldTNKfixRqh+iMEpaPTjrarKSJW4XoE5xQHC3uu/Dlxz3Kb3FTPUt9QE8IGLsA QM0ilpicTGohkYjoEqZVLyQhcjUHWEJrtlWjwTPMxSiiFKCknSmxVurAM317DYTpxsmcMsHb1CVL rS7/uEU08b81UUrcJMFXdP8d8d/oaqkEdWrxkNaSLPtdEVJtNt3B+fD/hl1Wc9jiBg+y59Tud6+E puc4a/W/I8L5iJtvLjGGQ2/akHiTAwc6cRPjrc8hAR0kqcnoF7OrXR8oiMQkiwaEX8QQ+fZCKt9Q UdobvdQB3JyPAPKrWpmn4J/yxaA4Gi93H4jjbwubELllLGGXowUG/h6rO16vsTQ/6UEyw0npfk2o H8w1bwitah3y8CVQwsMAQm1xR+jWt/+YqS6x7e+wNTma16+Izx0RWQoEvydta5KRfF5djHeAzcA/ XcSKjrOgCPM94wUI4Q9AFkk8n5mCOA9fA5w7dtR4p2uJ7RVSOqoDHOG/7I1QGOgN50SJMmH3a4KW niqLVD4VCkV/42dKGDSb/BZ3gh96lMAgnGT1JTaW5QsYeRc2TrRzGPqD9kLEb58rCrsybmjaO6Au yoS5923Q36tfV2AOaVasLpPuTbp/rFUt38IFsbf1nOzs/Ow5hY9ehNyX33rFBjmMXfa6ODbNZ2di GHLLi2wKk/OjYLSLESCZvBcNrW+m021b+5iFGgvsm1Fx9x3P/bu1gDFckhkam/JdlBgLVYB3ku6z zWyVUEc7xKYZD4RFPj3YLRxlakpVbWeLtEVV9bWMtfhY+fD9YvYEgMmwaJ9l7LldYyDOLeDZrSF2 dIn4UcQylmX6FNIBPKwyGI9yLfPnt0wDKolvP3Rx0awVEQZPEBmuku7ykuW8dtFX+nysj81dVWxC HqorRRt9FNQAEOYvwr3G+WyjRFucAqx4xsXTdrtA//a+ilQdPL2rIYXseSMaaTrU/N5U34YTWTNn 4yCPQvIn2BmpnB9TxB07sp2TEnqXlSfpqVnfANeQi0e7O1U8YlszvEon7GXqB2UDctejs44tqfiW g+e/LqXCGoohw8FbMT/q4UNspfTd083FYZAypOC3kKwvrlyE7tvJga+apkOp7SpXmXTaEhI1w0eP SOEHFogfJU7qO0FvkamE7KDYiTWuGgWNgiII/Z25CrTk0x4ZsEr5bPrWiv6k9H+FroT1L1t+wEtO 7ptajNqdriq3bpT1ul9OI/gCfjCjkAg/vZ7/myf8fWD+edzTBvKNxoE4AOc8e+Op/FGU0c9lKWRD Z+L26G4+kCoONw1W/KSeBDrW2PWFWGHGZjkbEGir4vdTIHs+irxr5UsigN6ESVaEvbO/glTptdz6 RF60Ho/aIG9LIZ7oSwro6LkB2F2NKUtnwe6HrNttYqNzpR8l8fpcoxRIgA9klqc75qURF4u+XKRx w0jD/I0ExLKm2plF44ZbxnEBejdwvlAgHKCVSCXRBoOOfzsYJwB85cQgsmoPzEC9PgzOaUfAnZMy GaTRyDwoZv8mONdQlQXFain8Av5KU7aPcdm1MSgUiSHJu4GsTlV74/jQfN+bt71KYfjtkxUqtSt8 LVWCgSpNGKQHvciQ9Ae98POVxZ6phbGxwhXDd7bxHLe2zvUw8wxKHwdakEVDuwtJgrvQyc53qwfX A1kpaRQMGmJ7i3UKBiQbk+SsTfdiB/PX/NWu7ZYp26EH/8qYitn5KDrc5kjFIx1P1uNxZxm49pF1 6+lMcjDOY7zXrztHn3GC6vs4L9XB2+icEReWOOBRV1SG50FACWS8UhXyNofnABnnNqdiyLOubitK /slNAE3p1WfR2cpZtR5xEGT+g4j4nGajnVSykL3UjaVhS0PLnxW/1ff4PRLPkqJXycdvc0o9fOTW 8rZf2etwdxE28Z5oY8iTck4M4t93nswWeytQ4bv+iSsGa3gmIzr5a9Zx+zX2nOGtH18aVTRmiiFd PUBjW8Prpi4cXu0iu7ZimePaYn8tFzJMe828vOZMDDEAwQ+abOuoNu1qVcxQ7LEqo70MDmpaQBaZ RFBitqE4xxTvIduUzlWbmcN70f+UjqRB0UFJW5+9VgBVAPa6gsAnWSA1aBXLmLJ7hqJPNqTaVDGV GdN86nnxdkMG4+VUiZbr9W0ZCAJpxkzbUlsvJCO/4Lo2JlNbCj/oLk5HIgSajd84bE64QeKuuudO YDwqOqGI0shzr3wDp27KE3QKv4zoSwNNnZ5WQOaPDSuG/0sZf2DNdgYNRjywGC7954BPYc2XRhVU alK4Wtxkr2hat46GxHxQkw83srag5LkjpWx0d5HZEWNPfT2BWaIx8jhlPuH2/7VyWpClqqIL0gMs EnU+vGZd1FTfPFV7X0ThMiYlag0RnhR3xcukY8CULNBio26DZFO0tU+mpwdTh/yk8uc9QTnd3CGC 1n/o18F6OIanBOjyReO6Zz2KrclF/emLi93GrMkSu4j9b8jqDhwncgfmC+1ul5Qk2xau0/l+EgfX 6YAvBbSvC8GU4HSQmjjXxHqxI02CDeYvAqP+akIcFxl8uWXlnboua3pDe8d4Payh20sWpxrcNPPR r3+Bm3UNeajGsb5QOcJCgoe5/szFeSuszvM+HG4oPXWvg2jCXR1xsPVwTB+neLpK3BlZubUqDLLi 9koeHroTLQe7BJG6gfjGlfGPtf3ZJqe+DOdBv3/JhYMC6tok3lUndX/mZ96R7BYE73X+NrfJgrQd aBpkjn9hBW8APD4d01wA01bhz3cnHBgQspiNOBcCbFFaIWtzUxKE0nbQUQdZ8i+FiB60//Qml75T 2ZuyqyJEauLtSfzFPjtxN11vcIarRYXxic7XhlChhKHFo6gDsRQlBVlCybKzjD8P0qr8NpwR5Zq/ NaWGsfbjF/qJE60D/U6LQFOBENx1Sh9Ki7zrfQoFj3HHX0BdBUYL4IK9+vOUMmS6Lj/dw9kwvKzE EKHJLnlvcYOXUDCpZHjtXJ/pJCxtwcXgZjDgSwNSEhUv9XzlW+tALtL9PAn0kDHFjrMHeVuYKMeb QLlJvbCuFCZ9iMUrDEQf1j4Az9Ye7R2CmCNtfszoyn+CU7RSSFWMZR1zu0JNxfnpt2YDaI9bUpbS Z5KofazdwU3+dzS35mzkzknzNlvW0XJMKciieVTivHym3bktDyl9yNoRlvzavQ3UtvtbmlCcfSCw WJT9aikph23/rUFxK0M6sYeZJOROwfK7K+KFNfORVBnoHpPhsY4DLASE6YQTjR7PNJJck1Rce79d /n+4fAuuuzcmflaoMnzQ+XIwldaOE79JhfUTWH8l5C4lMB944W0D4RaqGTekWTdFY0EbiJj0tZpK 8rAJsn4fSEqcCKwJCF2XNfnXMKuG3QL9JTX6HMSJIYhr5k5ToD7riMfu+pRj+IvTpH5Z5aMzwVIp K57F834mIiuQZWIJ4vjozIyqmnmVb6myYM3oNTDTXyEhGktC/n0cW4qBimAtntbXaWXuhkDXswW+ s6gpPma4G/I5Y989tWxkwtd5ZqiP0YEmgswYeGqLZTxO0YHSijzSRZP0Wooro1J5l2g0kzE8zua5 R6YCqELxbhhQlSwaeSsEsu+38+RFfM91NU+T8yJS2kuLj1vCEO7fTznYjppe4mctBK6PEFmRWPpz Vhq+3idVGBiuGsYbrKc7/DQvgRZ34apexyJy3gUrK8FNZbe9OwrArEj4Mx8bqVob0oM299lnOn2O DiHbHRIzer1B9y10bVi3TUpdaFJfRoBsMHSGFfkuhPWdnzkGOmd6EZSSVihMTD4r3vbQEE37oqAD ++pb73r08CG7lZfyaJ1ARERuoCU9R7pZtHyYvLoxrz6wGPTZQC9uwPAIr7zyhwcqnPX2bfg+4nFs 3k5zgeq2MDxxYR7VUu9wGOCfNJdp/3yHMrgjZF5BS8aO2jnaXaqicOnt4T4XOovT+ShlxH0cu4IA cHyiI0VHArrU1YD2fZASOfhl6tAK3JbQhtZk2fX9ez8d8Bbvxf0/Hs812yBD3aKd0oAdSNhacQBU t11SivCIWJtbeKOVWWV8n6D+4IAKkkOQxKUfCk1iRUiA3IJus6JFKCRBdi7aACjj1zBtEIQmBIEG ZT9VfItxGRtChntGhgVvTyE3PYzNI0pBoqw9UM5wpU+jMYje4DPnHaHjomAZkiWMCba/yMbGF28q hjCwH206Y2Hm7mWH6A+gLzxoqDmyh5gPDHBHoMeN3q4DYjZq+0JSMPl5UV5rUD0TTa82CRXch1yu jQdy+2uVT0hV60YmIFxO9z88TTSWHU2cD3LkpngJ8WtZ/bRroszUZeJqGltm3e8Of2OBJvMfWdjV 7pQyB4ouYmqQoxBCqo7r2ZUkLIwpqtgpXolIJEKBL99aRvHulKRK2MBrC96t1yYVKfUcZDPTxcnm BtBbBXZe+8uVLosRnJ6G0xwgvQEfKRRVBBcOMUCeRO3C0nw7SsTW9EGqneps1iKdbB27zzs5haCf TRgsZ8KoLwCN5zUjR9W0oE2bbpe1vu4vE/6mk+BSiCi3Lnnie6bkiSxx1IASGJzdPJYiqmWGeQaV L7AsDDMPjBVYAZ05JP9kEBBxrDQ5pTXPVhME2wtAPvJbffapPacsPa+4OxxZ/TbE98BBQTVCT1G1 LlFCil4xoE7Yr42/UYf4JvodLfsDrPlVCifDZWjuOr9cRoi4UOw9TA0SGeU/zqGSi/JZm1JSARk4 CYFT/VibLZkJmb1xYHJcWwUEk5SPavL00hhRYXka51lyKCgfmebk3u7/N9c5JeT+qUnkVxrBFhzn 8SPwQoznt3scaw6slcbNuvdD9oVCFGC+QqNtTyjVwe/41pZOEQC80rXDKfQqzR7R9bNRErSH/y82 LirNknYk61nUnvxE0wuxwc6fqmTeAfgVsN04D81Y+FofTCirAsgWitm91LhOqhS6kY4kXmyUMpjA zHfZgXSi4ukAbq1HqOIIDS6aQMp06kht8/0dPuONAFvKuhVVZvPO92fkcOG2pxX7hbD3oZ4wCTHo 3aQrmwmbzyzAFCQQLCN7hk1rnTpynngDi/oMGzOMdJsQTt9EhIVK4m+31ANEVm8cICOfWndPhmdj XykObp2c3rJEZFCA6dRfaR21Ss+b6L2dQN2+V12i7GSiQe7X9yrPEwYPNx74CZYyMDrB/4WrhJQf xN1fr2aSZ889dVAC3QqhSqBC3njoKdwBhA8qT7r+aGofYf3A/Le5aQS0HrVk9Y81R/f5qcBt+uYn /4l+l/t8X39GAc5CKGgUnX57zPIK44Fk+iaN0UkaKmkCSkE8F1AM5QvFpvL1CmJh2YdWuSzvOuYt ft7I+EpLxCpNBOKPOA1D4bwTvNWh12P+rW7eN71Dbs/PyAWuFZ9Osj0kJOSinr9sSW7fWF8BxdD7 nXWv0q1m+SXt6zqRrs7ooDXPx6Y72imsISlna7QchWUMw6K5B2bVWPwtbygwEa/rIiiIE5xXP4+V EokQjRZGXk9vWdqkpQG5SkpwWBbDfitDhieEcMUxvJWMPNgDK4bfXdZ5gk/O01Dd8WnYvfklKmHo G0HTU2shgpiKmgY+YHswRRPuHmtlDyV0mJyGjS2jKoI5NqRB6peCHl/J7A7qndInQON1AtpxsEEI 7WJ94wJpb8mArAlYqOm7CI4nJvJHVD5I7F+K+Ttxh275rRNZ833xMlAXA0tBdHfd2Y65l+LE9FwY TYuHVHT8Ye7Mgs2lpggQhbRmScbyWDuyf8JJTdw1/pBHCNAVEOx9jz9Ns+FS8u7MHrg1IIC+SJuC PtUdULehhcFQw4LacxHr3CW90640Pe5sQtchLwyJIYSwa1sVMwDy5LDjgpeV8BLK+8efM2QsUpOG 9uFL7E6NUqpqylHiwVLuSlSxzgvz9kUnVQ+w00I//by1vn0cIyUCbVSwGE7c6vG8t3z8M+sDSBwh hp+oEvpcQGA62j4AULKSdYbNIeZOkTyZQb+K/u1JVzsokJ1X0WoUyJwcNgXs+BdhyGYrMAjah70X gByu32CGvRvfRQQ/MNl8XBKzQQF+19fgZbchL0UiLrGDSss3pt9uphISWVgxQ3twXew+CtGuvif6 Vy4M67Ue/R/x3cnsWW2AkV7xMA/pzkVcoP/qbtM+cHYdgZpUakMPU6YmY0l52CwVQVvQRXUUAsHk JcnACXXPG73qBc1npRlCwl4EMDUVGdtLu6EfhJb8Tvl7SsJ+gHU02Zlbme73w6MGz8A+NBqQgGa+ yidIn7tImEfMuQwoBNwxb8DhePr2nh2eCvusq03VB9BKN3NEYtFDGHY7ob7yyI2cdFIznPgkohbl FzhzoKXPT0P6U2YIefbhT30DHkybNS+tDXjtsbETAdh2OVRLtr4R2xabjeuarOrkll+84yz3r20Q xSihoAA4oZ6fIfLZTJSD8Ms3FkfJnHUnaBacU7vBTTNJaxN3YSEGNZifcM/UfR1pPmbxwJBgSfIg dcjM8XZcy01opWTWXygF/JoHOmxZKRv9T8YdiTiwpZaohFlRzs03KL0HLybN3eWd94LgovIVKHIQ v0V6WpZg0gQ/X9XlHCFy4JB69ctPmjv7tAfN183mqc8m3h8ScjIKU67l5/AGeGHU2Oz65S0ZFWKK K1rFiaThkb6knijNpvJynCwB2X3qCEzAM9QdMPk1dCaVxNytyRg6cVcGB+aGYfkbXFUtyENlWYMR f3K1IWHJLExRvuLJiptwpZZoh4s8q2H9vVkgEGlcCT07Di5nM5DtzvxtWBKiTq8sHLut6Y4TNl+/ ew3AT2a4uWgSmVtJ9q2Jt6N4COD1eOTwx4uSoobIplbHMP3LSl+mfHiYIdv42SHst73Lr+vjhAnA JqqJBcnLbGCaMYyjZ/cfQdgbTtsCK9gIpVQ0RNbgSMt4usPcPT55TlcoM+Y4J6OOkceVZndiE49F j2Qtir9wtc6dCtSLT0Hc/BKCHLQiyDGYFxtJB3lbfrMcDVUzOf6YbbtHnIHGaFHzaOf10vccO5ME as49hJD1CKvFjoGfi2o6aIytAPPgLQcB/eRyg8pt/UIuRWe7bPD9SZIAbsI3UvQnVLjMdiH+QisS 8c5HN75fRMTqjbQAOcjb9rgWghx7hlf3c5DK8hQsjgGPhG+DTQ+/PP/3+JTqupCHBewkQjnrbXyv CkVI7YIUzIYBl+x1c9IY4YInK8AIGMXD18AwczYBjiI38Ho/S/8cBJEsEAyeT5wYx+iB8MqViPq5 HugQXxv/qxKwv3jExcUdO6VdI6JJvby9caFzL/8bx/QLzyZSBBdyz7TzZsBioVVZFpt+ucj7olvO SQVIKIsQDyAA8P8KHfrlqebWQYJMgqm09MT7VPjlfjCy2r1A70OLjQfwF1PquMmPzCj29J5P1mIS OXT+/X+Q6KV4Ercf2sY6mNJbnny5tiWkpVpJHIpDoj4B8Dl/IPlHe3Fhio9JjR6RxDYmL8a2fWLL NaUefarYDU4D77oQMsNTFWh5z9+bIDl1AVTo8RqJj2cemy2JHyEaidgYvg3gPwYjxTi7Nj5evBJh 9MdpZPU3w2WwrG/3yUX39iMcf98PmtRSPYWCeCBJBmMDwF8xk/YO0jEYMq6kEHBmicx/c9H3SrAd MH5bflDWm495AgJvQokyEpasIdG5ddeDfr4c2UBg3pDfbnr307rjO7U8OJ4nOhM/vRB4wHunfC0X LY6B3C1ioZLUH+rbm5dusRki2LOlzR3TpoTNTBdMoPSG/OfRvXRECJVp1qyX4e+WRGAkWADMz15B aspKUgN9QjsRGi5zBZHpaEYbNv9rMFBgrI1JPnKdxKcQJbr4KHJWutzjsYEqi7JsX0fnOz8NS7Gn qqPNOg8ntr63wLUgyLo2UD2QGzLUoZuiR9JF0IkP8cBqf+PR5pw5DatWaL/8Taf6tygmmQDJomGU tYH5XZ+n2AJ4/JRUBM0yc10dqXpgSOC+ZF4FWVgTMwwsmp9PH5zxW3JjyURh1+KR+8jGHwuyX75b H95ucgyOFVOkZ++LuU4PQ9K0fGCamXBqGBOTes0IgidkozNPa6OlshKHE0F9TC3267P7Dl9V2d3t ddD3rPYiSLvTiW71OgWN+1G/VEgBtAMf7n2yjNlwZOvm6aa65ggK/rEL9Hdbbf25xtJQxRtAJqMk Ji/6ZrWunorucZHqJ+3rB10IQUFlsLsb+YXwRqbig/GU3XIUJAtxpThirvI/1vxBEf652e2Aj+mY AxVzytyYTohsNPTAJxQwU4N47FMGnDZLtSJ7Xl4wUv6+XDp7e4NcPcUdrc9BJ0CPANgpmpGilqfC AzOBorb9VwGvHjrOn37V/eE3ttldRiXQaVm+oeqa+TghICWxg1BrwChJb6CkznBNr1D0GfOEiAJ6 uEjLvByr/MjGOlh7MRTaetkJDKhihma6Gmkyen3JvAxCf+kQAytR9GZA0JZ0JvCHrczhNIgGvXaA bEHjDgXPJmI1Pa9urQgy70WI+L4jxKAw66GzYBInRNB6ZjAweWolk7fC6nfpA8IkoW4AwZcNc7x5 oHa+pLqJ//g0O+4QmY+jaslCA8BE3zxiijZyr9JwKo4uNYCxJGi0uLnRb/Y5dcjvljQTd4SJje5Y oDpIlfv/zC6oXeeSgaGtsCpTtlW7veUwU+J2NoFmH/OsEw0F1sGoQRn9aOCYgfHwhVMwWaGVpjBG 3nMNJpMLvrDNs3v9hu1bZXZLVd07YRtiSjFAOt3N50l3hKCE05Mk2oKprXdfTYkPPK2k2aNfzTzW VSFctunghMIKvqp6mxn/En+1MHGzoFR324hq+np50R9/fcMyH8iuEerkr/P0ipbbT/UvtffA+YB4 LgmShkE2vIOg6mRt5RxK1L8HgodJuF5vaOCOc8ZOlXcz4heBEJHIqjInf7G2KBfEYByML3xOVBmn hvSWHwVL9Y9mIO4kIhiFaqxdg1Mj1kqCtT8yf2gJnYT0cjWSvVVMlme5t3Bur5YTVw3rqsxEA15O Ftoj+Bs+CWAZLHSzOpzQQQ9Z3w5/GvkHrJL9hdbhcgmDeEevUKcCYa2xIxxgleUgo/1xI6TGtshs FzfKAiuYQur3QYRmeJLKvcvNFZsFgug3z/bddButV28YKq6wQmqwewow5ip/0N33iSGJJXqJWDoH APtRGuKZ1rzKDCKXSnqWvwuuN30+UGg7PeBZV3djRxFJOUlVy99l5pqscRhICr6JhQIKCfWk04Dh 70Y3GwZ+eAY30PXLT2W2etDpgZK/SFXdMrW7sZC6ggHpDKnmqURTMEpEw6OHyTeL64TqdtyhwuTQ WbD5i+xL1PpIV8J8bbwD3nIWcyETNGQTttwICNKl3sYWB1xxHONhwT0q26r19ZSVVtoDH4QobJWO swV6zWxmSZTXUL8iMJdR44q+k+Vynf5o7SPUm3iHwdcZwTiVnxsIW/YRsvm4oI/+i68rcIVnHbNe cYEjw33tTwIm6Ece1oJTbIxPugJ02jUIuqmq8jgk8fp4Lqz54bPYVLKf6UIXEVeGfl+/WoC8EcPW I3U/pqQKpsBiXk0ZLc0qdaB6p1Vvy8erPGMnwh9r66zQNMJ33xAt3DGFnpV+PUqZWdtZKhMgLeqk xpb+0DNDSVslL45KQ+nQjhiZYcOZZxJQMNkJNBYlCBrz92PXOEkgZ86luOgJt1Z0NocJDxGU2K3b Xs3T3ZbQkgX+sEi83YnORxDud65gUQR5mi3y7+l0p75DWw1nbDiWfc5PoxaFUAnOqJAxBp7HieJx /DXtIEfqhd9v0GlB+feKqKjs+mr14dfXUlyE9yYkVUAGmNfjS56NLKLo6p1rqXkiuE0Tbig+GPas BxxoXQK+1Ueuw2UiSff6TVDykXRFf2iCOnxt21Zb821YpqSGi2GQGVe+Ghr1aCzM3noeC6MBA7Qf VK254gA74ggZrwc/FNExCL30onBZF3oMwV+NYu2PFWzEOZiGYGm9g3dEgVjm3bMHf+BttCz/Wfpj i6z+tBfMQgd4sFMaJ49de+7qlRs5YPeHFm2+Xj19K30OrwDTd0HH3TsEaJeUeNNz18zkWv3n7F5t 958mGoVmUwJUjL32Ezq5y5lK/Pvvy92r1+RcrK89Vn10Y6dLo3JZXjJboQmwpMuV8aKJkc6EZBhU gQ7i7yZ+i0y9p91PyehlzM1r1ONjUtLAKYtQKee+M2O24LCyGIl/G59i8SQ2lLJVOzV1dHwGo25L KCb45Piizc7DM7nwMrv5LOXXPP+hjd1UQj4ICtvgK9K5v9Amk60fZ+EfLga/PWKStap7asuMooQA xw4NQ6VNx0w/4yozHAnKaOOml8zdUdvG65G3FrWVd0nfoRGtzRLARiSj8RnnXj731+Z3BR1S08sN siC0w1MmeBdD8aYCAxe61KuoReYLoh1/hyhuS1LLXw/oo2F3q4swWnSInFY10JaWAW54qn676fNh aiSWmG70emhuscO+uy6x/dbDdd7Zk/i6k/YTnni39vyj3ziR84N4Ox50hlLWVLEXQ+jRz67H+nks TmtjalWjH73vRnpJu+Xtxh1YBnf8hvSbvgLU5O/OLEt9NPg/mXznux6ihSPROZkBKO48aI1lVW24 ugRyR49JWxAZ2k6OWwGUOfn/HWwFpN9OuzxOC1hnlk4sJJBBtCWUJ4ly3jyK0Fl8N17Q885bwQ9A 2P2+5oTuWKimTVK52n6wwVagSbAdY6kxwCoYqOP+oD4yUD4NgOVrObaqYCxMy/xdccEvOAFQdGJi pQdv2VcKzY1fGUC8Z/8Rp8hHRrGkJP8k5k/de583vEL3Ix/Fy0/ft8UXTHhDFw7jDVdgvs80TnFq 12+6jFHtKb6BKrzN1W2kZjYG0pTNLQT+Pm6cIC6BmCihlDsTc1FopXArhq7NmeVGH9le678QJsod g1TGNGikZoJpn5JvpEdrQ0X6YYf1Pgv9ukyhocK4eK/A7IqpRXKhbqCclHxGbWRupFufmAlH8sC1 e3nhRAAuIeGj9e7WL7rKbNDtTSEMKczQgqGpqb/9V0LM1l43rHi1Yjer6MnFMIQKflbbEvagQG2u 90On0NQL+fVi+P3nkdPD5qgZu/RIM+8C2mtY+hNe0m77G3cjBNw8kIOgmbv1j/JQ6jtb3oMiFtjb yFb2oKHlv8ndwIGWgNzLDMtu4z1FBc4mhd8Vsl4W0YNY+6if7Te80GHw38PgUQ2AcFdh8AgetQeP mRlM9XUYCyuwS1rG0+V41DA46nnssRRj1hclvkmyQzzhNQS/x9V6jSFmdpaNNzWdlpXI4uB64tzq W5Z0w5yIEvLJvckEnjs9SLa8osCFxU+BwwBiIhht0pGtjmbpQwneEAYovJFoe1jjcLzzv56Ruc5U pd/M//kMRIpELY+hv3dNBFEgX2SC+irWVfqQf8Q7u46WEsySjOg4MLmlpAQMfvbwbMU/y+q5xOIS s7o4YvDtoqdvBdVJ3g9UKrSiXbzA5U9HZ28rqugKt400RktSJZGosHAyu8RdfXQbuZAJzMVMwoT7 iaByV+tSLcbWLruFRn3eEh1aECJUrKKbF2KaaHUOiyLyi0GLZoglPpbhgHWWl95pVAfUsE+9nszN sFTakbalg8N+rkVXi/RXffQT1cdQJykFbbOSwmGitqEm8hrTDFo7VO4xaivvdDZDu6fgAbQgDM1G 3WJXF3CeizKJi/e4WQWAeLlej6p3HqavZFtpyYDJGM47IZ4GLhOZMQCaXp9ku1LICkqba2vOblny X9N7zdgks/tuNRO/RIo2/CK7Z1nxyDMUlXrHsvWx5yVRKnX5BkWFTS/xUv9uH/4yRPHlqA7H5AJa SSE8ywrnPLWKp4sXBmvGlZaYMUIX6sn8rNThgNylp6yH2rK9zmdnX4uztnigNcydqhBIMxlLq3Rl cVslKakueRotZdbRMsYNKfKiqmJLXWLQboVFCC9pwpkk3TfSACtAHzEkMUbRbDHiyI8HxGoqFJll 1xLW5NWVnzx5VQD3ni0xCKZnuV2hkaW5ivsF18xO0qfAzoU1zsT8Rut0v7SLZpPHVXrhAm5xwY7E vqQ6a7odawSkM1ve8vHZboG9V9So24X3QPh83ZnXT0U7qtKm8x6X4ZaDUwOk7w+6MpRKGi1DdYpK USJNBsJ5+ulVT6aUt4tN1uIM8hdGW/XbVIFFqLsz9jBTMYqEY7uXEAnivdK0OKcTLTVLZzuqbvWh 45/8psVXwqGWU6BAhof63SA3N6iXmolpr8i8cPvm2R4ACg28wUh8MmPEseOPNv0D/l61VIHQs+QW 5d1yLhSoWi7If+YyV7+ZABxnLbJOkC/w9xzzGZmyEXhA4gjg1gcGf8n5rFy2I9k8gN8Kjmal8b9I hZMN0BeWlA6S/dnKNXWwDmPpsMcTezz/boXFw8PZr2qzavqsr7PRGWgsv/nZHtN8TpxlM/Dze/No iHbq5+F6p9UMR2F7RrLzhHAUnnH3PA25VICRkL8fMNCDnswIA5OTe6zGSmr2NNueH+qPppe6Yl/+ 0oN95ZCwl6wxvfjOi9ii5F6kT2aF2TIhstJ2uvOf+1vsJ1xsR4JBKf6TSRiapFOSoL+xgf+t7yTC U/WvJmXqfc/doZBIAQ+K0MJ4teQUhauYl94sNI89JohU/pjsiX+hXT55knm6joe0MHJoBNeco+9o A3OI4wcuUiwlmAgSpyL2G/C3+4PAvP9b+GSfBnFN5k8uQ8jEL6jwsyxJeMB7C8Cqt/jOxVKAUjwh F56Slexb587JTOCfL0O5Thgo632/Mv5DNiJufJZsjEsfUK3JWR4dm/27EPHrQ8fwgtgXXgUmb7vO ybQYgKLshLiNkvPUqXf+DWOuKjKVCb59tTW8VFuhecqyuSl9vgVLmKnrRdRiTeVXTdIMWDK2xIgq roFhJ2z18vjaCMUQ1pmZ+h6tGrHAFnCK5tp/zg+BzYkb1qQSnIzFZIenIXh9yL3wQvksCXUVGIYn uApCBc2zo579vWNSkXfik+/1TERZVO4PcgYXH8xWuoUN7fYxB5Yc+y69R9PN2+aK4Dx6EeesjQwk yaZBoSgs2isG4APgHoOPTkWPj/FYVsvxk4vXZlTnCByIs6Ee9qLbzt6VT8p45FxgeJapcJ0Logy6 DhphV4FuFYnX0i1RePsByvFr4PNjMfkt9KbyfBltjwlq167MP4hBOqQ+R3VBKZ6xYmwZ39KmR+ZF ym+EHn7AUquQViprE6o9kBFYKRKWv1rrkQWEIjG20qYs8um2dAGkvblFRJDFvwJPLThFbvAkg0b7 +wbvUgBoU8/9Zgz1Fn9wFrannKxvgz0NcRx2cEKNJfPQ4r92B1majRxtsNtHbgAcHfJMkOpnL7A9 DycmcWDppbFSyh9/HQvyAqfv7Nym5aqcHyf/fOXfXPUNemqRGBvk+JQjEXyXb8Wpy3H0KV3XluoQ STRN8zy/iOW03ZerF1MS5UQp0BBMDFRKbDb1F6A3jxdt6LKJ9+5Q+1wdFlNt0WNVRDUQQKB7Blob PlQRioq5ZNZ+kzSjG4XW/skvQH6w/BGr3Bpi5f/tPIprFZgeljTHiT78zRETR0/GnCQKv538GAzD oDB60bSu3OcxZUFsTABYfP/Gq7h5XtofkHC/5ZCEfek9V1Pb25N+Q2W4dvk2024Y6+x/C+QuAJgd mgu/sd7qEJLTfbBkhi2vnurpGEeFFWwOyYff5UfJOuxJhzAjiPqpB+gnL6CrR4QhUZWFBwYSNHnB wIMAjGofoMAHKGmKiHqZP6vs7kRnvhhXq402C3yrtcJzXu3Z3m29ah5CSNydTFXJ+PlV8AwAQ4kN e5tINFwPhVhymRdYpmpm27WNmlyxilqPmoVz+mouXAqiAn3k/VxhybmdOtXnx89ehgp7uHumAoqA TAZTo51b17gxlciCbRGrybv9YTMNbzjQrl+44z+jH1CpgRvNhmHY/QpOIoWv/Hb7VNHqc9htnuW5 GGyWlteyFXSMz3gT/EBefwTFfySE5YdmGutNCnvKZg/nFGqcTGu0nIzd2qtmKCo6NuCE95/jJYwe +YLW+Jk7yPHKIPaTkZ6F1ugR1kih35UtHDyjk92Tc/dvJiFpQ5LcsQ2YVZbQGbdqnsSyoItbqa6s v8K7uVHQkLGo21/BXslX0p7gbVhymahUlXGTf3H0oqXWOYQLS4lBo9DIFQqgjWimEG86NauoVF2s uXXKZo/pOHGYfqUsZCHne21MIZXS5MMXVcC05onFuyWYa19eVQzsRgKDA1XsGZ9uzgAas3UwZBFr AFR+M88WK8M8O/RED+a2IfT+N1nr62BxpLnO/2yvbOzlQUi3vEdLaGcIOqahiuLLz8ep0kTSkIUo ldmtfMB7PUU0zWwDzjVDMviszaZXnwV7rLO2AzpcH8qOF0dl/eenxvn1nnBpLE9VawvSvvvwL7L2 UUR4aO09yTXb9R3/26fnoibPS4+R51NeFrUIcmbjPJYo0KJjD1PN3nvUGfm2XUWztkmzq/Fg6LR6 bqHEG/lcDB42IWowiYX6RGv2kOvsr3VxIRY+luCRKkpl6rRk8kY8ZjtvQGvVl0z7bi0slwWIbnus EcYaQEPYV3/NIqvQ1EQvLVGwwuu2aB0lltHW4gTIHNfQLejlva6AWCc03ZIDpc6/cPBtYYxECPrm Ea45y2Mkx4nqR4i1GQ/8aMGzajsGTjE9e+YbfK4PULX1rFOna6g42JqvfPm7vv5r5PO5XE1FrLkJ BvVjr1Axkm3PToJNsDutgqf5pi5H0wi9VReXlbyjUp9Ud7J5WePII1V00I7EmnWr+OAqJBbt3+vh X2zYcB/+O13FGjKPj+IDAB73njQKMleCX2nY8a5ZOXKrfMkourqb8YlIJN5IgZg7QohFu529hTJY CRK/xK/Os20oLC4+edJeqiZ6SkcfUlbmbjykEZYE1p2ky/QHC3Z3b4zVU/+YSeDHzWyZABqift/O Aanqh4mOvvqHbtQrIALkBDv8kvJuk1uLxq0a8BHrURhQWum4hlX0nt0W47EJV5tjqZwmSnTG/GkG j8Bbi7Q7XD+XV4se6T7SO84j9UsWb58tLrsHzC1PqVkc6qJ70aQHzZQkDs+YjWp9MGGJUUfWGfFN Gs+7hc1/zM/3CfA64XbPsBiFrWZ2TDK86gPPfUCf3qoauZZAoBCGDhVPpg7WetXPx9Gwy4nL/jAB l0i7DG33Ci0doxjGk2XxmTzC55GW8eCsmIoDD9Qhyc1Fu05c3K3GmMvtWwVF/W9iohA8Zg7dtsEC eZAlbhwA3cqsbb70MvihtdI8cS9+1WfaNLWlQd/vM4F56JcmARjo77hIPdMRslMn6xARSwkBM8dJ tyUfHqu7CvgxwxY2aqm0iPpt7vKv4rNzsXMjwCCUeHS+RA3whO8h6EXT2Bza8MZEZXZyEuxwMsr6 fIyqoZikwr8mbBUv0UCNVRY2/zcSx4J/JLNeIRqwbRDgK2CEqeNiAAKvYYoeIkfUjCmEO+PWfBhe 43+vnF/7tMUObPl4QF/497M6T2Vv6Y2WaSeSRsCjlsftV0pid59ZkmST6H3D0AAlbFBBwaqdguUD Ye+y7ItkC44r4BGaVDxw30wEddDAL7np9nQlqUDQOQqOBqL8uGQ3su9y8mvjxJteZ5SnP4Up33iR zKFDaGSlyyqTRFbcHL3iH5uJMtMnXnZXkB1jpqtH389hHZ2FSxNBD5fqEOlm2R+tsKhxbl7+1E4A V7v3ij20njIGJoyUkD0UxKzVZWdAUx+bCgh15D9cWNw5IxaiaMRBClICkRMpjAoWGJg88QVACl0V 2yXwrPRMrRTcJE9qoLZl5ZdBVn3YSEKBJC95cVL8GZv8F3PRlYv1Hbz9rnYwbvAYEeR+q+1VzdeZ jyHkise92NMKmYOndGrbmOtNGCfgFn7gIZv0QHJ5SDMzg/x6sVGCTKw8Yoa7+TAxwSE4QjnDjSAX D1GNtzb+x6UjbjFgDQ7wDDq1wzE3vtLnV0uvI5H0zic83o04NonnBgdWT7RCs46Q+x3IKwDU2CIp YiF7QT00/NqE1Vs5kN4zEfHWNGzWfxAGg1GQxAMhMcdXKugpKosOekg2Tra0hsmKYck2zWTZA0O6 0HyZeBpuNbRmUbRrvOZQdRh/zd99bGioD2isntPSkc6CopQFCLHpgvZcob/yEByYGoyDyCIaVzKz tfMWU6x8mcxYooP94cJ7CmemmSvQU1/4qQr4Q4S0oUkRbxsUdNkGSm4fnDXN0aZp08pKJhtLLWNM CbEqtVqlts2bdzwSGrScKdkEIs8xO9pYpQUtLJ2Zfw/16FnDhfK6UQRGJQcvCUt9DgVWJXP25ivp I+zB2bZ7VXOjlrpMGj+zP6uokrfghImkggrfWuldh318yLpUrHtAt0iK4ogdognPrxgljuDc2PTB JRRcfPxYltc4MQkOBAw2dtOorukC72R/ByymZ9/HGaqH7Tj1NpjG33Kh2y7dANNyhCdw0S08aIBU kiIjXSYh004tsEFHWQRPBEA8JT0IqRGs8V5ZyUfOTs4Uo6R8RB8DSk5kmLFTQHiaXGf15Avw52zE DBgd2S1jtjQiWE5vZIS2pv2v6NZ829FQf41ZZQqamcXFQoN9pNbMwAvhGL15mffn8zctlYNgpQ6Z bXdG5DeL2pFuejMxB++msCyS5gvYr/6qX6RIMbSfgMmBFK0nGZ+ReFnbFzIBhUd3mroRfu471dMo gACoqtilAL+N/MJR3zZ/REkw5P0PeKypSCkv0dgsHi6XmwdkBHuIdwz03D5kMlZPHJXH4Pn5WNO5 7JoZ2Z8JS5Qp15n3updGwxPDnJ/lLY/uJ5oD5djh/2HsVdfH/KH+xwZwyCBQSF52fNbis1CMRiwk GEHus+nhyxVrZNVXd2aADgs0CMcc7fwWgPKOPt+t05lOt82Dm5ydCMBHv3+U1Vr4Qk8BjPNDKuPu KDg1vvdmZZOpFFyVuXe45ll2Se0fLwTt4oEoiIownk3eO3NdrPl5I2b5qj2+Mwgwt6IVSE81UmDu +oM6tt812/AqeSNdj9v5z1011kBENgBorq/L5dUDNC5usEeF+2bqCCU73dviLSCH/CjScNa8AH94 7zTqQUOqMoqMEL6g1DNxl7qGqXxGmtxqHLHUPdqzCwH/USXm9GTrevUdQOZqD9K/i0Yu7MFC5Usc 3OiZZBguRLKTOBpjSVIxAly5Nx+AD9rOBSe/9hI60KkJyrY970SocxKXvHwIWw6w5Vwp6ruYxx85 tZnz6sTN9Zrs261Naa4t7j1hgPuAL3iY1Bl5KO7keaOW+2mdK5vKZVqwgTFp8n33Jp4plV9BuCcG 2mKSWa8AwLjnKyNZA0OaNEVd5Y0pbna0j4NTnafrOKfQ28k/gfMUq5XzGvr+ttIqgv0v7qwUdmMk WzZbYFTrl1bg+8hh0fWgN8HnyxBTz2BLUSpy/dDQSQNO52v2LYWMyMkHQP3HMG18/GQWaAkxfvaZ BOhh/q1owg3cJ4zfS+54PtP9oVF9Z5UBWhjFpuppfAOZ/23BXRPMvp3P6u7Bn3XSbwCyI5Dx6Qku ooQyRwOtyaG7ks1cIPZzBRUl0ECrv6Cv8l0LPqGCv3SAJIhEgANiIOPY/k1wAtxcafTaQ18gHfI4 sTO6ERD+iWYsXZ887U7faielq1p/DIlnFDhRybePI26tA0LhoGMTd01PZ6XlLifMuJU8DXrXv6ro gVio1FfxNatRGlcm1f+HY3SQkP5/hMU1nY5gw5G1jaSIOwycvp24zFYMcdaYTek60YKUaYfhYRe/ yd+cG/i1V64cdROpyjCNqZQhNd1gnhXeHVQ0SdhKrBkToUG+V4WiK9GRX4gMAwWsShzwvbvjtD/6 HoTRLJQwtx8c60ePPXx2qswCKDcAJtnyZbJcY2ObH/UjBtuilCMovhN0NsDcVkST6lU0p4GaNtAz 5LLCCshuPgOycRlZoD+wsckkXn2m74atFfhytpkegzFxFnIw4A+DSCv7mPoJuxTeNCh1mmFBFlVn yYcw7FEKbOjypvp+Py47zvavCSl+GXWqQapXxxo8mPeqxtkBkV99kI8nIEADP/3KSJaWD2CI9oYE 8tnDw93E/8fYS4oramuYL9GihCb1T4EWWsbDJNHBdVvn5OVlkcXOLPJUEhJKcbfXVKLZ+/3dDQZk Jge+mbDdZYWMP1penUcfoPV42ro0l24VigkxFEvrb+6pyhHiImkdze63QO2czf8buVIpAhyzLuCN n6jzagEtL5l8bFbVd/q3CjmSA38VMCjw+UXAt8kNr2EbePyaRhPuaoY7ERhu1SvxR7//H9qVxYVL UBp2RfXGx+icSKZQHy5uX9w1dY2VDvjhJdd2XamLqi3zwOUvCPEL348a3TCyGvnGxIl2etvurBHL LVR7RuQarhB4c+6ZZNVO3mv/sNXjOaVRoDi9czxdxnQahZm6kgLjha77aCsp9YM8U/jBLJri1Wfc 7/dO4ufR5IuN58Smf5Ey/UfiqiXwTHUgdN5uas3NNvBu9JheGfMXreusjyzwMb5uw6Z1Exkug3ys RT2c1VSbCVLwcf/9hlsEXy6srlwRHUIbQVMhiwu8R6umK0VKOjBAJL92td7a8G2nM7yI1TgPYMx0 aRwWNONrVi/38pwGGdhauRJ7kKmzPobvaO+02Gr/r9AY8LV2DswhaLgJByMf8eP5bkK7gMs1BxI3 eTd52iy8heocC3pzq82JjcCavWGF+h5Zo7fLGcV3yxyWjc/kbTqb/SZPaZsss0lqNAwAtobRxkyK lSGNTS4DNIrbkTVQvEyTmT+WHx456NtAIWsmFIftxL/10LcySKKJ4bJ8DUs9XVCITrUgCmMNNaAm dPCBK9aGfD8/NBbLIWZ/Y6Y6tc3iAcbF3GcSjr3KQMiXPq3YklmIc3rLcGQjNV5SKGxc1e3ynV/9 EOEVAHwpFPd1XAo+gvhNTp84u3U0uAP3YczBsU1ulnJ2YVz+o145GARaUYA02ReicHoAOzTtTbbv FI1Z6zO3JjbJlScNSTsqSUDrnmNGJCwH15LZZi9s22PuLweQVJt4yfzmSTEOjV4MQpbINF31VmPh kQbndyi4RQQp6snA02Xj8Px7hQ84U8divPV9COCf6H47+tLeZMJO4md312bqV2gBgxJZDcLfuZF5 4xmHdZtS6yraxd/ba5V9oq4A+ul+abrmrNZNjm4S9WeAvIMH/nnZJBmRCpTQR2bSrSQ+0qDLVo0L GdeXh6dz2TIwfJOg85PCGP24h1Y0b/yPO/NijwTKyrMfDi8ONWhMQrg8L93UpAeJaULPt8Ud8jiH mQL5H/O/ZhhgrfPm00fwX9fUXdPBwZ4AyWsD6Ts3aAChtN2BSCsslagVwJEuyGmYhf9p8CR610/4 wHkWgGT+5zJd4/lB6RxBYTw0VsVOfEushBI34b3R+EeWbfxtBy9EBVOwihucuPSuE3JVygSR+55c DMLpVh0WVhZrSBE0+kb+hf0/URrtrit2UWJIhRs4lI2u0M+6fyfT1jLLaox0THKxW6WEXAX43juV cvToEudyE2vUtqn+X2QVOS0iPYUSBr/0uXl6DggwanPs4v6lxVtFuvBhYffC3/mLwdDOzonqndCW WSehYsMsUbrNO7Udi58yeRLW6auWiw6StM2FHYUQHVBTBdQ/vq2ZrI3e+ntMDrK37Plhz+R6siOS aQtErcVGeWgEJmALmkbww+rAA+5xn3OG72jj48HUcHsIe+JWwoqAizklsaaOK4h07YeVluhL2gRf IDRPzQf/R83AnrQvFUtvhlMwARLZWCKrMPg6iHuP3hAFMguIy83l/E/x2dzipAExj72ml5aZC+Me Nsot7QVm5xviC2Zbp3hHPk98cUnq+8FqCBihXXYdViJ5zQZKUhSfj2qpfNuUWVA/hA3BPXCglDFx eLDwcvEeqjvxS/24MbAeHB4QOBybbfLGDOVzZxL/oAT5PL27GCKs3bVJ7Cbu1beqVWI4nGdfQzN6 rtg+ZgITz65XFrtlp+iioE+mu1/qGkWvQ//micrT1GB4XpAhB/CUAEfXJQqX3N9YBgV+LTqvKXaX nMX7REFRcI0JdSjAU8AG02rmjicDobbBlzD675fkWG2efzzmK2N08hNj5wi1FYEbhXBmAibkJ2Ey BhSyDuCYFvRDb4rBGGdxxkD/ftv5e5cAH54evo97erfJq+FKCAGsMpw0j6qkZdOpLWcYetKyceTx glcsYLxkNg7NCOGADv02FLZDryFLGBe0QOzRw4MvunsFIfSCMohRwfVdhut54hv5B40L/qDb7Csm OBcxAhfkNuijXeWE66SEXvJynxjiFtzTU5v4F6Gu37AaEYvK+DVPZ23TZ3JHwQCgo5QO8IsZbq8s EnUkFnFyzI9ySgHE5T2N3THVl9evuJ2To3OqrSKOTgsJ19cc//qKw9dYmP/u1WzG+muf8nf19kuN 9phnTWXvz4ZgQUJ0DAk80Vxhkth5ONmeJKD8dgwTSgqiamyGJ9eYBWC8rjXfQqqbVkSuycPiujtr 4vOqn4/wXQbh87YrcwUbSwEdAaWrd1qmZF4RMTWAPc1nbwUvWA2JZbzdyDdBUk9v+VaroNArvwIN jTrPjfUCr3YGKsllivswVstsx3clCeB7V5VSwLibBXcFyuZM5O0ktWwOuo0OIXcbR64fL4+IXqEN k6aDyrrPb9bzRJ98D6B2LMy2TqW68vjvsUn5UhTJ+qWQlXdBo+Y/wAPWmMzfG8p/cxFdCyDgF+4u H8T2DaOVAthM6jM0ZW2XaJhnqRFADh2+ue0BZl+SmPnx7V6Itn+KHjomYnG+2lQQT4WuxjQ5xCZw Y8NWgOqDR6nlcBtKm/gTy67dmOqFvuyE1tksITB7Pc/uRX0egwI1zKuehCZ0gzE/t30za/itJb5m YIIbojkEFPDNYD6PGiO0H8EEAIbwml5JvWlEUTTZOGpnvWEocALPM+D7wr2YC+ibo/WuhNlk5XaQ wI8tFDKAzBce604FTEgHdZF4Djs1P9ZQ3fGvyQDWuuaxekHM4kVjuNmCdPbc+oJ9BzTWUFDR//jK BL6piyOoq+Z0gAQFa6qQi5emluFZikB+GIlJJPl7rwKO0U/rtgwzWCjb6c4zI+deFdEXRoFiTGg8 3DEvIzcKLSNgOEGVhY1sLWZB4owGjj038e5Y4Fc/fE0/V6bF/0sHZsqR3KJyhmdyonkCpmS+Hz/L xpv6KQU0G16kpBfJrH3PI6n5+oabaXeUzPEC8JiEs/ILTxroScoBTi9lI+sAqdZvZMpao3nGOeLZ J6eHrNGqWMat1jRPqMPbvSDNnCg6TcUZyUZ4Jf39J8BjLzy2PlQn2zuplm4C4jI0mWQaFmXWdzAJ Om27pqzZ9D/SNz+WrbwYsg5pTryQiJ1DDsBJGTZmOLjYH24Kt3gm+RhjZADhnyZVqnDrtDJb952T ueSkL+DFRZKQLGg8vsZb2kNszdDakilRUhHWVHwRSyAF0dTuMP0/KCVP64t9NRlASPZeMyF/us2K w/xcWKI3fYz6MLhwjfIiw1+UVVWocJWmUUllvW9je1YRq0gxozTAvwGxUj0FWaotoZmVWi2SMbM8 QLEtT7NHs3CkRIOoY5EFotbZszoJLUfNvL52mlf8owfIuay75Xwq+Il+IlzEH6Gl7DqYVV2oNuIb UAbAyp0o/r+ffef98daP2+Tcd3jmqP+b7VuFpnsCrxSIxn8ZeHDsQi8/npOCbC277T+9wqLUOCtp UlEYnM/imH1BvQjJufY2VKDOL3tHlXx/RL9SO786ANH8WoA08AvP0N7YIW8a2Wfx1NRjNFQcM//2 IKSurSyqxQ9+rVpuURnGUjhqUuJ8726Y36jsvxpAQV0OMQK9UPyq7jpqE+In2ZAxnG8zM+iDk4Os gv1+CW57oaFIekXkSJ6z7Jn8QcHmf/HCrY1noWEWYdTcpaKBRj7zZhKjDWkfOPFvfGtpGSUG8No3 oioAxS1C8TbxyBQieB0IiqdVUM9NQQBev49QgdzOsmDE19fmzaXcmL861m73PGA+CYZ0HBSWcFPI n+yENa5xhYC8e7FfqBoF6uh4xI1K/VveKUJLF1FFv89T7HA98yhBbpzjIQVsvX9lgJjFr6NAHYMI Ho2uA0bC+/GZeC1MxJUMRnE4inKS/8lPMfEUnY4X+4PVlDcan4KBp6yoYwaiH4+fO0oO9RK4zrj9 OwKB0/mzq/jOgI3x/iRJbY3RIHKxt3kg69exHJU2Mz6rtrOm2qzgpDbGguHOFry4Fpl3OrLImDiI NkJnP9agU5HIEaIWse2ce90JOOGCYS9BC3reruH2uO/DYAWdw9yaeGMWzoY34ARgRyuB0v+10Oui HOhu586gXq9h5FcZGupxrmfyFYbgsd0GuZrC7evrBiOGWhCS0YblitOQx/vqgzRnnqr9wtc43Lfo pHINcqVvkBO0La2C8gOOM8ZSls8fXsQzFbCXfAyjP+slgWZGgNpu/c5NzaJXQpyYKCIBRJu7JfU9 Dy0rWbPPxGIQXTW+L1DUr1zKXb5DglDJPEntMUYAGoHVc061R63rsIJXWKLowXAFPAwNH6UX2sEo 6ICxA01xTvNMPYMrhWqfOOuKGGlGK2PZWXEA2Jw/e+xt3duODwQ4fmNpLFqktv2zyslA35jUeOTz XctCg5PSyZ6FhKOECwcgci+3Qkz2eJM7WLrdJiAvDN2KqGpn4RovpzBk46Mpyo5C7yIhg1AgQ2Dg htXhJaayC2xrzbLBQRNUEEf0VsbmwwWejgGpC+RXsYAkUwnQNgZUW90LKOJQyMh0pP1A6v1p1nqV QkduW9Y2JEQB0PQKjGFv71ydhVsuu/W08b5+7zwwpGzfz+G8xynsl6/LKHhOchYiCPq33isbU5yU LXk8KMdSF1wvI/wdgYOP6HL1yUSgfMdlJXV8cJNjJmRnHoWV2KPwoYC4mqnaBzqEPPmz3FmXUiV/ 9XGGspcUylNwrfRoS3aPve8u2/FzUmYndAcWJmc567JFIv2BuG4TwgDj7h/YG4oOXhq41j9yCxy9 ssR+FvS8d7yDd6CoIlzvb0ye7oZcYELKK2BMfjMg428YRVdSM2Wh50snxuXuE1ARTct1xSmnko9U iBfs4uiYfYvE25r9D+H9WhJiTOTy1GpIToFQKxbg309SovDF+qzz8k7VJPvKZeXnsa/Bes67tla1 RznbkmbfpSpzh5dREc+zLOvT5n0YXIU+x8K9oR8iHgLDJyfD9VcIP1EFHonLnVen5XBvVdXDpaFF ZhkXTXZDza/flIS2QIZVztctInsWTnWwNoq9Z+DNeZDRe2c0zIpPA+VwtKy7xENxubiwuBFqJsBQ LAiX3uZjilVnz7CFjEG7RTWElLxGzav9/aDjIiNuU3rNITMbQoOIHc2ESKp9cIlDDPIzWVclQW7S YCIRpg4dNFwa/fjNcJntv8PvKw5S4+SXDP3soSkJHe5DYpYlqFO4U483pbkwuef3GZAgCpdDVgK+ sPVkTKBdVcFlHT0P1DfZ7g3amX68TqQN8V/VuJlCkg41mzE2MrOlEyjiHWT6roL/Q296FzsKpZpp CRGvDiCvJP10h9Knbykc1wXrD+sYHgYMLICVBkOm3ZVjoluiZ4p890Rdp7v/EnoDuAnr9PTxtgAG H2F64wxFz0aX3WydSRyPZ3617fviHP/q+MrFX780RtIOt7wpXPwcSnG++tewvey+FqRHcf6UBVRb dBVlmMoNpJpfL4yOTchTP1qlP/LqrmPCihzS2xdBTLZZXoMwrOTXyFyx6IF6WaaLp94DErbQN6WK Fu3c/Kk9/F0zb6XwRRZ0ITBfISzKSrZSdPStMfHMaxfT9dGMkb3KCUko/IKJIcNk2nnMMeIBrhJq NrbbzIJqouFQqWT+eVur6Wi7lbtSwWdmHm/XjU6rwtkJIFLzc+oIbvfZgRmZMO76PbLk/2pjIEoZ J/u5Z1fFRWgXkrIkbjAv8N9aC629eeMbrYoXksxe3wUm1n8xTEXwIJX/9JNyBHl5n1YlSRoJHlBp 7iSUzqgg1d/bKGq/bswH0JW8Z6mN0BiL0IXh8yFbThLNLXx2U/ItjjtwnGx7UX9kRcRH/jQs/jUc MUV+p5YJ7FUq9nwuwO2BkffgoKUIniIIav4W4j5A8Atw6SEESaIl6ILBXzQPN2BQpmClaxvHdrAN 6BGiex9ByIC0hMr5G+vsbO4U4aGX9fGWO+rrJSQQJAgyVERjh7srsP5nhH4+FcG4Tw51PVz4L4OX u37VVW1aqpzWPoAgr4fvIZSRSUv1aoNEsH+o5j9VXu8+2z/HpLAbh4CsWRuIMXI8c7h2U8/d9k0R B1oCzSEM6WmvWX0N1ELyHSmX1Si/t6n8WXA2EJ/18DgF2VlbgxbOdBxgnb2gQWNOZRZQDFrmKOFv jFXRRCZnpMtDSZFA52dRGg3RS/VBWPp1YKjjxcAtfHQWN+0BJ8J/BMGc8iAG2F3HA8abcOavmIbI ppoOedZoHkSRI9/GJ6bqk0S6kVZhImatWOopacjeUkza0EavoPREdzfh5voxZSA59JaeuSukfAEl h0PuFbGJofWbWhQ3ONLhrdlpJ+oMkv1qlJQT3vrhZyEcFL9nhzqNLjQSFqysD4H7Vtb2GDzqm/3G BQBgR9EY9IkkADfyd8fdvOvCIN41T3uANToIhL4frQVbtoKPDmV3rBnp0dd9N//DHva5hzH65whS ietTYgNDRgdbEApEp4lUdJ6EFU9KozaQbZON1W79gg8JDVOnMYOUbMYrzgBSRGZizLl0S7YLlyZs 8pdgLEU88DKag1i5u47vmQNQMGf9IzG4TZfu94zCn+pmn72rZzbZ4TGWKEraI+6EhxTxuPYg1Ae1 26S96JINHr5l0Os5UUm7P14J+uNQ4G1f2B/UmSxqDK/4S9aq8z6N1YrLkIiGh3gOwpTKmtgQzE6X E6pYJO1zmOHvO8h4lOZhLrM7oR0lYvonZqCWw6hdTuKqOvY20ARIE50VLzZ4ek1HtbP3qY8WP1oS 1G9cUrXxLbYaSsVF+ZerMRyLysbCZ+kj+CHpopT6rMG3kbv7pKpfMOaDq+TNYZsst5AO/GuQqpwS TU6bFEeD/ryeFM3ozwlbSnGt8HTMmtnEfy46svcw3U3MMQjkmVABZOmqoXnWBFPREZLxhIuwWb72 jZ3TR6SlYjzUEY7EvE4Cyl7DH18luSD33BvZ+hkh+27Sx4yVM2uKokMcrSh5UIybEtZQpVEWK+ug jPHVNNjneaU319Zz8syJu8T7QACgLwhjSco+37PzhL3Sm7QRqSyQZsI+MAuL6suiBEi4ByjrkY1e XvmhAfr8J8ae4wFKL7eWvfU9Xq+Mtb5WIzs0fFHlBdPsSnR09a6ssnWD7jMZrxmn3uun9vJHeTlJ 3enNsk3M3izzXZPEDTx0UIc+YtgMPIS3CRPW0pfXmd+BGHycoQzNVzzTbQEYGVNechMjn7ed4HAf 2bifR93bCMyyGebEelTO4CSfvC5Zf2bF+fiUsquBVviVKKlBmDBy+NdQXIdm0PtqWSlmlsWDlKVJ ZEA8jl691zN+Q9oVPiGyA5NY8eis9vP9xTZJbgTPfEl7zv4Apsd5r8V4RiN0ziahznFotqV2lhgs MZikdXFhlFPjx6hJbtsQif+R1pcdFe0WOy0sGa/RvNX2dE+rJMuQ2S7c94dvyqIJhK7srP9ggCk6 nWUg03l94bRNErDEYI5050u0Ncts4WoqB67MYvivFD5S6AYEFNcAWf9xyp59+GBJmJokr8+0Xfv5 jpxOgo5YYG8uhzWFcjaXuof4Na3Pfy1kPX7uYuI5BT2oOMLjk8yPbclupwWJJbKnnBYsfJHOFdoD qp3WdHdGjlDoPA1BHHNOAzDT+0RKG53c+796nBVusMeMxy+HYOoxauZshEp0a/RxXJK5AwvLdc/8 WuZ0GdipE/KZzrArT2hz+C0spLZsIpzU2sd9q1wpuaXxdRHpAhJqHazMJoKIASQRoqc2ZHiOSaJR 2W7w8y1tJlzoCZz7xDwhF/SUiDGkh/68aqp+KQr7y8TnaEckUGDfOQBrSQRJD8XjhdnnUqyrTCpg EtBl1MdoN7bE6RTBTx24gCAIqWqBnn1U9cn+v57vJdkJIzBy1hExXBU8H8wMC1YKoLqEbcjN2P19 g2GywLQTzAznMYtBaNq/Z85EpFla1GQKcT0MLYcCw29cp7x/rsx7FLP/BF/avXFURwIlWhNipvL5 QHCAiVsqenH5pzR+x1VweCooGqtcoFJAjDO6LPRZfF5IgDFoDTgRSHddOka0ZI0DX65lkLtFtvKO Dm9zTZ1XQxhDGAQscfScWFYCXoysq3nzWszqTXgwviRvs9F7B5kC8Ndn/Y6YTa/vBGXK+FNRnbsv DoeJHt6+ld9GkRxSPh8Kw22R64vVUB+dzGh5DGAs18OgDeGFzRbUITfjf1S03FcxPFhjBg+Yx1gu qpQfnrDpPfzqdx/Xvv58+UQWkae2UOdkS1jU6S4dm4xewMQIA5Ta6huAowvZyMJucXqltRhyycKA Z59UGjbsq0/c8TnLhkCGI+TFfEm9hDBZhdGBiWjrjJq7HQu7I/9oLD9ZJeInSAqz8s3ZSyWwuAZs DTonbECX6N/6+WqWq7FotwBqAmoceL7Q0hT6IrbrSoH1IYMQZtqnDjQh7rcuFFUqy/JTAbZs8Lhd u3erR7nF0IXyImKYZFgh6XCLOpZkyoi7Iglq31ouLd8HT6KJgx5G9N7/hqYbwAQtpN/NbI4R8A9t +OzneyaoOc3VD0TJU7N+GWFIKdS6pypcT1pra8p2VdoUovZ3j+GN19CR7HnJQHriexTrOZoh9sbD yRa6vHUiAhB+2ZuEt6HfWk/z1lnVNK+42Nuey+fXPD4AvFzYfw6apItjhen/aPgOQc9+QLiOpUDt 6vUOUmD56Bmm/gQByyz0+D1Mfd11u3tMC4mR84lJHN/iQyhDvzq+wXXlzRpHg0sP7QhaESQ3HBeJ tKIrM/X5WjKCDb2qCFHDejK0Oq3L6G/G6aAULvaID+142vIwQj+sYX8teaeoxsXqol+Pg5jACGTq 72MXF5yDIcvQgkQVdLCMiM7litT6lo3P0uMtxotG6VafGrJQkI5ISSw09OKUh6HM+9QQjwPP+rOV 3VEGHJ8lbPE1I/kI8T9BOX/JZFxGLgfCAcU7E7gBH35E5Osw+K1jxC0sWvIC6ILXeOkCtOEmvRAo CqB8qUUy4k9HF11gNJTbPNJk2iAko4Hti+AFDWSRVbQds9/JJxHk4mXDwMHYCZIBgzlZqzdk7sAz bc3cH6Oa3IrqSRsJiBjNsdaTDaXkbhBDlGOXuXLLRNwqMivt8navaZzYllaCqhVqSS8Ht5nSI+zV wYQ11fnpvB66k3pLd2Ubxp1vYhLlrrcYcuOPtmrrwDxTT92QaG4vBE1yn05gsn2YkES4Kg0vBeIr WO1H+v2oK3tYWmKL4y1aP0J3h8RG5BBHq8Iwlo05SeP29NFFi9/fn9psj5+kyn7MsgWUuIJT4Y0u db8/3ZljhnACvBD8NLobkDzHRsXwlXBzJjkIoMrhM24gBm7c+UpFpcJ05RzfgAYmlUO+QDw3Wihi LHqx+CKpcX5+EMOxFFi3DPIxQf+eEtFC+Ci8vl1WsNr4APgnwogNp6rTdd0Zsn3DBrY1TIPiVPke mKbIc7hHssCscwHxwMKDXcltJaDN5SCXQW01eYVcCRE4Bhf+evjYq9TJudUS3n8D57toFuEG3xx2 1D2N5N080Eup9xVTd4OAhP2uGndY9xyLFuhKPlHzoN5yTRlTgyhg54kgE2ZRdcFSONdl8kQxtnK/ LK3EMAaCvtCTWcBkNyDRc5wRnymIcozB0t1sT/Ad+2k+4y8rrkzwyfTrMeYQTn+lbb1KTZRoQNcZ XuK5hiLDDhokCjAvSasyxLaOa29YRtJ3YpMVjgD7AbrkcZEZY1jU/FLIR2LABQrybQfxBn2iPmRE 7OJ+UfvMHHq24PdK8YR+/ixCV8ZGdvVXetszesyLeX9FtYiXHjok9LlWSbeIT9ZiQQ67ts6n2WjG t5bS2c6IcTwhuDYWrUFLvoy8rIwYA0r5LeP3AzB9PGbv5UqS8S4pZ+FxQatIbgofLLfnqldY83+t gUE+4EcLNXJUXC3T8xRsNZksz4ybVO4XszBgIR/P9wNYKcqHCrzfblD74Yhzh/pzCP1OMcbDLTqy 5rsOVc/Ga0/NIi5V2vuogSV4KwBMvIwfpMt58neZ1LOxoILRIHfK4JR3b8Hk8GuCnQ09Ry/SFvSc L8g1U83kZTa2MR7BSeERiLeGwPtcf3Xb7iqHBHDr1zSPYIj7+65iXUhL86rjEpy2B/kRcI8T5jxf 2VngPmOdfaYy88fcZvz0SfC2LKw6OgA6rGd5RvCUp0qfDRRR+T2mPKRzUorlQQTbv5QVY9EroLt9 ME8rgfWvLl0wQ1vh1ndBLLyUSKQOovIr7UF4EE4xi7iOIHJOwDsZ5/dFwMn60hkUjtg/+9AI22eR egThYtgHCUnVyWYWMWtBwgiatNuop+b85xMj6su+1nuLREPG7R2bC6M8GexIBSDRJ5q/iMgogXDS jv+6KN+IADo01VQhHOz75p1PpCdm2R9SsSAz059+n4xQELleK7uN1mD195JWz+NEuDpczWibmJen pFE1mejWzgydEi80BhCIHYDt+hQJl1UFWB6Sct3wWrbHY7T4eKd9MNNQZG08/SZN1vWEN/MS0aFJ q5sk3V2CIKDgW2odymERp6Pyd04SrWdlOUNsSl4FgxWLOV7tDuMvyuhNOJNlZAWMrxqZBSchwKil gQxaCt3XJEmwwHuN+wh5dPaLZVOHa9fqaVFaJhtVM43MVDWicULY2v5E1lCpKaLX9nWvS8JBnfCY gJjcXX3OkDStRoJsBjm9jIAaowmMvv3epp7c43HWP2CjOiungTJqd7+xEe3EgD5TlPF6pQzZW4Kz YuHcpCCGIId2FcJlNA3hUcqN8j4P+rhNJY5RDKB4OO3a4ronRAgcW2n1MNDEIsztDU9s64bKqc5E MlcLwurwFVoLtJEvKMTmqUGZUk6tTTa2kYbHK8vaNbW5MoywdwWq7wPJ8JurwGFDvV+qQggTJeBX lXA/kCercBKDVyJK8OHf77z19gHGnNRetUQqaWGQqkGHYGrMqJBgO8toPWQJGeyz3hv5aFZB7Ta7 N3N47skzrDmlInVqtHxwKo1p9DzU+oYc63Ckfw8TZMw0IOyL1+F3j7GAFVhfWTVx5khDkC1a5Nsm 0mBATtjpJtdX/1lOL1sOHcPYIbIoZStV7Cv/vCJm88j4xnUmL6iV926PwPJDR/MFSjsVNV6sCIzK IzkRn/9R+OvNYCkVY4fZGrzpOH4zPA0TQ4AJ6mhccg6NgB2QO+OYy2Q5nVqiUuEwV9GLJenF6Ei3 MPJTtVaP4N1MgDwxzelbMv3mUcC3debDgNSRdiPdgTcrZ9gq3HuPQV2k7Q5Jrt0rf5E92GqGKrUh WJ8NLpJ/3PLpC98IMCtweySUSCu54SSuFHp5XbdOPa7Ya7TqAvFn+xNWbfAsJreG55YjjiqEkHel ryLxWlfNr+ak8fiIi7WiBATNVZPjBKknVf/O43/spHCz+HNyzv5i2BrnBM1Ie61gHHVi/M+8QKQZ wLztDbEDaRUXB26Cps0O8plAhjryXN8cGyY1MdBRhMYOR1f1PNK4jnZLLaexRsFacgQ99QlWJxnZ jcVsWkymGUIAGtDvusUcIo+iPEHpAQmGDE80qecoEbqQZ/+ZgO7/CVYt0v5wJyNJZ4SwXnUKxC27 cObJzrOJioXr+0DEd6jZVV2dXQEtARAI+MfPrzZgyEkZ4Ku/6LkuivITA9xRex9stuvmyJoJZA2K iBvT60Z7sKqyKEZh2QWGfUmlCks3fZeLQw7HcL1mV8FEt3IF8VyaubN+n6MeIdoq5+TaV4DWmEVw cIy8vQGlzrU+YlhhgyjmUN6z09Y+fD2Ep0U8Nsm+6B4mFuTOvoPq3y/Lj7TAr5dmDHjuGogv7i9K AO31GfqwGMVhE21vo0hXaDALr4KlQkA1cCIMKevLrJorpCk5hQIRGW+zUc3Xomg36H88PcDFXTi5 EIuC6XVgz77TCfjiB8hbVTLSfx7NCX2txgCq3b17SA88sWlqHhfiIiMpgELVJn5tlO3jJ+5p3RcT LHUmhuWdaW8BHpLO13VD2UHAuXIBfVgF7VoHfMO76fGazWNkLpBJpNQnvRG9zCjn9B9exsT72aXu beFMS6r8Ve7NivT4amr8ZxlVtnFDIoZmnJZh6isrt1/9EXv1WpURueeWL4H0eJDv7JjWjqKylU4S pUFIc1txjghJfugVLaSVlfzSQCl9jX2op+1b+RHghrcZgSvyRpZwy8mjRYfLYnptMscfrZnW68MM x3WoOxLHgiDK+ryZBCAisxubvtkQFta7fu6Ae6vDU1BEaF9QXCw/P5bUkHFUzidmOI/tpfe49yTH FcGCwbijLSZmyO7aIDlCF9QLPN7LmUH27IE7QFoKIs1JZWQcUE+k1kYWLeivkkJdKUouD9GQVI7Q HJYKEbiHTQvVolON+na1eQntAqSFzL5zi744guUPSwNi6evvdgrIv0T/xP2xF1qhTbmwVFDxu+Ws mX0lDIyfpENEh8FufX5JnEswNbQUaHADex7wglSfRuPrzclYmzzlvrFVrr0VgV8KJoHbnPR2XcmN 4AnqBNl3If0UFfeet1mzGyN8HRiVGsY6i5dlzEplznwAJ4oTfOVZheQ4d3LhGFAYlu4MuGnSv2MS qhRJLSYeuaNxlGbk+kU6kcfy0imfD4NLktCy3veluKKXC4ErgWWHfXgPvfAODMou+Q36UqLkJsh2 Q14jLawu1sDxGWvCYwbWVbEJsyKYpM/SgysEPD9qFRpflje2W2Zg9OTNm+73Hx9cmCzsxX8HvgnN tNOUYmohTTTANj7yn3a6j4lF6SR6XPg8uTIFUUIGCdaIX5E0RSu5Wx0IF0wqgEFQRS56uKOItT1z fNF8pFa3ojp3nKd0gboa/3ZqYX6ZHo8ZLVAHpiLVqe5dUr9Vo2GFeH94iD+EKQrJtoy9h5fgxsUW cZVTViB1fMk+rF6koaCICham0+h2sIdBG5PXte8Tg5wRwCfS27/+OGBDer+FqjG9W4lUBnSOnikp 1oT7fHgGqCIhW0dAz5sgYG6Vl+w9pWbmpEy+7rzdsj3FUv64LHF5JMPShNVEBtoGRLrLzhBIoYd2 1LhjS4bKu6HwIRYE5ut5oySPceH49buyR1pM4fA4b1dvZADcUK2mpnvmPa06YOHxaJz+gLtfNqdN y1GX9Co8hFXUyp+snOVUiecPWkVRsgDQPlKN66YgZxjvfid6IYUY1Pq+O58IsaNwNtZCris6wNQs ebKctoPRgSISrEM+0kzq9Ht0f3Iexlko+ZP9pBR6o787AvH7ga12ldEZOjgIFSKN9sr+FH7LxCGd FAgQEXCno+jNHywAI2UtBRBxTeG/Fn6CZvr5rHiVC657OD7C6cHmCEWvQSe1z8EED5JLxg0tDh3N GerDPpQIBJdYlnHpOxKEXODmE+Omk4jp0BNxhhBOvmxa4BgXl/fvUysvtuhXNaiImn43/iPZsGcp xvVSD2V3Kf4HtMMnuQ0jg+480CDy0kFDq/KMmQrmwNty1y8VqvC+lf5scqgCIlN77UyT6ct7bVH5 ZCcbGSV6RBjaQFUhIg5Wf2S18NSYbhhAwFxLCxYhK/5yazgGRtFZQxD1/uCPSQASfUsKgd+NZ9gj jRFwAfXmJGEq18BenIYwuxA7UTzwnbtCgqCz0iylSfLro8GYmuBI5c+SromXjqsCVsVpFXXDGEEx I/sJ06Sk7JQr4P2/Dx5xiIhvlexMFAbLZ/o7ZNy3IQADX6TfriCKJ/FKX2SV9AOVVaPYbPSmYG07 C2bDTBYfYHCG8BXZ50bPWpGleEUz4NpAQh2pH4sPIdR3kaeDu7ATrpJSUlRYzH0yqXVGNs7fvngw aF97KeGD2JDt90jz5Q5fCehqD2XE2kVQNTfs05wNkD3aTTdqc5tdEu1bYbpkM4Y8P8ioC1kAB7Ju YD+2TJ+kpojL8uUpSU+t0oKwKSr5MA5MySaU/qBE8DBmJyr/suvtagUscB6FTTasqt5RGuZvTFV8 fy+GrzR78j5j4R8VVbx/t3p1gDL/BjnGBorptJlNEyJqI3SSIMzgeMi890/gn1vrcsyMix7BFAUr +P856jNOFtYLsDFkP4Teg0zgn1WzZy8XqJKlrkA99czMttrk/rMWOZOE/LLbMGKVfJ2VLPZk/ilA uaqmkpzQ3udri4lEP/OYExnzsZxuZQgTqRqNuN00AfDQUIqV29eOOJ0rDEd1UzlgCA3elOqD81UL hDFku5gDJc1CB3uRyX8ICUK0qaopKo037D3O/MllCOK4lMTqh32wDdTNW5vu0nctjuxGDZacvInw 0P3K1aCIWxXaKMQiz0+5LfyxKq9LjJlp9LwUcOfWNM4YtQwrn2usff/eZDHsiQRmc1LaONswwJZB Nf11NRO4CAEOJqkpzhlCUvgMicuZPZflcE4WbJwRMU12UUn41SW97FPqRQLMyHvDnfdU35+h96m5 Go+J4lTw9bgkWLm33nYM7IRq2y47jGTT2QDvfubqvyGf9hNv+OoiZsukVVRfS/ZHHcx+2h9hY59T Et3UJ+QKNOiFBCRzwqz3mPofId7CjJb91k2pFgYhyMSEuunp74LJghkZfSvE+Xop6tIwbYwV/M50 mRzVE3R4QlNuwnC3u1DnLhqUCgiGOG2R4Kph+jXW8ddgZZlY3fa7zJ6e6aFOaOL550ZZx4eGyZms JWbRsE3QO99XLHnt8OHMunToVucW0lKi1lTiM9MsjD2DOXtktWZFIzbvW6HNccEEvwuMseJp4uMK qXxWhrVFUuu2kPFNQkDv82kFgxC0AHQtUoQw4kUArqGdFyt6XSme8xbYW8fBpFjssljQFw0mH/0F TqSIk5h827aAQPXv7NHi+D5RNjtEWfk15t5LM/qfsdeNY9KSZiDWWhIG5HMAFiNTsfQNtyYUxzwY C5KlIPiVarEASaArjwydloUctzLg0Y+UHn9EoudX7+PX1d4nvhZNdL5MPwUf9fqvFDWIga2HeB8p f0uo8wwWEM5AYL3P8kiE/mIboemyaEPRiVpypfMztXoZUGL0ei16W/lshZqC4rnvaK6d2Dqsv3Ud l5ImOAlagsgThtOL5+scOKj/GoiKFEcyp0j5Z8Az8PEBxGBtCLGNVv0euqEBHMV328hgolLcpakB M6fUZWWXAzyiMqRPSZalAAMTNd/OYfL7XkLtnAiUuJBbqHHYThfTKLOJNai6Jt3h/BVs0Lv7yyZh 2QUvuix+UKjouus265rxA8+Bpd3yj5uKBJN0qwr3j1W2x08vWNJYBsLFuWt37x9yAvCA3ejG+cAp l2tSIWcJbhkASjtoC4AEx8I0quHKW4GARilMLaQBN6d4HCeS/62nHT/BvU+5ODGYNiDpR9CGyEy6 ikSqpsaupOP3Y8a/Qw2rXlkNHaNtFip7dsmwaAE8pBz4HqDgeMp57c04uEhCArG0kP0pi2F8897b EWcJ0hhFNsKf0KKYxLFTqdpo4giNsQAE1dZS3p115xK8SeIpEyEuoAx775Az82CvOz0hE27AyPk2 KN/yYCMAfcmrFds3d1CfTm9MzC1MnXREL+w0imcRFpIFkmlAVGwOHGees6+gxpo8GQ1CddApZcOB YvScm+IV0m/nIlBlIpFiJ9fgPYfv09nEYIA/eC1AgCR2qxvJgyRwPeRJemDjwPgTcRZdrPc/e5Lm bJZdd0OwBfLL/kl77udMwjiNiPa3B5klBhd7JN0hOA1PQu5hPX7uKJz5YzxVgCnHc2knNeZt9MYK VF5Lcme9jz89lzLzXspMfpgZInukkMuaoiyhX3hlgbdB9rUHWH4nLAcPareQY04qtVbLO06cLmW6 1xItNo3qAxfBJSaORLFABIIhMQbIm3lCe/v2zfYQsB+jqH3OzDrj8KxKbZTDT/k2aMcvzfc2CzNG 4aoG6EuiZtjkbjJ7EMuDpQkC/mamvTeEcicd9mrjrkAQwhFjMT1iw4nNvWdXOJS9ZvxdHOgIT4WZ Xu5AwOax/tOEV/Cz4WnTFMipBaFxcFo7JzVPJhMNjn8SLXR62li52//VHPzhXbdyvPfsfDRysGO7 PLec9C68yd/WfCMzUgyQBtCrpJhT8gyEdtVyuH+abMHCkqzFfLBJKPIBYnUDTxmNDl3/sFF++gZR TDXV+XIgQSYilopPqftpXPXQtJ0bVXE+OpmKaaqpRpVq5MEZAF7AFvT7n1VaoSLop/Zp24cmnmMD gygoAB306ETcGGwpQod7pe9ky41qS/0J6NesKf1Aj1MlQicM7cJ+/ktHjdbZAztqSd7Tso0c2VZf 0esuhCoF4A4QnBXzVwwiGiaGCYlJGUCqBS556gjmFzSStTXm+3pkfwrHKj+2F0S9FG7G10PIeo/G lzeqypmL/rDzR84UCKHYWhxasckTtbqo4H1LbEmNanwLk+aexW2oalBdUr1tyr0qWULESSkNZBPQ mvSD2PlnhWDK22GuYBUwiHTZyu5JMgKEYBHpMAAmMDTDODXDbBhXjX3iGT5M07F8AmEGC+7jcwTI Qnum59X7NBtphje8O3hERlWK+J9vDD923Sr+HuX6w2FQVzsBwelGfYLUrkE7iZNlAnrJJRs0NGRP 6ChqfyGEBCm32Axaj3RaW/5VYBzter49P2QJPlPEIaaeE9VXoZdHRwNvuVATWH3PTxuc1750ubgG kqNB7lHR95vOXGx4YtMhqDVtqOvXm9HpMQL7aMMyVTlFjC2bqDwAkqfKYQp0RiNb1BuBGmnvOCTG HOtzzmCc6qdwtuKh9n6nSnOZlCn9w/crDZmIkrg+Btrtyju/rwX/Dru3qN2WdpcAWdcT3KRn3kdf +wLwoacBjwjjwfplfcPjUoaH09rXuS8y9Oy6puMaHIY/+XRqPuac0kUkGLF5rn8j4a+/tQGUAb/K p8IIAGZm7Rh4azPME2qQ3a4oiRGDVj3q0KAUUCGNAy9spRLvPd2wtQWS15V0Rejj1sQkLXcZsI0+ q82Wv2WH97XOi9PVWsd0BQMzQngzwoijwBtAv94h0xSJ2atoynsYBmBRKSu7B2bFOW/AR21qaMpu XyMKRjp3a85gm9Lc7xQFHixnwUxnVM+kQ0olDG4JRS8S3lWfFSvufflvok1eQz5ne20jennzzlzr GnzMyG8IWfnWTJyBTpE+FIzqRzxt0pS/lEjlmQvqUIH+mUN56wh7OgThQDLnzD1vGaKBwm+0I8VU x0dGvrpFZ0MrAClX9R/2RaN2cgkqhZt3OpSsbb3IUTfUSfIM35jk7al0Rf/gRYMhxNMGCVwCpLWI ct8Fr2pnbunZP/WXhddUpCucaGcIV8FRe5j/p43uRyuXRWtx2a9gEOA4mKaCqYVbFTK7W+KJWVEa aYYAjcw9P2z3f3LxGDVgJ7HsUaauDkWJMmHUwgxUEwSJXbxArvssXfF804jJZNwTircUWwSliSeS E1bOIgh1kXDtz8sdpIv/n8p578e+BXcl232FtkOkU9EoXtlqArG0iDGsfl3azR11j25jQXq/dR7Y mvjqZvly95vtH3LVpSRqBwiTiT6JCQhLHfnv6ou/sQFOXzGMkptm3AowZ/FMpd89Rilb40IFzqxz TI7qXmFvbFNZwxUO0rGdoWYe+UwdHpLWOI/VdzGfhaWBq3djmrS7IASDSAeqvBodHysVQMF4VmsQ m/7fAXWqmo7E5PaWXEbOJ/Eje/Xr4/MzprQmZrz+9/l6uFOFgtrH5avQy31WDa87B3LANkj1hF7c FQyrRKIciz0Ai2VMEF/9x+mceu2vQ+nIkIbhvR2QPBfXPMWvdhcEv20UcE5a91qw3NTzbQK5pgRX DontpOgz+CCExieKuxKx52LQ/gop4CihMzqzemDnqOtZ+5Zl9tzGyVHt0tkKKr5fWiRgq+Sbr+bH +beNmBh/yPoIKV3cJVyL1Apt+THRXGCGHjDbvy+IW4tt5WglSBX7QLgxtvKi3J51I027194kyoyX ILkQ+DBWtV2ETsnMS9PBZ7kXHvN0tcdP55gFO/sLiFtE1qGd2iEH3vlSb+8WZ0i5onpM5PE6t9Bb 4CGewXuERFxPe7Nqi1sk++7SWUvnGnn0DsHeUOZBzzT9SQ2jWRNvKahyBA/rnP27aQ4WWBXI2AMo SvDNbTwB3HQlYsmhQJR0+yrwPS9bcm1o6jm1KIg9qn4Nf2AHeUWFGtNtTOg9sW4MYtYqZv6j76oa QtTbe67UADHzD6QoK/bJz0KFoUhAenYOrDITpLw0oImEjcpQ5K/QPmWX//LjrrgPxgUclZ87AEFU jd44FtP3n2ZlKMzAVgZnRCFN6LswggAHnLpt621grg0+8+JHqlLhY8Ak1V5jDeFEUmuNYZvoPaO7 qrZLUrRfy3S+5EZBX+TxYyk1wi6KTlsZzw77jtsOD6TBRvWQLr9AGYkg1zttJ32gMNX0xbLfYfww CaBJa4opH1NvFoytsaZ754Uhcczxt2ThUSBlzAnJC+JFWmU7eoNgXBaOPFP+alWvcoQ34yhHAO4F N7NSw/lSwUooT4JS2DPhRyESk1FhAbmaUldVZ3n826MHxTH7O6BgV2Fov13z7M2AWzOwFEkuuzj5 qxZK7eglfDd8x5d3lmi0Au5KqYSQltsX5kQl4icrFso8MVC12yP3GvT+OW1cCe7nhmGYOEHEbgHE BpchgbGW4ZM2UHmGUWfjYO8qVV29bhi3UG2IUzUYOMLFRCLxtT0937klDX6Fj/adYTrhvqCfVl8B 8/tMClAROx9PwNR2QQgOZVGblUAomEy0HKpFwef0vW7Sfl7tgcnfnHPg8GJ7ocKqjAN6FErMmmrE lxP9J+i1YEsC10oZEmKYbEATSNItUzuADO4ifxNquH9oj5rYzEa/7w9gumXeQbiXTMlYtEIZVDpQ kuzQu2Hmi5qiJuRjdf0eYHKsxxZtVflFFoXDx3V1hGQcVFgwEfuXiIbV2I49GuatVk+RXUkRo3+l /ZIDdo7kWQTVq8Ixkc6OiOLprt0O28+uokSmuWP8iYYzldKUh6lKARlQRoCciefG1XK/ijnrLnSZ XVHYdNkSDQiEDvfBvZNUwVaxBexWBkNyN5VgeZ6Sldwl9m/ux9MmjvVieNFoHILVDQjmFVxJnao0 9Gwza084t+51u44c4P6YqdVMzt1atpxJsJRK5z0D+IyfTndAhKOrqFDMaF8RkG7hmq0PwTvMHClP XaptwdiHaaHS43zO59BBfxFkEphSBQLaBou/PJK10QTcO1o8k6uIMp1M4Uu00SdIiNNuKRrM3tIx SBff1d49zBZlmJibyK2d3COOgkDUiPRGf4Z5aR4ogJS4PWehhwuh18GyMsxBvXslUhEauykIe+9W VXihSD1PN3I7H7TM/flk0D13OYQE/2uKaO1yHxkdyadGYg3AZqxuPI9JkgFt7v6vamiUmR7SdwMz PWBt8qfK+q+SVOGp5mOf3RljoieCggaMARxz+u3GuABErjwa4GHpqKytDqguL8JgJ6Qz0Q8eO8ZI qfdtkxNhbBNNw97S9psohiMssQJPYgsTJN8dwyD8fS5ymD7xJGyEVA+I5BtYoR3GfTYYQrFABcWe f1OKROSzXQ5Znv2cUFy7n55nd7vhYA0g77/uydZDtvXK4r8lXUIdHxhgwZTVhv2Q3xHxf2jMU5XH 07O/Yia0RQ8lI+sAioY8BLtgJB3Gzkbqpz5kvjdUfO+3cR4CeNPhcJZEj5sNxDOmK6eBgov8Tcaa XJ1Y9qkJ82bboSjYNBC9WHoHL4Pn7g5/qtRqnwxavoUXF4H+7H7wIPGhq0oCyGKDK2tyPnO+b+on jW0bri51xzR85eCi9XsYVIswk+bNLVaMBQW6Aqa+CeArkdoG2jQcH7HBVvlkmz5nfFC7zRZpWBMu Yy3ZUgLZmwNzfQsM17wP9xrfvQU3A6vFyI1Tn33rLivkZjuiNYnXRLaW/iInzOGFEeXlnDiTjUkj C/l5/Tx5nZ6gRdim1tcslHknVwTyyK/VtvpOv9klz/8/kZyoM98ClvY5t04eLIsshisYc+CbfCm7 jN8k1IsFwlbAYTjBhnOMamv1VhANtrfDdlLEGOuXfsjmVJdFdNgPuqgf4rw6f6xO2ZyDrquFsrzr nobXRHkc3QBkB6fJMsXtcPL6AVNyI1nWckAslGWTcR5L89RgX9GEUsL2IXmzu+G0qtgCfUxotVIQ iBQkvRlvw/4+U9DB9OZmTJnR2BSD4a/efZ0EMVtn2iJf7qpsInNp4MNix8Z+cgDd2b+s6s+LOIVF j8gVgINTd7gE5Yl+JKVKR3W+k8SB9z3nEs8n9pB2wdFO7puFnzKJ9yIzjmR6xKunXkPShHmnR9vg 5iAnydPo8Qnjv3KVkAk7Fcx2mXZiyejyFScmts3g4ZziqdnflQw2tVpK0SwSKOKuWMyYEWd/3cpL hYCQqjI5R5vUCZcNSK8pEPmeoi0qRzsxA5Rt/asyqqHQ5ezACubbsznN6JdfAwNtNM4nki8UKsg0 bLobmN3nVSoAYDowo/YD3eWMs2JSv9K9uTR5cvjM0hwa0sJ1oWXnZLufvGZL2q9qqOrHpvlWMfex B+IN8WQq7hmXrag1c2pX7PP84rcpSt28P88blbhJLlrg9gdl46nBt+XVnlFSxwJkRvwzpRLJTGv6 0MoIiH+NTycSp1CZbH3IRr3zISiitTNk7DzXcjQSoVZ5VG1j7SbU+qfKVDGSwUTJUPrQ/PmTkN7r A73U7TgL4zI9J8dKfNJrLN2PZYrjKt0Jvx2TshmrrAlWKeYnvvxJ9bC62P8sju1h1FAYAen71cQM U6QHGqnFalKTLRRKT2f7m/DcHw7HviIr+kkr6MwxU0Jd+kGg9hlcmL2nfemsnj/oehqxcD9NoFvg YbqLPjoHX1wI5gAB9eqXAE+xVShmdRbAq2E+TZWsuRqxgS0d/Vk29SGIoeT/cQyMBMlj08pYy3Rc As3Pz3jFw88H1l5TOfwd5HsKRrLTzvBuWT0inSidN2tmzCgYPA0dGOoqUA9//dJOUHqudWVkOgJj b3dE4TNQSQdOge8FZPqRVoD0IOdJ1iU8SP0XCWbWXSpOjyC/2+Th4Guf0TcOG25emBBx+EbMJ7lU RMaktD9vQPD03Tw1j08pXwvSzVJfL/O9tlrs6cFLGLyTLahb6vdS0No0Xn6WedjryInC/tFjZnbx g2S7NUzXQRFW58KugsMFde7l3zoPHeQTXwn5AZS5t/lG+UDU4XeuiMreZmBdvVGzTTtwbjR4z4h8 Lb4zG8JXYuYkcHPuhOBI/v8KQNd621knzu7rhN6bAlXTGELHWuvmkuf8dzf+FxXXCkNERlAFzxvQ njx6DU4WJRXRTpC3GMN/9pySbH6+kOFtKKbhn+pjbxANrVQf8rtparoQ2PTAhp7P+oTqduZF2L7G qNC9hD1pNAOTNvIh5rEl4qEj2/NoFpS+dieyIZzWDOz2Qx/zm0hwUheZMt2V8GM9Jh7pfYe3peep THDRjInMFFovNI9uuCPXozZPunf4t7hTMgAGsO6G3W+nA2yU48VwFt1J2+Z4499/DOgT0GpahhkL S3b1mD8AXck+kDiw2O/mxD2NySWLQWsD6dgetiSBfKoZYm+/edwwUQfu79D5SZwDZjLIvV5XNnZa aE/g6LHtX8jH9AtllZ8R2IRLA3ebmiTn9dq38bRLpnC0rHkLVcBlllhKexYvaX4PsyYq2IdZkaOO /5MNhAWXaS+cdf7csUAjLWB8/gbRelOCxczouPc9VXt8wcyLgP5O1Wh5+cwlsQYQsXsoDf7retcQ bFaBdiiQ9BPmi3uiHPqXtsQqah/QyYL7hk6ChSzyEL5edFBcGyZySfRfP15iCetSivDL54VXibkO 04MJlXdp+rK7p6SgHhlQr+yY167Jg2L37NxM0hdtan17YhikCntt6+IJr3GlBpTe4wwANvUmWB5D i4OgmQ8s+6X5vxXoOHUDLpKdpVeS8NyKli+n9/Jc/gjy/SKiZyuZkhKx0w1SsAqJv0djNVApLgrI bONMGd/5qjcYSFVUd1p9iDtkNzUieJsly9K7TVgkddqVhDOyPjSEl46hDDT0OEYztdopJmUqTt7p Cp3PuhocRwdVw4FwrgjzSPG7NuYcu8G1q0vnO/xrCfSkzq/NXQSYKKX2qVanEOoMco90UzrQTGhP hGZfaehFpFw6yHfIY9+gAJ1Z+fnBXeo0MIZl133gReS+QM0SNeTLhG+nCWjP/8qPPXCTI3fZDv7s shJRj/V6+chLryZ5cysEf/wKkwosPf/VYsvh26ktekOQiOv0p7w3n28O3OGoBQdfY+U2kNqs04cg RfwCvnl0hYuYd+4VDWuOq4kd6JtxgnlUX0nl7Grj8Og5Enakxp6/ZIh9uxD9fLH4tkeIgC8pj0Wa lR93NDt4mwmquZnuVa877q14r8JCDhLuHuhfIhkOc9S5C0FYCmHth7YhJfdin9/3216Sh73wTz9i 9jhO2vNw4yfOnUINfoYrKn4mdWxCo0M0IkmZqijghR0pqtW5OeHaFdnebXyYTFbqvaFC4RFX5EFD tB9+KcrM/ubuZIl6CQ1vyDH7S+LYecGNYaMzSOT7Hb946hrQvcYg+zSjZMEak9rGU7qBGesBxAzQ FN+5RyyPYXpnSrljP6quGrN4iXVumLDMRYXm8yJ+TDb6wcBgbJrP8Y4dN6omzhm++s0vZ+5BQMMZ eGcnbKsD9O/g/+BO9jlkhEdU3KiR1M9O7DCEBlvTMqtSll5c50SotNAolO+ZuVRguWtT6vFs0xqw xJxjp+piHb/ZxjGjxECFyvlvs/rm6kjSvB2DCf+bsSY4pGB4fp1V6puPSG5B0Vgw4bAq8DepseKg kjeNm5UFTSS9jrvqb2OIzkZN5jRGRDzFZE50YuJ/SS+T/eCgHSzoWX3XV+az9UjmRgPEf/e85W8O blZ2K4UURF8LJJ8kGbUCnvFBFJ7ZH5yKwdKhCgQs4LGJN04jh/WQNWbayDx1XIIvZh4Lw+47PZC5 ACyFAl0LvOmkYjDviUQX4Np1fdUT9/q/5CI7vsauBG0akdz2sr2cvH7uV6tYnQw7S5yg8GaGmnpL /tpi1kt2KCwo0DNFIKrARScbvCV3abH4Q0dQ8BaIChoRFUgqeYgoaMgLG2jnNGJMbISyUhG7eLwr 77HWDhmwSpojx9LlgGgq6EHCYkrDFrjuJs+QPEsbyIHFraZtosG1GjTF9vTzoWchYpCxOfsiKZWj S0KWCj1vLiNwuPJ0+xOp9lxhhZesrez0ZVbblWb9pTaVeY+6wbCsp1t9DxIYYBeKvOe4JKAacZ5G M4CbbN09XGMK/RLA/TedDZntUMPEqKKoumhZsF6anLtbeHZ/i+CWKQIQnqsgAHSNjk/UWYSf5OYH yVHDTFr5SqnRhTRsHSwJOPQ8v8UanGo58MUdPfhWhuNllSa+O6OkrSkXeITnzgJBc6j8Q3dII30X MKYG61DUxOMD2pRwAI3vtPcV2dO8KFhoBmWcXYSpIy8FkE0hdeFdUDIRzCxrqNaEDxVeaW/0DbUj a1Rn0mjKEaaMGy+84D20IxauTrgRK4qhZc5dzm3N0d5Srvg7vTnm8TGWQ6usPL92HB/9LVm9XVG1 cColmoCPmKh6EnG4w+nHGjamQEarByLB4G5O6Tb/8DVXBx9qA/OQ94GM5hVm2RXKeOUxHZ0siO5A 2JBhnAbkYv5mJ5477AgzBWp5d3kojlZnb42A/WuslSNRZEReFz96wUXqHkItzuv27D+3IWnj0Nkp K7FxYKoKMjoxtzqjqCs8GUVdSYsAeO51L24ia1O90q8OvbBP7Gwa0nBF781CLHEf5KgejI6Wl63r lAt6UzE8BtihNTI85EGT6Z6aZWqSS/J0KOnBu6zlf8MIZ7TqSGTI7EU+EhketMGnYopsDwvH9H/4 r+9RfPlZCR1y3B/tk8FonX3PriozizrOxPzBPrgnDyxr3T7iZPChBb98X1X+0NyvTo6LXLTvW6JU HeQoKvYKYjRmEt4jAGoITLci670iufj1psa7hL/8DVkODHcI0u53ro1dTzhavNN1Pdrnpie4NlZN pFwUXfr5xkBAGIJ0gj9Z5WyvJSq4ZUuVESrIL50B4svMxaSfMgoVExcNMaFvUX8oE9qcAVbgQW/5 GiMQeB8nao58CJCifh+QZQbJ9ptRchV/UmOyU5bDnTEL7CPZuhbSIID6VDaDLK3Ls3njrNeZhCs4 0mk43Mr/qrJ7wQ+pnQLCeQVLWcI0DzRKWCWExpZZif2vQh86088vLe8JrSvOxdyUZqoECERIvHEJ CMYQqPQVxOe3Raqnu3agkz8ndCpmOZqxocyGG7NCnzz2PMtiye1VGeWi+i/Qjdz5hJihVEeYfZsK 1ka24Ym/1VStM1dbDWEOu9gk6EPb+y8SK9L+MZ0G8kjxZmMTnHhaWOD7VAuhzh16IgRTO/O62z6C pkfeVHJnPNMpZGLluFv43rf82zR1bSGiIYl4sHz7Ez8JGirWz66NTjDnlKKSU0ljBT+ErlsmHidb LuQzHpNd+pwsrem+tRVI+CBwB6Ev3snQ9YHLr64t//5id5tSCy1QkRHZZ+fK75rbe3EynsXkbzhd uM7vqWYf0nd6ArgTlSObdkJIpUCrfOvW0YR/Kpj/dvcFcgqOedAcM9kMg1cWtBdEw4B6yHsT4wzI /olX7w9RzvCovTVaXKeKiqJxVPRNlWJ/wyRu/yRrE6FFn36XMQDrYqp3xQufLs+VueIRrjJ5bhLn 2ydpx30lkKkh5ANT3kJNjzbBNtc4t3GRZyvh2MzLN1/02gVpgkAhFt/R69gXKpZ60rwULAE4rSQL bC9BDDuJYeKapABpfRVRNJx7zGMCzoLXq9hxXlXGkyWsJu7c6dOLsRybHvIAHBZXaaBEJC+XEYuH zxQkLUggdidMRzemvfY85dwt0xzrvTHiaIqd/U70f1eRArQnvq7uV4MAPAU+rRMmOIdCwLJJxkFn F9btOkLE+K2da8jGcJ0FUp4rx9ktkKbhQNwnAUiRAZ2veHVDZiK8YNuR+7hp2wc0nmKVQ3EuoeoX v0HH1jLRPpQ1iFeFrRQi/rCOWujVfnU4M62aKs1av5FKsb1169OtxE7PR5FbxXlOFNHt2MdWPamF nkTRuUDk+Aduh6D1nZD5usA02qiThNjVnxwC0dkaDhQw4rD97FkpUUnJ0tBSOrMrF9JGd/iqRWbg M3/+nniNusimSsVs7zThjh3R7XWviMa3z8yUCwNZiAlTn0D4dQ2abio/Rdjo4Th6YxEh0s0vM5GG 7tokqSVfV7wwXKWGkIPWMb2KKodt0d5FuH4pqGr755OsZzUGaDiIFFpvc5mskNUpP5vSrbmmC7WJ KC7ubr7B/VQm0jYI+YHMy6J7hsrtSk4SdX6htceY7zKeshyOevFI3N7uZXcVhroQW5/Lr5+BzVTa 9kAgYsISekLLR5HiJXadehc4ggBXKxwPia0+U815ZxBwWj9TReyKn0GSN+OYP4dzu8CgCWHfm3dX TmY6lOGPHjmyXPOfdnzaA/2KqzDrggIl4r3Ejivg1KmErm/QEHzPyWiBUHo1lcs0MChcvk9UHuxp rjAbHJHWuY/fYfvJfsuQWo9hym1351ui1IRxDpZj1M9HSKkWXbFZknN/n+n1yRXoZaQ9Nv5WvKoi yNCczZQG0xtMlW8TTXKhyXk679kUp0vzt7mXW5HWkiJWeIhPP0esWI+oX+fg0LHgr99EvqVUumxi mcLnmdbctlk+kKLqqOaXgiqXZlE1YEh/FSRO2K2YYIXtKpxODPUcatGwhnLbYQzp3PphVsAppNH4 d/K74Wh+fPsSQWMX04y10tiFJbFcstZmy4D+3bquEYdvYmTgeDUopEY2lPYehFWGyYzZ+IJfMjw+ l24nkhaYaxq3zNv5bqkdyQz/DoQLj5nzKN8eBufLSTZ9+ihITFSWdZlUgULS7rgIEeX3qHI6yJko x2msWzSOX9xrXuSDX0C2qfQOtLjiIJIYkVTnRQZ2G/l8YtZYJWYC3WpX/Pmli7KsTAyn3JOkR7ET WNFbZHZ07I5tQ7WK58HsKhft5W2ritb6Q0eAHdiSUim0rXM9tcOVz55+8mpt+O1MzVfXrg9N+OBB u7COOfz+S4a3+QmPFff3kxwlkjc3UkJ+N7peB2QMtDCmO2D4cU0J8Yf523Qk2Xv+f4AeZxyt6qWm oYo38Drfq+nHvUkD7t+pZxjizLsQbnCVUyd5mNZwCqjGMWk+nhmlC1p7S5Sl8Hd5WI5cZcSzw3sJ LKZoQ9XCAvcER7kse44bbttsFRRzJGoGVbxkC/WsJkBS6xFVe99xAtQcUlRewVCGOjPyYKT1o/2y 9s9SAgbAbGMcmMpAdhykjTto7dzPaKlvl7y79UvuSyg2B6UYZGoZb9fRZRykm6ttrIbS4mUB6Yf+ F0SDh/1OSdH4VxYush0u+xNBtoerrYMeZ6Zopf1LU+hxlReSMSjWBHFYVZ0FAi/c/EbDySjrRNKg r4bQkOlTtXugndoQQ63ZNl+P13tpwQvQ9pDzwDMn9bbt69oPWVKIOL10M7gG7+TO+w9lYNCgE2cI l+Y5e5CbheRETorvWidvsbdCz6V0ieoAloiqrXiqJGrz0C3hg7YBWQIyshgJqZ1qk3BC93sf8AIz giF4a2xwlw3Wl+BYGqjPiTdZNxIY2F5HoNqS83sr6XM6YPQUtQHGGy7P2eDECkDIUPRcogqj62bD N/6ZYqBZfJO6h312ygVcTq2ekf9RHlPwWr0wZFE3rQob5Y7sq7MmfXTdLDRFKwsu+RIQngXkifCs 93KZXb5KHpSTas7VUIT3wNqOrqRNYA11mgO5rcdbPfI60oxwfAK/eUgT3r4DmmjtNIsONbL0sumT k3oCWBOFxdQz1Tk/HOPa/YC8Ryb/r4lxV+GAoVMvyyrO8swGi4fzdY7HRkUWkjR7O5THxNWsV2Qk 7EpswfSHQRj4o4twLJjhu2elG12eGWN6oLaU8KgAipmSBVVnyMge8mDsUpPZpt9CQZb0RjFH84N6 b0OpgxXjNnhuU5oLlh6v0hE/ohefui121eMHw6Gm0QQPhzdb6+5l6uKi239Rd8Qsg6Gribwu6s+7 d9I3rO7KaU0f4bgEjO4GxzRTrhL1n0Wr4pF7vRTOf8rP3qJ+3k0m1/h3L4hgzVuKfsXG6EufkQzq x0IqBm3HHJiSCZgW/gyAAPgYerPHWxSZh+3Tqdrr6KaMndcg2zGBRlmKTlTwG5+/7Sb8b4AYaUUY 6ERqjf28hNqcyw8F6xz06tWhBFPL+++hmv/f/IJodnPA09CT8RSZ0B72Y18GT7W4jV8jKEnQVKpQ LlCQ8io6dtGf0lU7PtR9nWhEYxhN+RW/75FmVfTA/fWR9INGtfEJw4lnq6GFFBI1fhJCYBwIwxht pHqa00aT9g3bjRk1n+XDWCHBsoC6wBwjj4pchmfXwdJR+wh6af+vXYT2LfIMdGSvAyi5fa0zNiD4 /JOsznL8l5BvHH/du2MtYuVuCmkk5EO58s/m55eZ+q9GwgGkOZjsoN+mXVXM+GspqlCZmDqCStda L+zLcznBk37H13PAHbXB8w72IgnIU6+EOgmXGzNgHjmnksFhpSZkYzAPw2nST1Pm+otpnHSDmtE2 tE44m9iD8ckXe5QuOHHQLCfp1QOCaSW1hTH2Y57GMUEYF+W2BMpJhI9YetihY+wQ5YHlIz9jXQPy mGRgbU+5/U1/YhS84Bwf8nMf+wDv/yIKiQuQxXcobjwZhxjxyBjGM7velcqO+FnduNV46KRZlX5T e6jmMoPr3BCnNmA212Lef8jp9WiGtK8U4go4FmwcaxqjNIDx7grI6YnVmVBOpUaXUIpuw/gn4y/h GNXA2MKhegUHUE07qDHX2Yo8alsZp2fX99W8xcyHThyZejXpwdKAg4UX8GSt/CRwugs1YbHxUg9x SIreEgT2VDZBUUOQ5Mb1p5f8WINZkvgCeH96ga3qRHKRpZLpyExv2sWk3oc8nZdP51vFkieY+z/H f/xc4RfHS3KKZmktTDMy/aHoQke1o3PH+8jkeyiDUa1Ogd1kSSv/zNxZxsbPyMqPh6ySCJywmnHY fQba7eEGlB8cyIA5wTEy1WxejHv+6+yJ1sDNUBXzTeIZTVB4RaIx8+AjYgTXi4XqGG9zQed6Dk70 1o5fehunekC1S7TBY1wWOZLusU0kXbZTXXtzY1nvsBH89OC0NSRggKeXtnHuU9UVR8BwQIWEjXI/ xjqU8zPUl70GzTkDC8oQiJi5YTxqUGf+8nJ2EprggHcA8QHMzfxYWhExDgjbhYGyxlx1mfxWjLm1 +BVb0gkfElP5l2tHBepvHyrdI01Spvmax2pBXDi03n5hwSQrsF1QZPEz9Q2nxxldJVvYPULQBRi0 AbxfW7UAeg8HWOzs6/DTb8hTFJJo5/3i0wxcugrqebSsI3Zk8SDsTMyCPvpzPem4H906tyU5MzNv Xfj3CLi7jDDwOv9wkYKI+mvCHsU6CNysplD7FtdVtC3CTN23B7UUSUsSfIV+okfDUIsuvViOZ+Bl dJOXAY6YkK7fWHy6/Ejky8hwz5wpnTvcLGMNxIWVhPxZK5y3i0tEObs3jFabFfsX/fuVQ25XpQOB bsQ0JbxypeDzXCL9k7uQFmGlJtbS8z88GMihOOJBNsElbsSq6ZdhaL/pOkZFPTkApzx4w3botw1g fQxCpOdLFchxgzVsjaPWJXDItm8YpWHKHFfMUOieytnpCLkjkJu5r1Uv/MnMpiOgr6dhtobmFxAg u35RGLedgzXqNd7yX/1PWdY1v0rL/8t8ZnSrT+khPo4nv9wMuPcu2E3GGf8eYDTOJXQTq4zYoE47 2ENa1Re18T/FFmx6QGbN+Ln7V5XyxJCbxMS0flM/TMDz1++g39LVlOWCT5u//Nu8BlKqXiXCPO6y 1RX7cJMk5+mqkQqcyBrUjKi2hhrzKshOny6tlIZBCXgTcgpHIzVmVLqh0rCGcG1qw8QeHZp5RsKx YmjMhfx2KCRvhwM5WOC56E/PjxEBDpJctfD11iO9nwp1pSP0r8OwDevTEYn/ganDJaKnMl9R7h8j x3qyCR4GWTTFhROi0iIQqmYdw+CXz7jvD3683d2GgixqoeLu4pxkVTS/HtNlzVclXu76PUdYD1Sd sQ5+8e040N90ZzUIxOgcBiSDBYe0+vocAiYkB950b8jLSXVJDmj3P5fEUwsxsYjiyqUwv+mVYGVI bE6PUUtsU20fgVc/QcC6SRas8oehYjaIuZp9Nk1Gv2ErWfVhvX4PwDpnwJXthiUdbIKGxrvVBDkA YD4AmPFMRvnIPc8Uun6KPqHY/ag/F1zQS953Mxt+k25k7HeDQ3W7cOYaelM82KFuBfzk3HePcZ2O 8laMrHpWeVbpXuDhGLEaOe3aIjbiSdeP5swDsWP25fq00MMDRhd2yws9oyewIcyYEUiQ14dqYDI0 8PuRLxtQ/fEtncFBG+fec6DjZ3hDt5RlHLaYCdniQ3sM8wocebjHSN4dbDzxuVbapdXGfNKJSSCA iyjJXAAD/xSd+VNlATXzjn3K2n2HEP5R6mjf5KOGX/prcjKPFW3VkCB2znLZB7o8pwtlbB05jo1o EZlp8gh+hCuww1BjltS8aZK7cXFY6LdK8geDYnuq7MsT8RPQ1MmbMTTmxBs9illZAh0oYjkLTp/0 YzIBMYKdjL1ngw55kKnXoRb5sfjktYswcZTOtWxUPP9LBP8H1dGyiZTzIInfbzFlbCGIDRS6XMpo X2Pj8t/9E1TxcgbM9WGd4utqe7CvEPfZP/sWrWrHjK2O5RVthnjfQeGnoatY4Ph2URNTbXmW/sa1 Msw7wq5ZfbMP4EYgf6GGLBAMwBz5CUxLpMHWuclfCHBQkAsn3Z8Kbn5gwdt404jiMW1dlDN3/2uo J29TlqnT2+pVcaoOpK1xcBtTkwV+y4BRaBcZ0Csxzo47oVaS9Jp8IeG95hq39a4edRAmXD2rgS37 ucJ8F0OIoyrh2iKjsZQYWnTxAa4ByoadZD3ARmdDI6pWfMwvM8o+GsV481EVZJhUT78x37Au4kbr agKGMYks8OZdDFGRc92gFS6AxIlKoSFgLtQ2v/m07ysKLA6YBYkxKvARZmdYvNeYtKvcuuKAZEIf eGEmEaQsorPBEJu1MEte3MTBhK9IerU3SLm+qT3jFUBBCc1ccoONK7VmTlL1wZvtGZLh0Zu6ydGX FcMVioroT1okK4aZITj/xLgbI3jJ1GbK2D+DxWQjTqmQZa3B4+MtvvRVzKtP4m4VTPnjDJFHZct0 5wNPNd+TlUHhwbFO/2Em26CmIt7j1I9nc6e9nGi7o173NskPXNmvow8GxFm2Pkhf39RutqftHfeN Daf9KIRF7+aAqOO0cie1vN9JUROcrbtihDTioz5d0OFV6PYDuBklyeEfWvOV+Pye3bMuW9rGj8KO P26hsBOjNpftuXfAorwkhIgEjd5K180AIA7nh4eZzOaz6UtDJiO8bP2PWVvspILH9Ux1bujBb9rN fy5wmOHH1vrE70ofl5Q9UsBlQFUCp8SclNhVM8VB1DTa5BqrnXDZDsYlR4eQvo/BAOl9ogfQCi7t fSyMlaCaAXHWjlQYpHyVnlrIyzML11Xk+lShhUjLqeNdyr2IMBzuHC0qSY2tbuRghA8B/BArDh5w tWEY0SpTbv78o1cqX3svzNZQ0qV3z5KN3mmtDxkLMUbUmuzGkCv1AfZ4vQXJgs797DNlALY14sLk V4SYmcy//+h3pYAGFhr5w91l2Y+XJ+5Lr7U4u8TXMOCAGBntjd54iZjPsm1VD0exWVOO7f3NrGWu ztS5PHZvUMTbGcfMi4Xp2cTZCY7P8mqLZ0xRCfMWmTXhc1SQ67Snu5+oAtJmfPuMkcLsphzV29XY c6iCKjV0i2sbWCYd0zbefYRJQmbl8yEcb9Ip4UdC4VPVSYm2LXh31807XXnl8GNqN4/GpKNTrgYT VAGbWbDejBaHOrQlUZgdIIEsoO2OHmlW8n1zq55PAOG1he0Ec0/AdJQlFO45yYTb/Lsg3Xamf2fv jiGFjEtzZaMOur1m+89aTStxV0WUdrxMahYDf4hKRxAj1I0XuUwLX+Y6GBmzicOZtuppr+Y5zDOu fKWodXrdiDTgvzbDZzpZrGgm24j/JRQ97IHWtDgIeA1aO0BpJijol+c25nitl0g8+pruhilzczSh zODrHlpEvvfxi7QeqcQVUE4CgljjltBQHHr2h9FID8QTvaX6zrtWO4LFgGWlwoyJ2fq7H3E+xd0l /HZ8dPeWiwfg7g6Arz3azIl/dsyVCPkn+EyybWS1PJmeXxakrOOQR0U7LzzNXfY/QQ6SmsbNZ/sG W3RtE0W+7U7EMVNDeTKXnzhpMbkGbNEIg2JeSxb875RktzUaikjVWoEBuOxo4giTxVUVQmYAdscM hGZ0axq7/o/ID97Ybh0Z0Hqvp3Af3jXu801iZ0XzBMjO1lRz3DPkWNXsiQgFbHGYqOgFWudWqz1W Z2dQONzukxJOAE8IX2nESePxUKB7j8QHjTtj9vaytusfsOT0rKhSOs8Vbx4TH9gYaI3ldRnvsVWV BAeHLQYm2sJoCwTdACZEdBYHgLDsOifJuc8qoUjjgifG9/nKBn8phbLqR/mr+8u8VPh9upNV5Fhv VudEbL1VbbEJ6DiomCF/cNWdYtIU+GNxDgoFG0Ljb+OWwLdHX2kGzqJnWKBugm1ZRtMaMjfvZtD3 yC+mzhX+L5Lv7dSdvFw09ZwMHubuoOqczlDmyEX8H5ZA6TOqnjlOAKQw7U+iA2M/H7419jGYkzty GyrqBIEs6cjFcBEujg8vLr7zUuKn2aBTNpamo+E/BZ4fdYUutxAMSSwHBjTfkaxCaMRz++9/V3BZ ivQq5FuL5ooR2U2SNWToHs0ksfoz+Tc6S569k2ku42ieDcB4Jf0qtVL8TlsPjujP3JT60zUB6Nh5 3Nh5/Xg/Lm1K97dwxz9G2HijZO5Bu60ItA0xMlgz5ziJfv759Ed6ceXkgh46t5qT31GO77BcHP64 rnXMKM2GEzy2Qs5vBR/auZgQwL4G7C7gCM4ngidAoOh851OxzKXR8h4gLhAB70dBEcr9tsn+paAN /2lOB1Snn8xuX3j/n0wtbsOrgCoc+YRJsCaQfE5CFrNUWmIkvIEvncXPAB/qcTYYSqwUBBWaEMPz 3uP+dHib6EQI3YMZ6FDXdhqgL2mt5gKoYHoRXEDafxv6Y2gSzeefu/ZiLvSYi5j+pQGikYpbEYS6 vGpUh0i5fV+LhFaNQ8jFLwHOUWWCN5+b6VJXaA9Yvb0/bK5MprrPvgvMjUMjUBpCh4ewPlTlnNXV es1N7rz8XFGF9cwmo4kh5t6dUuCKgDYxMGaeHFnXk2B+batyD1+YwJcaZl0qb88hjw9MxGF2SzAv 0psZDZ5RXVuhzMwq86q1xTqheO+/vlfe5nf4TK8gPN0bBscNcOoO9u43247ir/x1monC8/NdEH2P ZzxcERVi5/40vjrYCt/rx5ePj9H9l77fZWAZJtQawN+u3idp+wtwOnoN2lv8PnzV2VwsscVofojj AHFy9snCG2g5HJiWqZISUrIR+JcEnuz5uL2EncXl3kxdvn9c3Y5/8UFATMgIhe9WNXlrJn9VWdj9 L7xuXe0/m+9lAYNWuLhB9rUHQE1tcHAW57kYwtWCsqoXyCbPv1pOh0K8hnv0w6fWdFUlQzL50B4Y X8FObkx1RtfayZKnrDNfIhaasHVBAmpMpRrbckpQaEF+mJSvCglNDgEJ+0ITkn+/tkat++gykXx8 5WUc/QCkZhOC3XJ0cbUeNbgMZZ4azEVMbE6wvNmcCopi6jY7zkO1d5jnNWtJJHdyz11l1Xxu6wcG AlUJN8Ack+UmIQJqKT7+HZr5Fmyo/gduv2C1/J4L5oUwLHEuYAqHAhpcQjq4imxePURUOtejIG+i ve0TExzSKYOs6BwDXs5dHHnTvVYiLp6lNcOFUv2Ek3KZ3Jqh6NVFYiEzc3QwHM/5UNDCHwmwjDp1 hgiYS0cNeh9/x1mBFEF07neENjcLPGe1FacfTr3eonLvZO5r4s0EeQCBFpp678875y6CbaFMKEWd dWW0vG/aVjFuaCKtbtmSO8WUd3/MJjb7n0S8RjoTfXY2HS3xM8Z1g+o+J6DV1G+YubJwPP+lspwC QMuRHxAsveK6Fb/9tSwMPI5xUP0oqSeMZviVpWMEGqevLFzCq58/DEFmBikxcf40IAoG1IvdUvZZ IDAv2czboiPudCNqShTB0GOdOmDp/0zEOe6m1okd9yIedOm13IA0GLaPGJC+tuZ8acq+l8mj4UZt laDXdjnkgAtYkw8Xo6QME88rH8XG8rUWS/go0hnMOXHorvDtnEzK/KpshIo2EbaY7RSAuSY5uabh WhhRvjXxchN9BbUyScJR7SwinCsHRx2Ux+OyoVZBA5oIjH+HMifmWPQ6g7JwTh9s+QnHyXJYB+aB 7iQ1IDne3Lfn4Sg/J3iTZV7QaIMPNvXprb6GL6mZKdB5UKbwjSdPGeYa6MDULFBuQYAS7zQUXamp Vqo3oaYwPkXn7s1Ms8wRyidpNNykSyc10E+g6GXnhWdr/vGGbfeKfeCJ9VpkspOh/Ne+r8u0A0Ph 8Jbpr6sABYzLLn7O69huz+5U449zS4Sp7dAvTjSZsMcqQwNjkiEGz3FZr2yv1tkrOXewdvjkvvX4 tIiZh3BjZs6CN+KajGnYgg5PbGxlBsqz+mTWxInHZTMoqzEY/4MetwEBwFWBAyIgWOZMnhe7UpvN hoRFCly1AvkQWrnPTjDnHJ+3rwWJzxnqq+u2X0/K086isB/WXn76sWOjsH+UZnhRhzlbXAu6XEwP dlLX9suN4vjS2xRcGxsXTD/Sq28BeXhkxeM4lsp68CMcg1O4hB0qGi/eAQwdNn16Ko7/lu3jv+sJ EoJ87qGQh/Ex7X5MdGZxKRvcKiswX2egh63bGOlko+X9+vPJwJbPgHeW6hM4YBGYRUKxW2Ipiql1 SiB1eYqo0S8fOyOJuHEaHN1pHDjlqG+tQixuSYnkIyUTA3voxkC5NLhr7CzNnib3SaQd6FcRIudR oXQhvfvVvNDnZGriALYpWClJZ9cY63iuEiaytaU0bswsXLvenLMJSt02AMwrqCrYr/GZV+DPGqhb j5pwpqz8rKgmcTbysR+sEREYIfskKRYL4/PRdGl8Be1OnIXfp/gxkPUxHHsij3sFmgmlHz0DLxYx aeZ6DPJdiU7CAdzFN+4uMpWyjRPtHT7PVsoqkfUrsOWebQgJOwzi3WPPuL7CnBApo6mC5HRUer7P H8MzCWbx0+susbg+KesuHh0UoOfU4qczi3bw+FPy3T6MTy3/mz6v/pAo9FuhFt9ddb8YrYpkDab/ Z2SF1zhmPlhsp5UBGVtq6jxdctQUZPaMWDVOnnEaicgWioECMzBM4LqPKJ1FBZd0s1W7KhVJYair ub+1GFzXSr4JNQYhW/jmVcSjctaeJeKF59hWsNw7AqczuhLxJTNIVki57lLlqwv6dk5ooBlgTCpI Enp+X+uPGzmZmre3v+kc358nbgKJuqdjOVkx54CNAY2ie05DmAGLRba3fCXDzN2W1gw3mbv5l0N8 Eo3uZF77yD6FuiP+i1VPy8OASK7ildle7itjKt2oIF4ua7+FpUP+SO7njuVZYJf8Rm1PFkS5RXef fOoLmeTek20WfbrWdUgJBBeWm5dbOmUt1ueJFm/4wfbI2mgI+WN7OC6zbAvMp8pxsvzvPGzVoIkS QlHnQxaCUzm2RNFB4To3/tC9+NWjf052XNIsJpbA31hmPlRlGmTPtE/j1x6abuwnZxhyTpfhkojh fOzyMa92BDAOpJr2/ToWYjWqOOJMwjS4OkYTsZ/oYRoauZ1D3gsKRx9w1pmh/23GvNvFvILU0qVF zj4M1/YE37kl5deGsQY64r8M35t0Jf2pZSv6F6Ll4a8wz34C63DMNOA2lzyni/ULauhoje8o3BU2 btiD2CYdK93XeWhNuC2o3Aks++DtuJas9R6mdeWTvsVJM4tDo4iv8XPYdE85njxgS9JamQpWVjA0 mMWC4vN0YXxVkN88KQ4PEak98kdmbu8T8NpmNkIIIg3gGl8uPkr9ujXjjtLsmW3e7JICx+jLuqJZ BoPMbJPrPcRnQl6/dRFuPv68LE2voZ1UT1nhz4MwjGbK7ZXefQD7vhjhV6nr9VB5P8B8pHk/FMnU diq3YmUzpYiPfaKjij77Z6QGPcrIO8HNTchf2Z9NwgfuFfGMcWMMflS6REERDY4ULCj9EXJgsV5r LqPchqGqg0l27qGAZ+1gnd0rASOo3jo2pXQaekKxirDpSBu96pPuiW0UEqfNCE9GW5fOfDwFxVHp luC0WCEFS3vEq8sf9VGrJ2OGscQwoZj2njeuKBYXNOS8su/b0TAbOXJ8UMMG2vjO6lOWdwFldRlo VQ2iaiJ0QnW51Lkdc1L3HeqK8YVYKluYS7wbkoHhubd5R/r0F/Z54SwQaWrTf/dHIPhOfgiRDEgi Rwx4g6EB1RL7punuV3tQ805pl3r5tzsyQqR6WRIU6yImSoN/qV+IQX/uRCM2bZfpkwtQpu4HfH8/ qGEkYzekzt0Tz82+GEyGpl/Kw28zYANZ5U1cDK5sD7lanzwOLpxsNRa8pDpaSCKxwAk/GbXLELGY bvEY0JFqzf0/4U0lSI03aKN/7eND6lnb/n+13GVloDaoxklOH3ty+OSmzEJ3aNUo3lkK1rdemJaf 63RSnULqwGsT7qMU2z8kS08H60s2mD06hUGOug4zchVQ2l2txH2XwTyLN2RMds+ZbqDIZjPe0+6j U3WbS9PR+uptBkGy7LLuNSDVlpXsL0HdMUxW62x0+aogFHxLK8R6GbIcpj7ZYIAaL0WZSOizFxSc HvsF8YJwngpuKmzVDnXk2V/19hT328O/LY4NiCVtw1VB//tKaMqZlJY5kcIgQ7CvNC7TpmOtQxRn SNer7fWAEeac9GVbemey50eDfYfDjVYShoo6yB5RmrDEW/36QXVqGixyz9U1R3ZY/MBizeHLivK0 tN+DIkWQC7viuwxikmM4g68EFeT+NYLX1leet8jroa0pxKRbRjPbFxV9gY5LluxWq8QF/C6TKmFM KIA2TCYL9q2awvojTongK/ZZ1hIs74MYJL+pShKO3/o7rVsCz1ij16Tsmc4BDdumiqOnrBonuX+c ROYy/KXatemPct5ef/qXVIN2wXac+hYyMvyGlRljGTULXIOXVnz0GWlL99T+o7gjsTQPbqM24D69 Rej4RxJpHh0qFY4Z7fRAQ4c07QICTVJ55pqT/N0mpJoEN5WGsOAWRZxr5kG/BusWy9NNaxUzjwxG cwtty3bIdHTlELFAQ8F1X6sjv4Zmp0CPQDvodIJxIclFk7QsFD0CDLHNPbZmWu2uCPccKfyOhsWk CECQ04gkhCvc/mxubFqEit47O+lw/1mFJwzRh/fBRXosOer32qUj3FdQIO+gB01X8P/v9w/mCg+X xSO8uKZx6DbxYfTsPDUP77O2jzkTKJHjglj9imheYoLfOFKXbfP+fbReLkngjRpB4E509ZLlHnPu 9XOyvgbT2WJEMy0S/QxCO8Xvg6nc0AjNsv5p/chS116GmAsi9MVdpzAMkMBPHtEHXlezGKvac0aE shnmzI9RjqSWQ3m7xoBHtxNsRMNHa8mzvtKyQSbWdgCYIsmlOh8L0pmrovoyUpN7DvZw+P7Yq5DR qD3vRd8sIOKTIIfI6EI0xNQrDEKyIhWLJSrw5ws0By4athaS3de9TRXfNEMvtmsaPUukgmSXWZQa 1JCnyH5HkCW/Q2sD281PVGplcaWsAXsmGa5h23w9fWCE19Z9bAKwvM0H4jv4NcN70ihgCQi11XHO vLpraHEnwqNRiSW24EF5OwcRKlzeFgzQsQa37hxY3yG/M7tremG0Z1eAFCriHD7AGkRp7zYLGRx0 4nAEgqpkIMMu7KUlEFciJ1u8kB/0dwHYAsVrKv9DYFy+DbiEYj1eOaM9aCta5fVvU6Do5LO/noIx hbfiV9orfKGghhXd7+61L76EEdxP+8N5um38VwHar3fh3obM0oV4eoX5vCxAW3ZN7MFoWJ02z2ff t4glgCs9/itSsPyGN5MD8uMXXNcaA+c7J8FNpm3JBhsC05Ob8S90JE8hb5+7ymT0gGIFlzh05qyy rWhP5Gy/A8o9/uFDqrdIqwBRUfiHx/geS/iyJmlEeqFNF8ebujSm2xzMOf9D+N68Ehoj8HqnUzqG 8BkwSy+fKP52fe0dWaFB/kLVPArWE6s86TEw59NvdbndroI30u4+Jrbs4bTJlRNiDW6K763LKMcf zpw73KyeH501ElyrOVb3XccqEcqiCy7AAkrSYGQBwUbMWd0/uTLYe0Z9DBkd58HvQF1gYTA0o60d VJDV/HZV3Yv3GAgY2aZwiJSKnIoIHRLmbHckKVGVFEDweJweJ7uNhID2oTM3WAg11oK11YEdF08f vWmKQhjkWPgJAsHHH/lLv9oo6D5fXB2kP4JNvY75qCkAxUTo6QM65x7MOHu7Gl5rn29arawgLY7/ 2SditccMR3dsGqTtu63WoBbn8Q6EAskCzcKvdSVb+CNhvRpnhO2UDPoMiAEHwzb3Y5YsauZp8okB y1SGY5RQ6HgrbOave1LSasiw33slD6UKBErCTX4nrqqi+v4Cs1uZAVS0odUvyrE+kZ332Pour7uR ABYSzf4lYOpVYB7GjuxnqjQdwmzhbNq6NhqeRRqP7wgZfbPrlo/AxPAH2PumBeeGRpUF+UYM/CmY yW4Lax1GO6/bZCDGtBhmriFEjRcukQoJesXDq/vzA0U2Lm6/kZlVrN6vM3s8dI6Z5coATo+VBQ10 HHN2Brb2u3DGUHpWon5oViu2Q5UYd3QqJzzwRGzU8FG4f3efNL+wFBoyUdkFsC1474c+X9TuJ7ng KBK09133JmjzgyJqSGwT/LzYf7gf/QDL6erRLAGxK38xngx5SYv4NFYbbugCRifYHR9aLeqffi8d mlzHLuMS8DzL5XjbpCkEfXWe1CWk6GvSapaghQBKUkytHTH7sXX6x0MzZNYS5M8ArYM/TJ4m4Otk kNOukrftCVt0DwSvI6LCFI6rLZMi0lNmCzi9+fjsNa4uPBUIcGUuSzZ3YERGEIxm7pkwegYZb0N3 1qpGxqhvz3W8S1RHU9WK/mYmyu0JsGkszw5aXWQATuJNtbux/SbHAMBRfiCeJb4/LAzn0GQrPizE CfbvbQ1+Lj6Wnxm8GDBMLvfZj2gr9KUQzjV87xWlHSDkVL+1hC/SOsdTnzZFuVkjo/9OGWh9B389 MPojjIY40z12yc8hzS9IrtHZ/28sbWz/6Vm6/8SGhA+Lacjvqr1cWWCgGJ+IYWOTaKN27/xYk6DN DtFWrtHCp2mSu6Y4VcYTUc63+4I3Sh9oQoBl37CRM9Tk31eIU4kSJXUYND3wB1clmN4Tbhj58XLk DZbVst8XZF4UfbCXEFGC5ThcoadrH1f0mEwiUOAJBfJ9MkXG2yqVL1772RbH4dXl+G3ugwWj1kul 8WQupdMzj72m1YIXuxTDWPpWvLYS4nJHtkJiA5bAC+Wl1jCpvK5xbVt8MxyxterxYeOaXPUmfZvq Sdn4XZDUWOI7UcMsnXkfLGeXOs7mdrOfP7CHRc8iKGuoStwjWVhKDla3Zja6CiVYngp9YILPOn2u af32IHXDsbnaRT6hY2LkTnEo29x21w0zmHDNxlBjlfJ9mZOGQv3Z9uZ968Z3ZMOBb70l9mJyRQ5o KHGiK2FEoR9wm0vP1e9bQW4ZRA0JK0//OPU2Z4+R/6kcz31Fm9egAOgc3CZkHYDoA4pG++yBUu0X xBICPYU+fyt440HBQPX9klr2HzQ+PBfGZP6Khupd9auUFmuz1Fj2Y3Y5+V1vsOdgEISmM6bcyk3p Tl+LjEZkew0Ul+B/9GmqRRfxViKV70xJoC+zjmk3ozjJdIx8tu9pRaSBa4oGh8zg/ML8XRam4Q93 V7/fPk3FuseCIHNua0WWoPhqv/qqYPoZmW3+jQ+YkWjS/uhRO4Eqjuzj3gwCcE9S1RfBuBM7/kkI hfcvKmQTX67RcQxZiA6xWxBlH8ciN+yFwe9Gja25bs1h0u3BvXiSAhSY7HM42/ODWz7QT4FGeguc 7a/Tzlmi3FY4QkBTgWgQOLg5hR8vqzqn43bNIBgo3XEbHj3/58oV2Rat1SKt6aOA0LLNJLshQ6Ol 9bbUZqb5Bi0h0qo0xikJSQr9PqPLbIu5yM9kOIH0iQQSJfRmnY3eiXLwR/wLnfoqvDS9LANHoA0X Nwzpuf+s2fbxjM8d/Yzd5zBRkyCyW9o9ZtQNRVPP26L84WDQ5wfIQ02mYjEgSxsdt4bkuGsiZq3P /F6AeSwv+N5icY2B1+2nF05ckj85zbkxL7vKS2oDGx2/BR5C+ut3OkAXTX8c6MSJn6Qb+p0ZrG3M YB2zNiMWq3DkD+sb7b3Jo9uiVAHltgbm2FAmkGU/DOWpCMpnOD/xVn3ru93TBOP2orOT9SWCzik0 DzbKvXqa2ey1W6B8RD6EKEc4EnBQux0UAwF2kcXsST/GhYG7vOGYoe1u353SiWej7efXXow4xIV/ zWjUVuZlXsF7nDqyo9BfRNSjgcRqii3jGcr+z/mv8rmHcpabEG3qLU1/gSoYkifDR7y9vJItcfqS Xnn7/0/QS26Vjx4PUigx+0SKQV6Ih1OxZ/8kfCeSIkcoQROpSgPMb8a5n3f2R+iYl3PM+4SK6AVh Z/QCPIbZ5ulizMgiROzp4rbpmOyNFAONPQKuxSciJhblsbiGRqMV2b89OKrl4dBtcsbraysWFK/r jwU02qKNIl/jAZU4loFbwgO+5oK/KoZglDwdaqfFZoCI7R3I2PuBToDc0h1MvcMfq4drcsWb3K4s yDUjSftF1AkyxVJD5Of4iXUO81xbsQw7y2x0kW5TtnQzO9F2GSWjY/SKpx/jTAWA/g/pZfq5lPv8 0SxFdgNmEm6lOq+n+Qc3vMiujPBy29DZr5QNaxzSz/k9CBa7KdTXfSDuwbtIkkk4FxvM0sxEhPLm JJ7M4UpqDefs3yxpfn+DPC2RgW3D50h3/8NDdupgpJ0BvQ6t5owEDCTHzKZl5wWCm91FZLt8OB4/ tU6jIsNpDVKA0wAbrKgfAkNax/Ew3l6sBPWJH1GPD55nuI51xpjg0KA8yC8o7jfSvOXFo4C8wXF+ UJtbCa7YSVR6hCHO9NPaFJU0JtsEQTwjIW9ThB6lwnP3wMgQv3SGP1oyiaXmyz9ojbFBv5mMIHVU ZJdTMUXu5aT0f8QjXMZfLBEKwpjG4bxCNtLfr9Nb7YTJ8aM0KSW3Vs+uX8Ls5N4gKibXdlJNsNJ9 JyWsK3sTMAUuQU+urQJHtG6kTRPSDmkYY3VPz0mKy7S1IS8vS54VWwXqUrSRjCYy+DY36mHwmSb6 a1mnwG8IsiwyPsI68TVN7k/MfzvT4TKALqV4pqLRCgoPFHeI8Rn55fegHwK0qIEegp5MmJL7q40E JOIN33hzlufQYCQIft86VYnAhqXG4vJ2uVCvc47WjMKVq8XW+0H07fEQK+R5SYOjXEmr6r/VyMlq P7n/0oYuRYKsW9C05WHJsh13GyWVuOrYCDhRIWj5cl015vrLdyBYCeiZ1qN8mraRPlkRczLqR9LN Z75d8Cp6W2qvS6EB9Ky2tHuEWPpcFTDY6vPiUtRax0ebFN/AAyiPWIf9SLO9cvSqvtvgZTXnnefQ Peo8iLGn7/rEBDxAHXGDgLyfMU3Niz/F5hXGIBMATfuoBJjkPl8RTSNlH206NjyUd3gyFvGBga8D zfxepeLv6u+g7Vk/LRIWIhhpKFedVCxMhttYmrdK8e09MV9bc7Ija779DEpdtxtqPXNwC5MWepFh A3enBsSVloF6m2O7lFv1kv0llWC8PJAQuH9UY5h+jAn5AIhM/YojmIebk3MUr+Uc5NY5SG3SUdDM 7orx8ig+bYtgxi1pkC6E2gvA6rg3yRCptCymbqzcCzj/k8T12UCNmKj0k3EQAl9paqAHG3VrZI1J y6xHA4HFg6WrOa7B15yujfiPElC0rufKyd3b9queG2pgFkwQPvae7t6nHB1afKr+Q+psvO2aoTvU y2tad4b48fJJGquoJeE2azI/yuY1I/UrtJHV1O1DluURBX/VITH9Ms8g3mW/YGtdP14/xxGvwetE JON5yoNqu1iPDrNp0JRhFfN12/cH0O40sl4PwDSpmxUUxv3Cy/DUj8FOCdCwnP13xD9GFruh0ts/ WPjIwHVCQfs1rmKZFH3iLMNIwno/WbOnGtD4u+xsgFghRwvu+IyQkFc5TsB2vqGm1mFjaF0BC/M/ jXiLehH0LRVYKCFvOoTO6rEk2letAJfpH6G4HJ9e3mTvOToEVe2UNPhWJTlDRi07JmmpVcAS23io LMpF4kfLRSl6nTeMhA3E1K7dnY/Ujf3448dmXZpj2CPLqrBczmeWpfHydSnVFtKJzWrs2vEUTAOc nNR8JZIYoHQmrJmL69VGJOtRXQ4hI4HsVGvWOV8DPNLrodLqgeSbBVDX1XKwj3tJmo1jltzi0rci NscVDidB/5gqV1p/bJkSw058weZJUB1FNhIyCBtU+EpwOS084BSCrBJgJ5UnkWnwUjJGbLvqnGGS P/05U/hSRxyJt/ZnZURTc/xp2BkpjSg9ktKC7QFNrolRliWSnOflg1U4UAkBV91dYepclEfBAnfh frJeasSMnJkaOEkWQ31xPXmG/ODV6jAB7Y4Ebs3HrxcsRhMpI0zFZyQc3qtK9lbmiCR1wOYptgOG OLDfgRIsTtTaQ9XSYy/0YPIVDV0RoUkQBh72fKpcNWnSO3ynNbZ7ZDwHC/qL3ejMo6eVQJXh7cy2 IQcBy22Fx6+SY4uyDLF9QhiudW3Z7tKgJ10fk9qWs5LJcyvbhkMm6HwTL12PKuHlenEg7CD6BByh yiLGIjoI+v6rTqg1NJtz5hXTrdQzctBr4ap2cltSALTRNcvSLCXNHHGlEvT12yGFiDs7oBzDErgT At5sauTNWmXt/9b2S8nl4twYFs70x6v2lNBIWVKxip2GM3h+dLr/x8swQlh5jwIyH1sQCvX+r3IC IDf5Rc9nFxjLT0r1XdYbRtOdaS0wYzAtlmEiyalyn1F8UO6SFk8hPW/xThSQurzrT4pmKB5b48Ih 8WcNDBkg15VWwjJeFtaDTpoIDNJhy2Ftzf070O4Z/R0/K4v9JosfrCyNnq1QcP/6TtMaXWxWTKL0 IihpAqNYZum89fUM8ACUzcc6URxDneQiSQ4fT0toIsamXNSBBBExQ8kmXG7K7rkoWFMw30LGXFGO J/yVzmcITWbCniztz3koR6cARAJL+vTnhdLII+pZhiRYXhcZYqLRSC2mnoMPEIzsZeEsawm/kzaB bktpF1UHxNVDgM23E44ncnAhuGxcaOapXdsm/Z95Z2GdXznb8pZY3Cbrf0feYYVWj9R9BL8LJCb+ p8p3AYGgmwp1KhZbhVSRyTMq5bYiMAK04/ZPA74nSIsyk29QhjBB5fPzoauQeM4T4NCvsmZqjdUI i5h6A6hqzDmDBqTxnqTETrEiEiF6eS0wvAw1umtLc1p70DungAo34ZPdRhgQDOOgoXojZLcTSIAK /seRPSOUmL1gCzFowO3tZRfhbwZjTiZ+K00oQeBhkouPO/uQ5qBh5X9vSjPlMR13nXfahRcvpv3O T8U1CH0EMh/a4/2p+SZ0WxFD+du//A7ipURyZf+ZIW69be654x/+kEtpMdK1u5Gzkws97KrThElk 8BnpdbVKFPGAiIhYHwqOhpTEYK/XfDs5556Gyf330iUJgAgo7M4F3xUdIgF3HXpDPCKgo4b+7/nq gAZq5wVrQaMtWkv5qQzrHpzISCYK3yPYHoZGESrvM5r1QxorDQxqOo7Zd3wthOgBhOFro4ukAK/z +o8JTh1FfLiX1Ftkc1909Uj7hZEwngbi3QuYYvCLEdIdrliv5LF6iETf8dKiGCE4n3NfZwGoWr5n FdklhFUIjI3dWOl9mULLdo6gDsRSOj1Dw3AGnHk7DURGqGCzaFNtoDBRIvd+3wsyLvnjLHjPW5k3 vDQ5c/Q9av7twPnt8WFcMx+/EocK9rMOemfLmr59x65lgRWwqVzZjiGPyWDmuNDhxfgq7CoQk2xP 00eNVV/IFByUET+4hKBh5Qf6In8tNP/S1/HFqYZ88U1XiM3N2kBOSZzYZ1GSn/rN+jB82dFKA6rq x9GauioC9QwuOgvzN38TYeF146RwCQntge2tMO141SFnoUyWFI95yDnJayFQNQsixinUPVXzuz5P Q8069LfhF6CXQ/HwdZ4nbQ9OLYsp8eS6cqwBS9zloWOWPF2dc1qgv/FnnqBTsm8n5D1i1gaSBmYG 6IA+OCYkK7AJFnHPKy9o5c3PagiQ7G2K2sp1wfcaxR0Ohus/1U1/W1dBo67OmBOJc/k97wSpeYo5 zqfFSNt68PdYBooOg/i5VUEoYMBKJAGMXTdirKrxcRMlpO0NFOzEwKZY6zqJhZ8B/MGRSzmvioOt KaVmwx8oFcSm/mnTWHlO6xdcStX6sp/INN3BaG8OjKTpeQZtkeppZ7vTu0NmlAbIwq8ct0iP4tvy kkrXkZvWVg9wxdBvrQUxQM0JuDrnHb8UjBgrESfsGfaEVu4vE/Qonema/n7hWOdxWAqFfjKM83wp 86tWR85MslbLTA1eoWDBml/cJoq5GgQ1tHCgJ+ty24Ty1EaaKRs4hE4jlcpFkwC/KgJ6Fuxi8sE2 +9Noj0krIpxpYcjFK9fjX1aWqLBNDDOBfEAgwIKJuLLJOQDZ3b3ByaVHZLDF6vSmuQ8fb94NYErX OZFaKVNQK7xl4i1Nor6b/D+88YWHFUu4DzVqojYT2YO0mizk0RCLRKDYcTF9BlS+/SM1dnLc/gt2 CkfO15ouQ5bGJFo9HMz8JnWZ0qBltJBQ3w2fpeQrsbTgOf8NO075Ijt9JrUTTIUcTn8ex0W5qZv0 WKZE7KvOnWHMLXZmN1mrwgRL5Tv2KAx+4qpHvgK0xSDlGPDgIH/YCv/z+ET8I0c7xJZ2rTm6xgSp /O1lf+7ADgtFrngWzZHyE/0eA8Nzosr+XfwCBUs0ouVYY84DyI6CU+SiCP6tVDd5OJRkqnP1n0rc iKXcvcrXUXPujdL/Tx5x7a+aaoD0SiyLKflRHgzsXa1Ffh3fphGicX0P0UvIMkLS3K7/fZRpM/gt gm8FA0VfOBoDOqMK5OWajdmgAdoDhQ8XUeI3FpJlVdFs6mLVOezr1MPUlgL1ihx7boWKV7fX5oAD CtbILrewYxEkuBu34Xi1XQ8A3jAANHl8CHraHXrpagqjS2hcuvZmnHaz+OAEMplY7mihpZm/td8m +7MYfiCbptRWrrC59iYpm9qoyFEK26vFKCVcHDQFtrTw7BghLSJMswq2mmFsoWkR9XmFWi7ZyTe3 a2LTbhXJRnNJYA0Q/7eA0r5FDCw4wWvHTg2P7H5kZjhBmXNW+EKHuE7gV//Y1UfDfXEF88rlTBOs xg0BUHQ9Kiqs+Pq2kWYTq1EQOAwtqKDGk1+30wTVAazinajef02uoTM8pVe3PaXumLoSooiIUw6R oZcy1rvHGqHIBpzytux5obZ8Ls4VAAQ6c9+YTYFCiasLyizKsozcgLETp5PYHyEQI9RYhKROeGWg T/ADFiQJWI0wybWctqmNuWPdOblYRKn7geKGLE/Idx3aomF4k1vM21uLc58uLphfYkL4JVaW/bQg Nf1Eai5tjaB9yyDsE4t77z7S24F83sJQg1g3CE0jVApMPHi58GVQI8PXaM1RD25kleMV7HxQHDsI k735D5fHDhX7fW9tv+ym6ItUeVshxnacUOfLvtU2AjIlQXhCDJLtqPKQ8rQTC4mJfbnMf9egssHE rYXijaPhC78iKJDz7hLkMOm7+GAKTEE6PbmQYZAZ9PVs1QyFWZQ5HL9aSMXrA3Kb0XCen8uMciGH 7yPB6QvKUms1DhzSxqtzFHGC6Iodn2FH+tzP4jrsAhdAkqh0mR/fDwOe9skHt+WA4izf0CxezOR6 CA+niKRudmIrVKCJLtZ+HzzowD0n4AnjJo/Kx2Gm4ruEVALqaJySzl1+PWihGPXiy/E2QBJPfT0o WKT1JlPOMZPsukfFarBeMH8qnfGxksoAXojlk1xkdcoRhAm5PaxBtg8biKsM/VCPoHo60Lguur6/ 1w48GZ7lVTYkDopc3BP3Q2V44csW8A18q/PnS26i7ElSyqMXkfaQnpV2jrntcsPcs1aPUHdX9oya Plx6oMI3T0q2HxL97qY0mQuNJ6YoaBmIOXZ1ZZS3obIdtRs5mRv35N+XX2h6r5hPu+aBaFi3XyUX s1rs3wSSa3mS7r4qF2WizXrP8xDZxOlxFzM5bgzkjc1LSCpQdseJ4ouyOMpMhVRu+r4FSFM6A8+H 6Kv59LyHwK7by7s2RzNCREQ1YcZql1XELb+AFGAnFnh0k/GVYtIocMseQ8v3ZbidCkVyzdvoqQJc ZCrg2HieSvMZQX21CR1fC4HaPAlFYkVtbmacWy8NZyQruDHL2e4v180nUIOnvAf/THs89tTtaXyL zrwRQ9CQQ68z10cks+kOYPZzFwhUTRw051RpyNfpzGYT7poRc4agdXPcYFWiNfiFjINdyg83SZJ7 wkqHpaiqhNIjKNeca0t6ErA/6A7AY0hhIuRqHrirNaeJ5uZh6eCLBgUFlk+bTcx7lHm+Srhj6A44 cx19+xtVlpcO4SbSYcBn9sMNaz5doifq+mvFvgfNtNaAMQhY5pTTmGd8IKGy7nVh0a3rd+plagxw O/7ToKjqR1UmpVMcr3VUjZTxd0D5GfbWkOsX2MCQDfYy81zLFckZKUxi5++7aUuV6eAWAL35tbxI KZ8bKGCSzhodr7/YrNS/eOXirz2JHFAlUZdJGHBO0wKUQCaGvfakGUJTyOPjzRpdcMREtV6poxh3 u7XT5dCq3+ScBAvabYOrrLkiAYZJzMkVerB1096VZjpaLUamghEsInAY4bcObV+39sADO0zDRtsa di+4CQ7e5AuZ8TP9itxqQLiox0hUvGBXasDayzIPk+cbkjI74COeDcqkkbG1KKE6sNcZYDmalJ41 Y5tlKlMNpTCG9zg3pTXGdf79/uV2qmcJiW5DpgquKAO5I/kqF8pJOWcpZXcjQOnRWXXrHNllikV7 Wg2VrZrtViHBWCWrHJMnjUPMAlSFSizaRb6c83VHx8XUJVDuwGoOkQ7sqzZ55oY+HVXLqPQj11UD V8hP4gI4VilGwpWUy0QAq/Dw/mEnccszNjEApLZ6cqQV6bydstnrE3vZ3AV8gnGAGRJCMXBoH6jK rcheg+wtkEopRSjUCC7Q13rm92NBzNeX7dc/Y52nxjNh02Og1/YgRnLYzhjabjcWOTNdH29nYkBp xQ6hSacUJynhuiNbDyRqCPnM33r06fs+xrgt86bzy4mL6ScfoPpw0/dvSnFFgIOakIFi7NzorwwT wOVopPnpFDNRG+y7H4J9E6GiDS6hKibqdBLi1KkoZpEsplVfHjDr5fSNZTxDZbF+pyKc0jqtcY3g iZjBIA40CurrqIJJahPkXBytBw+55nC7FXIoo0+TV2KuLXUHWUQSsaLD59V2YXEHfnVooUoMhr0U y8JOxFamYz80CO39GPnXsR2ic1awp2OyMFk1/ydGGf914z2Lrdn5/MINqZI6OLrY4A6j7byknBxI lqVb6dHOBWchOJRRvz1Lcej0mgRJEcu6+tHOLUi+DxYiyjc+LnD2hcryMtu+aWv2IrtIy5kOQVQd 9FkljMY5GRsInw9nPvimWcrdzB8TzLL7n0DUd3LYEvf6/HUm5Ns3v/nFBVWacDo9+8lvRgHcA9NJ XufU2H1YJcR7ZIxE9i9gGHJmIzvUJQzFaP5gXI1Rlq4uOfe4KfHUVRh17u1h8a3alH86Y1A+jVsQ sITTWgGy2cyp14mqVxbUUMJ7aZnkpujbTn8wUy8YK9+A67bNpL2deWeAHyElGEgbpqnzTy+P5fZv l1xn9NJc11rCqYY33WcbaXfXEV6LYDyb1jjG2sgvxbxwOMDfwVXB7Piag/ea30SwYkLvGrMpNpFO XKyZwK99t75lMqyXAP0n3GI2xZg0un8zetdz8O5GPD4o8AJzkPNc4Fs8W/TfkptDRMqacg3I46Ma tg2ftFbVPJYCmlH5ViRO+1ffAZgzSSwxjzBQHRor/uyj4vwO6IDmMGwL0BFnrjPrb1AATFbGkTxD DrYpp3wWSi0qkl81khgZyAns2JCYCviRSM/jAcloXgTYiHm5p3qGcg6ZqTYaurGZixQCGQpEv2b/ 6Lu85Wi+yVgUMQR9srtVasGFidIP9kBt6kNaxVmAZRHdxeVwTCckB+rnXRBv6Eewkd0qQCQp94yc 8rMt6cp++bE3O+xd3G08o2tMeGB3IT7b5SOCOov5UgktAWkH6CiutIaW68eZjTw7Iljpg0EEFihj bYyhfwV6pi6uoZB9ARFdCHTc+H1sAPC5WYptWire1ZmCuJ0bVWH8KhXtFCF1CrGAimijOoqHs+Qg WtsW3tSyEUmJQaI2+/soqy451xowi6OTjQcfyr0EptcJ5XYKiXTXvNkfZ9H7/RJRjhc9df+QkHxJ cCT76S+uEk+2VU/RH/fY2hectEbw+ttt7DUQJml2KXdhjf5RFURcSwHGgr4XOKiRL4EPU2BmjhE1 mo3yIMsd1RASCqK80hWrA9tpQkcY6tAtc3ut9TchBzd2F4UMGhnPVGtHwmQcyS3lt/Qx0xYU7P+a DkcVz1pGwEdJWNnVrgo3NEn+E17Yryys/gBsJFYwFf4kdK6ZvLLtUNWq/zj08YXVfMbwNNQwQEuh eNtZYspr80j4lv/66TZVqSJEb+RLr9rt33L8XHt+Jz23kEkNGwNYuRkSK/eLaY8TUC2a3gdXrYVS Kz/uESecqgWJfTXaxjs4IIWjuptJtrvjJ9OXCpFH/aC7odDnGLZYu7/v5Q3PgABDJRvIExD4uLix nqw/qcx5MTSCNNwVtqexjJg9Sn3GCyvrVIFYOusgyMqVAI16f+2yrkA5y4A5UW9ZlElyOifCPif9 p+OhkS6xkKOorUQC68RC9frPojnp7CVleA10F9hQIbaXysLswD5PRmo1zK6igDvnFC+jU4regOvK trXKs7NwlrXe54E2QE5bwiU7qf2mbd5sodCo1XEMyFG9YCMdLofTrXBIo7DiBzec329NZ7hEvvLT oVRq4UOwikkp8ytExglm140GO0rYnZ354O9UysouAAZbikIvPkkThNZvTNCme/mrnrkIu2yrTFB0 YSfoqc2nLTU5FYAtNpPveJ+c3XHGlIp4nc7HYMra8b6QiHTIY1T9F1mtN0cYRGMrtwRWdmMmEPLN dC2777D1/4D3rsq687/nFMR9cR85TOKFzeHS3WdVocR3OAYbA2LYRk+MNt1twB6a1Ktn3AaxQAny fJYe41gFdRz6TSSKxKuNv3SCTofc2d/5osIVE0OlhWEXDOU9YPb2o6asqIS7BmzSi6KOd2BJKlSf rgDcZijDf/Gf07TpY3FLIF9dj3nq5tFw/hETuE8K//WvRSCKVtA6uNQyWKe6och26m6KQmS9iflg 8J/QPbEiqQP5Y1EP+l0WONIpLD2NG3+l/dKwrh4cg6CJRAccZl6Fixp1SVx2loOFu3yZHEB2Tojm uOV3j1aNUU8/k6G6q/fUqxkeqFzShsAeJlgpMPKxHtl5rRkUSBTErD0XAa1o6uBvCY7XE+dP71qm VOjGbkvGVTjkUAXNdAqq8PfUz4x46wZTN1i/p5GLomLKWU8t5pAVp+tDaOU7ijzp8qLui4QaNDU5 U2bRMWIUE8v+OAc3EvVIDM1AyG+u2vZXkZ++lQtCS3eY+HFpk/scNIAN6rNFOT0vw92NEIBOVQgD iXuPOGt2K2HuUoK153LJmj8jmY8VY7fhK4SICBR/TTIGX9QnU86/68IHjWvKukJjhmPzI6NpyZNW tu8e2TuSJo7z04GqXdIZI48xwFsG3oeRJk1GGWXWttcNGOoaNjY5FDpF73m7GHeaq24Ck3rGhese NBSVWHZ9YOnhZXnbKjbMfdhuCh5dBWmqFFORcPZq7+VGaO7xVoOJzLvfkxgsZB6KwDhR1C5FP3H6 T6J6SbhTtJ4WIuWPTaKVnSKPHZlyWmp16XJIWaT56uwD2ni48/wi0+js0OqXsMMbs8L7j7SVQQvB 4pzB1L/pS/bqIW5Ds4TAyOG2Ph1uEAk6bCgueSVuiyOU702QuNHUaVlPgbQ7a1AEFYZdt0IbDPJg cpunTPJbEFT5kBAhssSXN2vJfCxRtpxMCxpkT9yVoGkXWqEcktVNnxRqm6nxUwyzKLyxDpv3Ly2z DRCQVJ/2qrJaRiEICCXuz3nN3AMv/uMRqRgh7yjuGEjabUQ+6ViSYm9yO+g0rP3pkTnfY7mP6PWE ao79YMp0eQe1hMSULu0RGGzWaxLYoVCXWNYHdg6eqqxD70SwvzugbIO3eEs9OtzINoRZjtsHKrJQ x9YctAeSvWBcg95/F4jDfLdbCjP5e/MN+il58Dc2q1F1hd0wK83Gq3X5Xv2DUqTgzsJ5e51li+Ug nPjt20mrBhbmXKuyh83bg23voWJZkZnoqo5WqmLIP66TqO9TPR7ZB7v5LMjr6Oy1ldUN6GS8v+DR eKSxgg7qGQ/BkQGLuYM2pPsAkBc8R2TSDia2fOnBQN+RWc3JJyTmQW9nwQcedVQafCq97SW8kG3e w8Bo7RBcmD90q2Biiq4IMZA4n0mLaP5furn44UYI1FRsSLK0a+2+dBvfox1u3bwunO+xuKISv3l3 3ui61WqtB5oJWm3rKDxTSPI/RQoCVFbslFsWKLQWWU7YLGjSsP/JIjmAFVJ0GdMgUtH4A+6PYuw7 nYiu0lvxslrznLq2unHr7jP01FHJEwbYHOWxEKwmWGDg5yxgiRnRz0pLI7RnZEqG/FnhONJi5aO6 6/PxX5eJUB3g1IEO+2LXLlX+p/5eLgJAl4X+qBO2We7NcipsOpIk8nRT8cs8A5rxmVeNaoXVs03c 8R9+OAv8tNrys32UqdfD0ua+sZgI6agO133zOW6kzFy8IE9P+aU6fYnhVHoUOW/FTz+onY+zvjVN Cp0app2x41HZmMRnhwTEAYg+qQQ869hUcuoG+pe7fVVy6CKrii/uYNdV0QK3u+JLDTQecPN7YbvA xIQD5gI9+z0uWGF9eq/4OZgRzOAg5JSsJmtuNRRn+1m+cMzqKPqsTdK/4cod6KAuFPqBJG5tPBey +Mi5tbGXKJBL4VQlB2vpAqIDmTjgwINQs2j3Wm3Y/bG1xkwMqCg4oZlQxGNW5kMW1xm7Z8tSyyd5 qysZbOmpU54lGT6ZF85sXZf4c9PPMHsIUPX4ZYKwsQSz+PRCF+aqlSivd+TvIYd+aQsJbh6sON8M DiHBA4MMI7jF5vo9EQv21HCyllJhB/ood7QvZNqJdDnUVCm+l7ySBUa+JcmUsgeE1eMCQcgTo/C0 sStBDoQAbIQNfOAAZNmxix62NpY2kT/v/SiF1J0xGFX6hcKzs05kie3o8oNYbjKibrmNf7qOqjLJ ZiqGXJ+ZkxSlpgJHCPw88SLzjdMNjsuYfe0gJLUb/kb/qqKKeZnMiO6tgLjpJ45x6uu8dWORn0k0 5ehVpmRJ9aFZ0iwRuL2QPRqTW8c9vT3hHaQNrhkckrXzAneLPDfxnxRqxU5c6+YwkJC/RiCsUq5/ iIJuWiSIq11PPHqxgc+g2fhmaGf2zH2/rHN96ET3SKp2evr0l+Wh206Aze8lBWxG187ZACTLzech 7AUDLDw7UyfJuXQQnBHXpLXGFtR73YPqHqPe/qGBtz6Dgb7kQwuhCPHm1sb6LBTgcNh32E7k+ras I6+i3SsSlCH7I5XnjZr4w2iRdqMTZUu4j4C2nR856kZSOduXTXt71XlfV1VywY+Soeow6JEMYEeF NgyWTKuliuBSmvCNbRuXRoHLB2OiA/wy2/CRjeKeDwSQp35h5G6uixoPSves2L0GyYt25eKSKj6k pph4cT4+SPgwytHPoPoOkajMVa3W6vkXaBsX1y1cuzxMhdETgXDUMaOcR9HHM+szv3u1HBUUIk0L c2preqyxK+/qCDgkClIFvZYBjqo3QdU2HHlC2/utHpajpZuVMDdj4K1Tqt05duxJC3tB9e6QT/KF daLoTGUUufNJl8JU30RPnXEWiR/zQbmObqf8gGAPRgcG1a6MUZ+ldwVDJEf1osa9HzaaSg3MOkup dMRPIWuGsehF/itDZw3RKLzYo95iQjnlVkLEdvk8VVabLIMCb9IlaJgQ3p7T9vlPU8k9jEgym5wT 9Tqcy23KOMubc84LLh8mcdibKUTxARaDBXWUotXW2KNFs2CTOP1gGELQbEvVtyxbqpZAxF31065x PYmhWQx8p/LJ+Kur870L+y+jBt9vd22U0L3KsaRxLQ+hkagKagGECRcwLrMpPARE3M+gema6fudR d2AyFzeELC5RP8mzJeAlSx8y7FhekSt1/0yrlwB+zsFyIHqurNdvBHhcKkvGUa6/vhhrCkOiKxgI hmvSl3jjx7gkXRejAxjn2Q1vmkRN5IUnmWKSfrLIZP22KHqX3evcAi7TniBE8RGXv7b4hsAWmv5i HNMugW79N9nLkMvDlfzZEgVlJ90MKvcCyz7HLZk+NTRyj2ymv8qDpVBXE6fzxDqtnkdqa6c1X8Ma ZwgPTcBgkyq3KQfUfFAlW1C2UN8MFjahY9lKpLaxGIv9B5QEBnogbGCtBJaco93Shcbz/4w+8fck VONXUkJZm5I5kDTsToE3kbegmwLEvsJ9GVvmaf1KVkrFU6EeZ++VROd/zOXkwswVB66L+CL/EtpE sbmeSje9fQRLkHpB17qWdpX7Qr4eCpGOUhRt4NYNDxZHD/Guf4EO76lKFVqLgFsLeUkxVxVhdsd0 w2WC1w4E9UBR/P3QfU2VPnRRe5z4mjXOIZffhULAtzwTChQGFXR9wkO1ZbZaws0THYUkan/SCDMh DHMrtzD770Kt8ZJx0fBwIcERmR2WD3cdW7LtgmFoFNP56KtSncuTnCKmoaTe5nNSYTO21Ns22psk WtE/LmcMoRfruYryM81Mw9dbUsWk5ggS7MeNktb/FsTXMxU0COKXdmVXlbElDeP6Q3gcz/YEj/K7 2EnYmKRxqnwhNIfgNsUDKOoCsKuum7k+ucV77cASub3SoNdfMFrt5AMBMvQFeMiTsH+4mR5FEQxC TFcwkzcYSweAyase6ROLDeOlcKQnkQ8RSHpnCLXC0k2IrI7lE/CfXILCsoTo67/W6qen7H55vQEL apq/5F/fwSufi+lNrNQRSHMm4LvI53+RtyJlA7mDtJCyTkSZudGk0jWqcqAgNf4PSSP2fLpeWfRY 8BV85M/86Y6aenuAnQCSPq6LxJsPweudL2kJWu5WnSeWpwtIt3lzP3Za7Cd/XZa9jVRkEFm8pECA EwcfZXJUFRwLMDzANHQAIkAnMhT7AYYMdv4X7plQbK5SulY+6dIklGPXO4ZpU/Y09i2dH/TXd8zX 8Qf+KI6PEe8XyyjMtQ49f+IwGedxuj4kAof0H7ijy29dpv1LNzbVnZAxClmV8P3BzDPqKcR2vw64 vfWCH78Hf8aJoP+5pFd4nPbxvwh/V0x7U1T3GKU92Vs0ETUiv40xFA7NYE5SloBMe6AttBrWROq1 Ljk11sU7QpWuPB5J1LvnT9ORfB92oYSwNNhW/FS6iIhVGpf3PDlj0Q5/AyHMqGF9N3OFLUfj1CsJ sWtOghMYbC4pI2/PuidbMnjcXo0orYCZkgt4t3VZ29sCEcaFczbF5wY8x5SA6nkkLqXbtLXc7QW2 ZyIXHB1NpP+yE84TQ/L3p671aFyHlZJcywuGtQmxnTjtrUhs9quMSqJbbcQM+xIUEthfsNexYxY5 dBlU9sEOcmbnHCRMDgeHwpIUQCgFlDGplpLmG3HdN0OSMK5AFp2zW/ko+nMxh8A8pRdvH7J17ZDz m6xtzM9J1kdJSfZviKXWb9og3cdbqmBMkyp+t90N2miMCd6gq5fgBspeDSVP7FOc9H85eaOwfexm eOUmLcTCY/f366WX+VF+47PJsLewmO7TA6pCAVMEHhLqkG0xxpMmfrqwIu3spEd9VZIbE7B4hFud TXYtRwFMhqmennKiWWY+bP1RS5F8H5qDPALvIeUTzfQ1ZKuW9MNUO/AanP8RSbwccugufVQy+QuJ SNmCIW6rc6DdZ8xfJdLun6WUSS03XogZYqu54dTLQ+CUt2M2Agtje9CI8zGiFWklndOD+4sj7HJ4 aKhog0HaMSD2l9mlzDIv2JKqL+rzK6vnipphzfCVqc5SnZYyHwBam8D7gMHP5jmgBG/mDrTIRDPz L5eoIJyxejeZXX8F5aaMP5Qf3qAgxLrboenKt/dex2ZOV38qUqjlxtDPNvLfbVij+Z5tlZpb62e8 MyEdgnoz5Q4mqE+POlDYrxppqTsSiAwXkQWGO5n0sr1H0z7Qvq8mHYfjleAI2sqkQb541gJ+W+M6 oM7+Y5ge03Lkue0iZrr8o3bFpoVvcd+ZjcLcqaIDBl2Qr+nil2TQw2iO0/PlGoGDTWlYa1+JtA/X oQvxAd0lEd8eYjqT3WdVGC84xaS+jyDsv58+HfXWc3bn0OdlIBYa/wYStx/ke2Dy+Vyak/TFXKui mGcPMDYtuiN2Xd1imUzus5VzW34L1TUZq1Ynm3566trau7Mws9aFLun9+95E14rqCHRI4aAm29Ph R3yIMt22Vv1xnvwG+e44iyLNZ7IEZLaMIBDCBOZSU6r3VFtNpDk0qa9xwGFj6vowpAAwR4oKHj0d qq8IuBdpA021sVh+XoAfAkqxj0pE3X66EKiQqffS/IQv1HfTuYu+wCEBhtEdKJVuh2du+u/33f42 Dh+zN37Kf5koF7aKpLE1ftUcXFlrqP6rh1M6awIa5lHVkNBlC/PwAinjCTBE0KirAvF5NT4oNlBk /4fgeBCQ8gB/AhTFe+cl2wIVlCLSW8IMWEvVSYzGidksRE9ESDdCFTFwzSTOFVj38QC7A7dwqHQP F1A+x7kNG+qLDPeqk4fAU2ljAAMnbtIN3zSoj8i1VSCVg+Rk8wVYJNaTe5sqpr0bgmFK8+J6E/3n ds1Gshao/Y+Y1ty2BYki23zTJzQeOA/IzxrYsfJgEsFS+NPE4AfggsRVth+rGRvqmG/uCqp201Nh ijlacMMcnxtFNYHtMEUl8f1S/kxvC+0yhIoNIK4+eMUODaWgY1V4ssOD83qcdg5PvLcgc/89bEVL xz4njyAA3IEjtIqN7OEzYNc6B+QgbCA13km6eFeIJzY9xJ3q8pccxhDj+rCSu5KVgfUp6xpHJr+q rA4HLQQGm4+KJrKHOpb7sTNDjXJXTgHGYoCygfPOVFFxOcf+xDmS8O4+j+LcKnZMrdKuhls6zmve JIBxVQtvJ9OMjx8wCZfivBN48cKssIXoeuxUuDtfmCZ90/42tkrgZmmlezwYCONUpEdBaqkBMYjx qZHR98HQApPpdjpz47jNwey0FmdI3QhK5/1T0ZMa2v53+gikiO8D3Fq30i32Iko1CmICd3ahkNwP hb4RTn9hJWIQX1kk0TPNc0fittsAND1HkAqKPDrr5AAk/EG3yKZqQW+OvDoK8zinVNTJDnvb8JJ5 BK6Egf8ZBUeNyH0kjRqx7y6AVShH4iEc6aa8U+l4xkbyVXlP2SyV5j4UlgeBwt5nUvObtQ97IyRj QYpkzshZhXJobXGqzGqc6dBFArXczS/QLWYh5DXtoZUB6HU8Yrbjij/r3GfboN8Q4DGt0IdnDWIf nP/tTCQOat5hxyQ5kYb/w4+mYjBh5D9tRVMKXY4wFXZ51tX11vMQfHKIN8CLmluzu8m3SY48ifl7 RXHY6oUe9Dk9D20629K4bXm/aW1urKdq/F95CsGr/PSollIWbpVefI7+TUsjO1OmnwgWh2B/uAr1 fUlq54cRPkaYgfj8QuVrbcXeOF/bdXjlJVd2dOjKqJwJj1hw9xZ92BvGa5HKNbOUjXCidDTGla/+ rdIvvm5f0K3yTRn+WmF4VSdUFB+UH7ijZAUYTi4VtzOxm1wofdH2Aw4n5ePO6MKp09diAiarkSyK +pQcNzn/ac5ezNXeyXNSIKFnU71ag3S18y+/WRXt99NPrBPKnFbTOIjEXNJiPe1Z7RN2gKe1lxnU inSjsgft2rIutux9oiyURnvdeGraLyhROHg2wl4VR5yt+BsUb8YKcCpOG2TlRrepsCajTMVMBku+ +E534vZFOTTN//+0G5kQxHCEn1odXz2FFPyZy1kSIAdWFO14eYGlii390JB7MF923ZU5bmh4M7Vm VNZ+Ng21Mg1K8cZv4zDr2xCOxnHH92s60w172iXE49mfnOUD/arxvcTPC66qOoHE9s5PWX/+xwlM LZyFzGQREfsEEBxjkpAboSN+hIrtDBjcA7CGsSfSbniCSL8+Nv9njySHzr+Rf55vhCUsMHsW6vWC rOZs+PPChIqqutTHIDD6d2XY2u1Kvd7k0OK9J+IcrZ6a1lA/OPe/+vOAcaLpFUjOI9LLirHnStV2 V3MbGHyLtyZZ39inCYBCNd9kJllHYoOSzsZogjgkVj4sc4nMrsjR22qEKx0Ygr/XVHCOC3l/Ge/d OUOg72Z2tNteWAl2312GHaOXZB5iu/GyhGHbK1lwE8UiY/Kk9vypkEwJi9uqXGoBu8F3gnSToQ+o Po63vovOexPGGOHYq3zF9NheavVBgm/yrFA8Fg77OmZ4WfCUfP5th2QXcrA4rEvAS+xlMd3Z/crE 6uusW0FKzHcH1M4bWVaX8YWM3QeaPhTryeggWDH9J2NgreB31RSGcALZ3rCmpsZRyo5P4BMRrg0d B1l0EAxomMKeYPl2TEyMtMNr4LDuV+JFJBvbPbVmOtSiQXAvJYjvyXW4cPs7+mAu2vaPVKnhgdS+ 1zF5/eHdyzpO93XdixwEpLzwrmQ0Nzi3jBmAcf4c0OGtqXJj0ZceM88/qT1WwO06f41CIZ/kioc7 aZAYdytW/vd+g+YHWOTprCCLPs+IP2V9XGq5iRkkeoxeWiYo4c9cCKni/MGKUJs/tQxeZhERsXRV WUNCw375fJuOadSYFjDd3R+de48YuvNd3KuZB+Aup92WLk60Bje9YzUYUoMsAc91hoG4E5ZdCXPi QTZeICcMoBpN3Uht8jOJV1X6vMOnhIhLqds5Em6aEydJdzwCh8aIWTUFcsoo/9qtl+VWuzFr3h9g F1XjRmAHXA1TjROhjPTh58r+Dt/7mT8VKoJ1XpCHSEifIUIA7HIsrWTacD9xDpp3ewth+ByienmE R+GiP5bF7hmGp2/BO2k3B+22IgJCyS1I16RO5y2MBKSwe5y7OFW6kuh3/Fyv7RaFdSIcCMNoYByq keAFlgi0uyE4aoyoIAGUsIEzzCrWo3gqHbh6KX3wY7VVni/ouaiqXN0fJWlQ5MG8g4b/cKav+UBb gyOp7MeOh46kbZcqbLyXr7BVnEx1WXl2s8SfF1JDAqxzpUxQvl/yMjFP8VURfgmJVZnv0hmdHxNm D013szseXYj9iLx3WZJa+rkjDFTG+J13EbkrHeKiVq6l4Sd1aNPVWh2NKeum8PDy/iktyn8UY/0I zmYVKjiIsbUqPVIvBAhkZQlYnCIOih3x6f66pSDXPdMAop5jq8tfS2O2oj4FBs7rWQAcoi/faWVf BdHpLi5ilyCTOd2ZgjfgvKubpeHAV4BKr6UzL0SViN2d4iA13AsZvYDtmi4stMMq6UtODgqizTtC T+ejJwR7Nv+6X9Lac321jvlLl5TPyOU+q0zD4yWkPZlnLAy/FC5+NBmykpz3wJT6tf3ZeR+P3c73 SMY2CuzEP/DNMAPwOFF9bqc1de95//RC/WH68e3wWw2c0XExyUP4DPJU8kRPayGfMAZSsGEZa3mL 2z3+6zHqsAEsB4QzDKLp2m7L9VSjj67ggYN68P2fOpHbTgtF+/lM9nA5ETKzsTerg/px2tHbRxUw MK1Ec8T5ylv5/3Twagi4hUi+gznFBtKvP1tlkbca6ylDkgDhGn6r3tueLDfJoXRpq/Suhda5UgpG DCeSaik91mdLIekhOI/11N1TVivgiatYe69mOlS2pchbsMJfq+x16XRPJeQ/RT8BzHAteoOyJq3D awB7b9+VfH3FZOU6MMYCvFZ0m6tXQ2RD5amx+jttR17gp4zGZAGPY7Q6a7yIdI+3pRXC+3ZwGBZE 6Gk8QAv73iEfuTYZopIFLhW3jbCOJvDs9aFJBpn8cAsW8AaYzYwa4bPGyg8b6yTUVOxvQvOjYeWq IKMahqD0qfeojYt+uKIJQywdi4DIEhDFK0kFbbuwt7xRuMMMk66eVukhs5oqiaqctQXcnyxwltMO q+f6Q5xsG5S+R2w6KHPBDxy39QD09iXB3xQNjxgUhXA5aBrTJDWF+F5lHeKSfNSvM+7RHxpL6AvY +/97QpMlZwGKG+1ONVV+PmUmDRgyeFmjOvEjilC6DQ6W/P4UZYpMPbfiFqoL79tZg77SRDoUcKCF hN0warGs+EHcNXqnVl6JEtbUmUKzkW8vrfkQ1GiQSivQLDgcSgP2Pk/VrIGT3t5lWhfNYMfLX2aZ BGeJgPv/dqz0m2jd9IsduK1USdpiyeK6DnjJw0uP7co9J3gZPmn77PLnZhK/RzwZepaE1qSZ3a5+ E/9PxXS1Av+PPcaUO6oExVJjpoBJl9AifknbLP6AeCZ4dqAhv/9WMr6rBNnfQo2BxqVMcYq7XIgS ym3Ljba1PizJmxXuLJdts1T0HN7R64FeHm7wMn5S/5CuzR6a9vIZqrBLISTm6CuPvn6IcNBmzdBu oAr5eEjM7xermgJbGkzoEGnlwdbjXgKk/S4i8yOu40aYeDqMf3bQCf2F1S0yT1NiZAA3DmJghGe1 BsWk9ZGlA8X4NKYOpgOR5MZjId5eMbOWakaVEaa7mSgoL143VM+xAcJxL03qrbMcYBtWRD9jD9m8 Abg0zxVvMhJw5FA6vrNbFKgyi1RvyxBKxq6uEMOPMQV07Zb8RyiYHbpyQge6/YraA6vdJlA1XxkN 9N91N0PVdC2fwhScqyNxBcujSMENBoeuUCIny3UzvsCYy6gJxzHt4ISdDVU3gaTMwdd6uF2s67Qu pAkAm/tqoiHBQRzmyZJs5MgZGf3Olgsc5LSEk/sofviAlHvP+gBb3iPKQlQp74Pkm/qTm5vy8Ffk h8zeQg0m8LXX1XnGOxZU/QdQz9sq1scObrhCxgxGGUaekX2DgVGUXFcMPVK2fH5VzMQOmcXxfj5S YBC0y0VO1YF0ywY7D8VbOHf+GeZPCAtd1IfnanTbAcbGCX0pYBHzUHyM4JvjDdRyglRMzsq3BIrL 7+e+1Ti+zq/4sStzb6sugZZyr8K95QFHxU5oFJZWqxs3yNeCNX2A/+YEUXBtVv2IpZOl94sacZfE G1TkHqjonWX4bLz/BVGwVPeTW2G64whFSa+B5nmnDGairfnuOQ8ICBa/TCiefC9bMEKv7pArkLxo pyzpqr2eN1jZ/XFtc+fEjGYGdmS7WIqbfCffZrkTmduGVrNzWi5Ze9NxdzONpq1/EVw0PBI0+VN5 OrGPvY5loQTAMOo/mn/Vxbhe5wrSzzI/DC4wPUZ6Uz/dJDSHTuLlaTbXtM1j1pHnimf6/cZcJjFU tNaUyx93SrzjYquDbYOUZC//DIOzdERBEJoNo2ZEWZ6DfzybQmrIYrXumBF2jL8RL8n0v+HIpCKI RicgXMLMWws/YVZ1NhSsUXz/ESkTmzDGliHqTy4IHDpR1xKnK+xqm4hCLgL5Xkm6SW4cUAPK6LAr ynoDd1W6jhyw1zlVJ21O1yDg0Nd/6oI/HOP3OwrVH7cZFXBWfRY8DnG/TOjeSuvOcdYyiAtcVHkv K+0/6p5oXrrNYAk68FmCWPEaMOqtjEh7ib/9zGnVg/JaVzMzdU7wXOr0ojrBItdifGhGNzFntK2l 9pGzImZQuwRtLYXeit1Gv+hMWVB4eJndIQ5DJp/yVDuKyr7HWnU7IFeDaGMU2m4RfcNiOhmqoy3i uJMYBlNuwfZiTZdVjiVtqLEudgy8xp4hdYg3yvRcHBB/AIANLw6iTjtqIHy+ApUVPC9LBDhCdbk/ 7DCkNgBRDfJhFquIoXWdQQrXcFFWdHA+AF8BQUSRYVFLaZXBLTaSGZqX2U5lMQN2y7REf3J25q9L PRhlGazvw94oUm+BMpGcxt1Mqh9bGb2RSBuyE8StSYJ9iOIiZ/AumQIe7yxC3s8eGdXymy9dtGdl z1eEfZ3vjjZNRaZ2r+6fWiVnKIDwabMI0sIXLdenzqwmCQXVl6Ori90SavoySPabGZGLKEgx7ZNi dUA5RExRlDhenXUymXmqFhVgYBUVVTPwYd2w8olVb1Dyw4ba8Tb9cvfD51Rk4gISvPPxpVyieEPI yqkIjNxOmh8wxQNA2lRAZAVZ2kLB4EMJ4tbotELOl1Ne7yMIgEWvicwYTasTrAE+nY7PYGXmKJYt FDewqhSKSEyb2hUB1WEAf3lYZiKoq7wK2bItLkw27MUZ/P907+AvUlGHXrUfXioabsBsYIVWRbsM pFBKA85SUAv9UetkJIwXr6QzBpruU1ObFHlhKU9skqi8s8cEYbH3iMJuAa0ddkW979sng5mxxGwa HSS2DRv4cuclGtnBk+v+6EUgbNAoL7n8JzkuDol8Uq7IsA2s53lHQduwCbX801yeCgjkomLYf8J+ ljt82YlUvsiUYU6YU+WdvcZ2tm27SDroES0fSSew9yyjMd7ZNwFr8U8Bar4TJS2rVicyC4mUeWkc dk72wtDFD5KpGzvGs85X/gbSEZtdhG0e3xkQCHV/9zz9V8DCu3BIzlJiyXjIup60h9fLNM3+TXiy w2PeFn1aM3x1VgOUUowfucZTmscbupcfv9m0oF9JhwP+vEgNV479SCihSx63WSJU1QBYt6BZ8yLZ G64CXafjXsehCh1dWkMx/Qnr/xrtHu+k+fKrrbGUtY6Z6as3nQl4ydGwONYfwLKK0K9vtu44KUO3 Pg8ur+pjbXrZDrIQsNfmYozYjumSpq1fLKG9s8KzCLyubaIBqissssRCv9ro86P0DSoYWSXNZ4wy 1gV5vl6ZnikJGrn7KTxA59m6YUjyK6dKbAoWZ9dM+cWZBB2snD99O2H+Rkc9aynU8vqqjmBPO5DD 6Lk7uXvkLeU+hSJul3zp67qChTlAUiWLjgnsPwF6HIcw0wYUtMuqHJLQxjbJUzgEwM3XvC6xIZEO aZiBzHn5qITm1CrFEp1LYDE89dMtvYOz4oLd+OE0x5FSBdMyuiUvDsEJ/MZ74uq57ET5QkhXbNPy a+rdfhNPjwnNRrSfFZ7osJFI7YvM3cIFGAbZMqC5LQNRupYS2MyEqHY1UcKskxCdlRg5c+3MiQ5B WEd4Kn3dexzaJnyzQOqY+EU+fq6rjwcwwCbBPKYB/Z1oWgeTPVW0YGYZnNBOVKkA83i0jIE42JsR FSVISOZ5SQgEiF4tjldgH6z+tcR5gqKbxONDYiYH7J0P/MAMG868jg6rphMJuobnv1nu7MER8NIS TMMnVo7cSaKzj2BT/XkpJavtV/a+udt/grN1NJsVTR8sZVR6B8g6qpHECEEqfPoB/fTA9H2WqQtC XOIHfxCm6Dhzxr9JAVV8XIP7BhAXNDHaBTeP0aRt/jMhtZAUCfREFt8MtAJlxFzlLSUjt+I4YahG 9wLLGl4dsy2Eg4HNS89WzPzrSvAgC9tGQJXl8T814XJ4/cHqYE1JOv0+u6UolfjHQSqCdy377o3g 1H4L+zX8NBrss1Q+dejnAIJLG8Nn+PSEgl8QqzN20QVM1973TdvK3cqphxAx+ZjXqWdtOZbAB/Px WnnVUKNeZtUQTeFuHhT8FjQ85pOeDIWW9M9yijfpdxuLMN9Y5PZ91k2gqU+2iZEONWM5SpTHOA1h 3x5JIOFUtpSLO3YvSLwwx2J0hM7Tb8TwyDgjRO2TnP6+/uQbsqXhToFc8FwwTKisHVRc2pGfnfqa b11LbyrtHFf8hKLI+F8ukkz3VIefAy8Y8AnXEKIRSH9Er/lyzTZP5AGZ1KRjxMzeeb2KQqWiwwx5 4mSybc+2TgNtaakm5oKpgz98uol6uYcBz8pFpxKoa/5K4MxtpR/FdlAkhLlGXfE28M66FuxPZFRR yGBA9ps0Z+PySPnQi1wAE2irNqC4qUrjr0TZx+ueoA3tWs4EpAGv8IGWqJ8V5GWmJqNbRGQKN9c5 Aeb5s1iBIgBh/IfFr3ZfwheTaplucf72VfYuRGJ15b5STWcSnJftgdtHMW4BcnNsqnk/s7wl8Vzl zr+ESJRuISXJmqP4hYJAX3rAhwIq9FFQmdIwqsP1dNRdtOFKuoLiqgBbezDZOVezD5g1Q/mUongg npVUghQ+z52GrV0z7RP9AI90exhc7/MrqB1HIbXST8aC3EMHp0UzJtPEDwXdxmcmbmWSrbJPgg/9 QfsaeybDglbAsWnexOLFB9Ka5XCnUnwdJS4jDLt7vrw/5ae0fpJwWWyAEqdWvDxwbt+fb4NfbCd2 HQBM+fKhLa9US/cMgt0VrRMR+0zhCmLHjZnEMX2YYbA4JikFi8TJ+NevP4Mr7fRgjGTbjMAphZtm Do+68p+3GXsWWSGfkgH/98jfHZzkOpPuZbQNv1UYnnrRY+wK7fPY2dbAL3ai2O8RmIaoTqywY0B9 3OWI5791cPuYvRLeCvMkwTpwqoRSH/b2L3i61si3a7k2WUf0Se87apm9bM5H+iieDGMxrNcekY/V DwDqHTX4OxwoW40+X9CVYNH7DZAc6Uy9NHygohVkXJf/CT9/VF1vona9C1pNMAPkoFqKK1B7/TC8 W/7jrf6gfEfVd3KXkwVXDO1sZ9G2pvOpxdgZ29v91h+B5A+DLzzOSnlKrMhN5bRicahgv7hXdsz7 +1VbUQGJ7ZL1x0lWUG3qOVWFwDzMuhwwQbFS6/+a4yRCpiwZTXxWxxk9kKKYGLMrztJfPlQlTF9W 3c1noYAu3zZxURvLAJhM7LKROtPA7Zkr9H8LIaucd3aOUI09m4qGmdStRJ48qD/6Qc5cINgC4gpt GJTFfwkkMBo/cqNpEzMwZl22yTBduJzFaDj8/cxet5YW1eTVBuVjXhOuzx1Nwgt1i9DNlc8pQrZ3 u3XVN1Cp8bv+CIRl1+fOHppcQFsptt8c12sIpw84xSu/pYDvr75YxqDqRV+Gk4yf7bvc3ipOuMPX 7xG81/8MYAGoclxwDbWV63Mbe8kyWjXmPeipFjr5+axUCCCOdFWGKzls1iE/EIVGfs4WHoSj73jh hf1QnO/ryVQjB6kcR9b7RxjXb583tRG884qs6G0xvvfaFXGM7VqR7jiBjGBPBELjFBQ0mHP3UBK3 N1a3gaG6wmitZWID4ofQY/BIqkzGDpqb87Ascp+yr2f4QTwk0J2KDJvWrvQKJYW4gTXbph2RijCy QOshbIqwP7LRKY2VBd5CUsjWaARR5R5Kt+aKaWfo+eqGqNBgeRF7URw1PNnNO1QqwhEf5LUBwSX7 NTttmdB5elVomS0Qqw076+kJcDzKBgL80nOWK7o2DJRk5bdg4W72ZjsfrjvGHy6AVCjrjEgAcmXE iIoJQGcyGKBjPUvVTSGprKhItrlu0+UKHQNVMB7IVhM5BUqbvEVgzNxaqB7jseyhihRVuV/llqn3 v+/aQTkwyfUCSoPR+qg/F7vYazkZDx0XXDkBPBiBfnoOMFNPH0KtPEypTPpyPeRhOvf4TnN3raaP i0U1bQeucHn9CfRbRA6PFMJQijv3bhWVP8VrUQMlBWcY48Acito6AUPk1ww5eZQEiYKICilTOVI4 iv3FyX+uOCgSd2PtHbFFHWBDNbCcDslSj8WSpyh6ZoBYZOh/8BO6TjBGXpH775CLvPA6zC3aHWNg RkKYIc9NwueX2Bw5SXqd8dpQh5/YjCJUGm2TlcT4K8megf5qqicmLkRTXpgy4Hgdx7p8EN/EdoaZ YMjrxz8rEWfeEXUNu/cfKUOvVISTbpQEujtKeA0mK81IXvDGYc/7UIGy7J1VYwEsLHqbBeqw9ora Hgc3QoFGsQ4F5fJNPQBLETeiBGVPJ2pT4yLAlCVAD2JqrEpqfQIqJ3K38zffo31fwYkfMeAoe9ie z1sB7uTbt1Ya8NPT2Myb7bCWvyHOrFToYlS6RK3UGRSBGdVlGU8svmpM8aZoIAfoCX/IqN/FZ5dd 8EnX3nw8yGWy86IvP+DF5xN18HuBCOJTf+3kZ63W5ALwdEHQzPVpj8/idKrlH1u3CgnB8d+hKCny FjuQiO55UOpVyV64cXjDrw2qrZznXBXZ8LPZN2RLyfEhEWRfc7WqfI+yDTUFkQ+ZXazf9DtEsQIa 967U+Qv+2umoWd1bjQsJ6lidu+GF68PQne4TcHJNCWCPGWTOeBBHGXvYOMr9sAGVTdt0Ldz9lfNk Y32tTNW6nw5LSV9yoiFqpkE7Yi/Mhv5MotoJ7VqgAJZO+yNvCoGmqyJi8OAoM3DUlgeXTDcceM3t jG3goWOWjuA19UEtMXzJ2aYy6MgeN/UB0iuaDjeNQH7t1ijDZ0AoT/n7oA2QaubsMouOEIfPy6/0 SQIa1dVSlAHySHpWLLFeDAXwaZg8Io9dNkPmwmM+nHb/7F8e3Ac5oNyRzSpNoFae56wb+kDWV9k8 IEZxjOZozW0Ryk1XDmrPeIkiyTFB+zG12DUcdc9om87U2WLdXeH/oUsEqK0uzxkwU6CEvhasnEyx DuO1D5F2rbhOjsO/hU6GqaXTPRT6yoAFYSdyLvekXZyV0QGErlup4tO8D5yFNnQuLkml3/TbFmp5 gt0goJ1601Kjtm4m3gdJXKVoqQukmeIhsVLUmiSZ3PxQ9CbHYtW5hPR4mNz2PclR7fd+OMxjILPU UIeKUXFTssY5BuDSUj//h+0NlvgxOsbSCN3iruGBgLzBiCPcbwilyGjVvRe/kXohOX2hOuQaQt/x vI4cX46wrQgvJZWlNxS91lHHX1Z3ePbWjA7C26rPWoHYJjcLsn88WaKZebD9dZbWBp7cc0YAe9kC ZqltC1zRzq9BkXjm4JEs8eguBLgAA7ufJfCqzDKeOrjvYlHjpl4rtZZ570Ss/NoEy2qSMk1tk136 xs6lMGdDxcpshJXq/CVdqxFLGH6ucMPAeOov3rLi/RNWJJ07A+Qj3FvYmZe+d/n1siLn4HFpH9we el2+31Mtws/E1YjOQ9k5lJXcPHvI2/2PDqqh2XGeL5OIjkKuS2BEWbrph8DX6Goz3BfK50kvqSWK R0n0lRrBMSGxDWbeyz5X8JqlYnNk0K/fXVu0dln1gCltlvDGt3pHc/TUMfTTlp+lHtO/5aXib2+X CgoxfzFPrzZHnkt6XgCtp9tZstoJCStJ0BHwRUgQcCyDhFtxVES1Y9S1MO79YwVH7fgI/mBqUiUs d9nQ0rT+QdKE5GskA5hOhRs2/G2NjizmotQhamGPHqP0NiaLL6CmNekv4LAyZc8Onlj/yavnInus +/syEgwqZCkyZeQzAIWfHRsTlb/46iq9s/MxH8nlU3Ksc5cVNUeUVun52U2PwMBPjbK5mn8Hpfku Zrb4XfCG0DpRqwYMewmUCrkHk00gYbxU8esGQFe1iZJV5Z/eUEUOkXGU0eVBs+mvgmOiMB7Coob9 7m+AVwadcwifFujdFJno682tzVRlSxpMi/Ldq261tDjz/Fxb3POUQufw8DN7J5oAHS3jp5HOteX4 PuFNLZ9gDkJs3CtfH/l/pno8loqn2atcSV/0YahKCgUGfdtItZGQ6sIVna8zNoKp0EL4tBGPFvaH aPwCy1bnR4UjIO7G62sgoK5bysC9Q9YTillMD9G6FysjrHK6rV6xe+Axv3Q/Njrk62CQVXMnASjP Stm/5TgESjagw//sZ/xUHlZhXEaiewZlyvUL5kQdnSlmuMYSfKKH+PAWlbWmJFEBNngHIdBSgT8C tX6KqRAJkuiGLI97fgpz443oUsvrSHaRCCwIxWsmH7hrbVs9U+MsdpiDG7rkXzlESTaTe1drSBJp IC6pS0s8hMZkKnYIHAALPPcvseEV4EiyBf2TK8dIJH2q35dHbr10RETwTMbl81UnTTc8jwVStlWe Na5Q7txU4HIH1cJpm6qHaZEn32nbEoyyZk6VGXdxvK3hpJnVH7al7t72JM8Pv13+nMHO6KMW3yA+ 4iqIlz/yOEUX3pQUmawoWz6xtvzGcBig9SIbhCVH4jFaz24PBWw7aX02iSXeDOLeXgXF+3iNfmMV +ztRRFQ65gq83bFxZBr6IjZcqfpdhK7KlskZ21D9vn7endQPXiiOE21Mik8wO0jLPyveW/LcsKe8 C/jAJDytyrqndV5zICTTsmAuCEB/gYql6H3aZaeSeXKZl0rODEWZ8ooTl1JZ3MaulRNlgCxq9/i6 4tL4ekjA0A2hjQOPRNhUZkl7J9bo/f6qwYOGuHjvx/vNHwwBVRfK/Lgg32cu8xCF4GqNBVzNFrRo 2P9jB6gvvAsmp5R5sVNXXbTtYSFo24U8mF1M1KBNUTiwAvqxGfn8ihfmglSPjidRGOVoAOd7oJVN z/DBV8mC/N7h44qwTRmkueD+3TIXXAdJCNhHdPXjNtMHV9MqrQJicqig5t2otHZ9oBru0hhlqRRx P5I0ma5U25/gUxfRq9Ipn2OLfk4c811rm3i6IA+SbmMZnH7N4vCsFI5ZtaC9Qow4p90IeCeJyuok lZT+F1oxNz3mIEQYWJMh75e9SrCjWwDIiMUZ//VlR2sKDGNXmf/SL2L9KzjL9FPvjLFTldrgG0K8 2lb1Md3Wtm7qoeYo2WYPSlFnpWW7L/1YlBBLBPw4xVOewQWry5+mw3KTRLw75HuckzidcniLLES0 FMVzDBO6hzSpn9TGyxTCk2vqSsgmBXenYyvOfKn5Y34U/7/F3fzXWDAbDAprNBM8LygrCZmy0e2E qy2m8Mtr6hXFlS/WPWVoeflQpjzugSKEGOND6MR1N2eHgr4K3M2SSVIBTlFUHNLvuOKWbsAYvHzS JZr4qjvk14OTwIGkZP3uOXPvnuqQHXKwtgwj6gpXwZ054naJAvetvvp9lO/0pORAIm7DQ5J5Kpu4 EuK64UWKWB1ImEL7xEftWtgMcA/BxRf3xY+v0MDPVDVNfaLFSfu2w240dIHVhhDCYCShju9REZoq gh+oIoNbxiHdHGTlcEuMW3JihU2NCrsj7oJgHBWCfyYQ9V9WMaLIImgGxMFbts0tuA4GLrY5obro xoM8uSVYjbAhzVOsW3pxbc8JFnAGYIFNd0Y8mUmiOHNz+Krse+xTYx++hIfb0q0KKl5sOUBK8uvW Bsri6F+XFHhhIccFn7/6ErYhIIEh1XParE1GVd7en45E0jdf3tyPyRhByeP9Vd+SdoUIdjutTPQ7 /57s2KeCqmHCRcWBtDdaXLmOqK6gpK0gTaOoXPt9pMigduDTsvha/J+EABfyORprroe3HdiF/72/ b2zHcWxnuY8LYrEfVLWH1L3RIPBceMW7j+mPZ7tgOYtL6w3XpMmitAmdJrJA+8GNeSdXSqi8CxzF DrkIZm3pihCnDq+xdi3KLUOQjfvXtGvLN6SZTE6OH/ExcOoGhBmEeWn4gnGJVf5ukF9ZZEMTqOpx UWlPweck/2D1lo8UrTFd+NAB9a1ITjS5RAX9J2egfg34IkeYD0BxyMMDIXR2Tos9Ys90MyQx330w kDV1k7ICT7Xdwix6RnLEwe4x4ml6Ba7DzaiRf30Ihc474LpMpEQlDTsL6Zu2n1TVjtoWuiY7CaA2 sLY1CYhoLKV0IhEL1TGkcmTjl+4ZdM2gXFaQkm1QkI1ib4KwAj9Jwz2QQyTbgPW9zrUxZnMz1uHd 5SX4c1nDTvkUQ5+KOFRCtjXc/70AS5dPWXREm5Km8lTWTbrJ3GhUKsAn9sFY1GzEOlxbiP69UaKY sUHewRVw8EcXgWX7c0o7xbPEi1ttcEKKMaJCH/OcGF66QoToN6XpmCmRjQhikikwGKVjJ2RFnshu UZTOZcQY6Dhl20r0z8D4KiwN0pqUXzfMu9TgbqdO01SIehRaAvtNqkI4H1VTFeRJytVJ/kc4Gq8M hDNC1e7KWHo3pNfTRLgYH8SZKbwcTIjKP0D00JZ+RxuGMgy8gOUgE43tHHIIVNUyt8psnQDhSjTi pWeEtviVbcBj0/2C7BL0Wek474ct1+HV8I1/yDS2yd6Y56dhKIbZIsesHfZ3MqkhKq9nYQqCcwut mz0i8vaNC/fhmkXuM35LbhH45Gn2nU5GuJGLJvCyy6ENNu+daXfaiWZhOeiM0pD4YD5RHofsp84j T4F2iz9+qiIILMM5fkGnpUPfpeoKobA7FXt4bA0Rqa2dgwhSJKCKTmnEFvSd+uAoZTa0PM9sP3hU Cu3nBZ8XhQywFjkhPr6m9UhTa9ObSeP8VpyPMajK5crIGweFcOeqEMsy9eMBdIJveqxvAm/bwe5e PAhgzYGiRAnfyKEbs+1SGeZT5HcTDnhS3ydbBL+WV5gn8mFK6XlsrVbbfCRdf3OeBWPWu+fbsaTf tkNnIElvmKslrER3+unAzO+TViwSAsgyu8lBh8WUg4y8Br6px696cF6Avy14vqqHfQwB1/Ii0B6r qge2/HD+QmFw9Qr4Y/dX7sLPLkWEmXLWWU6GWZasimi1g+T6sIC4wQNUojAITVhu6M2LgUEcZQNm aDTE0ESq+ChqDzYqQ9Mq3avdeqGJ8VNETnfIylKMSHdBzA8DVwTfviALcJNYPVToNcqcfU447nA3 twCbeAOPccSUQW5v4KyCLb9VgdFt3S1Mvt4FADeG6Kwipy42lx7u66RIcitWDHBYgRQjtO6exVyb 2C01bpYZNHq156y0/WBpVZOV6ydjFQHaTJtUF5ix2AsTcgZq860e67g+ZXMGwplnIQ4wx9r7N1Uz pLWN2q0ou3Pe1zHlwbuKpEllhP0Ui4KDOxFNkn2oE37VjF30kYhBmtt+4NNqj+Yde6zryywNQFA8 cP1QEfGZnvgQsSH564Nv0u9k5bh/SWlU5ZfJytJC1pZ8B24KEqeGlIdq4RIwudc8QJjl/hbRfO5t hpIk4UA3a1gfQzwNtbnb2FwdlTeqYR0seI77kYDBoYgidy6KAzvNFe5k8qZd+svMj2xIdwiqLb7M j4sIFACuqwCoAeT6+R5M9vi3PW+PpIhnFnnk3aGSKi8sGePsIJge0rxyJNmFp5cCTMzyOXXLy772 EQ+wnQSKPlv0d3htmyZbqpZ8nCPMYe6Vt0/IainA00ApuXqpDjX/3tRsFPbn9vCe/7cFx8H7oS5B LFCOhgFrUAsH4lf/n+vfjQbiUvNgvLiNzpBtJdmBF1Y8d7KlC7bwL3B0wzVj5SlveL9mtEOddo4f cOQ9Z3H+r0qwx1tQIa3rvwW+6YjWgQvqAyKMi/eskjgNcei3W8oeWOy13KvKusKIHACTfhlUguLO o3eRlFuoNRcR9NPPW1lAPLh8QG9FjYk6UkpzYdCdFad4UKFjSN3s9PnmZr7S887x+05FA1i02RFt 53CbnO8Z7DqwRkOAM/PaUjfBfo6zUcvngrcQaxUS5J6VjiXinxt3jfNg+JDKRttk9oXvvkDNDgQA ed8xfqzMlNt3Nd4z2PjOzQ2s4koNTZgVa4UiQxv6WBEdAl0VPBoyCYiYiRqSB7evi8cfv/AL5kIq x3EKjQQ0faZug0/pzbzQlMk1a54Qto8LSroDS0aQgvT0l1kjiIeJSl9RI2faP1UF+p0vZRHSjbJg xmFFhGFCo95XlBGFT+oB/oDL4mpVQfKJJ2OGpHmBW03cPF5rzenIQqimDizEg9oS5wvopU40kn6V fHIE1tDNxVXnKHoFhmWZ5MlSwm/GE/Qq2s5FGRDI6MI1iQ2P9QYI0WeeMTFUPRB+bJcYmg5Chpdv um7xfWLs8CxQZX0YOD3ZeJfRJmxT0JeCwQKY13TbXFvD8QHxWLL8F7QCI4n1o9cx34j883IdGR3W Yy0z1BEk72inTjgEV+xLE1i1evocKTVtcFTcB/CSP3HbJi0VPS4w9we5EHfhGZgLnW6RjA9s11Sw CQjzB5Zz9cnvdhyfGq5w45mEAz7Dp8noMyxaSaJC/V1URRbs/jJSf6oUroQbZRQi6ReVujTTatfR ueBpdBxM4WN2e7tKUzquHrEnHxeew4a9FWQwwUqKEN/WfNCsdF2CgML7MrmBCRwfN+gJe2b5dLmn 3U5qkFKS/zuJwkfFP1aAqr/fsMdSKOHWQRIHzZkF3qsrzoQ2Io1knqsyPCQfrRuNobkpSGzKq/pX J52ZUePYaMkqlew75L0rza2ej+LTXJ4BMCS6gvURmF7KrwgP7M3nqvEn5sXA+8L+w74jWhADOGZS yN011c8mfkbcWUv7lmZyOMpI2jcMFUK8+tzwtzJOS584AVveb8ENkp5mBPc6cRFwfeFkRe5IVypi ywb0xcfhT3M6Gf0J3aRsHfLZEq1B+iik/hG8abcO2hp/mqedBkBlH9R/mbAgBkuvYjBFwT9Wlqy2 0GO4zWnce2FdJy3l4MdwcFo4RJsBoDH7AulaVwavJ2HXgnrLEo6U8h9RpfkTeLoP5lYu7ft/TTOb u8VrjvKd5N9poEHrh5ozGwtUmydeyHpB6QORO7+cUGB7pgImSAhZh2iTb1cdUu3wawwZ8bvg10FR WllXG6o5/5os7WwPnZ+0dmdtCEC9oL4+GabeeiBDPAHOqBx2PrRRbF7ugitVMKzIx9k2lANEev+Q /H+oE1M5PP4pPoaWjSPfb2CRsCh0Kd2Z8ci4KQY19N9NkXgN8UMgd3Sb2iLHyB44XKkXKxW+2Gad /41y5kfxw4H3/E0tD/TCjO3dIMGzpG27v0Cmf1w8h7L2tJ6CiM6siNLNSXElp1vT/LHopXT/IL3T D/FdnWZ3wbzvznfTLZ+51bzCJ9TTXtrU2P9oqbd4Vxd6bBGlnIJiunRip3TGXxpbOzv+NAHk1Dnq OH8dQ4kRxH0r1r98hxwYD1G+VKeyzETBI9HC8m+eQMuTQC60h90p6bSWgUCABUuaEUhFGpLZPnJP SGslfQhH2PytqwTNL0KLnpKEJDjH7yA8VF90wzb2+ckq85ua1BUIByFcsecaPuFIzxtsxLZ+Var1 ICZmJQhvUqJ7lS+1bXO5Fw2xWq462t0BsfpFMbVWCsnJ5WGNQETPEb5Ka3X5fjy0+TRsRn8OFBfn DNk9Zuv7CJT2/hkDyRmEnumJIKYXA6GfLRa5HZ/cn5Kq/zT3nxqANR9NDHPZOLVqZSa++WGmo1hw 56RE5tO+YzjTUL6j2OxnmDgFmAbDYeKsB6YY2tXJAa3UvDS9v/bZBsp9vb4idxMfRLrHR1wNkQMk WUZi76YiSRQX/otpr7OuhLi3CziqUwPd9C67XfGYk5xHH20cwoQi8JIfce7VC28XP9EweJNfFJZw vmEDBoxvm9jpn3Xi08Abgy9nrJgmVg9XAzmLXY67rCLZPuQuPVrQBPraQDD7eZJRD7MIOWp32+Ho DlTeTJLQGR4vH6cDf9JzmwRAJp4ufTJ4hR5dZ1cVFb92ycpFsjecSZU42H+sMGlh5gJT99b8VACu QOXQ52eYC1SI+Sn4FCHX0egIh/5jK4NbQL/TeVGgXw1w5s+MUZphnohQv1qDPDJ6p8Yes5D6+ekQ YoYId2mpmOVwUks3r4ZLqyObOD97C41LEaGlQ3up+nS2jCapLnB6BLpvfqhDgS6GTULkcBLGEaot NhTMDWALedviUTcNCJBCdVaVMa8+/3KzDq2nQrYVzdd9SfivZBXcHOdgepEPtXMTI13IwYnVh8T9 +AUf35N4Rs5tJ8FYaXQvmSubshd18ggnxHMps72ibZ3LIRlp/rFxQGl0wloJRr9lvCcdDKRJAaVD IBfNui9wEZdVktcVrpRNoWSvgXyBuh1jdLEy+iqCKd5X61WZdu9EPI3BlYhYgBQpgdQZwllaiShg Qt6CVDIKuwt1KFyM208oKCVyAGo/5aXTiK2BSltYEHYZ5UQXsbv8EAUnTkwe4qTuy1yyqIN9f1eD HupjRSlaMRJQmLW9SOt4hxGedl8q5qREdlN5vJRIn8+dFd9/mmYEW8EgTTOpxATlDwWCtU/SCZ1F IMZvNL5SSl7svbRmP9wq6atGcvYsFaGYR7U8Z0+TapBYnUrdFFrq5YN/GcZxzppxPYtD+jtXlOp8 w9a507SpZ4LuHjoL7VEUMVmutkSRSyfSDuoA+vTGJ++oRxdo/Ix521WqZCaMDdtFjyQtf13NegjV 5NsvmA1D6v2BOCIBoRcfAWkRuTnVoe1AUNo5G+ZbTRuTZ4nZS0cZprsqTPBnpbOM1WJAdqg1WyoA sfiPaUSKaf6AdZXSAvzeaZYigSDpxfSq33kO0W/dCQZ+mKODa5EZXxnKLn2sBUu3PQuan/zYfen5 KY95z9Dv4SiV/bdxz0uMPpFrhTkLcPmJvwb8MpSyCLA6KhbkJbxz8/xJjwXKnDNZzEKIvKqkXMFw ddukc464yHV4BvvvbyUm2FP8x5FoHmQPW7B7wVB0y18Isg5hVghc+VOd3Fpja7y3gKROyKGhWOq+ jl1Tf4vD/8axX95/GcVskbSpaSR9Gb9wIUoNfzudLITS7vb48bYsrznRUHc4ZPLniaorOlSIU8II wXRMFyJkdKM2KRAh/71gHZLvmLAyLuiURhmAFjUj4087TD6QguUS+1IEqmWPfIiicbSgYvejouoy oBKD685g9NFvChcNTXKDQV+++DxLxOIthC9Y5u4tr/9JDcMm1AY5NByIgs3gBfMWa3GenchvLemB mBEoopXQ6Q09REyYPJQqVTSA+Xdkg+2pXS7SZzb/CjpIdnA9eEJ02F3lL61IW4r9nesvaUTAWzPU XMdys6hvfFVxWVpJf1POgUKBCUj4ph0XTwRW1+SQv2lVijoR2YgjeG8kzaabK4RLahu7kM4QRpcs FHIiecaMtMeoybte33Kqg/mbZER/C/X62vRf4vYVcy1kS2ak22j9NYx8LTN5spGRu+d90c2uTdPY 4hudzoSDIkbbyx/y5MazJ8Ov806QuNGEHNLqYqJOYXSF8tNwZDPRA7UOqp9lCZZ2kHR9ZERlq62C USxSXPLa5a8yDaCRND5KBsHIczk2t6Ll4JseHFIJ3cVeBdtaxrcR0EhoRfZRVdZeNUJdqahfHjY5 rpgbY6TG1iU+Yj7eL8I6KYLn0WNixI7mavDAo4inUY1dMgnfkL5fY2h/J+kSnLcewQb0HYJ+b3dl c3LVVTeOXE/ZimtgoMZxCvDl/2scJacc0fslprmt+nbY0EhONBhtf0J6EYw8clc+z8FFOmDNb2QA 3+Y7e4GA10JXqDm/c/3xQ/p3L6zZHo6TrLr5vBQmICfF/DY1SqsYv7Wpso1Yqbo6BmslPgqqzmDO ajAHonhCHL+3KENX+9QwlrvnmO2zWvPkXUdM2CEqlEl0bhY7zivkt1UtIGixNxkkvPpHMCSsA6Cq +fIuqKSbZF1SPZ7hkJwZRbJKKllTHKbnsuHptxajcrAq1ymrSiKz2gnAHJkFyjzEoZO8dry2zYKM Bhe+rXF6terKlo/erE2JaO6GNtPUwBYGw9nuk/5+VKqiT/V2p+6M2JZWv9zQsSs/NHhPGltl6fRs oFmHKnklPS88F/YHT03kkJNuAK5pMIyM8zS3DH4jObd6/11+aRro3uVp5BuF6M67J357wEWH/lDz rSQlpPpZ6HB91aYCJM/AqyL3TyD/3z1QPfim9ID2X8EiEHcqUA8Pd3IXv9hd6UugDk1jbs7XaFvC ig1HLAm6afQ5hljKfJqBtj2uZqAm5XXV1+rZY9Vj4wlLtyBG58LkIGu+d8TGvnKzvaC+TbFK21KI +KvDhVeon2PYS1/lQGOsu9FwE4Ec+uJ1TH3r8Gjk9W5NZdbkJq/joxvtKcjxT3Smuu5AAIF4uLHM 2WlbaWNMFQp1H6EPn4X0WgykbmKu1ir9rbb5uHbigjV06V7OSE/rba+Rknxkogm43+Kc3gcHcvxg REVvPUD0XkVhnEQx0W0YCtvc7l8jkzSgkc9dw9TQ5n3wlsU7TGI47HdnWxJs1Tw5tchnYWGefTH8 DPiboQD2/Mc5QZ7KiVCiND2QudGDTdLH5hrDGvrbc/IbSLo1FelzhVJJeG+cizpJz3pTTGct2hfD SfHN5qOP2nCuxJ1DxpheukF1xtlW7yvenQQbdUAiOeiN2lCP860GGg6XHdS6/67DRxEAYfyQ1uMr YHMGwurgPsXAGtQ4xqQt3oyRrx4vNO+g9qawxovXhS/HtE1zzRwqSHIGT8W7fwoYjB5EiP2Fg72u gC9zHWYwUWmiJD3a8diu6ZaNUip4bf1rE81uQRiV+yzjjHr72gWv1WVqRlQhnVuwIZQz4ot50x4H hW6FWcCUZATtY8wi47IIxNk/B0i8YJYCNSVi8N373GShCsRw9XrTFAoJDpqgZpxdk6mUE3YZ759s H5+D/XXRwktI0R+6dbY9ZEftvUqwcXziGguz1gbaTKg62A0zR5rIkgl8V+4fDyn+vhhAhqYNZam5 /Low+RLOI1Uj0z42M/ZCslBMD040bEjDNz0udW0L0c2TawbcefX/Py+uLJBwW0inSoamGWLTINcl +ou+/8YFWs7mYGuT5Pt6vGXgbJMO1zAbKER02HKdtlX757BFUAQJ+V3sHSA/oCg5E6Y53tvITQdA /Zkh3uPv4g/HxkF4cB7iUX9uI3bCrxMaT4RNTJh9kwjclqdJNumwzzyx+zecea1n/ZzEHcG1Z2k6 81toksSFGCAXS8U2Zc25s4ojYk1shL9M6kqVkbazGp/SXdCHy78ChqdKRQQJEU8GA+9DbEq7c9zU lV1TrO3PNZJrM/Bi19CwsL9kDQO2sHU89Sz+oqW5KVn9BqUv5cJdjbq09XoPXOfN1k/TDTeWMu6g eSBIBw0U9Bi75XHByAYI8HATX8xNaeWEuLEJjzC9NMGK3WUL/hh22Bdjsi6fVIt/vCcTlL0z2liB YS14FgDWzluhRG2Wcun7idZ5dr/kq0AXRihwobux2stJq4zK0FtoS1/XYXPLt9C/RPnH0XiOrOgW 5JlqQKkYYlCxbsE4dQcGOFg/wCIItR6TKqMtx/2qQYimM+VGlij3GnS81Pa2p/lKjOE19rjYE55X ZCQy6Lu9E6H8g7uZ1AMEXEyh/B0/Fg0TX3/irPoOJm6zP+J59yn0Xtfg1IcXZStbCDIThbQXZkxH 4qVxqhRolDUAOnhAKvkk4vLhlaisfiHFgr7VfkJf1q8xmRLkoLjtpk1CprN4ORCi9gqQMCj5R+ta EGcqEFPvlY/dKy52zx/r69gjvgJKDsZC+doolnOY/uHkcvJvSmxjvZ+5SXDV7qJMvbWRc1sZ/equ crVFyC/re3sJgYAZV3Q9jQqhXaT8g+6NlLLbbjF6w+GYIcq2a3sUWaemZoKMncJ1gPDfIUJP68OW tytOk40CpC4GxTtcomWUaocfsYYDNkd0R9KCfDhYdsQ0mp8tpuhEyQ/dtdvmpnNr1fFFlXYqt+HP xB1nJF0hSSenD0q4lP58raI0XrMooco5jfoQHGdV6NrJTgsK6KqYOVEGOSI0QUhgLAKsfafhScnY dvyvS5ga/cWSxz3y89qr2RdAbyJoj5f/mCwx/l1bC83MWIjXyxbJfH5bUPkioT60PG723zKzWt8v ETqtQRoBq6wirbwN9wy+J8gKZIJS1JX/lS8YyWdqYpSIIVBMS2Tuj2A3d1ShnoHo/KTFjor6Yjik lQU9fS5uIaNL4+oXe+j6SllzrV0xiuYNkGQnz7IPiYJkGv1OFUBcEsfSujljAvvL0E3oeh2ygviT Ot8qNg5kFf/sXT8FZa/MSqI2Mnb0S71BAgRuYjoe9SYjxlBuvNK7+jgZzAG4LYEySDH2IHQX8jOo wa1zvMl0aOg4HC64LozirOf/zOC6DdEBEJD27xAyDK/UgxFmj7VFHxGRyDpnYQdUBX59vVgvvi5f 1Yh9IhSmV0rolrduo2tIPeg7uOPYKXiS2UTiLbiReBjG4jCGLVBcNFnpfFPl/Zgbu5BIrrQ76DJe tY9JvQ0wKm0LI6EV4z/0hpQM0yi7ZNJuvt7p3xH55CrkWOp+NgeP35OGJ8Zvy7vSh+CCVw7laqbK y/foewG8yDa62IKJopB9mG4k4og1B7ajjhj1aJ6DwMG/GO+uIRgLDD/+d2PVNbpkLZ4BGfbEcglY ttAxw6Kgzrhg77+Wip/nO2diZKe65nBinTn3IK6ocEuXJwgg4AATWMwnBE7YbJoNirxyOcNOClSG GccQ0bNXRJaQH4YOgsfS9qm/T3ttuFl7xeUGIpxhwX+QZyJmjkCrEXFqttPk9Yx45l38iSoGPOSy VFMi5cYp28P+DbWNQtbGllGTvkpluDfNAQmw9QtF6lgfNNOpDAb8dY+opVJ9vmq26UfmpeeWI2Bm 8Aj3Uzl4g7eHPtM0qK8ka8l5QnAgOeXHuI8BoQej3T5KcNAXx0CwMCLVQrRwyPJGLTVMutSyJZ16 lOXRDWXNL3bHOzKyEIeLrR+ml2VWOaUvNmcuB5oGnsppwMrHbzfYtHnpSxK6SgcW1MweFYglGvL7 UpgQSmRRcfXgeXum2IGQoh3V6krwnOqSDXZ35eNCRuBOmrsWnG93rBzyuMl1B8GV7JGltq55SoL6 xKMdkEdUOeIoqgPoocWpk+dAHidclFg6w1T9kvZCFnW1c01BUU5cQwbStdr21B0EIyKFubBBSlc0 qExFiBDBssgtnlpd7yqcAxjQQcFRmnFdAbPs8yXcfD4zzD0Ywq2dlw6wNTTFUaGgXB98oUj1ccYf rz+h4XfQcV7V3ysBNGkKHG6xdSfGgTl0jIgWntg8XDWm9FDrhAfPjqzvbabRF6VcsuU08WnSKL+n gSPzkoV6XShtHJsEWmIAKkVfbnsReoQrWUNiq48XwXgN91YICdG5YJzgR3BSEkUU/o+knqyhfIsu jxaPpf3SdhMaMb5iAOqT+TH3x+Fwk7MmDhr/+D0576vzKJMBlLdEGdpqlUk9KxUtCVLZLplBfDS7 BHF/PBTF/GAQi7NS0TIf7QBRbsspkFHWM+BcNW+ZqbJAlpIIFJG84e59SYPL6SYUW7I1YxMk1AGG QQiEfPkOXRKPV4YUfvoH6xgukCVuCKYogmk5ZSQm5Uqj9uDymvrxZly1+SWohnJ+zTHnXhR/xZjG kz+D3R3QAzzF6fGsi5sdtHnzjVlG5YRihBUYkGR4bwJJ0hdlBexHE0XUnfO89dt+uub3XWv1OPTt W8pFXnYE80zrnfc7kR+5lnd8ZyTYTYoVmA3RULMIYQu0u2Cs9v33uLqe7dnDSclB8U2kgB/cTWaT WFt0qfQGTXebf87KdUpdVuaVG+6ROfahJgFnhsduPNfoiNcK+etMjjIxC96omjI3S87mfUhKLElG MRr1GYUItQ5Br4ZH4+5RPDlnnV/EBV7KERy5jWN261EiCjyTF34boSq+iYP3aUXU8dahu1xzd1/u Ulg5ludEnC2Xq0PtdGEN3JWYs0yvWnIkBL8T7wuu22V3IX6irzCgPQtqGWeTCWPZmgmbS909R5aZ 4TzwKUqimGeswWwMLV0gZ90K5S2kKBGxrTLmTcOp+X1CfoKiOqOZ2dXxIVXXfntVi5vbN5AFt2ZR C7Tg0tUJ8cpFwLCeH+bTa+NMoHZne43SOmV9rVnRH2gXqkcmGDzOGE4BkEJq6CbyuJGVIajP3Yor dX7iwYjH1t0mjNlBidba7oRw4mvOCPLHpSzWniKKoUTgro5LbnzQjamEFrDAm+zixELRXBoWOZXn 9FNmUk65+e6gu4FaLTwPAZ75LUijYho/dZL+bgBoUBsxNs14fGIR6+g68sgfmydH1wcieaMxtTjv yrgij3hsWKiZ5G+uIN/BANiKBSljh8DDR0RcPG5IzZHMYxMC2A+q/KdsMi6b2BYGrcEtwKq7VSu+ y4IYH7PKBP+MltCaTEUyaOUvoqZV6ihtlEqz006JIMyHlDg8ywdr6vAsL5VKvenQQgqhjy0Zp/7I kCtCdyiurf0NLnQ4AP4CJA7jKLFLZtptiSt8400LuHn2J8UVwCNIQrXPwcVcELeh368C8ZS1nnIj SJb5oQg2/P/FirR1sRhdOGJrQgGSZB5sLFI4Tp8SgyMdWrfD6BXNpkm55upzYq7m47u0bJJZnSwh FYOKvIRQC6vi+09E3Vrj/awd0e/M+Raj8C1qIT3h6XU+bQlGUxuVbbtG3cKOwucM8FumYPlscPGP rrwPVfS4PGNKuXCLIwzKTy/n9fy8ADmjOD8mYJU0XRboGGIlIg7aXLWypVhqFOm5bzM3y2ZLNHD0 WfzE4ytkiYKG5IXauKviBafWqccBXLO4EDjgcToxHZ9y12etJn3HKqS2yq+KTsH7cPU5il20qgvO 8fxyZ7rQsvvyYjqbWUcthZ+ecoRf/rZ9RFWMOqwfuinci1pZ8JWNCSngiEG398A7OJFjOFCIPB9V K6oDh4dOuf/rSv0XI7JwtxcW9qxmFTDhpJQe67H8IJBsKkPmH7eYwwnTkVAhXV3ACpMKO98VhO8q FgJRcV1huxmfG9X0dmfMVwQZfxyJUDdswz9ssBMCuuEFhgFUAjXshGexYHyZ7a0N0K1SsR5FN0IV Y/i/ie2tah8gz6PFfNNegiz2cp0BE0jyzj+okXVHrwL060d1t2LesQmS4E2j9/qK4hMmx9JjqEuM oarqQr0KVQ33ebO+n4jbIzmEQvbfUHcmYfX6s1cRjYWl+c+ut14DoCeQU/qRflfOs9D1SF/oWx/Z Nu+ojFRvl1TzfRU0j1sjizyWG7TTiRu2lvcoiGOhvhAhticPP5JulknuxhJpSs9nvLpTSI/1reAL 7xrXmRCVU6ZxycVb4xVvF4FLfmDmP8adUHV+0xXbY5U0kM1tFiASPS09gO+KelT6kLWgBNOYd54z aIl/t11MH/n3G+hUUn4YbWSWDRTO3gyandNqa6FVEuJoPI/92ciys45pvH0EaKCTrb/ezesMI92a /Bq500OV8lD9U0bE09xRKbqv1eRakgxiAS3OZstnyPJ4vcreJD5YkJaDYiIltUEY8me7I1unLFl+ gqbYC8fYdqPW8HagtF2J8cQP5GBvGrUPS7SvTYiWsw5dlgkRJ3k5+CEpjcPywXYjQXgzC7JNH5zm EiL3mO5Q12/DZAu0aYG/Fxl3eAlx+KQ2x5Ocn83B0LcHkFstJWdwrpz6dJyp+bx/a73QathxNKoU x9VwSQlOTa6Z15hZaAE3qXfW8K/6dfqfzaV4JhUOs1cOwYCENiVllbmEsNyDbtmsJ5iONS6tJ4+c w+L1WIlBQNjnz1ODmLXrUvqdZkqEne1DcpmdvYSA36Iw1maycVsPtRBce9+SpkvZwPjcBgUooHcK t6QXfdCSRn21TjSwpGfCyoHeQ78alOhCkclDIyLSDeCjTbL3+xaYS4ifTBrh6OxAy2y8Kx8fLRsz lfCLJJV5/PV9dAhCsyTjBaa8Y3NAH5fs9JoTsZ0vtqYiJXO9ui/cMoxzWBt7oSz42PfrdeZhjEtK gE9SzTKgdv1xsWoBjQG332DGTuENzYN5rtau8+cOCACih/1y7DkrlMpdkTDYORgnU+QrYsRJ6DA0 vnMR5lIQkCgZWkn+ZZNOgi5q9bbWvhl4plMkQXoPMJrOt6OADYPpZ66TscZ/cBzidkLwUVV1G/gr 8SDMjZeg/Thk8EKKx4ciKqPVITDXrWaewarL3sambgshiOX6qtlYrKFmLIWCPtzPNN0HnTSrGCEa shRD1wqv0JB1RG/qQjotfcr7LFVygMjdKx/nKG29J0t8QPzbqu/RxPfGN/gJ0lGYtEhJHiyhZivm eH4LK7gkhtTEvnCd++5VH26W7HXCO3O6i8YJAwrJHDa/mfPx8XqXqX0hw2vltlELsV+OiEC2v+P+ eqRsWXJJhUMnKfB7gQSeKDV+P/SM3+Ki+apx9lF8bOp0p8DLN573amqXMyhFGHAzfy5M5TXiGPEZ rOxojgwGuuBVl2YJ4MRj8ys4frSyNS9s9WCY1Xj4MjAMybkXAUhAnBXh30lLRV165CrQF9h1ih8m MFI13f5k5ax41yhPPkzpBq+kDxApX7Zvq5eMhIfsohYc734dRhur4ZWx1qdwt/XKstrz9VYhcVmy gCac6qkWv+591kLWEbhm1cTJ9CeEE4huqcRF2yDwVVFl8PcdCngtxiCjyc9LK7F3Pfv+qya2dQyL njeq9vQlOuKDSaqbDV7elK7t437ii6pL32M+ZfsU0B800U4sKHclbL5G3j9ROZj9c8FQQqZ2HTzr BZmpogPrh6IpXJX8jPAORDFA935wBrVO6odcw8aUawsrm/Iv9Yox1eqb2AWyXqQaeMugmnvjKLv+ i5FID0Cc8L0h5wH4MevQZGZNgNGpOsEeUEyMosAEIVYQt38wyFrE5CJSplz3ZMwcUsOIEJEHV5d0 mKDMk69Xp1eg5XJ0kNwB0ag0OWqvFt4X3Q1w9TLkI4OL2jhDYmEYJgWayb3fOtk/DyynDX+IBlmN QDmcjmFsohRY7J3mTM18TDULOBllphG9YdnbHX9iDdikMxh9WuFzVMKCQNrqTlOnt2ERc0r72YUH zX7XLCUpctXgsDgff9L+pwqF7XaeXexd0dHYhFM+winvRUXOBJKiRtUoWqDkDSOtdXlrzQH6o5MB U0ynxcueZEs8KrXArKFdCXeiSUPKVbh0pW774pri7gBVPoJwnM5YxjwpQYS1JhIr8PiIBgnRMUPs ZtaxjiIksoLwJn5kR2MfkRJS+Le0jgazohbKuUgBDcipgbE8SpLdGPIZjAVlvGX2KYpHdsoeVY8+ H9dMt7GRuIuhfsKRtsSxgqNV2gablmmFOPo5j6Aa3cZxTtUbWb6C3WYwHXRcQB6oyo+DSaAGsKKr ZN4ct3sBcvzaVukR0ko+nzngZXBm75p3BY5yj5dGa+XNbkp4/VxBgE0SnfrTtNHCHHOwc9Mw4spT pOBkZFHsOdNSWqgvQ2NUmvibIuxyxgZ1Mankd86mo/jzoC0cqAV/GQkAcswypLWmTiYbm/o7XqyR jHYu5oSpqLlfp5q65LS86QWleUIkrKKPSsxXPJfSX6HlrmQFeUVM1yHT3ovj8MgnFraUOijfb3xs AxYGCekWPIJ+C1WE63fvfEhyR5U2Shj9XVchiN3/Op7YHj04MLU1BtHGbzYk2w0WVsax1OdOdnw0 HY8lJmbavWxaIeE8LZKACVDU8Sac1An8jac3Cey3Jp2gw6Ge4nImAoRGD9/cGCJU0XKwmUlLjy65 DN1nljQbea024S4kpztvQtNt1Fzo347LfQB/PkItH1o18NweCPDOLelew5jw5GOcZ4btvC77gwXj MjVbZQXWHeZDBMQE0i819nITz/DTge0Sd3ZHEV069cC7YMvrmI9Kh+LghCGzdS5SbYZ5k8skebmH 2zEva8vGV7qcVlaVhuoASN5Y8TBV4VqO6EQXatYmKHYSrsK5cF54SIxXGgsJ0Voi5pLegkDJ0ezY sYVnWcgUzeeJ0yL/C6ympxCUGdCHpCX8pSfL6rVa4rgSD44xGHiBMMfU0rbM9e3ydrRiq3A8aX5r t3vieZd7xZJ34Ys9Fu3hBia8RwT93ESqBi9DQzeHTulRaGnJqJPGlRRNc/OMV34JVcUXHmlnIaNW fpbawnzEGzatqmJqDvWrus7ubZ/uOddkzkXXhDZeXoY+RYQ/popgY66v2dZwkWG+Bb3mm3F55/nC lDN3qLi4yaKXRlzBQeQDGBm45svvUZPItYyB5ppIknjOyftzHrF915O46h3eJMNIri0oP9m/kXSD YMmz4Gu8XHjnbEn6Gf1NZUQUE2PSSflFQH5mapiwQJ4fWZSUHhIQbJlmx5rgO/7aK9NtvcJEQSy0 t1uoz1zeH6V/Mqd1lNAw/q8G33klDFL/mL1bLuVs/tpx9xJRLeNP9dBxrwHoVRuBDA+WyNz/G0CX 79AaWvIdruhpQx0h/yCj3LQOls8uWc6Ftc1y1Xb5MAJ5TqyxNy6av4rbL6w75hubUzKu9VQNQiax O0Kc62F92L8+CtTOgyo9OZdxmsEqxfotKnOe/x6G+dhsGPV+ti4P+DVq5yvKLf1dIruwjGLkNpv4 7LWMdFjPFqbWJN2fukve5LorRQl3yROZusyefyljadXCP+ArAmI1vpaQ4OL2z8dexIeqowNAqQHi pUVA4jkNUsl3fTRpTnSYzqOxT8h+DcrshcZa3Jag8RcNeGrY0CkauRGpvvtHAHH9sN4RO+i6gtXg 2cvCIwQI7N64+sWX/oWntC2a7TC+ABYnR2rVzEf2rNQ67+MchTqfA44ybR/t0XaUgnNMSV4P7IGy fdbdRR0Vx9Awk0lAKKWIbJhhXwwIZNKZyZXQNf6oxESLu6yDgydQlcPmPTZPSihXEuhb6Kb/Wv4l vEC9vC1AePTe4VhSBcMgZU1Bl1f8ZT4fI9Iw7kZxKz/zHC3+kgCSUGkGucSl2NNspukTOxWInp9g 6yz8HmA1xvkO11r/e0zr2sO/i8ZdPXZNDcuoLhFbZA8vgfGqbKItMlc9EPp3maUh2PlCPBnRuFoC 4QUCWs/J+9Zf1/uYcLq6cnKkcHyp00larSaRst1S1JiwJqiK1cAaybmFzoH+nD9wh3SIN3Sk7oWY 6LVscO5Dij+TBR3r6ti5W70BRIgD7mz+igWA6JaM0PpVwgtXWNLVCqnSE5CV4YP6PXWi9VjkPaST hM6/EdW53dlD8hKKtaovbyhPSeZusXUi1fmdQZxUnFc08B9jTCpakZdTRhnb9UuyEeCVLByIjuvI tcR25hEIXHn2kk22mLs3Sd7gYoM6pPpi9fgwdIorw5lGCTqluhIEDUN4RGLh6rS5wN79PvrzifJV VuWFp7wxymkYTtJ6hHXOzlZEznTLu6Dgzdp8gOE+WTwykQa1F851WlqTYoqPvtlphShWMGDrISvu FXEF5EaRXiqRxa9BaK8xbjPp8WjW9cUCudshw/NjGKlRFoTRbh8HhnZjfLm+om29N34upTKRWre/ T1kcfaFShSfI4+CZ39NKrdJ5apjFfXZWOtlHR9HGjRkMAvFUT0VcHUWKDkovIG/AwdT2wcLoaUWR /CAiivcVJ8716nvqePFEEdUyKXwhX0FXrK4fZjWAk+bf0nDc/YZB/e/06mw72bjSmXwH5VRPZpYH RuLTZE7IUxZQLORk08Q1ZA6Jtx57lOp5EDk0SlUCnx3BHtf/pqqW8kL0UKhXU0li7DaF6gQUSDG/ +eq+XrSsCykHHlpYGqTjbuc5BtUwQWPHebZPsRysJh5SaVf6+Z5dcl/CNOUzwEHbGK6XTqVx87PK g4yccTDrnPHMzA9wO8be80h5nIuusNejPVDnQ63FJke0mx8R5bolmRa1ES3N2ibDvB2vBPuK/Thd Iwsk0UikSmVam2G01y2KBlTSyX99uv7GYk5dYxSgGNYdLtPR7Tgby9+i09Bv/deyy0HcDv+rG4r4 mGH9plvWRdfw7XUPyif2GXxRHvABV0MWUbYe/gEIB/onc8e5yo41SKVmBdTm4TuKcieVCXgOwjKK svLcvvg6HxAKe6R3NcNzPF+rxY8CaGFPsue/K7fg/Y2ZijaCz1U2KysYPJBmeqSprXiBlo57bEYw zenYxmIXV5/VotukKIrDctjGh5+gcX0A8l9qt3VCOT9u+ssI+IIqKJLGP4VNYNbxeRdPy+Ottbuv 9XCB4T2jcK3ZsT4isj2ehhs3gZgXg1Nol8axQurWo1SFvIk7/MOZjGtBelag3RIPQNbh48tD1/dD SKuv2KjFmpakpDkHI/Rv+mnycw9QVaUrd1+IXOS0YK8uCkYXRylBye8Shqra+MZBauE2wTrlvknD RTB5figkSiLl69lekrMJCLavS2sZDIDetrNJS8CrQzIu7JzuE6VBopT760vo4bpkLkW+HtfRkX/z pe2kgxNsEL78hD9E3k0Sl2ktelIHUC2Tv4qVRFl/SKIXD2unk+DtM2/mIUY4dtjynQa/Nii94s3K 4v9YzcCmRRbBhGcdf6Qb9Td7Pe8TCwMM+/Hco08X1I7IP4lscaGoNcRR5gXiSZEDZHH/Eq1JhyGW qHuWUmWg3MlYB9YA4j6srbN8snWi4S7Cz90ASQ9rzogwKl3zxNsX0cpioaPoRTnrCAY8voOXLHcl mixv43tA/51br+0fmCF2XmrND7M0BSMcI8itC3U5WIU6q079hFt8YIYkvJdan1fHRAAMCRj/mNU6 KoD9lBwvynqDK91xV/pZQvgEMWKe9+XjMB5skKwodt5Xq63HEU3gPFEO81qe+pO7QGfg/sxiwAFa 98vSt4rWk1+Bn1V6ulM7bSFPZH3EIipEWc5TCsbQpYi8ftTnrXvENNHgahCf/jkU0k9vvf5biMae PwiCSVsh0+trwKuq/fFsrQzizvq27lzDw0ZuSMwESKV2BMGxpKc9AzmoxyznzXiCwXPaLp26zW44 q1WqKIFugDE/lbt70eiSfmQvx5BXy+dnpntro0ZT3Q8O65Ab3KqtcSNCKoJT4caV0bq/NPGulaV2 hy/N5sfaTivoiLw0/ZCCbJ4Ud5sYERU4uqccL6IuA8A4eX9AOSs3zFidofZfZrbM2djXFzm3hLyX iCYTwsybpT7Ll+QseLK5AwRRk0BM8ilw1E9phGPkg0oFinge1jN8c40qGTMUVY6B57XwBA/401Yt t/+vksNo6J9pr/ruj4AFST/FkRYDnbb8WDKE4YuQyLyVxqc0x54qeAXEFFY5TABOKWzi6+vxlwCb b4ezFcWkI/pASO5iI0Ot/wZqAORn0cviL9L1YG97q/aRArgTD7nG6kCDJTQkyObTCN1AOZ914ma0 8NubXus28X8U2SFPWlENI4kXSqiG5XZTJmW/cc7ZueCmtrdmq/quKhZTMyO1GemKjzvUYG8Wc1sw lhXMgvzCAb8Oq423JxESgjW7to8PjoB/+ysRw/nMfOgOhpAipXKKHSagmezE5vQstX1jMu00Ry7e xWeGs7itUcVk4jNlYErQuPgWACzszwwV3elAuJna0LhWtjlFO4rikrKzYX4+a6+SHeH4jwdPhZM1 a7NDx9TAMUfolaeBaUwm8KrHjnvSWtz/KDqPuv66zUjngbgNYcj/Sz2Rzj6PbfexfvzCXJlP3713 fkqd+w3tCBg/ZNAct+q5ejxn6MVZCXpuEzbD9rgP4GgAK4MRiwmG8j3FwmGSL6xe6Hs7P4t5uxZU xIpAmv88yJHzUpgZxNLy8v0ryjfeqrS1c2NXJ0LVa50TvNldabxRKrpzDOlFVmN2T8xlWgbYokoB 7KjfolNKSmbFBX9EGumFr5rTFkXB3BvjCchxs2sSNWbeSqDjB8711De3hHmLdMi2Nr3AeWJdPKir FwmJmf6aHJn8wmM3T/luL0lg05sAdHzTJTjPByQPHPWIv+Ka8hBpMX0zn4skALs+pxI0PySg3Bn7 dG0HUwfk/2K+VbeCLoL27Snu7aDEqLQjMXJeQsn5r3ChTtbeSR6mQLLmsQDMoW0pWw+B4Cpfayqn bavM8V+D8/Qsb9AV0AYAWMhEv5SLGpJJKZcTBzVyIh6t+eSGudiwfUQP44NOnr4TwetNSYL25mCN +1f3WbeEQJsXOE4fxKUX3Uf/S0E/+5veacC6oWkylY6kioWCT80I99G5+3W4pBcxShb2b58nY0EI sFal2L4X0yJUKlEkvOVW+I7yOPr1d7VcYAEjwDy7VMapKYRL4hS1s06mmwziry2zfFWQvlggcF8k YrMfzQ6fcLjghacOW0qJVFJ7HSNvWoPdexjCWc67eqjNeSmR3jjFj4XSZZGVN0+lTi7a3TN85jhk n+ujCj+TfkDqZZV0FhjmQYWaOMZKuL91bmHLXqaroL2D38Qh9uwOm+lQhtyy3S/o8/U0V4oIF3kK UFpmyOAxVnYbIJfSkFwAwUYWJgjOhJzgISmiLQqPmq+75McCY1CWGLihRO2yGwuDr5vk7AsEu0+6 NUFPpXTl3Gh4vP10UowNUdCzY28mfVjHSnpYBrfoHsFobsVYKSplB0GpL22JB2fzGUWlEHfYD78D DRRvdg+FTQLGPpCXPBvrBdGQTeFhc1fQ4dJniHMgemUPvkjCAhqL1A+fxFSA4nEVrzfBOjZPwG4D S8US0i2XJAnO9/95wdgtVrI/jcVwBws9wYDSF04gFfE01onK1naYPKo2kvzhrjxp/LYS8qAFgQI6 ffGVzY4XgcAkDpn6aZ0s2V0n2KiJ+EPKrLJ7Dn1sM/paNWpHOKgZ5HCOC1oLh86+cc4DlddkAyFZ CCoDrJk39VnBDe92m/bm1FUg1lWD9nKF8Od4QiufUsaIWfKJqRzb9D39+RaHKb+5IfhFlr6+ncd5 ovvkkgTR6Ya+LSAF+9Bax4++d8ul86PqoZQ7g0K6nkQd2Q6BtkX3yhaoA8nPsCksOo7pcK12NVBp 9DaYZz93go2tZem44mwg9kYyDil3ub1uzeU7uSA6MsDrUQxrnPpCjsfD5tqG0Yfd4csHIp9Kpg5B P9Py5vmKZuZF2NVGFmOBgMWJ2UkNqf6RgCjsXwGKNv7mNZvQO2J/8NgUlCcPFuUi9VP404bTKWtq K8t5gBTSdSRTF8J+hdv8EviXQXnNdAxB+DxtsdSEbWIKVEuDfDuijSaCayPTqAG+r/HqjYopj7dL 4lfU6isRiUv0ynpL13cBNZJnyCF6abigZ0Pv+JUCBUeLrnJOhD0CavhP2NEtVPemRarnQkJ5eo8G afcVfTCREah8cyNFZePhDAfb//sTr0jfPAOFbcHqvn5K6QsmapWKEsR2Y67oDZvnoViT5NRiuG5S Xbud00UoVE2y7eLiqkqT95reb8MkcwnvE33j5VnxDImY/AQZ8FXrCv5iZKN9VluvJZKGpDG43OSA V260EbVMbpseWNpS+PjEzUR5YpIHzA5rnxzqcYjh/4+PwvmMTzBQbT42D16If9Pik8Wn7rqZ1q7j aKorlkc8NPLPHjgg4N8bu9X50eWWghPGsyKmIObe8Hl6CK0g8Kt5r5gT/tiV3iU0nJ4OQGOjo3Da x2ZRuVok9bVOIFpO2e/0DnEKiXQROr5NtEda9iaLzcX3K9k4QCsFX8KZf52p11L6p328553XOWaj tupU30WZrD7bkusu+iDGKeWG+pE79sR5dCDCkmcbUlHscBhdh+G5tdxFU4vv6/2aT0XNGJQCK+6/ C8IpCiVJHhhskQKzbPMnJ9PlKUwYoAibDcJOI3gI6cblF5tg67GduSahIOQR3AwRnxZ6r3YWlHCQ n55tSduVGTMCyIByHdyWuiq7JnCYvx5f0e57UJC5v8hrzJaPbl50mb8+7r4syr3v/2ejFBtNNF+Y IPwPllxQiH/HQmbylqJBTMat6lwy3X3XYsZsVuNozOaDEEPMlv4UzOsHmknb/iVCYxTgpR3qVE9f iXLlWcY+VHidSPGUrOvYJ4cGoiXthYDhIYFs9d8Nnwc5CbemsHuxThnJz/lcyaU87/hKpUX1QzWM M3TxkfxyQ/75itziUz3sHBZoV04EqkNx6pB8XF+DKQ3Jw0ru4e5pqUcPBmYx1+ujc1mMCJha7i9Q v1WFsz12IwS/xBltL2VOlfJQe2gC+BhBlTdFlDiXQ2U4iTuIBprIK6uCAmFrIsqC9tlH+JeTlGUl Xbfx1Tlo1avU8nGZSHSGlPIozN+Jw3F+SouGB38yXPIZvIldKrJ5tD83nPjWJq7wW6JrycDxTe0A Erd+bbYVyyVFfR5dVlIJ6tEaYswrH9J+Rm3oj70nxiyHvmpwbVU3kjkQHBRlcLs0v1alM0AuRhE/ PbPoE1vTYVx8Miu/Mgq4Bqzaf8BvyKcnLvMv/scxsKrPq8ElfIPHDvuGKGVtgXauqit1LBh3v17H s653kb9Hf+oEW3ro8HAyC6tBCt8+lw8eOC8gDS30JkrzEhU3ESDvidY3nfCGMvP1vChhErM6hlGn iH3yarfLx/O6vgaoClGEVGcgpfDxCVCYHVT8Qf+p/iv3HyFS/qEj/lNp2k8d+VNrDGWCcsmMhAbp bbDsf9/EKV/SHlaqAiyBcDkdYk0+ANkblZEhtIJEQBUHDBQWkxGopvRgOnx48hazgUZFgT3vFVk3 Cld7V9BeKvfwWPJJKVOVu+ZzflgcFPN7IreoM6qAdCvaOsp0BSEmZ5I75muXJE6P8hHVQEqtd9/Q 2wecrkY4wGskyPn7uITrNcS2wS+XlFwI/zfRInn8rsTJunp2XJfCeNM7XTBu0lUpIH5KqODWKpfM dSxFZDrryq89GfQSLcWt96UPqO09xewh6j8xXcgNCbRLz6Aa0nOM5EoME0XD5y3vuEYn5uRNXi87 h7mFaA+bYrB/l68squuALLFURHT0LKTZd/Hq38K8UxQ+MZHFGnuz1ps9pIOhumSVXueH4G+BqWeP b9QOK/upguij3sXVdOSGsy6scD14VYfVPYmtEr6QfkdDGkuv7aDEKt0/Je1NL37/c2iKpIuf8H0p /HBPubzK36JM1g1Pu/ik/XhgcAZxxbMdSNfitZrqeRCzqbkClVuljIpH6IfYcZmmNhMB7U05/iAf QG9QVlAAyu07wDDbsvJe4VkckxoFlJ4hI3jGMe1/ZkecmYWXE3mhphaBpRNM8GmPucoPk32ZTIOu UfncHvTokcgo1iScGlELyjghPGAFjNch6MGEjeEoPAjyqhadgyu6Z0U/+qZuzB56ScJKiu2Dtq4w nQvMSvUTf9EvgSHA+p7c5Ay1cWqG0GIZP42Sh9+Uj7kNsQypzspNaciq4ISPXb2NvWJ588+lRQ5M hgLsGrUXcXUneUIRehx65KTzIDwCnH5n8UM9siEqlkSAVyQi2ZjiyAQjdvSKfkRkaUawVnQUUo7Z N8672slKWgDsjvOC4k2UMmkyRIi6LHxfQhD/ZP6nRoGDAW663ZB3MvwuWi8ajLbVDHXeo4ekmi0I fAhCz50OZVyg73m7R94827+O+bb4APJHKxQKNEJ2hzwlRUVqp0Imph4hcay3LeAO/c501Y+H79VV BMvNx35oLFWCG0pMiRhGJK5YwTfKqOAm9wpPBuXBwWjyQ4Ux7XDeI06UmqUGjhLy9NLx3sAMHpjN 4QMn2eg6flVMiVDdfV7fIXVWa/+xwWG6Hr90OjGP/dz2zU2l5DOCclbuBGF25dlGx2XUyowzdlSF YVmbrTRkGFJx+GZxGnwmT7SJdKw6MJywzhobgr6rgWPzp+ZCBrKw9KM3wLPjgxBfiUpJFe72MBmi MnIm2SKv9syQk5m4A+p3HvDgDbV1eW5+qmdxJBqO1ZIERq5G2gYZL5ar0GJVn7zaxjStlNIAQ5T2 IwhyTuTx7NwnNp13vZ0m6WeVne4se9oOVhVba9rP0lUesTIuhUP2u8eE5Fzo7WGmO3pyffxbRsVc BGoLvf+Lq/RlB8hHA4iJ0r8EIc6qQE0fmxLEk8palAuUh2wnp7Jv93cHCU0JYH5L/gydIEyQpHVX VP4lV/emgOqwpjLVdAdibkDys/+t1Yy71CDEvIfNuaz2RIq/KvAytMcQAG6JPrOFks1i+YvMIzdw 6Gb0CczMn6V3/KAslQM5U4clkqdpmglzPa45pHCsNM05FRylRUtRg445oD05+PDoTGGOWU/BwsUo 0c1Q6y/lZ7qAgsqkV6VEqzg6mWGWRZ3MiIQlmg75o4rKzrkpWzmSndrqcDP6WVtIOU69mUJH5lsY Vd/FNUCU2diFntGThBfi2zutoWFwqSW6tnYwcV4nj86I66HWy6XUNY8Mgz7MEI27pMvB+jWMA9Ml WaRJUTguUHlrZB9canVeLd6YTixW+SyCIKlhCwX0fpWEgDlFBFiDtl67aal1Nhb1AC7bWlJZNCNN AVtLsOMdFxYmF6BcdtntAwbA5PhXS63zbENNC2+Z2aj1a+xKsBRjUOlClOnHYwkr5zz+XJ+i6L1Q wbXxWYMSGkl3x2xKugukV7wRYvViNqMAJ1WdXeGfHyy8vIyvcjy8Qv1VlfcsREq5Ac9BOcd5TpoO toAr5butv9tXrqEYmwQenhEbW0XYPN5prDJtQJ7OjmkakNCi9zSNr1YOYIHF7eP3rA07nn/zk5MD vkpQKYww9bf+ptQWMVwwPWHU2LNCQDvzs0J5BVmMvC166hQFY1rA8f/td1nZlXlAMBw/EoliN7Bj v/jLY7lpeXoyx03MomvU4tXXGFI2699K1VufzYZYoZnD9hdwY0UoJ2LVLjv6mBCe5xxzj2Kvqvad Es8tfA00L3y6BX4UAaIc1S+wrNkBg15DsV4LSp28wlj45q33/3EfMSg5XmRUQvnpIB0SHGTtPNVz ts5HaG8eLzxox3uh87hyQzBzUz+R/Il44LxMMva1itiVurk/GaiTqZ7iVOZwmBaIFGl7uIH1U+ik NJ6S2DCRu2ODIxtvNsUxuJjTG9kig4q3Wu+Nv0rQb3BYBUPVZPFkhuJlNmpdu1HvpBPDeliWjmiL 8LW/qosCes3t34aAxC09ZTSzvdsGQS+ir7WrvOZ5h93ry61sLFqtUdDXNF7NTth44ucXRMd6H6Hb gVNwDVcEkaqIXRC9+ZF/kZM0hG2Aki6h7i/S0B0HVdqwR20+EP8RwiE/skzmkjKJBGkjiDi41V6U 1was4nkWzAEelbJdE2mfGyl6zny15feQgmBquHZ45KmuxXlctr9AgEg0bN4uDM7RI8iwI8Crhoi7 9Lj0vmB4CwGGzeAw+crGxsuZP1kg5ZnigUNJmUxEjy42c+Nle3DfcmdgBAdjEOxJgAKVRQjABwk4 EFp4La+G9kkBR2m9kJW9GZBOUf5n+CTg2BZriMNxKfCAFsS8Hx57h/QK1uaCtxoAVg8Og73wTEKE qZtHk1Zfbdk32j3G+3bmSV1LXaQvBJ7GUHzwtY58Ao69fqJo3bAMu6WZxWAe0bmo4QLF8eH1qeLN O95q8E/kPzbNU3WX2rtebmttUbdqmND7pmSvzzrFePq8wBxj94s6wxhghNu4LDZ2nm6DCeX29lYf 3R5nrg+Zuw8nIDMhnJvi5qSr1cNpKZzNjgOntVr025QQ+KT/h3BS/JAAz7PXMeW8qllGujMrwrrW K8PC2UFw2Nd+vcExKj6U+oIQyHb+48cMs9Zcg34txaBcjzVh/GLkCK57DY+eejcetAtMSbrpKbYi Umv6bdoGIdPiv2jbZ1eSAjgFbeIVxg5QA88hTjHEg15a4ztUGrIjdwgZD7Bk/v8YKd+/rQ7Ix+X1 U0VsexlOvC59Fd1/LGQcl3oyzeUh2aBCmpRAbU6YEOUvmQKLQ9NeMBRDoPtPbakpoXDTGNi3PQ5J Y5YJr0DgzCOuCNhrG6Ou2ROVRFesVvAmcnK8oipiDa2V5Njpysq+sLnqlO8lbo5qdPVJbbFLTlPZ PusE9rC0grL9wAyZFuJG/EmXTRkcsYl+tLDUiogVWupka7eccJdyNm+T/D748rHHtLulJwy4Jj1L NNexNAgkMkoX9ATn+ajqX3HDmYdCQqQBg8ZFBYdkvliOOAk6nxsfjR1C8xG4lVoDl0Heaue/sV7D QvlZuJoWybW8nis/HC06PO+/SlC6oNjaUZ7wjLen6xP+7Nmpki7YYWNSpypwu5QNzRNCYVF+pIws QNGrWTYCOpTr8fIc3xQ0/Xqx3nzOJB8Jm45Hg2Aw5SRYjxImkrRRy9o0Zzqwco6pAFNH+z1bSrZF vByJrhUKTepJvOgeGngoDLDmAAe76PeO56T4nci7xj+Ma7fvgbe4btOpYq/pNPJD5Pbh7lMlDXiS Ecduz/ckFZbNRdz4i+ODiW5MaXbqaT96yOB0TwR82RQKviWTOQH7u6Cf0oSCUlh+X1bo+Qkk52ZX rn5yiKQTQoLa+PIGXzvLdBGnulUACBROgUp/OaLt2MIlUUaly2vaZk6JF9rSgYyiTNjNr4gXNJNx H2QD4kLz2BkxsYEZDYkswzRSTSNrhIWEbX8nkfz1ZlU0Sl92ap9I9KtwIS2edxfXe2MuEGGN7H8j 8kDyQ0zrQ9kNMWhF/gjwD4D7czyxEh9/b/fFaO8kyF3dC1bMLUQ+o+nJsiqzdpy3+8sj/akFsajX 9oK4Hm1JQtnihiCP2yegH+4qX074shfhzqcQIEafwG45J1fyDI9nyNTinVNcrigydZMSBaUrmKAq hklykINSvTgb+cCNhUXM4c63H9LtGwBKL/BLe385acVpwWyxd29Lb8UxiSr9hfMHX58pnv7oH9s+ Oh7oOqlf3kGx32XcneAXUMMv1WI5mJe9v2j7FQ37187uZhaVadsH5fMZ9F642YKIejOoan0gyNqe XsUowr8bo5E/rfOU80IljN3yF0ztpihYkzg5gE7Qqr2IHzjj2gzWmRA5EX7/hSoogMYW8f9++XPq ExtuLuo+jintvHcPuuq4f0WfYpL9/5IcMmoJycLt2NJZvxtNOnplgFz+p4pbbB3VpvlWq7xpwaSD qy2zjUOmWVd/DFoqIqM80Jz+pE9tOkNBg3Q65+X332r90gqLHdUBUw9VxvVyox6Fmf1CKVQSp/XG Y3GTGVkfvF2tmytFCK8NNoIezqAGn3YecT2CCXs5d1vMTgVbVfP/Dnz2R4zgR9IabBkvS9pqHZU9 WH7RyfFoCz7hmyeavyR1YHAtOlNW2jEpsK7W4IjFEFHcfg9q9fcWld7GCSyHVgOZHqXqWo7Ku2DQ UUbb433r+L8LlpC0x73BRQnijO4WkGTUhZ1YC7WwdG29/N2udaemADy6U1Y5pnugP5c3CHt148SI MSTZW1JViHORJOEIhUfqOYva/lRAptwnK2RZfJmlE8Y6MZ6ee6DaKS4zkp32rKxO4xo1yUMmM9Fv kE2DpjrYzJWxPtTG9ZNZV+ac0mvLOzkTeAXjOtUy02wimtR1SvuOYk6H3kvUl/oddeLMuQ6Sk08v h3TWvj73UFjLYXbGZp8ZPMEQPd4owqSjwZrOtVLSrO3QMf9C3BvSnvk52lGIRMEn5NeSkyFmWRGq VDec6+EM3Ohvyw+EbwEE19xS5IgwlW+bO7yWZYylfjCXRDl+Udbj663BuusBYRPCmzaB2Ml1GNfn Eo5MBxyd1sl4T1+c56tb1skT7lCVGFMCE9L8uKOIoY9WdRL4RJc0wPYiZychdHSzbccsSAH59Mc6 rP7rAAo4aCSg/2P5W7YcXT38XMWFTO4KthFOjdbvT1IWil8jPkbqRaE53fhy0Xg+5i7Mn3Ksrlbs yYWPuaPiDc+8r+qagmfXAYiBIvnwh+PZFOjjK8RD4h1H3phejsUQr9bs/2Cdt2kLfTuNm7NCSg2A bI3fQamk9Rssxx6SAvrwBR4mO8GiaPLNX3VoN/bFB9QJOvw1kCGNi3rQs7WUS8F1kWqBQvuMl/+B VX/vTkK5G2/idtYImko57GAqjR7mXl8slCk8YB6bHZub9hfweXoWODJUiyonBjzqwCQhJreMiyqc L2HiZZ+WOsz3pgpgAH/qCy2LsXTbKFZpv03HgxjiVZL7MWocDYBxTzJV6nyc7pvww1VMtZkWUCqx UctepPKDAvxWEV6n8fjgoiseua4yANAJwPcx8tJm4+T1XsAdiMGaoaoIYfW+f2zWqpAGWxursfoh KMoDz091ReBrBO5fYsLrN64JI04X34fcd0ZTKVNlhOx8TRDpwMIFvA8jxkM+rx7Ar2KHBm9Zh9Qi +4/KYhz/HS5VqyQp6s81cDCPJcDS/+izr4pTvi35EALoC/JqZ01RsF8ecvaNETg8F9ltNnR6fxld Fqw+RMDIQ+OxhQXJE8dtOEJ3zAjT5VjdPrKFUwtT2nC2mJ4mUyWPyF0qvZciIOhjRcAFX4JsGr70 /AVkJta8rOfO5CjV9sQI7fOlBD/nmYdhBJDnoF3MyJtJfny/HVoDTkJgafc2eiNLOyM2Qj9n6AsW EKYeEZv/KdUmxyAb8tZOFZtaeI+Ykg4ZFUgadHRto7CjSr9INWX0RStxABTUHNCj0/iMPuKjGXUb R4XuvVJNmciWXBdkKrqDzaoVrbw5b+wC3X19J2OraqTD18DfuZssh+ODiRBh7/iuG+VuHcCeGH1g FwiOqSCHXgHfcjT7qXdkm4mZ5Vvs4hNYyOWcc1d5TsebzT8n5agFsUPwQJqBE826lDX6GjfHb/rx V4ExcA0M3MslbV0OTnI024xMdjSToowfoF8sIqXkvhIaux4C9rLay7jiAPuVbqCWD4FOF7yVGyso cOCBLuBPz1N3l2rxsjiYp7KNmLbgvtmBW3SUylUXxtl4l39ku2iIvZeg29+BEivJB/VVovZQpBTq WtdMsHyvB8huSGekCMUk5mRU3KClxB44dtk2Z99kjZ/JlrwMa2VNQI2EUESkywvcZn17EaG/MT1a uZhmKH1ZxDxWpfXVoHPo3TgcHyY2rvZdco90zhcFoi55S5EJr4Io7nWZu6Cu+DxObIX3Awt8S34D kLXNG7hnULEU+Zt/Oo9+XH+1DFABme5xOCpnFGnPLu3y/2lXolgab66maquoh3EHXf+53ScSYQlI vQbaRST0vgoJ64YlDzfdkrJgBwCoixekg1sFSYFuvOJAH/aUkCaJW3BbcwgmpOF6lGmUJEjgszHT 1283pCFOI1b565xynuipdJ8SYJ0iX+PECFv+vmaKXZ0wZoO0Olh5UYiqaBzqjs6uNMlzKS+ZLLJ7 wH3wUbGc23tA4lex9Np+J/5WY175YRKidB5oWykaiLr4H23RzWBLJXNHsaCPEpGhLCbinoRdRVV6 zpjeucu7ZKNdW4ccSVF2pi6wFBUW17xAq07oIatmvLuOYsZyuA1kuwuHS5OysDMoQA1nxs+3fLAM qdRxtn6XrCCxOXZHujAG9rCPZSG6bke3Zvtc4sTD7FXfwfSPf1mwLjQ8TM2UwINTZNxlTjN+9EYZ SL0CG5AzI1ZsWPg14F/Oq12/TUChXXtTwVfa00PXnTOl3mM9WK8Obu75vpt+frZ7kBzgJLtgLI4f PijShWQ6Dn3UUqPZ0HV9VL5XFA7UOSj/lV6RF5ffNu6yVDVlfcDWaxvVS0njDVTf37IBb2wVfdFJ pMD6GsREHBKTNwW+++HA04ELWnR6W7Dmjz/0XgeVotbgUoSpGwkeuu54ht8vzGbG3rFp5ivTkn4W fM9L/+dwZJfEr1AY0mYb3n9+/KUZ5Cf4WtJoCdyNIgPsoHmTx+mf5jnvcygCzit+t/GMRxQVIMgo WloqCLBjgtGcn2TMf1/Sn2NgzGRaOtvtnf2fgpNeDPqGeaLW+fJpGhlct49fY8CZu6TTiDwC/ynF POk/wEs3VoBgU7FosWLP12hxbOATDABw5uoplSp4YeRQ5bAs7NV9l7LyM8DjvyjfSaTOxl3ZDnBK OWX8N8lK9GQrBzW91KEsrSA4phdzvgRhIbF/oEddXvPiuA6DiqNkfRwto2Pk4p4rtp/qfSSqs8Jt 3+IMR3AxdWQuPQrUPVjzPyR20iT6QmywURPVyxbvzBhQuF/PeqIvDDr07H4G+YFqjDJGUJ3aiB+T hRgNTJ88XAj0uXnS2yLiJn2RmCljRHZkNfV5dCqfp+MJHi3q4CSA2Dd3xb9DNswCBwxXPVR9mZlp NO3vL2cCVPbjg+6lKjM+eaGFhca6Q+qKXZeCGIlWOSXQTDuoYw+TcYLlXbh623ecH+NA5XmHb5e1 +eZesz+6KxulA/Ub0SKZYeGcnlKj5kr1bj1IDU6V9uzeK0U0bzq8OfPRhk3J3SWf9ApIjms6ogWT WPV8LOTTFnymo1qgi9Qs3QpibH8fClYVAh49O0nXqtZdP4vgAIIkAMEplCPbtWe25w9Aub4aw5pP 1ngAmX+YFzlCbCvhyx6cZ/qWnF81pMuURv8te44WKQE0h5gfdMeEos7ZiIYl7O88xWqbpEiaHoOc 0DLaN2JpX+r0YMkBj/Znf7k1xVtzDd/XnsgoXlYTWs97ArdcIk8cTnlBWHSX3n1Hfhq8vwTRMxlj 6v2q3JRMaPST3K5NLk/0ETASMAv9efO6h+iTbiHEDgJcDteEZQlEtSb8yxA83Km0ly2bkqlL8/4x KVNFqLyGA14EPzn5QU/kg4qzxDCr+lTLIXbPaPi2kikj78000iOFfBpE9Lt5QEQec7aYV8pXjmRj +gctXqyCN+GvcZ3nOP5qBHhhPY5QR35QaImMl15iDyBMp7lTABY/LuXcJqjOkIoZZfZHj+oa2AH5 16kpdLy37QvkVWhM3NFEB7v37NjJ1ArsbVg1nScxxuG0iW/qMBGoX/gqP0RiSy/3IUG4eAx2X31A aW2C90zUhB/2kVHGoX0WgNuUQJmKhC5grm9yWjBy9yLyHfTOdGmfiSGvyZODvhtxohFP/2ACQx5E OoDZZFJxYyn3DdWwevPr73p234xLHZWOM5IJ03f4m8kw1R2zUtQMDApOJWY+bDsCx3CcjLy6IcYo A5/k48u5ybxZ2OSzkE52df8T7H+ZDytlb5g3pNlt+qcTVvRm+EtOgWG9dqCU5ykKH2DWikEHULs+ C+iDGBgK8MFu2hCQRjrxsW/TVfXvtPvzbSAmWThOdMbDQPqNx+083UwcI94oRHI7n0nunpFdyd/c H4H3fKntkx2ZFHjDgHB56Meb7K/RTINi8n88moYXxAWOpD3gGv3f9IKAFILMH5xRRdAwBSthuteG 1cEto61Ei1le3fNHCeJuAdh47Qyhti2CIaI8GWom6QZlqi8OTkkcXV8+tfLFDfdOpFw9zVR6GhBh ipmEF2fGf9vEMIivzWGenG7OeRnn7e3+TcXFKtKNjvUpyyEgUQOXteThvFzcQgC29N8/T496qGQR cFINeHYPDldykukS/pa9nCnx4C9yPdzr+SlXIVifjtns+oE+l8/dL10C1dNEONCNBpRYegZAgbbl w5feeK3BaivWv7V973BW8njJJnPECwdc17ddFXVAqOChZH73e4CCZMv184+iFzUJEsJ5zZvq1A48 WzfVBNZCxEElVR53GGT81bVu9LpwFuSP/03zfrHTTWgH/oNvatfoTl64Y4xlhBJViYM3LJqXq3vf ReF+ocy+bHGT5SWC9zrMxI7iMq8fXA04fyj+9O0V8pDCi+FiJC+tOa7xZrIml00htt9CquyEkDdB pQWaaXsi+PUWyIXjN1O5ZfKMjKl/R800P9b4DqrfqFGs+zzInjwny/hJ0sMhbyYpULLhEiOefcmx nxX6sf4HJtDUB4RdHo7mGStBmMs9lQPhG4XNRDW4cQxXsquyTuyE+PjfyzdUw+QNwQf/mY2sYrm8 cEHAymu79RXhpRJlVn7aer0b69uC44AYyOAuF0T+Qd4d48HqLvIDbKINwk5jJOViWNpa067igHMf 2CyXKZ5gx3j6UYJvydfnwxCI9x9SFNw1p9TsLhObAEsomHuDlnkxXdDriE37rkjRYsegDcmrIJH6 sej1d6ZNSfh7JYq5E/VccmOzpeq0TqiHDTrDAAAkC+g1nBF6KLhS3bb8CqwdQWZbnWhntHRYx/a6 rVOJ6S0oa+T4Uduy2Nz0WmzJ1AvLA5VmXdNuT/A/L+RpJzj7ybxQwgDBgx9HEQkhWBYQHeYedoVc vNdsl6rynJ87WMdRIW41HBiUkAvbwIFoalr2Aq5KrWyQJ73BM4H/PGPTlYBVer404LIjNnHPvkKo 31hdlLzok45scOojQdDUKhhX6/+z2Sohq9y2nQSU9tVhXSGTSzOtNLfh2blXyzVfGSaJQrJBDmPh BuQiEyMvnyYEDKvQZMdJbDzm3satLv70ObjuDLo6kuwP4HE8+RoIFROdz+1wDhX2zo5IosqlS1cM 0v6HK8O3Bo/+eYJAFYALm0IX+waLvzexS4qRDDHGNmHkc4YuyqfkMqKOwaowEPVEKjajWPCq9B52 Yp24GcS4gLZSZy4o533VJKrk3TCZ1bRy4/swsWY8BETC8D7Y5IO8jc65Lu0Xeyw5IE8DBdsWJQAK tsQfE7tiSqQKaVXmFipVXyuVDSp7Agz4E7tcJMIIbdNOc1EJVYamanPejfEi/T3KA2tFjxWMljV1 5/ymVCpldwHG2tEO6IBGWUCHPRvPYsu2LnptkJ7iRccOyqCOr1dK/vUFmOR7Im01StHpzxtUvnTG uAy84xSvqpjrhH1fQjd5o/w+PN//s+IOZ39/ERmrnOuq+tK7YpfNqnEKKkyUx573lBXhM/fSDZGX x4XVkLN+pNQSWKocyQNaZBWlG9hfb360u5+wuuOZ2kYSk8zyHrNj6pxvhjouK4pWWVL7jDwm/9/V MbR9ji2Hom1uxUZ2j4ZSRUcrDmB85s6WjSA5O0Ttfo+TjCcfaLo8g+W+79Wh1z5yLjI2XyXnTpaN OriaHekMtmwsyRaPFW8l70P3DJcPFkmz5efkad+Ec7bGJ96JmY4680JMiFSzy+XHTMgDRGBHSjRH gpJp+TpT4Tlo4KXiR2oWfsh8CZlIT1204mx6NRABzV5K+bQgaMDWC7OtJ97aq//AG+gtwwJybWVk Z29DFjdWBUoeBbfaqj0ZACCGADnt0RAamZYXhqKyrZR92LfWnZsLoYpReFq5lgAoV1ebK6riSfHM OJiXMl3s9AKad4Y8cihNb0n6u3XjvCW0aZvO6rFJMe1y35KJOtkdUcKHdtXD7A1MzAWmNooJpZBK a9p1gsu0XjPKvwtJTayhQ07T1Q9pTZMoBH+hqAlDMlDa/w6hqWeILFTqYpQxVKaOE7pNcdLMd5FL Y7GFWOvKMauaB0ol2Iw5HE6MFDusNBTzTa2jqeqyTy5NPzWKlbS3eomfWbEzvce0n7BpEeB6t/bh 7SsfdDrVwoC8eh/1uZ6WwFj0T/mgxT2ebArD6JpeLIAgoBGLOvOFc6Ob8npyeZcAooRSXUSaoHo4 o7lVVdJTw1WA6TuVq8JbB5dCMpYv4wOgBNj3X7nODdx/rkkyZt9wmhBTt3vd0Xrl7L0+5NCftnx7 89OWNpS2Pt3u3K+34KtZl3Xk0Hc7d93vPo/YoyMBuZoUoYasHNO4piOyxgLyIGdMJB4figuO/5LU x6A8ELZzd2LhOpqiiqkRk8AnNtqgxE+K+rq9mWXBUWzx3u1po6WsE2IZZS/S5YCsIcOgs1QSS1DF 1PHjsMwNCxXOpo59IuMJ8XkcbVgh933yun9pCnkIxNu33LxDdikPtSFzA0Uj5NvoRuBgUHXdYN+f +q7rD9NYqekmQhYcLBadxO9AM6wAgVUn3X5WmF88Esfm7zGJMadaqPzGAQsTgTWmg+VNHSu6osdx cT4v+2Yc7fs8AeKKGT8ErS8Krox9QajwNX9H+pQGqoi2LvyJhzz+mTGvFZ1mysYaLVrYBZUARM1h mdPQiHxL8fRi89o/bWnZlzMELJqbiSVNLJm6C+o/j3BFfl2ntn7Skq3U+o2SPGGgR3SjNJXD517T zx/Vms+P1PsREHInkCimz7ZlutxnoUqTxL4zXFsipKBnB+Xp/Bo60A9NFapNBFApAZo6WHnD3i8+ 6//B7EF5sOXYFEqUhv35fNSBkC61EnKekjT5ZfNBQuwhXHyhKgmlfXyBG8PnV+HSBuzgq3DtvrpG 7ju/6nslOmz4lz2nWlFW4h1YuY3iiPNkDODBhMJjyuuRRo2ok5DAQtbJGuCJQR6sOmr9et2zuPMW nSLiX+5tO/QOJAylKi+Hwlu423fPd3pAJIYaPIzvVac9lg0r3Hqa+QnryZQpGiNwzvUJaL70WcsM 25lM0s6dvfKm2EW9Ta69aCf6T2WQLV9P3aBqHcLcgW4fifbR31jwN9t2zVdRKO7hiTKW/bK3Rstk JHHYvpn1SkUdfsUGruOhPcsQiUCdo6d+mXNTWkg06H0ccKwLKzQsJMP0ZLg3FCdeIi9fDfHNLGRj /lViGvqh9XzSbd8Op8+fXHwdmce9UqtVy4n2uYYM4ASdDYmvsos5yv7RKIz0OTpYWmU/6w2WBanr njYjQdUPuDA7cNdDUskYWgA+4FrYEB7vBTnrAX6DXS27dUx9kRz0/M0Z2KD34v0KT9LXWIv+q9DY felplwpjKUyTyUtY51IeRuNsbp071GBHPfmFOIq/nNU/TdSlrkrmcJ9Szhx6yNU+c6yKT+7jP8il I7H2b+ocPiqxSa7CN5StthZhQ7i4nw+giW8DH2eZj98vLR86Xgy9yAt/jHAfukDbNB86fG7MrNcK STTsb5X8DhRGReBQ2bibIoE6Enb67lEIX9YucLkUDr2R7tigzTs/lWHm4zbHFuag9UAIwgiYK50i 7kzaXEqh1B7WFIl+//uQSbW9Q6HZaPg16/pytN5WtuIreKXrx0X0J9ep0DXOquE7jHyEGV3v+ZG+ QJbsriXAT/lNKglbzfNNunTrVH1SU4URdJ2oFO136Kg1BlZl0vr7K2h5CMGzv94DU/O2lfGwRyeC BUbgE3A6YEuGf70ONzRzlLiBzt+nzJy4WbGaG0v5nqxfZNRnzi1hMg13HHEUX0/wMqDORS4fTGUI 3Xxl7wnJleUAwkZLJjvAv20Px/1wKfeM9rIRwCZCjw/nGMHyRqPilwPWIPqjqKxO1kKnQLraR1hp cKBHIJ0UKUocMiy+bLW4PRZgNxYL7bJMUVTjY490PfJjFEd7RG3kBQ3F/d08dU2zyOA1cpFT5ZV4 56Fc9fdAOTMxnFm+T8KxLtRtCnE7Z7XOrJCYUE8+P+0jbaaBFuu0cE4z5lk75wbV1dwf9RaY/N3A w4A8waRIz2j2kjrKEsPR/OkX6QXBOwIvV9WGugWgBMD7oyHzh/jLqt1pkp7P36qAak4ivnJ4s+Ab B/Et9qJwU2rc/TyWBhsvaUUMR7TSwGqwFq1UjKRjEO08TDa8x+ettec+tZnv42lia2XfeWOZpLFR TRRZRHS3tTlQzqdFNLSVLMEIkhB2H3iIwrQaaj0WnQUqLX0WJ4ZpODPJexwYH8mRcBsMHSTaH5o6 Ltx8aIZ0++WzyFDh2GbSPL99BI8lDGK0eO5owr6ULdoaMTbLd7pTR48CbxvP2acTiBo6VL+RXACE 3QeRD0kqHz1XU9GppYi5houqLnHI9r7m6blp9o6oT6voRq8dEyVOJ/4CE/N52cu0slw1jg+nJ0/+ inSlyBmBYg1t0/PxnyTiQ3kSUC8alV7UTtmiW248yqajf1LqSj73DWIZYlXgeWa+cctpOOqauTpu yjbBuANHHSloS5EIZGdVPdsxGne/EWskemiXF3fDSCAFSmHaAc1xyLG4VQdTfednyxPPsGULgcfm ScKc8m0SmTibSlg5XhJgGIRcAiyH5mld6tLj5XfnJ4Swg3Pberowah3lYOeuO8gXyrB+9JHAPJKK a9k4d9IZYtqK0HT8CyRTyPpXPD8MDAE/05hBNXygEtnuiyiIacTe6C1urTxkWI7Anb36vJDRrnvq p0aIRsWu1/6vh70B53nBG+I0KqcATxxs/efYhRwUQV0s9T71j6P9enzWwNif6FTBiRk43TPYJtoD 7adXTH5z7Elfflg433cShx7PxyyiIaQO/Eah7+8vj8VmDCn2xV8rRJmVQ3lLktwpuxWtsiyo1Is8 J2PJtqy8wtu5J5Clp1xywyFZgeQBEVpTDHBQB4HExyqK13/rgA6MGVptNGqehTEISRZFRx2YveFJ IJNiEbvS0I4kWWb8CpbkdPBZ3/+01koyjNYrsjtgvrwHo33Wb3qQBno5RkkPuhmcYa1oMiMB+Q0j 7MLnYh5S8CAzX1OQVM31BW0CMxF+Zl99SUdmqrui+I8i3+e4oW5hSkgDEP0L2ZSsbfnzV0evpOB1 O/Zk+qyVLiqymi8EVFj/njfiKQEVKmyWW0A1dEY/fOPSsO+sBVgeQXJz20aY3QNzYgNkyawG7cUK Ab0UAnCohmhFl8aMJJVUws4IBIzlwBbtJM9V+zsLlb7IEVzsG26jU37jiigbki0SZLAOfsk2nxAa HMxGb2EChI+IhnJ+n2AQnM4LD5WiU0Zyvesh3seHiQpTmwjbhaudHFfmo2i7FxFJZnr3ybHPomNG A8fy30WQLAVoyLpMfegcKOhRhB/gRTuONpoXqjREzrtS4zEPtrh4LkPMiNZCRt4sF+WnGoSjDhV/ 2KdmGQ/mTqwvIcVedpIf6FsTeR7U3Hnkdscj/0W4hkQS5CF+hI8PJM6PpZZsb4aWMrNsiAWFGKLA ug9D2hQlespha/Z5ZyiCmXXUKn0+1cVa3USk1oEqz494jLfW2pPdfsejGeVreoRoDm04CTveVQpg ciR6SG51q2KZF7zsrXzXnnKOFs5pmFM6WwKFWvYCC/wIlyVGVHSHR820CRZeMopv7ir6stgn+BIN UIViZU4wg5yQnMg8DIKmALLd8bJq5wCWcJY7VMlUtiTuq8UKeuybekkSIUqEYljBJUmoh6AOosSL IR3e+KV/QwzihNYdw1guq5XFQ//ubI/FjGX21us06argQkboycm/dj0KCUJMSY6nzF3Fs7wdKWFo tZNRsJyuAMq8aXfeKktXT/hO+eqHagMgqJXXAVfU7+ZWz9Lvz/WBGI0+aoWxueZ4AVDhFoaxdeB/ t06FzncSsRSmKcoyYgtN12/6YwN3qyZ9STLz3cbAQRMMD6/uqyHYvSyrph/Sg6SUuB/VnORhKhhf VJdFTyuoubUBzfYfo1G82I5tnNvvV85mz5ZyBcjiz6F7oillrX/K7QoljtNh1Rwy97aLV9AF/iBO Nvpo/3XFpssUVncGHQFw2dxTy0yEjPkUAjTrEQ1L3tcwwlRkX94in3NXkRFZTojh0SlUK+V5AGmr U/rDjOCwZNYU8V2+2oRnPzUuq706+fyStA5CLfYdPoxZM7/x/vRkT1KJDsm5C+sLuobketsF1HU9 SCH0sjh0E0jgk2aB5beQdi4mpFI9AK/Qb7WfLE0rh0iKzukT8MAp9TqcSqQ1D+EOXIk9Gqvhu5ka RE2ZhL7J0SLTyybUxgLUy/8ldrqPjgopWzXOvRmFwlWsua5B/uZWtADzDNhIaFptGdkkChCUDZ5k TVfQYiYQV7vnq3g30J+/z2BDEUR1LKSW0DPCXLrHIfmnNVp3Pxyq8W8E3dyw2wPElMSLQgNlL5Ri GVlEXMxqOwiDn4Ue7zAxNauIzT/aPubC+DhUSM8I7tqdGSjNLw/P6ceD2SL7B2gzmVO+thcdP7GB DjsKK1R5ZK9m9zGpUzMNkpsYP2WCy331JzzXI6ezLZk6A0G4i4Itkep8O326akhwbyp5h8lr8EO7 NElpjDLG4RP3mEdp5Ff0aJ4mkvF3VfS9zigtF5ijS2qPRRQZDGHg81CgiBZBOU2nWcCiBCXbdV4k CXjU6ouMycB7bRdA5KWn/nsCgjodMgwHaXAqZUdaOpIK7qsXkBDh9qxKlEy8jcgQ7KJAb8ktyqjy VfKeFOaOWUr4NrVQvVv7WxQm0VTl6tVFF9h5DaV1XOPQA0vBppViCZMfE7mgKJMreDHa4q4l+TNc p2nfa+oddKJTm6QaqYmhAyxUwYT8tOyhO007iec57IDKUb0T9gVs95U1/g5sgkDzE63cM7vxB1JU beIp9y+wXtL4HFchQ/iNItB+mwbZqNx8L1OGm9yTH3Limn30Z6f89ccisnevS3DR6ik/Wz8xhR2X xepQE5PHSLi1JIwKHTURpSOhYbNFZT6whoZVQJ7QrGfVMZ8OdPsthfv+JvrTdTzIdtC3ExS/KWo7 DaukZGi+X4kRd40w7M65Q14Qj1L9XMjVuZ0FQzmyDSDkmpxzVp9kZ+FulUnqfAU3yGihEb4Qb+sd /8MuS0gAmVxNEL7ltBFNS88T5NuvN91B1MceRssnsXDT4WiB9ivQshn7u4Vu8n8ZYgf9vqKTDvL/ U/4arQxMiRozskObLPuIUnI7lcylYvYIEYR9u/YGnN53M8nKtS5HPP+l74FuAXsSsUwLu1pSi6z/ Qb2vY7C+INh0fMHvD2q9JWD6WyBCB7NkP31QuDoypDBSAf5+1Yi2WFj6b9VptwaYK91p7hd11jAm qhzQhAHfoT0E2hIhdugnDwj3SHyBlTi/Z+8o9bSpV5vszE6mcdHvAf+vzzXHe88rzHj9OP2c/Lga dVGKw7D90RLOSPakvO8cBDGsc2+umS7tRGPAJeSBs6e1ui8VgYKT0DiiuNuOOdje38RvLYoq7cQ5 xirqcurJwC/MrQjrrqIv2mD+wMVZt8HVQuSQKpNY2HZL/ID60uIaZf0njarl5lXabojovgw7cQ1w W2rEh/0/DPAMxuqOGQ2GP3f59RQGE6PrOa0vGSGkAhlNmR0y0/+dmrMF5vsLOnzL++G6mJS+GBCc ZxHxqO4px4BlZEZmHudOX2CzYe5t+XpPN1dX2EgLIygRHq9b6ZBRLuyBR0uRBOBFjANYuAedmTHa Uq6NjD24AM5MwwrUUIxZp/Vu/4jmshOYlbiQr+gf5CQuiZVt68agAxEZvd2PP630fEAcEeKVTdGs CiW7Qlb241vWWJjYCT7jifw84wQA5BCawP3J7vgGIRp0JKYlOHLEkBx75AqfDje+7MW9qAjg9m2h IMDe31TiKn1FlB9wdKD5zEhowIhLbSReqr8JsmFTdQxRCI1bF/DXUoJRUAj4NXpAmg4rzum7+Qvg aLv4PG42U/IoNH4rKNq/9y/TthUkh3GJC8lx7Qhppez2YBmX2v0zpRGcl/M9JDUlzVKeciTNjKuC Q5ivTIPcXGsVICDF7WMpIPRzNYMCOpxcbdtkrETC6bAhFXj1QEn3m8tCX03OZPJ2uTwXGoq7kGjd yOp9yR3IvUmA6Ej9tUyPixL/kadUbg5NP+jZRZz0hwQXKu6KOEHw4zrwtppFYbdiuK3TBNqptdLX ej1osWIRzHr75b2TtGOtfzBxKMloKhD0uvESzh0wNCh+MFvvZimYAZdMrzKzKKPVjCg5lJSstURi S5qEw1LCo1BViWZYbu2uTl9rR0scbdjc87GruHfj+few8sv5YyVrNQdl1V97ZR08wP+xhNPfckRs JHkSINW8Ww2JLHYPjda6rvj1CfPh0ZC2EYTMZID5gq68Px591vueKq7/0G3b1qSefqnkXGdFzjWA Io4maShUDSmT2/QaBaAOy9dFSMgdNKbv3RdB2RyWM0NPChVovgchyi5IAxeLzkysQkJHVR+Xwv/w iLxDc6635jeemaUPoVLhN1oXHIihZ6frZmKG+vZGXEvMNYXtp4HaIP4CJ4qWhOjsV5sVe+uqLnCW a7oP7Ytd25KGMmfSqvoBHTtrDdnzQJxhYd7yBFsGaKzSpR6IAf0j1MVQ0fw5za20GztxiJYPaoWy xDUsutomx6Q/nncZFouHZ45l/8GrgD8Mzjwlt4xLOLwdehdxuB4NJelDhzTChmZvpZ43OhGRii3y qh1TJzRbQqubttNcRhT0Cp7KXAu04k4zpKjaQuGl7A3cIFwtg44WiZE0IL1FPQwrtwlU0YqF+QJO DQzXubvd+dlyI+rGkaDd++bfBdsf2/3jW4YHC8zhWZ/xi/Wx0PseKSuk9M46B+ObGH0kxlbC7SOf 3cHMacSJu06RXa1LTnOQ4F9hVAg3HsnPjAMO73kv2Apa+bjNmOVQBp7zyQbvAfL0TF1bGpJ8mcyT JElXlXm2uZGSuEMF6MFGWOqTViAxbws8gtehxqT03hQLl3eiMc8LNP6t1kdA5bqvyXtQWUcGaYqB 0Jzbq8whPxWKRJksJMKVINsj/psGYmEt/nyAjyRxFSQrSp1zG9jJMpXDBRvPbZOmSuIVh48FVb9I zo3Pg1WV+aj/Th9mnN8qHzJM2cB/g3ndNDqOo1HemnWTG37+cpLwj85n21FXE1SsRuc9BBwGlG7E ggfXx1RKQt5sQat+pyiPNWC0r1BHjnS+/CiAuKE/GxtWvR17Si+wRzyi/ZTc1uNN7yopeugsJDp3 Jhdf8ZZkHKl/wdfOBKggLTEMfNrMrW4S3/X0cwJ1175kZW6LJXP3ya7Vg39KFxPhQri1lF1M/Ku9 1zhdcowX699nqMMXGW9jIDiuNMInpBbX89WwadCoNrOkE9Sf47pdLExbFDEGanCOmAcNNJwX6/VA euFEPNbbZWNJFLWRQPD6IM8qiXe0h6v6g9GmiL0L93QyYT1RquydMfbrv72Dum8PjLxBV00WV84m upt9bU4Sj2CuTWyohgRnXRqzUflVSNBnpZmvPIxx2Wz8tlCjOb0pz7RSDrwSHRbSPMGcUkkOWOVv Q362pD4gUyXG0J1E7lFS80bLgAwnYefQBLzJjKqnkCq9sRNCFdNfalSrcWfQdqfVEiVPizYc/q9i fDhanxEC5Sp9JV5K3W4LSytgIRpns0uZiSg43aNJagSm30Z7VM+NcgI9U0cD9XsuM6V+UOi9dM2K 9viEs7dauF7F7LGgI00eJPWVJ0L7s9S55+c2BkdQqQEHd5/GdkOK+pfCP5jRgbt4T4c7Tc50qGL5 xLqMehRUO3icWQn1GdzgMQjoLH6zcSgyiSyTZ8vzuVdrJjJgedId8eHWrEHJhQtUvrPkQfd8GaIQ 2BVo2OE6cxaADEUvyusWcoUKBxexT5P8Lmpf1q78MhjBtVFl5bK3NKuwhmOf2QFscNVNIOV2VObL khcVCl2iPr6ZV2+Ou3Dgp8QD40AlIeaPrFVZSUa6qe2H1SJ65pUVNAz0irs1FGNq41Te+HAb3Fa1 A9NnJYlicyvTBW+fjIaJDsIGt46jQTpypCZrsIt3LcGWKpJRW8vxRHMdK6KWB7tU4Gmf08A3fPWi 7tuiCrSeSSBNjInhmiauhbjcT11eiMrNnEQ5lOmSlzjn+adgvvaL90AP9sHjnk69/QmmvUc3D2Sj i5S87IuT/WmeYvo0rxvmlqrYI8ROnAFl7vf1ae8862nwVXgi9XVkjcm1yb1t6ixxQC2OxnZ8Q7Cv 6O0HmvfEq2HW5ASkV0SszZuuR1pd7x2nlUOVAZxEnJjyihprJxdSnbosmM8fZUzH0Nvcye7QhlKy RJDvTbPtvDXJYfo2Q/XL83w1nt33IlKRNjZwSMkeQuoaDiAq0+lbGovNxW/lI+DoHQW5E9NFGm3m NxnAG7rk+76hRaNvCjuc5J5iZ6vy2cmq1a9ndaIOE5dS0QcpK28MsJG6eMCZPvTxplzASWHUFX+h i/8p8GhYO+ihFniBg7/dXYR3g6tSK5Mg1bg7YKUj4O6a7NZsmRVDR54xFRWjx4650eHDZXzg63AT mgdiFfpQqRGKGVlNbqm8/5/+am8PU5ECX7lgpkJQDPjL2eHUryhcLNor4rd5jlDC9EfJCLrsz5lt q3aJnsVmVQHdSHsOSUynhUmddVflqByjm12UvCmmZRRJEgDFkqRE3xJTvyDlsOREN7K9rALqImYd 0Die02NtrAbnhk4NhV3Efgya2hNcZcDjbYDZqbmYsozHYGozwHq/uhi4IRjKOhffOVLqZtJmSll/ mOFa5PtJI25VbLCz1bCYG8k5Tlr1upFfAbH5OcSufYuu8lR61j7SZ01nyUMYkEzKRXqQw0jfAwPr 8ZLIxCBTxMZAGyu/onOGGOVJD/d1l6NGb7WkEmBBuOp9zYJ6ziHiUF+KWrL5XZ4ADZZohlvAQSEY yYp0Dnx1DmypQEQ/sqvxFvjlxYJsZlhULSMgEeseidZoQVXh7TWD+dVOCPCJQ7Cv7gD+GuHDPheq 0Fft+CdZeq8QyF4pQXPBSPkC2J32YgfhjwUa8gXufGv3VPtofIGzcWjH15XBgzUSPgrULlnOI0dv Ip/CZL37HeVTVC3YdkT+nRajysimA6+RUVTkLUwBP9zGV/xetwkfbU85PxF2jQcYnQEopk3x+R+n YOUH0wOAAJ3c5oM2CP/7ZoX0mcu9YstT0lsNz5X1Z2mijuFoHl+Opkt9MQlm4ukHcy2AUqUSnUwn AP7n+4gQ3TWuBqZfaEGhhMR4fnIEOQlFCLPRqXsbhOvjndA0jUx1gEjFTw9jLIbRE2bkskBP81Re 8ywXvbOOMJcP+do5Vfvf+4mzOYQohBaApe2cQ18+XcUdB77afgQ05van2oIXTr0LGiwl9jinhW6u 7cFHjAl4zSfB6R0tkeWBN3EEf94ptxkFtXxk+dgap+wbNUMvyP76ey8CVNtuifdNOBA77QjCnmZV XRSNbu2/Q3mgmcm+pt/vz7X3G7gx2Pt+o+1bJ7h+CUrhKkmDc19vjxdD1spuQnCHnQEUjZVBomX3 cAgkMaN7sMO7P2OYPRjjd1VkCaa5F/iEFW4q9LfBhrtzsMSMu8DPyI96nyD4gs+dvu45Hy+FBY5o 4DfT6ipj5+Xg5jevB3iryHdpT67mtNve+Par3o8BBpi74AH4ubQ3272r+2C0FYCFMCdM6OsMHWx1 nwU0sV/+7X5yGjTIYYNIR460aqW1X4BQMr2feSe8zD6G/W8fjXULywfjnuEUkbH60WEWoXFwcLIO zrPBMI9eqDNXdgVJdRrKo71DQK0BX8klVoB0sVc9eGcYVbv5EDulbB+Gahzu2WJBAdXwjj1IWUod Jm72/NNmohb4m6FSOGsgTiCy6H/abdN1MfeZWbAhPPsmhZR8fEi0CtD1iqjMDHAIf+OYLN6L5mwZ tE4LPkwoFmjg1cVtEbADMSv0vUUgm4nogNXj9/RiJUexcuSrEYExQH5/A8ON3blA9GvvqSLXjJEK Qh5rFLMGyAtZABV5lZDR7Xhe/1xXSmb2BTnQK4j0bkvx2sfpN85jJBdkPi6QdTPlkhhuART7zdes 9ZgEKvJDX4DbzMP2V6HFRhxELZWo//Qc/0XxoPpHGOZllVr6oMJfR8/gMPKK1IXKb+vsQSNesvVl FwfCrkkNbluBietKheZ6ijGHpP1EzgXuYN0O/FrKb1SRCRVen8Erbtb2xXdLjk5LoEeapjWyGdhd SureDwoWnD/8hLEC9tSdRMiol1v91NLH02KDji5kGSLn8zP3wqTJaceQUyBynlnra36+y8Wg8xd4 JYpFbntf5jakgNQRrfH3gDcFXGhuzjzpjDOr1rqbNfdpOKO882oFYztHai35DY2xGF+ULk/ujM5F xN1gIRhjzZigNmx5PgOHUIdjael7/LtiXByr4ZR70dLVfs+DkduhJR0XBslFc+Srb+g8U8yz7XT8 WZ0Uqufsc/oLMfYnVtupyUHbbN7nI6wEC9hjSvOgLm/bJ3gTYvlTzHLCUhcyvZxR/IHEzDg7X712 7osIVmzIqh5GhlzNrb52I5hDKjA2Cej0oRF4BhYN96PxslJ9488UXPoLuPndz1fEi1WZQFxYrdBw oe4PSpuMqqe5duxN7Z8rWizi3or6uOMlDGXAPh79MQ8idEixpWRKGaR75aGAWrcLpmrXVNB9Y3ak 4o24MtOJFXQff/S9fOTo/4wgkj/awjhXyMwBEMi0TJ6TuH8kZfU5ZipVkeBSYj6r+If/G8jFr2t7 j04+G3s/waz/d57b3YWS1TS8qd54RgTHMnovQGWAEATL7erHTnYIOfuFsh6XhXHZ3CvWXA0xebj5 Laj8NgZ+kVKd/f5C8Zhj11QFLcfUhVQrbImsZsYEnCeJmN6kV2MrL05KD5jaWLeoFTT2g5Q7rqJq uxeeVuTpplEvpfzGMseCOyghh/N1ut28vvcNvFmW/QpwLNbB59Zumg9K5hDHirMWXjCkS72O2eSh w2j7WhXM58pKeuiItG/Jsmm4otN6GEPyFIZ6D05qsuiX72NnA/5IfrQjouVaRedV7k0SsUJvFFlP ZrqMP+/FpCUiNXhUYrsoxPjt3U+6o4hsepzVlofQz/wC4dNOFvCqOMY3Yi9zZN/BpvyVMJRnZxxG hqKehliwO4V4rd+U43eylC/cDztmIE34WGZH4PuwDO5nNEejmdfLuJ3g0bdtm7v8qF7Pq4L4EBWy 0nVm2Y/Zml+5GEsSdyPRSAsuUQ3nNJU7dxXDZ1ELXUsHVA1RkNmQvw1ELiRWRL7ENt1oaKRJ25Ih O3sfxmo872T1XKpArqRhlwsR5cos1ThTFsAmiITw53SgWM3wbYFbJOAk7FNMlhwJonaVg2pgZONy A4Tjq/PV0FlmKELjf5qFDtlVTHqjN6URdBoTmd1r6wlsoMf0O94b8aeJGAZvXo4piZz0VMVl9s1x o0Q5tPf41QBFW6xjFvj+JOZb83b8Cbb/v9Yh5/yF5z+Tqr8T5Eqaztki+FwEODiokFCdLeei4gSp qLep2jCtv7X0GDvFFDF4hJhyJut/OJ5C3AfDJroL1oM0ql9c9ej/s2DX5BgQeCnhMKZLZOiUvWHF iJ/K4aolrOooqWnypeV3sT3eIY8m615kQhFLdl8qMYrsqfsLX7OoZlpoRA4JM9eZBXGpOEosE4An x5BCSu6vH72ywd6z9LG9QbnlTVozah/fSKwex8YwRE/vRNC/PApM9ksG8r1/FCxNXLVsTOv4F91Z 8HaDrsm3g6JAhbcIXOMz6UfL//5kJFYnSSvOb7S5Fs0KW0JPbZolVHKLHugf2gKZcp14BA4u1SFk jRFmKKarLzsa+tx0a/cHjhFsjrlAXdTG2gg61+HzknWA80iq2xx/lzxHNmpGJ2fMElADza+bLPTD PDX7dcN1rCFEGUr9nkZLnto7PDLDuEYYiQFqykdqZDF7RQQoqgDkZyJEUQ9FOQIx15W4cSTnqdt2 7kiq1ru2FFBKya5Mf29wGUmt29soB9KOVj3AdMw39fmdhulPau0wr28Jq4b4MdPaMMbE89Srjao6 bH5HZiR6T2zfLAnviD4eayWgMuU+5vGyMxmRIxchpi8g26QZwlpdmFK9UZhxzmLZWp97xQt7SwQ1 bKio9ylmXVp9OZX9ac/rTyqwVlX4yYSq2rHh0uXFTMi4LzO/ZfZYo8O5UrNgIaJWSKOxQdvgkx0G 2wVgwpUPt1p/Xd0pj31dZsXgvMO86kZdlS0Lwi6558Mx42llxHNq/M+po+O1twWd1Syrd2rmFMtx 83fR4W56iwRWGx9GExCX6GTkrvN0q6q4eNQaDL2QXXW2tWy7F4KWN2vGDqHh4i1bWWBp//mlxZae 7svtgiLDgnA9GvzI07Li00a7+KGsg7ETR2ZI225YNdjqSguMZbsYX+PNETikyA4vGFoSr+Qiz2GU /pWWAEvKiAKyc+tf7fn4PUvmQ/fW6d5nXlxekVT6F5hMT9xs5z4UzSbn0JrE3FDH5wkYOB3OEh+S uWAi9J0IBBV+XPVfPKPvxTig56yXJB+xEEXvQ0N/6v66SFhjGQKp5ZBL+iH0mUFrYCJehvDNSO1e bBs8xpgIb+Au+e2gqZ9jeUtF+5PCOVSP2DOJ2UIv1Uygsb1V8jvovjvi+sOPBRNX5zVofx/Hbpp3 gU8rc6b1xHjgVCwisiFM708noASWXue7QRmZqbZuIDhXepn7m4MG4ukRQ3e39JaXd2NmFxuNQGT2 9LHyz3nAMaTYOyM9YWpH9SrVR5iuY8ums3kwsYhIOrBBZeK/KcfJ6vGZAH/dfYz/5aANkC56i81e 54jNb0jwpWsdSNgKVuJY3CYE+Ekb7koKEpginDrneMitsd7S4ml6dE794fk20aABXHEoIwEQV/Y6 Fqa1Ywdva5dN+Sw/pRydFDuPi801fBp5qDNuFQKhqCmYg5Iw9vp1diOiuxBDOlbUQT773XyLj5Yi e/peKcvv6N5mfuwJseELryd4HKnndLzzYxt1W1Iu4r1SnVC0jxnMYF75ar57zqhWik4r8pl0CCET 5qrZ7nys7KP4uQsVtz1zsdLFrFGo+7c7vRWv93ypn7USfL2KlUAR4NSoz+QghBLVjltOcNMSzEtk rCcT+1I7LlBCGivdurt/74jLdezeXTN2oWd64B+eoI5dZwYQgQtz/ACR8DGOmWXFK5w1WaNy7wbm XPz8EHP9k2hVxKPHe8WnAo0x1lpQEIMGJSIcNLRcm2TEl9U59dLX22y299Ib3vwDi519VckrJsOY KaYdXOMdIObY38GZt8vTL+iF47BJY6OOcetQ7ygiPWJ5KPZ2qsguNNyxJDt2F7piyaeMI5ZvTXVx xIQiGweVWjtDILmwkBVSX+iKqkqZdSbRoGeTqfBAqI7RFw4K5HLRd8cCYiOtwQFDfri6VdWRhpOz Nd1i+MmP0V3ZIs/IWOiLPtgAznRyce8tcwj2f4Nfxc7uFh8PEJFm2CtjS1ydTiedv+CLBCdG7hI2 H0EKCATaXE46dMm6pCWCIJBwjUNODT0JKdJ8u5+Sn+NmWj965TDiaF55Afl/qMyOZHop68Vv8Qpf JiZATc7h2v8baxW7t+fqrU5lqjgTUYi9EzVIWlj72OYnWM9TUvSWtcuBdjEYRdkBSKOfSkO2FIN7 CUIMEncvoGnNXbcCSCNItaT+oIDwz9EAo0x+qvW3LClHhsSfIbj3irE4iCXDAAzxQBajADi4nZOG ehFTp6EH8cEb0IlwFN5EVp/pk447WeC6BVb7Vp+rUhcWuXGXzR0yYbJqXhrab6U1Te1Pft3eKy86 JcUbmqarS93+KtdO7eKOE3FvwMsU5AdbRXJc/WciL5S+r+W/T5pwychhU0VsyGtLXEyajfXOUo/f F3oEG4RE/bQkT0T/TPWk1Xjg7AqVNJgIadH0lDNpJz0pfKCeYL2p4CZ3oSEHdXvHBGFHtANYp/PS 40digvCjDNpWB6/kPVD6n9c4Rn9pkgtHaCCBWo4q3qbBLdjlpj2Vkz5DxdDs5OKGYGDd57ZAiLg1 /uzH+5h3kZa5Z1kNTsGPdF0iYeIrstId3FIGGf9fgpTF44lnsfRh9eYBHg7YGDgcQqKowfnzy0BE O7znF+Ds3WcB4ntzQ2YzIKFA1AMFPsdI8x2mHZfJ5Mb0syLF7hWBQbNJJdKb4NlDW3IQt0LITTdF UMud1QI4RGN+4j+Ue85VVaBvvInWOalYbFWGP25gkUBpXoDnay7bh+2fUphH93tj0d+3Q+YSKALy 5Qa0UlbR46CGkOQkt8BCoGsHneFYmD505UUMJORHQT+fwjQLQT+nC0CdFwIyouyul49iMIXXcFpk EA6Zgp4s8SpY0bmLl5qZu+2NP0PV2QHvCdyd0BsehOzKTSESxay7Bs/6oRqjzBGUKWbr8hN5ZfkL 9CFFLwRUnw45HLLujTthTRsmVMX/bx2nOT87yXEixo1/XuIFe5wR/rR+3qXuXqbvkskpfHc1al3e S/+Ov4vbiuyn7cFSmhfnH0vtMVDUtLueMFdvAD/pOjOHBJPdbiCO/ER80uHLkHkSydkeCgygzdxd Ng3bdz0db++UWzKtwcTMoId2f0GXf+QGizBOzQd8uA/jWj9a5l50UCS/QhAsZTD4wh2qyu5tZNAy tSZ7FzEhniy1P3xFSzjgExJ1ZnOptS2FaG6xl2VlgNecT3LyfzeV5vwj4jTipY++evM9kAJI6Vs7 L35Ydb41bsWlSNZn7B2fFzHYvNW+T99zq3VZO0wkw5JOZCEZ7KwqfQ1It0FVWZxLWTFp65lDjW74 72eHQj3VmKVH8E7utGiC2JWHvv0c6mvJS4PeEfDz2TIS6dWemHGngrgGgdmaD8vhMSrSeGxPXP1t HOiOUgg0bDvNV87uic0CaNkeUVx2qZeS1iLVKerYaX9ovLJ8Mb0Om7WkYdaUz6cxGIALMh3wunkB Msi1J3lmhF0GpUMyVjzimmirHiAP/yixP0IyosNXEisXnv6Xwm2KZ7/UvGBA9V2PH7+hXWzH5SG6 tVCBdLxrYX20gL0jUBQjaqVkuRKqpXkvK4cbZqXwI/gkGwb3Ws8Bcg3Z+tjhL/1iMWOmI/54QTCN Fwmq3yj68k2SMWmp1Pg7LpEE7y6AxdOKFowq/w521erGPHJtQW3l137FW/S39JPZLRa+6qNgjE2l jhDGIeeyq3jtggIsIgf40+1adke2gg0W9yxGbOyxvDCFh8O1BbbEGPwbKpSMqBgfmNVxXpBSW/Xi 4hHqRVue9z0xs6/6r5/rwWe+gCeO7d9VOu4XdutPGI9VS6vqhcaSWHh2WaP45UUJXg0cDt4D0MZT HZVFUKwy0EYVa7b/dKZNWHJokZr9V8sNCYueVF5PsiguH72/nlxZTIwrbb6UrozhGGzxIx7bAbI8 c7RFjduvuHg9IH4CJhsZHU3D/gFM2pFFyCdbQARAHAyRRVc/RILQ/iRSNleEdL03ep3PWyowHY2n wFV9fcB7yEgugVUXgCOru1p9le3fnHz81gG/3O1bBk1hQCMq+J64Fe4zHTwPQx6kNRFdSjYgNZVE MS1m4P7GY8W5HCXWi2M67B4+dlHuhW7DJfvhi4Ikf7a5uijQ/i+OYFT5Is4fL0qHvCkAqwRJ/wbb LK9czvv3wddt4J3hqA7alJVFNrTc1IVG6z8UaaX0kxGx7gBQaLDjACnvgmFEWVaDFvYj1n5uOEPF T5wcxInhmZSBiXY26u6w4qYgY9nvYY+1kPUcdHw4tM0GkRJ2yKXSHvK8M6HNR9pQDhIlgtsk/Gey kEbBQxkHjykqFGnVWbpd5NcjdA+3XUe6Dz6/OOuDkX8RnnqbMGDhj5omHTYm3dAz7/wum3akkfl/ t9CesJIm5E6aGox5WT/co2yxHw0CD71vGtt8kPViUce0okOjlQWekKhmb+HN7SpSN2UnS8QYwxSp DIjxtfCIsJvq6lqB6d83pGQ4rl6CZXREarHjsAyArF2OeHmiY30QeOxaxQwEqcL1EEKmGM3eX12b 6BJVTJT7XSeUxPQuBriEHfUGH0dWuombj/WH5G5M5gycUE/LcCdfFe6xcX88eBv6TySSDqOvRrHG oKXa/lmtdLS2488uJFITM5yL8Jo56y3bZS8MmzNi48MOrfWhE76RhYj7Sqv+KBebMWS27wMjgysm LL4CGejw235FcH30mnxv+oFESXRuk+FcxpfILBzIBfj76zlSlZRc/zR0ngFLX9yYbDthnvrYyjDz qyqS8uZg3lBcFSc6x/SLANGxuzIeJ5KDqnSHjRvgnH6UNW14w5H5Cr5kJZ6EWsOupjoCYbCEpxaF KDzf6lx6akIRi5CHZSu5KDrSRS+wSE+1WrbpJspZHiNAITJ68gq7HpHYe6hT7aQFHGbEVw1hixQk f9UvoUMbE89ZTDr3guYWbAImoDUy/cM78R0mIa84eyX+U8ukFfY4jvsTnwWidv8hmvU1AblRppHK mpNLgKfO5Sxc4NCcuLtPKLfaM/VAgPzMU9uj8aS573KfqtTNqr8NbA3g5HJAlHvwxqd3I+SsqwL3 DGGz+lfy9V5KtBBfnHhDioM+mZZTHk07GdnN/KJz+Qx41T5LrXUv6LYLwrX6sCpDt5qc/h2EuBNp jCGAWm4FWXoCIqGJEtTYNHdBn9p4ZHanmTAodDE4gHCYB6lYDc9j2Xd1HbGfKEAPcX7J72In9Dfs O0UQeluNXIXVTqNDAdz1WXEJulyd0BZqy+2Q4N+OuVaz50dxEXoSFb1KAbtRASsyMSVY8sowZKNf ORMKuBdTaFzZ/RhAu4Axszc8gCiANVZQmlTrPwCbYz8dA0njyw+4x9FjV/BhoBsMvYyiZQ7WgQc2 AETEiJe1GKdsg/FuykA6/5dQIQNSEuW8kPA4pWNFDt14VhzVXbDR11U4nc7L8MdmAfuARyDVoC9/ PnDKLOWI0LfSd7xbaKtBEq/teR4WdCgU8SlBYBSEyPHfzHpW/uDFisDS834mcII+dnv08GeZdm3C ADFUx9J7xooSynjCYaVA2Oz5R8uDWA9CGjcU+lLM58bN55v1NTdwgeyH8RY1cVkh3cfEedZ5HKE/ iQmd/u2XPJoFwjIwDy1ftb9r2ji0v6ARncJ9yhJuZYIFab6bFpMnPHUfE5dAV+aejY7dxcVT0SfC VVqkrfYZvPYDctVt/PMylVd0Ns/TTExMsFt/OPrdDV1h0fpJyoQ7z+tKirU63Tcyp1OrlseXLeSV jb/JKe0ZB+C//nuJikAoYPpwBIJOLYLbHjC0gZUASzGbJ1BLWvgJT5M1OwEy+sLCrRsL6q6nrbEr 6Bwg/peWJOfiV9GM8ITXX1YtWOHkPQDAjRkbe31nV2U5DnKlnronSG5K9tXDeKP0EiFPye/hwPDy ZZYzSs+1suiXs4TMuYgokN8IlQgqz9cGjztw++fVaCCehLFlHiX6V6BGrHR2VBbTdnByhHs6sbIN HrxORj5ynp/rI7s8f75hyNDXQhj698cmY7hmCAvKQnPc9B3MxSP6leJrTLbDR7Ij0S+SyNDXgMZD b9aD5KD6CCmapL2lukZhqIb+on3ITQj+YdPr3nRmh1TZHnimCMlYM72AHkTFtrr8cy5n+8sMyBGb SrP9b5Hl+VdO2FXicBa9DOl1q5adZkSND0Wh8qcEdQU13tGHzkJyo9MxoQscoqibBakopB+aYuJh 1vwS0NCxckr+J6g8Sw3CztdnPWGzwB/tWfj54CBnhV1sXZKGvgT3uNhiFxMpbtK2iCAf7xT9ABmd F8O6zS1Uky1XnQeRLvuwzgLvTytWMq0t9H676T5RKPLrwG+KvvP0wXy/oaXRhg/WACDVc/4LnkZb 5fm/Ml3Sx/X6VQS5VLgmVYBCP1YQI5+5PFrgTRKsNaLbpkT1n/AzL5Se4GYKa6Rvwvi/l4TL3j+A ZViOLnsTSdViNTFa2/5mXL5O3VQcIsDN9U8xfSnXHlwzmWAIplFVWI6ZazX04y7ibkqOqGGH+ycj ii5rX2NvopEgkCP9YX07JBEqk8l6CDBAMtgEI4RkcwpIa1/5dQT0B8x3Rg66jJM2Ln8J1rwbqxKl llkTglCGWxoMzC6C3znlnAkDcM+F6AvUxXDr6JPCznfc9YF37uhu1nimRclurDIKbggvConSkkZo hMCNCxVQN3t7xQcucIRqyBkzQCOux9eH9nwIdH5xGXjVruP7ZVa0HyBhkn4w9zoCReLW8bQ6aGdX 6Bs5faa87zWJ9+A5n6po+amrYESZMT29hoOCvJllPmNvLUsaA0EQv966Ohm/0mXbH6SZ+cUk3eqx qXgBHHITk6LAyT32kZZIPRpfAHTQwgjhdvqSNg526unfDhNT4vuiDhRcz6Wr1JCKsJRecNr2lo7y AgTFJEhyoRBj29/oXnSUkVs3ckABDJ6C6T00P+/xxL6c3ObgxcTCyvvNpWcurItFVU6AB5FyB0sE sRlwGk+x/5ooCSnnpng1axCK75Yoh9e+UGaiEay7MGqa6gnpqupSCJfVu4qIVTnjOnc218rFgF9B aPUVY0Ijz8yqPY02giTTYEtcS/1P0q8amSCxnZZQs8+3jXcbM3iiXH7BjnMZeKQnWztWmGlGJNu/ Awru/Y6nWR7+/9+c7pH0/LdTy01plZb7GPY34SKplR6dlIJRjc0D33gq8es3uPpX4POxZzmCaDOq 6UV/yYM6vS7Y3KME5AKFvdsmQmnjglVtX7Pb80WFDUZv37nmLZlER+YrBFeuX2pdXRdrpdALuuSi tSKvwMtAyUaSQ2POygb9uDS7iZWjCXg04jN9fyBYUoowOX7534iFM9aZvjAoyaRS/WzL02wyXuW3 FJjlt3rqf4cKFWelc2bl1N6LrpeoMAqGBODxutpHXtbTl3TREyPYtAFgyPsBDT5NJFWGHqTYoql4 N2KixfjGfRnZn+vOhrPX/BTIRf4AF/bU+BOZ7uBZOZWLRLiE09lkN9vJGB7jmY6NeYYUEhgLahWt 1zLsI9rX5vQcGKDxj9BzI8Dfb0w0MG3qFdc6UEpAXHkdTYCDndrwO35VO6dA81x/OqUOu1ipyUbR GkJUVsmvjfBx4jz81BnpHyHRpoxPLUiG2nyZEx+azf0oqYvzdCIaVLMU/GBq47A8EdASG5RYa3Ro vpPTffBg/73KSoa+bjaVeUmvFOdYtpeZAJkwHMAG+aDoniybpmU/N0LWAYthmnyYmznVaGHXRUbF KYU/DoIKW2fvGzQxqhNFEi9uW9rVW8V4km+hl+5YNblzC/trXAUA5R0YfrDeGns7NmcjSABl48Wf I+Eb4MTSHCpfLPtCzTIxyUMVlRtJzTwmqcAr82whPjNE4fYBGtCLMGmpU3OiTqpaTkEQzm5YjBhE rU88xR4/LEvYtm7RCIyqu9IXoMK7p5TfExSReLF5X4rkFeZIuefzsOdgY5VTLlSkgiiU8HVPfn9q b9dvwv9CY42GUkFrLkmElsBuG0s9WNFlz7PCjFgVEViTOA0u5wiFW9DZaqigE2QWVQnSczLaqnFN HqzTS3FPTyGYQS+tYu76gi+dCWpCIJJYWnkeNH+zMj4JxFRlU8GGX3Zi1ZN23fz/C/BL/7uwWoxa eBcBM3mrAkiphwzuEoJp6GvrjkZ/iEbDC3FG8HDf7SvFV0CYtTpFyfpXjycujci1JmGIW69KIOJ1 AC32Hv6U3b2Q/SFB1mhewqK5GdotC9FdFHBKFKjBKdJW1CoEY0GCDtZyWAIsS+NvtWVFRVSymDQk zXV2lyeqxJmpPZocX3BgyH49da/1hjrPHI3uuVo/2I33kTT3gGZ+6QUc4EMlan+0UHNIehEOgoNa r3GRabt7TKLH1lknrzZ6LD3v2aZG4lbqNQRPQq9bsvEs19AtMzSucFt9hNna6IBLTvxQJwgMo9pZ 2ONN67fe1/wW7iElkvI0GCSrGnHgIcULjE+M9eN1R4tNyR1grTZgmEaKsxXZ0fBNl4v7Ov8RjwsQ pIK6LT0HeeuPeS2+k1ADL50nlWBU3Ar1DquMyarfNO8OAGbKTb7YoEB3E1bKt2qof/204xdatdjm hmEoKGua3qrmM73MrgX8fAHVQ/+h/rVTkP9SAhx7ynRajZNCdwKRcDddMyXg/qb13rn3fJxLzCaF ZFbD8/hjo5adKIWv+qpu20QHfJsoMwdTR6UQfNvI1fJ2a3O/eXY9muUEhjtYD2qbZiCmf/QQx8Fs GTqWoH5PON69NSmiMGGpmTsirlkR7tk5+QVl+OgydjGBXwZPmftxb9o29IdDAp0Kib034tpYn0ZQ RVVHyO2mrMIkqR4qcYW9HZCVaqqsYsq++i/xk0JnoJSIGgLcS73wNH4xAKjGZkLLXt9zBYQQpG1P tvha3XGjQ8LsajrAh+WTkwowr0HMSkXfACQ2TYNd/KVnCm+ANHEIyeYOMzl9iLBzTQNOm6oY/GCQ lgO3xbByak1ZXDbj28vqAOUFT9jUwjEpWUI4bk/oEm3INTt4pBuDxZEMO98Qn/dBHsnKBnTBD4yv wZAKNe4j8LMY8fU0S0UpyZS1WHjp9jpW6Zufm/4BRUG++Fkl+Zv1eOLHndbVnAo7PUwmSYbtgc2j t2V/VTrFGoVdOcWJkyAD0mzylswMDvfRmMaSMyIK3qumluljuz9pMGrqt6QpuKaq7MieMeM/F8Fw GU9FhcEVMeuGTLGY8FbVczLooOkHyv6s5jQ2VhH2A12TgGgwL1yV2Cj95MlFMyQ9RPZDUHDkGPNZ YN5CaVZXt726hxIUEh0RrHWGA57pJZ+AxueQ/xkl3GvBBZns4EGBrVFYbkJKZuLeH58/x+k0qquP 7MBw8dYyuUwxEBcjSZ+W0rkLz67/tvVX9C6D0BgqGLKd1oqYleMhE9yBHrGfFFBDElISiz9c+uvR RWNkocn7IaPSNRgvieqqzTaGWwMpVWSMroPuTlzwvslK7SM3zo1bgq0AG0GXPE0sQxbaX4ptZt9H 7miheSKQMUyTjztIfuo9sI2rsea+PjdMfVD0MzLeW9X6f1ogt3SePqYrarorCEBvD+fWjhu37M+P gZ8+mYqDP8laiSErzaL1oNq0kFaz1KgAsQqyMkyfyIms/JOMTfFfgSBecekiD213zv/EYFDVGwT6 rPo8nB7ylw8K4g9lwUij6kXxxhu16KJtYEZuk9cq/o9Z194GtZ2YayDKpOzA6doucJ2r0jgYTq98 9AxytxxeJPWYYf2EnNUa6Ll6FGuw0ddtaLpv2w4Rh/B7WRZE3N/GFDg+EVkZ2B+WfQFP/2/we2Ms RflBYEcMrUBrecJVwJDnUEwmGdyhvMnQfTBJaLbzU3mNxOo5mX8aeLHPEQ4zaxYaSmyongmJvaOl 5mZvGX5cTgP018XBVvMbexjPr7zLlZLkmYNEZwIs8pL9ETRJ1BvVOxEZIXqo5I90FS4uNV1oI5+v UGWm3JGDCcrAmHBJiPpSISF8oSz69N6fc6T+xs4+A1yyNoghC+t8Vlr+18uO/f7dUogOBgwJxXfA hdqmljoTVyqcEbkkA/I2UqIL8l87/3ey38KniScf0o97ZxWxA1Gr2DrO8ORRYGain0r5RrQSUcyp 0Fh9GbsyEhOcwIzKTibYrUcgdZKVyt43XUDBC/jin9DPC8c1wRZ3Bv+kkLTwEkCNWtss1KdFK4dk YfiGFwlj+zp2uPs5YynkRpqB4RH33WbRkv9IKB1t1zuoOtdlK78iHfdqJDddFaCNpm4UbRL+6i3O ffIBokkcC2taosCzz34/+HwEE+/9vLJx4eWC+0+lgXuP6JNtvFQq5l4TmwU8zgzYOPdvxI3e9pAz 98HdzrwOa/thCrlKYe/1x7IPF6AAzegsf75M7pr7syrY3Fx5QXLR/koyCrcR7P4tBFgml4Yy/u+D wInNfx6XuRI1zl0wmL2xfnDvj4jgK+msJaTFJo44L1iikw6USjTljpyvQyGC8aSWfkPguF/sVp2C 9rJFXR00XsOEswt5i1/tD9zAZm5XnL3AjPqWuIJWQanCxaQ6NbW5e0eMkxbMGs3RBcLPEJoAnywr eO7PhkuhZVj0cE/lz82jAedkl0n86BL1CjFIuxaUl64qWMauyzWTRAJ7QIny7AuA94ic1MsejJIH zbKKRR2NWJSOemYGYN5ZYNq0beQoGtjINWXx8TAR205B7FRu0kS1x1Lq4p8iEQQd3yVaFgNFdAep dpJ+IOJ2U037qBZCA6wInB9i9cS7bxfnIzJoqwLeea+UzeZI1jA8R26gEqKxiQrzZetxq/7p34GG 2Mqejztc8mX/Xg9Lkj37NnijF1Sq3wL6stED3H/Bj6NZRnB8qcOqRtRCM/3rQ6+wHU6VUw8h44TD t/B5U2TepSKE84Hcv6iEbS+7nGrbZiLJYksiunFpz3ltzMi9IT8KpnvAJAz3ggd/eJbfvavNGlJI 5SmPivl/wgOgPd71gdNAvD+2s+1UB916H3a5aOlhCXX7d0XMwxsTs49OXVcKnEqp4B8/BAm1uu+E 9ouhuBKjn74rxgoEaGSosy9whXuxyrDEcDPwrT+JxZNkWZ/JJQrYsVBegetyFac7snCovbKAGJnd mHM5yqdogv/VHPIYQIMYz2D9b3U9k9kZtN2sGh117JJMvOExoWVcHNPEVko5EUSowNp6nWBp/zn1 lPOrpf0SetXdD4hmCN8bsnnqjIziZq/CiaW0mD2BkgFba6oQS9gR9CDdJ291hekLYxjSxTJ95au0 CX8P2wp0cRemjaOzBDpdTAprbvZ+cRgi8keYaWGDgunA43/4gqihiO34JxXZ86ulj7dJuLygn2Qr yQVJcAIIMRbGiqUiXqqzDntsRQh6KS24v77WwJ0IDUx9Lae4L41hW4TBr5JeZVZqoqAtLqu8fm2d B8+Q2lVg8/NBIoGmZeyVkRrNPXkyYLvHl4HBZQTx5cV8VdQiWF3xpyHejqkcidX2pUERhFBofpb4 CXTVgQv5CeHrIfuq7Ybj+mDfJjSfSgUrx0tXKmDtwAFM2QlZgQjjtSXjUu0mGnnfJr/L/Cxbg/Z7 SXmD5uR+Ec7DL+P8x5yD6vJUw31yN/F4g+fCERgSTCoY4qZduN6bvir2vFDxy177GBjJ4yO9zMwn EMVUWbz75rndCL0Y/85I0XwCr6ttWuqjatKEEX7ABYCrGntFVvRsRBFMo8eJb+9Su0uPasnqy75E grEDT0SLLYL7ECVeZUUpEO7WzIfGoBGmoJa9oBM9IK8zkZvZFTGXhBFKVvXkTeIsYa76vg+wwpuc BRCTXkF7vsqRzePLqtraP/GhTZn9wbFoUMhY4U0hHK30mfd2umdNZkdK6fj5sDAh1SFZV+RQUbUL Ch3eZBHvsJio5IGJMCYAJMdNwSoMkas9w+FDEsnYU41EkqrDvpnDc+4uqThxFz9gFSjPk5SZc+BA BRkwHbxonBskVEwoD7sm44k3R+a8PvyZ/QTXoiCQFoV6ZmJo2jmgPt7TLfguwy+EpjI3JVGyRWFk zYJg3brpIMTZU84qoiHtjd0WMqt8eiI+Uu4zmMqqGFw1Prg3Nvzqma4tEEhsI6CXoBJtRwTPOV07 xJrQshvcd/cms5Hp4SrHJ58/0uYp0K77HiKhUofpVtfV7Dr07dIZsRgvOga3bXpnCtxNR03AAALz yU/J+3c4JKEqQOul/vZXINS/gWovqptuK09bGxtwSl+KEKLeSKjWp2epXNkzdGdvMVXsg41Jyf5j QMPU/b9O21OmayU8a4XPzAk0GcWXv8bRMrXsfvGvJ8z9GHAS+NdQ97Kj4N44+kngBkQXP7RoW3uF KzaO9vKxh+mx7vrbK0r+liQECjwlkrJi90prOUG7spjZpbwatGDdbU9zAjSt+wi2tDSbuWYltt8w g3Sp8l/59Wa/a4K2e8yIs1/fnpv1jGGwrLRurNlS1qEFZYrUzjeytKGYNTJfxQYT1qExkh1JgX06 3neev/+vgevYjwXmfyLBsa1bc1diX7dtRMo0XJpv28hUzaEQUAJc3sBxJV1VdEBk45REvBDdoFJj NzJBL0J9ZfbXFchuvHz1obBD386GAPZX5jrFGokRo3mLBAGiodtcycBvIhitmjlGaN4xBEf0S360 dKptFySlbXzJCPYM/GuuzhuCTp7g1Utk26tgC3rv/VxwiONJmCiq6cTBfVoaPWEdfDp9LpL+e4pD TupplrDL1SwatpMSYg0TX/tYFQgpp+akd4l+dyYeNS903zkAS424yJG5SafXN9vNcCAY/Q3IG027 PLmiC7LVzzSkf4YTmzRk622kpLvxYsBIvxetY4j3kaOhJMmqi5GTZ9az2t4tuge8Wnw5itgERA/B OwARef8drmK7/m9B4ZZXwZ9NIMZKqCXO0Zc7CRdzIFvvWDMlSKeewt3ebanAbTVVQiEHhErda9Rj tFbLa+2JYc7t+9yufeW1kMyhiRU/kcjWg6/e6NDpXr1v0Yxq7W9qPLiyReq6xMb1AlAPm1VAVarF qIPyd2quovSJrdpwA6NATyr64WGKGb3DpDal/pv2eELNepQHn751QGmHXjx96WP/m4Iw1w1jn1np SazEuOVoGswXz9k0wSPPZEwxUIFCGNg3lNlsZyzt5NGYj+SJ6alr5pJ4B9HK/iCzbXNtO3dUIzeZ ha/Cx9YcNq9pMyfhZst0l4zYNUegYJ73GCWRxEifMntJfAfteebax1wOe9e5bKbSfVPYMPeUZLwr U3fCAIk2Vs9vbJYF+A0D45bo9ld/WUeBSMzDgXpn4ZZ/uQdXgIBrTHONpd3R5l1R5ZojpL6NmHJ7 JwInafTBw3SSl6yJhJN0UxJ7h+qke0tIpzU1/BeDd0UvoybJTgyRwXbonG35N/355qborWVOp0ML L6G8YsJQJ062fupH6ujf5wv78BCRa3YMGezpKBnLFEqiyeYnwLOv1tVwBWr9OsgixtFHHRII0KwL wOgd430/afNATiJri0/TkBf7F/Ylol7FVKz/SmnlCuoD5uVzUL4NzW6QcTb/T8IPv1kxEQOCMCdd xwZ7ViDMtLqQ1tbS6KbVR0tGs0+gA9+ATD/nMeCbxq97+F0qrfwMJM/gGJM8We1+dD6jOgNnJF6G YyCxmt335Yw6XkPQ2Y+wpdW4pxq2CEUOxSXDkUK5uiR3wdwnK9U33K57Z0FdW8hxfEwEcag4MDnQ RtYa+AGxZSVo+Eu82RNN3pEG6fJZB4EpIUsLCXeQuEVsoz0M0JqGUAyzCCj+R/SYlP9B6zLIg8dg zjAXf4Iy8dWOnqY+ka3CJPDmylB3jxiA0lGPK2RAB/Kz8SYLXdCdTgdOgyvsoLGOUP0mSa5LhXFT ZvsEqXjJXiTaDTVJh+FllLB97Y/5sPgx5PRwP/lNJsXFW4TLyf/VZRlgmwIc0gcGgFgHLoptblXS OcVLCe7hoU9ujuGOa6tQaQ+1LjrMI98b6qEDYG94UZCanFE2vmHyoCDn02rcbpRYwh85UOf79nI2 tDByvf4/5uBrCMIniLUK5SUAIK7/9FHvsMGwVjlJ3yqxinsvawPrHB+bC3eWwdaTXCIXdJ1k9me1 Mv2BepfWcfnHpp9CSgLUCTkNpChMqy7lkz9iaYhqVa0IUIrO6c+UYJkstoiFfyrOCn65lQFFCpUh Iin1KL+dDc8YSup68Z4JPxZsddneSRBH3rjAjaGpFD5/5z4ehUGDOhdiFgXWT77lwQmiXIREi2Wl Y3WI5PDWsFGtcO2CHYJCtWYsdWKl/4jUfAFt1o3s5XOaBLvEHVWkIvV8rABQRykTABk9IKXy7iPW iLT3A35agGIuqaVgPlIX/k2lLqPlEUPWDYVJa+risJN+CyWji3Iq/XD3Ry/U4p/9G8xL1a7ppau3 kuBcAA5me1mzO55DCR8tM4JFYzgk8iSklgZYHccvu9Wcg9ETiu66Pwx/plYlI8eQsTTzfGrjiJNT TbvAcv4Fw13r1Ey2ZQfj8diBTQfD7rhCb2cfRPXA2QhnkKK9qJqB1g7lKXSpnnQ8OkXLd6zMtR20 FORuk8Xo6ctigtNMxlJBV0UWnRLhFRfC+3HHV/KMJfv/vMtZcBtwP7ULZoT18uli0KkF4y1LpojI ggAltQ5kzkt7ibw682YBU+Puf7Q8ct1JXjWbyViKQ7w9KleR/otZ1dawHHt5T5NJeknKUB+XVRJC uwiebu3k8UePLswFw9dLnDcwxJKeosQ634tH74jdpcVoK4CoSl1Cmq5/fwzkywbnHFKOFlb1pYa6 gVpLIa78xFsKEHXaYHXfavmmk+ehO+YjUA0cJ0g9eM+n3OT+s4ggdNLFenGljtqYBk92K7iUSNXx udwOE04Ih7Op7BNTScCEh7AwaqbwePZBXUoUbVZs2cOF6T852SZBj/0aDoBwvxzeQ3J33wyYNRd3 7aOXpp05qtWKU2JmThGjMfQXb7lvkLZQD8df4aBo9+tL2yOnrpu4ZAHx7Qv3PItsCQjfFa2qw1dR sN0JpreWW6AzfRLWhcBvkc3fS+U4WmnBYfZ/O84KnUhzbt9Ey21t3QknlXIy1ko0NzQESXrLnGNs nGpXMW1UECfdRYNzSbQtDTaCBJngYS3uBqiCh91LNEFldwWO5IKbnVT5ZLtfWuBNOG5utCYQqB+a dS+lize1JVXjlw8dS5h02snK1XPWfPLGAd+F4PYRDWGDq78sSNnfX6MImEUoO80ylMf6MX5rvDSd Of1rf4DzhWn19yKf+bCrooiu0xPJHUYv7D09lNVYib3P0a+9L1DgoyMkESiK+iP/n6bh8OGM3e0B Tv1HFdnBfBsvjBSd17SGHV8hisqu3H1NtXKyzL/Nr1jwyhxq2IyPzuFHzrTKyxuXr5IMyQQ6xAYC 2Um+8LcOf7B83CHN9O5d3tODb+W1TO4LQwVRhuHQwvYp1XYsDai+wz9aQ9nwU0qG0c25uEvLi5e1 v8imYCN+nrqKaDfv5S7JWdb7gK15UPOCiC2QAdpuRD6m2z4vRgrxuOb+zEezvmqnd3/M/CDIg7r3 KDegZ/yCeDGMg3BlIGSUqnqiFhkOWnzLGocACer3CCs3qydctNVykWG+Qj77XVaEyDdkfI3KsEY8 /Zfex3cJOjP5qKmxBxoDbN1PKJ/Qq77twmNoSZPd1qfWK/nJCBVXJN6WaSoOkeq3EYBuiu70MZ3z SV5k9Qo252rU0DEvQVtp0HOaj4+YnRCPfyS9t6YehyN26BTU/kZi5yT3GfSZZJRLUc0qraOCdlfr J4yFVp7BLbrIFRH2N7WKJ0S7o7hi8lF8tDVdFx6UKyCJ5K6JRrDJuDsjzuDZLz98iZgQQxclUlv5 9N0lSlK/kHD5q27lc2GhurUOgIGAb6uP6BwaGoq6OjjUVpqr0FcUvxwd1b3yhkZU4ReJwqvomsew EapDH1JcIQXBUS51/+bzOJhUj+e7c4E6p+W4eyAFKHzds4VsIGpUD7852mZeQAY1pvC/fotx+yy+ v5+Vh1Jghx8PYPp/N84n8BvT/zKXrSSU+lcWLRusmjW25xYwOKQHqyyF+w5R9hbqUiD2LIWJDutK njbtqFXZwb4RAsTFND43AjexC2Zs/eQs9eZPQ9xLP3vLO+sHv0+U6PcsSiAlFUuSDEUCzBnAjEAh E1l0s/S4zKb38TTF37rUlRiFsh+O1HiSzYHe4nBfIsRnfPwkybrRuVD3nmx59jd9wbCgL0skaYUj LoNwwxukuD/XFK2U9tA2pVRHOD9yO7ysE1mdnww+XIJtEd+Vcekofp6TV/V38Z1BZjYNkr6pN8Ln vkUyu0grDigD/I9xpZmoV9lgvEmsr02pqdJFp9rEew3q/7/gHjTKkTQZnJ0Z3YF+PCTAFx41EwKQ zF189OdI6JcVC54TNCiF21IsIig0sQczlkRVFI683w2WNcz9MewPZYXE9Ze7M08O/Nn3B4aJXech vUBZm8kt1povk/Zis9ZqaJOWww1PcABwWBA9LPVvAXMHaZgCgMWa0Ja7tZ50sJdZaie4zHRYF8KX jbZBxTBQxNWdYY9+s2ldD8eYPVVS8l5/k7xuH5WcP5frULS508DJpXRpH8+T6DWjzOMVi4Zj19iV 9g9Rw0t9KH+1UNeVSHjwGNftouTjOj2yg2Ptt2KcX4xHwLenfvLV699qqff1eTuk4py3P6HCkcmp gOOwdKO14fMDCTuKEujeys65IqQEaVo5dsZWQLgcmm6wyPM9mFHARuyXoRN8vrrcVZ1BUKrF+rcS qR0+UwQvp1kL1SDNIZusUvjZkE/VtAW6QgkM6ZBQt5HkW4K6Vlqa1SNAOEeSb/WqQjfjTkGsUDQF Vtf9C1EP0gK0E8chf3ERB2MPVYTGtVDXLjCvBB12H/DPSFOw02QWedQhPM5Fb5i+DuGhQABKtNGl yYcxcrEwRVkNEK1Ro6mII1Dv42fggZ2yR+4oqc3MDqkg4J69sXH8yObXS+J5pVdaEurUu9ggqztN F9+Z7YgMaYhUT7byuL/GpvyUzV965qgy0sN8BYFvbWl6BkHqlZBgdvG8hMmy2vtZRFjfCYG3Srgi MThAo8HTLye3t7y2+widY/lMkqeiC/OMrpd8s5tGjdcn4Js/9cJAAHEj+g0TutqfPZq1G6mdk1T3 327iL6VCTJ068cC/QFq7zMz0vzGxKymtg2w5o6BQ9icp0hGyrIQNxxlil1634wMsT4kmdzTgMLp7 HfkKBHJg1oGCN/eLtnE7c3RA0jZKuBUopkuaGoqPMTgHquehRmxqz0rroDAH7F2yORGQjDozYLN1 VvflV77a5WJS/LVMWbQ1i6GXowSNf5y67zend98eHfToXRpum1jhZWVSjkd6cEKnLfMSnUrvNn0Z xyraDmyI5v9HGVl3z+2y9/Cp/bG402QeMXZMxqv+uDyTtwY/tZ24b/3n+ohQ2emvlF/NghbTa8Gk p6VftKFWiQxYnvmdbR9HlfCAydEKhIuwZe/a+44WAFrtrw48OR4CCAzA7v7iDEhKHM9iatRTJlHs rWPolh1Zh04WEer2XZslmSNbjjG1zEaMisEuj0vBMZRT4qM3PBE47m5jCI8wJHbL00bq1yG0VwSk +ui2n+CWTP4rzB6wnuTkwOCoAI63zMbwXKTZsxxEEdHDWPij2ZEut5HiB0XSnPONRKumepxKu5BH 4+JhlBgFCuxa1DBzs6hc3kS079ojcGkxitK7qKoHQZ5dTk4Ig/c4/m8852mcHwjj2ZgMqLBnng+q u6+2IDkIBLLMNUZ55lHHiry4CM4xmkbSztU3qk3v0zG8nHW10wL1ebzXF1CDMeqrPQ8+dJ7RHnfj ZtR5EtrxsLCxRKSDxQV72F9zVlyIz2jQbsyPJJ53t0RHvQRhAEtDWOzUYcQb0D2m2z9hReryTyQz qrfwAGNigu+JAuajxWL/l+mZwpbLck8+YV/jokbfAVIFq3tWXU9sa/DteKeHNe7U5x6VtAgOVsXB nXRlblAhPChXvAb8SIfGs0nX7cyCZedQeb7QZ6oWj4nr0NojgS3C1hj9zfZDxo4T8/Rsk8iT4n7v xsbClg5tvSEeasoyFVtE8Yw0701iqv80ud3897QpCjbrQ/ghMt3PPvUgE8jy/1i2kkWZs7tWy0Xu VFijrsAajvQREHjOjCcc8PeWEuE8oocgF+SLhnNwbhddayBfXXmvGCeJ5fFDR3XYMiqZ1IGg0Sa+ KKpMAPnfVjWmIPAO2f3TuS6AaTN34nsCkq2aYkExl6VG7dRqv9aMQTpAQyCiWbRkTVT2ss5Qssj5 TDmPwxNgJzO13Xn/xzZk75Dg811mmVNUBKNk5zPSwBFada1kvGtP0nUpYTzJ3LZjVM4iMu7nPxj5 7E3+ulLdNhhYYqD0dIyS0H/Zfbg9lvzrs9hWNPeYquNdjJdICG10NLhABQTFosKruFWJbeRcA1Do 6rL025fQvEOxlynpUpWmkhmmq9zP8NeRbrX0l85ria9gW2NqP6wMuo6/Z77AHXepTl9faZAJeXYo dlsUrS2qJoKX0nbMtIFNQmOenJu/MrOJPT8JxdybqYIeJcLMyOcLU8PXKDcFBuKw0kaGeUmcV1SB 6PrsI0RM8lTDOzbIpE+cmdGnWy5mBr6VNpygbXKCkQLbnLOhsgw/0Lu3g7UDNk8Tt9fObX0aYK+z ndfsSGXobhwuFAqSHy10sbyJ1fXWHZjq3z64ig1AgxM/w2bQ60FbT+UkWWvoWs6BNg++4JCtGgLw S5H9WqYCG4T7UhZFyF6W/Y3GuiYpZTczoAmYsKhZeUJJOC9jnNXO/qtDSmyo1AQDE+K2/fccpRNK I2C162kkYHcACeT8G1XCFa6hze03e2swmTfIAjj5S/Z5+cXK5rPdasHhyeN6S4IpuPYFdHuppYVL RMTcJBTLeeJpuD12ZlXInr6B9k0gQoHqUUPnUxSzbUS+bPHrj9/a4AhPX0dUWM2RBK16KwHSdogl yjlCFgqpAFIu3HEks4foie28A5+My1bGN5hdMCSOz6WOgwuLTT3W2CXiTc5/h5uyr30QykOjC3My Lg4iGyfSgeFI6pLbtUxoGxjvW8w1bd7UptmMnPfOJ8vlwi+0wS/mDTaFjiGsq1aydHz8oOieQDvV KBuUT3+3mAyY8fqQ0Bxd+3Wa4cmvs4iY4eVYBS9yMIYgcENvmzRk1612sRMWPTg63NfWRdWo63Pg 1izEHHYu/Vnayg4M6f+ccZ7wdnBG+3INf6B7Egsatc4k+ANayQs6fhQPobh75VFezJsj/iqpMBf3 rTMaDP4/MooBlP/GZDW9iK6TE6lUzQj6anNhnkkbKF+IlyU10qoidnal3Yav7YNkHE/wawKtUkX7 WlcoGA5fb2dkM2pHqsZnHNiwtnTq9O3ZjnMENc5qscwzT6QE/OXgAqOwFZKn1gwAzlwojNoUN07n fv2tP0fGGAZAAgWGjy7KXpeMnYnqZ6JOgcl3QjTt+nWzvugfdwWDxMsQq8MTtillqSMCbgUuT0M/ spF0aIVOxYPRPVgcWRsWb94Qxxuk+7m3GvkjoWRUQn9+yhVlL8eMN98Jlg+KOQhHL8+iIwmzCt4z G6LyJh/sEuLCaVb5A1s0OtALtlZoynuTeT3LLwJfZcmb3buc38fW8lJW6eJYa/TwulqSIl4OBt94 LHayWAXfsRH+M8/4DZ00B4jItawf3mi1iFtyEKf+BTVef87PfOyah57cG6WELqYo+ovI0rTA5+0w MkJ5FEuX3YnbRfMwy/n7P4mkGfLwji5POfBbUhk/TJNUdTxEcN3jwnbX/BPaZRkPYg8WS90RdrBM DcCS154oE0R8OlRdAgnuBAM0ccojHxlkAHcavBrfhOe3ZvLJW72NnIMhHhROQtPF/Js7E4iMuVcA 10f8Uk0mOMJUtQp3A2/C+X8v/1IyCNjPOR0C+5q3EFTC5A0kQ6iOAaIHJuIGt11eu1fVg1K9F5Z8 vP6YAzSMFCrVIGE2SDfSQHOEERHR83D2kVNBlnYGbLeXCN8u3Pho4XYYbE55Hnlq8y6Te9KHr4jT r9qx89v7Nl4IKw+8zRUGQtejillHN2YFjiC2NUDlcU4Hh4SgFZ0s8rXcM9uxbs4Ps6SDURfU9jp9 nq7a7q8seN8SLWNyo5MTcOcVICJRKniWQbgRrLxl9XVOMRYepdjqHVprIIy4A+LmNJM03ZNiWiWn jN33EAX2GLWVNfyFep0/+72OoQ/lAy//7/h0Uw7Ckakms7LMHYv62LHq5CaLwvmTcRDI+ZXe08uV XzBR/aHzVYf15wtLIEssl3EKmx+BWqzy3YZZmyKqh/bTqHKYAiHWThY8voj/NWmOvc5cwdKQINzB 0FyAydBjfOVy696rsvKnp3o3LGQhocZ8FE8NdtTY9AttI+MQKwp7fFhOCgxd/5F1y1OMgvyQ00ls 7BQT1UbZ3NOkmFGgRSMGrIVkCWp9KVMJ+MgzpfRlE2JPsKlF+ldywiIHHLqe3Ezuvgx0ymQaP5Wv y8IAxWDx4yqDRPaVuTkyVGo1uGKQrl9b5Dtxq/Dtipex13fND/NqMqvUVCRS1EJDSLRUYFzmLxcT kn0bQpRktI0mV2FcaIYysoIuDic/+i9ZJT13NIsmAMIqC+XrU58oB/3qQsIPwgsHKgHg1O/QhOWr AdscJK6+uHe4kPyinEGbIfiIOp8Oglgo/73qtgFe7BmE66j3mNRksPftAIOggJEcicGc5tb1nqOu pxncj0vbDaMJi2XQ7tmvisIGaO5AAVrDQp+BeJhbThlYELwF9dEhS9BOrdC9WBYyCwRa7Bxquhkv vPgP278NQUej4bM6mo5/aEs4iSq+e0H+pHOI3RuEKGlC90a6QgI7qMZrmNcaZJVch+ceC3sUTOmJ XMQF4ddxuLt3/UN6ksK9bgVc98V6sqbZmZBK9rZt6PfyYHRe5DcrNClQRyaBffJc66lIQcoKPZ6U O4zDyziroR35wTzvnzsKyklk2mGqEDVUbRpGdVwXQZGxMUhholWf5SiX8e98MZg5lUBMedGDKwM+ 6LPC+XfwPGEZdf1RK9dqdo7JawmSrOrG/E/wy0v/jz9t0I8SaejQPeEJZ29j9Zx8k6KEx+7oMGoI xUF+LTqm5aqNAeAK0uqolVSFIvfU4IWzq6S+EkmebsNY4kTnVGGnio648O4qOPy4eZMK8eiesmRS 6oZKZh01KSYTmu+TMEBKuKsd62+1jRp7ImeBplfXNmIIxUPZV2MwKHpHefTfwoYaWdFZmvZpbArh Uflzg7uhG9TzEzys4Rpyjbc0H+dycEeE3k2GITUqODTiIsOHV4XvELNXYpaneAZvtq5x4HICb+a9 8Ta0rzz7NWTi99h/Byw/4/NbhYvc+Pu2OXidJDJatefjG+2RyAJRJmvRCDKkgbbLwwOfka/z9oK+ Vh21zG3RpeclV1uTROmgvxmwY7PJjOaW8rx5xe+1oGRxnirC2AYLVVo0updhb2QX5kjd7QpB1diX rhvH87XzxK5Zkx/fPtPasbwWDVUuRAhGI60b2cUGyPY9ZaGobO+SPKt8sVho6A5sjhhO6+HBorm+ wUPxozYOTdmnfsE0LNK6ZAj5OElAjC+NFkzB1T90CODsbQSc0xADDlKw8KO5LV7fPxM9stfdLN+Y MFoZBMMg8JILXKLpjrhwdFMM16ijI1sqGEisFPGN1ZBndDJ4WYV1bZBWY6nksrf3v1goWBFu/MYS 7D9oYIVOmfGIE3l2sQiYPYMI/UP6kwttdMjrjsLu0mH/P3H5+xZmTDRU7iPwpkhGBf4Z0XIZFMpo FtJOYJNTz6jT6lok7vPaRITHfOTNVPoThNOvZHlaxNG52A/IigLnMTUFypsW53xqWkW38fs9x93q YqflBSQb3gzF3Wkx/OcLa0buddWCKRS22BqM/sjh3lpEFXyap6pplwBBJ/V5Z28onH6qqT+vCVoF J0uteNkXA5LoEssGlqCpYSQdM+Ogxh87gAWjkXfbaiQPnkmfOJu75c6sjzLciAR19hZG89hr8QVx vy5WsCjI4+605OQoj3EaTIGpAsqZX8plOswEjzh6ygm8V6bmDeGkKJexq+xVpOuSD2JSB5vsvfQL P57vh25CM0yFT7EW6CkCjVDpW90EO7AMruMroJrNGAJICmvtZp8/Thnf/Pus4W5FxjXyJJT2KPc3 l2RQ+E/eF5XO6XuhNhgLlMq+C5T+8Tcp3EqahzC2S0nKox42Zqru+ky+C/UOTccFLeUqjs27GQwM JpBufgTvIH+QpPv4i7eDKafruDioUpTbXqt3FZWSwh9/1KmVJAjfN7wWtJ1UIFn4TDdXOxrXx82O zPbBwcgQxX0iA8Zm+2dPHKkfQXia2Yl+WWX7FF1oA8CX1ei1Avx/JuAUpZxd66XoyMAJTDoOY2T0 ss0j8Okc6UYzyPr5JCF5JU0uZ1k1Jek6wyCR0CDtMlFt1V2Cf2WmPEYzrEK/rCfeLMXly/QIsA5r +HLz2k7fHVWfNUeFpsbNwIAuDUsp/Cn+2CxuQXe/AVaB6xQ9sLA92Vk0lzgCFn6ebsfTiGOpKVA9 D5B6U0Bie1AQ9mn5rsdycI/noTLjQfaVOcR5LBfBuEn9UnJET/LPTxzGjg6Nb1RUFCSJStG3MKxy tuPy0XTsw+Viv7y5nQNUyQsy95VnD9A2llgjjt3WveahUxPoCDJxvfW2muwtlNc1VnXA/PkWITMt U+pR34uEf7t+lpwTbPHM7D1SFBtBboIpcuhsoE2mR6WNa7OH0FaJ3l4QfNfN3EksqNO1xjPVPdyt h78FZSdrxhq/aKc/MheqrK0pmBAOG2i0KKuMx6ZCUn1ikSYGC4cR+HbDBTIdOEG5bAG+sF7rXwM2 uU59v2LFuBueiTN8CL18GJL7Dulr0O9NSu73ftyV1QCCIja1Mi+ekhYAUQCzXvAdO6YLaGAIWT5P 8TXxg/S/fLrii9jCjMFktUoSwmb5I0eZ7qBjO+innz+gMQ6G1szh7VoEtczJExjOtbqW0giRgLVW z3TQxx+lUhJHYQlZUQUgddn6ZKWYlK43oQwFbTcQS4nrwykAHyXPEyD+KJSJkq4CqYIH6wi6h6od d75sWfJ5wOv0g4PuAQR0kmD38c8BIlxsBxnru0llGQkOMaNLstGPOnwJ58uurqj2+60Lq48SKe61 AFwsSnmUCC1xfdzC358Krk+fmI4TR9oCychUOISDslSHSCUE2Dhm8P7/7RYmdxKAJkj0n3ygNj3z TmTeoAD98iPJTGNemY3ErJCl6fYIAg3Ag2iFmV4t8006qADAZ7jX4vLqO1liFlIsEwgZ9PzZVNpV AOEekRf4JF2L/JPB2UM77+DP5OEvZEWuznxjBCTgVa3mDGJrY00S1nY4B0uaTY1uWYOcOFZXQ+lD /+jZ/wvro1Hdp/2nEF6oLPK0bMeLq5mlwb0zByK144CeGSqSxaXYf99HIF16hHRByy/sFMat51mU Ts3HSRqoG/k+iK/LRAKCVL5eJOjUbalI+aTdjG2xcJpHPZmFcgsJOeGblLGcpX3sGQyGMET0WriM VVtrIw/0QhpW00hV4W2BOC8lXFsTVvQ7DFvJevPibl7CyG8zL5WXdMZgJkXEa/e6Auw0U8aPFli7 Co6DvK7SxCGyRAyLAnAm1lRagujZjg2DAAzyUNo/87aXIT91Dvvah126bXsDmIZ5jGJYLIwMztDE iLWEyGk0fjQuwuhNA3avH/WF+uXYP13nnOkzMZHg6oO1jYn+MJDGoyRsXslnTXCY6M8V+0Av9Hrj 4+lq8zRLm8N7kEnYrC6vViRNT/Wikm9Hfr9Xts9fqMlll5lTCeZAlxu2GuY9p0hbA/NOyz4khLgf NSLe2X8gC2kSEkq8l8Kc+MLBOKfPIz0afv46N9TFbBGG3RHEa5dm5acDEpCSog8U2GurcCc64NJ8 MXtXgZRQrDqG0E4MRX0zNLZrKUjBScfqKr+ixklzFD494oBRwzjBZ60QT+EswYoe0B7lBwVABza2 /wCmWuzx2FC+3Ut5vH0RMQ93Qb4LpimtWKkNN+mf7tsaQBVwCQefRW5dujGClxapETvYAGqhEnNR 96uHzAb8l1lKIEEgZLmlSG+IM/DaRqTSDg9k+3vsYvtsxyBRJ6EveSyQRhXE2dBkxK+XeejLvD5F YmElEgAwiBKOi2t1fnQbp+O91vmHov1rjgHJ6TKAORlTr/6ahsT2AdY5DeZMLfHWaH8iVH/EGwOS pySDlwNriXbgs+tkyh2mi7OJRd9BC0m19B7xH6Mm/2yYPDjJ1GMnSxZONU4+//AzKDyq0NCCvWjR rODLDnpOr/rnD3gfBnhyHXRbi5lQ82dcp508Cypj5umFmNcqx9+0Cbmg2Nw2FOjn+V41zmlp2yTZ idlGOz7SY7bu9t88+5TW0XcKjaV543WE8/whBALGV5hQbeDpr4IIOENlBNj1MmwhGGxW+fygDTBq 4b/e2UG1qQpwx4+XmIO1i13jFeqTODyxlaHgR1ngVrpW77Z09Bgc3oRSSFKoYQCF+Z8kCYVEBM5u hkeMptNMYZxPr4mu0QKh7P51yRbpkasSy5KnghyIqQ2GAqFU9BkquDCzqI//aqY8o9g4G8UPSiw0 TzCIXu+IFacDkOAbLKG9K+i6o2hwQ2cSbmNAvwJC9IbBer2u+2TmXRXWKJeK/1gln6KV6tFnQHNy /uJsjR46unVwi4afyJoRYIJlGb37PnYx+N15/0yRbI7qYoSzhGgDX0Nhdo3n8uAfA7NF+kXnuFT0 BsoNKS3jQwN/kFQPLLdKfacbsBUvi+itrwZ6zb0m/d2k9bSAP8CEOMZji3I6FCJSUEWGYyk/GnJ/ MdXgOTUG2mvWtQs83iil7kJryhU+V0M3vIwpqVv2+CHPLVTC0iCOlVN/AL0kTYHTf0pmy1k4+eG6 WvlQ3oqbUqXwInXXSKkMcsBXNwrubP/pfEavNh03xzTeV97GZv3ZcxaDFXY7t/ih/cBaHFe16yqZ his84OG0teaHElDIKnEbeDWT7GI47bvzClfiTD+ccKtFiQ3Hg+TC9FtpgkdSQDA13vUXTq/uP7Jv ueTQnDFXvsw0flYeno8DsuLeJ8bEk4pKVZdzjS3D/f2aGktJxqDfz07XPB2KvR64I8JltabZUzGL 774nSz+N43QZopY+hCNz4NXpfcVw7RHesJqkKTGcrOYdIZYBYIYy+dFAO5SaiNo9RkD8UQUvGrlG 7I69Ddrie+Gz5I7ltT8z8s/AHjz5L3xNoHh2KHo3tLitw2JVaRd/BJ+DNlueQuQnJCwRuEkG3Wxq nFVRuKkzorgEI71KJpi+u/6B3/uHpOYi0PmM9j6Ud5/ncGekMiHIht7ieyytFq1j9l23dmBfZvYY XdWBlwz3m4m5Ejkl6J9yEQoub9U0NOodgYKGpd4DHYv6dyn1651pvqLJmPxnYVjOlARVtNInb9t8 krlElrZPLZAZvgrzTROWyMQDKglOQeOlP+lIuvtUFBQ7uHvmxHgYG3hy5r5quF67kdrrErrCOn2/ Yc0Hj0USPwnsx6EIm/8J/8B/R63tCgMHJbHUO8srg9E3xAuB9SmO86XMuAHCYSatU63h6D89OGfh QoxIYamarYxVG0CchXLyYullrN3am5PZwO4MaksDNuQtsWr1WZNxjz2Cu7dFWf5LZcgXtulLZsSR Fv03fsBsv2ucNmCMHteOz0bJkkrLe2w3QS/7DOYiQzVXEpvroOuDu19tmnqdYaWemMhVNLUbtAzD lpGoM83b+y8OAsWH9dqxBNR3GG0ArHK5soNZCy+/85E6qIB8VfHOpwQf1pCzJYtwLF4X933BWQZU 1DAjyaSzE5W+HpAOI2ZLypVV4mrrkwEbbl0ICPiZcIR2aNKIR8pyoG1We+X7JFfRfQeHIYxfhGmZ Nec2mGydEJ0JxW8RQCCCoWVf7wEA1/IwNEn1jquLC+4YJQ6zRkvDVFLYZkIdMA9DYiaq0Ujj4K0a OwLKwrs51UcwjZq0Hm5fi0RvO9mmV7kyYQTnvA+fjFP4Q1EBNX4YVt420sNbffPYzxq3lkfDlQsJ +zPEpZko9QXCYVWdPfxgO/R5UjjR6JJN3MMal8k/uUZbmken7w4DuKcHGq9gOHcnWCprJuXmX8+t VGi5knJJO/DRGPOQGHZQQLN6fOz6h7/GL6KMY0toYoGl7YFMqNoXDQDMm0jQansZIw4Ng2p31Lbt KuepFDCcRk0o2DtWdUp4ytrp+Qi+UTCwqNPaH1lknS3d442eFVocJhQyiubIVnieJCMxgLgGIzLH quSJLTdY7H9TfsBbmcGOr2AcYI3GS4ewB93h/37tOaWvNotaoQO9R5O8xzzKYN3RZ0lolxpXEsW/ DJzmD0EmciKthk11U4LK8ejx/p+ylw0z7B/eRREb6OafdSOmrP/ET/N0SKgM9of3uEWgUeYDm6lJ 09Yj8FmQBD9Y5b7/Q7SCJOOGcOiVC9w4PNdIHvLwYYXFdyk+78nKYDsMq9NmKLej1AbCWPN+ozGW uRKe37WOEnGVU5TaMWCJEFgTo2+k3KAz6ZPq+LlTlA2fc/7JCPYnOk5uSOSvTHeseTguL7OA1bJH xSyp2CgZRyw8/XVYeK9CGz19N/oTNUK0buGTzLrGrsEoHVbN2pmfxeL5/WoAJEErihnImi1IXqiB asAlA/ZbFnUs889xpz9b9d7XkWCm0iwQeegbJn6qmQM1VegLziyrsi8IoiVhnB1Dbsh9RE3ML/4p sSxdxKS+A0ixEMBjppLv6ElN+n6tQcW4qiAIMctgYSALCkUSncMr0/d7/LzjfVXGZTPTVMTDpKuj YfTQ6MfrlGeUl0LVxBEkqMxml+0j+IvI4npwdNfH8Ku1w2+63jLtDwWMMxPx0aB4Y9psQy/HbssT +oCs/WV+Y+BJrcryaC8VnpUZFfZwdFDePZNV4JSjO+1ZUKXzwRRnRtoezbqzEhhRtg/XkZCFgFHM MvdUyV/90/acfz92T8NmXoXDNX2MdVURzVPs6hzlD2nZb3TH+nPWvgUdAGxrtkcNIS15E+jgBQeb jYWlksz8tD4SGwLZjSBhVmrQjIc22HKL25lZK3se8IlH1bZYHYm3TJbk6/9638ZFhAgM6gVhUhRx FcWYNB+GdO1lw+n1fS5Lw0vxaN52RYHpgTlv+wiGUWft/TH939SiqQeifx45pKXPWSvOObQRFhbg GHVFDQtw/KiaxoH7v7DD18j+TIFNXVFA6IsBko6ro+u1S3ivRXGJm63m8QSmHjbIvvgmJ+sxUEfR sMnDWzUlvUMxkk5vxTLCS49rN61ImODNV3zbeuviTTTaaeP9Ct1KxnoNR+AsdLrJDM3295FTkKbI DejmrXuYywc7lhRvUMAyqxKfXAknzn6Tu/t6+i4oMlNUOSlUc2Cm30VmTkhx3nbhoO2bQZ3Dpb6T hE6aFuIepJZ3K693kiv4zjGp6bbX73dNogNToNSGAjx3pw9/zPO8SHT30Fu6pP+4DQe22cLsc+h+ 3jvsS6ycPdFL6zNITmIExTMpCBf/1EgTuKUoYJoB61wVAFjma3pCj0EdyaNEsP3YmxtecHaR7s0X y7AWipshwV8U38lgIMWG71SeuLlP++0HdJak3phd1uK5Z5aKr6NXkFz6YFv1HXQ6pW6XZTPNm+F6 mVsM2DaMtPGgf0FmJrAdEHFPiaclLqSJYHFhvDHxXwzlgUuNEfl3wYlyZZn0uafrsYR2dCTjbCPE tTDSNt8x+i9zsUCjUYHtPBVmb89SiU7/CE+BtIKFi01+h/iT/b8Zg8LuNbkwNcXOB9XNQxwi+qAC 18y+THC5bj6SDsnRd24JIffvaEicFzF68d88FIJBjhROCZ/MaHLJANxp9i+Onz8zyCGSd4N7e6gb yKGAytBz8YgbBQwQvJ9B+C0F2CthWEGr35Dilbf+qdLFysKP+xOd5Qe/WRbiWcw6qHRWM69vdyUP 2T8h51Hg/y4VGuF1ewVSv/Z5r1ykyswu9ZCNrOlkC7Uw/O09BBs/P1fdla7g/rlTZF6re3tK2pPn G/6AtNG2Q/7nI2VWTOQq/e1SuIfRJB1BWvPx0qFuCkRK6t7wD/Koi4mB+Xrf2NSDGb//pbHmFS/q 0fEzznM0SiDKv/LxMG5s3b+lteaIr7QDtJ0m0E8/FDd53WeNU5N0kLKfVwf4YAKw+HB6IXL/sL7B AJcpGcprvj/uX/eZaoTlSe3lGRAzL1/wCLtUyeqq0I51rnUCrdqBYOwsZIqkEPtAoqAo+hacCcPW 1Hc6tQ43b3BUKTz6u9Jb7QwYdDM/IEFbr7cwerS8YLLBAXpQoWTpPourDkrzLeB41ZpMe9ttR1k6 E6oFIfmntzDAKmAkJYUgLb9w5QMr83OqJgBL5O4J9+iu885P1NqCrckak72zi0k8t9BLKdTCLgLI DCgeh3AujWfTe+wGHxg81XlaIhrngzJkB6gv5B47iHlS0YqIrTTX9iIoMfGhPJaL0Ezi5MEO5DNP lWRLsJfRnhU4iYu5UCU5D6I9gT0jJQO+BXNgWk0U41WnTI4/qWgOuhRbqjfPfK5DtLelF9njx2GT E3c5rmBkzPQs+/0HQGT7odtYUpz/63qmvBLwACPFYOMPUx8IjPkFtCjL1YgYEB5y4+w/3k8BZqCE 9/pllLVEiG0T+oVBKPAidFRy1+28Dl3VJhXQNTTx2p32KMsJN5rwKdyBhxeKIT/i1ozho6EcSd8J ImOpPOHDhtS0hf3IN1HU7hjZdaV0UX3hurLvZWEnXAaLIpIb1uMwx/24MCM1g5+d7jR609gFlrO5 KN3t9EAwnP1c1YSeBEx2flZYOQQlqY2Q7A3AHubYyO/GyIaaTfd1LmvN9Py8yPmS821hy4OvgWzl hZbummlI+M1N1KjUY+0ERW8q/1fWq9BBjgGaG43PK4+6Ufiy3Z7/BeHJajJYi4k4Gbxn9yzI8cfc WZ3cGREUgJYQtL1gtmv6ne22RWNCSNlJGSa41+R1wPM0d0SpLPAlx7m++ZIxc61lo2hlnfVP3xvH JBWx6SKOrwAyFFFC9BBVOf6LAkk7Hx9Ynosy625Io4SKVCxbmSjEGHdhccZWLlnt1rkX8N08Pgn0 HjdNcRbaxDdo6fMXK3Nt2ssG2y0ex/o+LZKaVNR/psrqm+j8SWTc4J5+a3qBxHq8AdsUMy3KTR1o c/JN0g4cKVvOxvXogjfxWIy6OxCNJpZbbIDOu/rfjGRotEn5V/T4lITXv0Q80dW7kEN5o1S3h38V 3LVe/QVbqLbbxD8LMbvMOy0yjDGSbe/HaEBl5STRUkrYMqL7P9dZK57akRMyfqF0mgbg8rKHOkdR pZTjlaYL8IywbpQRidN0MyWt06+DUC5rpykU4MpZaOPgRgj7/aHzOcj7CS1s73xOaBFBC9RAjg3M oat17HBwCyXHoUBpbOfz0myjhC1pNkVPy0lCeSnCvenbnjvgazWcK6rVlTv3sBoYjBEbE+g/YiAL nTwsVaBgaitZgXzjUM0PIWbDKwkBkFg/nY4eqXES49X5c4Ch0k1uZcG/20rVfQxzUFtH1MPY61yM NOYLXbi6X1Rw2rox+NCvfI3ONOCu9pqhadh7UkfCHqGHHJV8UT6HF0P+n9XWQ5FBBgp3QDFtgaeq fqEUIlwjlUxvVB9an/R0xNFc7h9Fki++sm/6aToUvI3C6xRNg+9D7lrRD49U94NKoBvfOkeXRval L+vKf3cossw4bl70BlzIgV0Qtds2o2uthEkIRjIS9GENIjYR3EUJwbW71fxskA24hpHtYMbkgIM3 UNKk8Oojqi0WXVGELNgYKR/FvPMLzFxEqgEMkW9aGxLc4ElbkbOMzQqmo+aULRToYFMMe97X7WXO ciVmdUfFHb6oc/PAXG2I2c6rqFsqbx4jDsS/gWrf2bbBYUWDoejHKM7BEeQxAj+5aWOhq+BHRaD1 iZ/gRvSAOypxUQ/PDAIzRxXDSbPGPm9I8wLXt05Me4Z+/Dwme94Dhocro2pbePF3TZDcBO0VIfnm OlCWQnf7r3n47sPuU15dGEyY5qhL4kyYY25JfCvRPBOIykuR6SYNyfK7bpqS2xIS8CZvQBAHCOt6 kGSgHfOZufLNi6zc69kLvk84wPQT5/X3tJS4NKmeCG+jhY+0M5rC2lRN1WP0x5thj/RaLbKZUqLu aQUfmEB26x21noKd1Uz5QGcrUKKzMhuya2b7OPgcSlKXGlswZNUf4+gvBq0iY9tJiLJhngC67zSp 8rZk7ELRj9NjoKGuH3/+UX69Z1dXFnynCmC0aR2FmUaxLuvCoIDk8gzc1/bbkCyl1Uo/797KOppx OYTzL2iIauCJoHJc9+cL0qi4hVhcsAvIuy0tDxUMdHr5pl7HuuRSChVhbtMtwJxKf8t6qXThqOIO +Dc6yCaHtqqs4/3bHj7BUwBh0zMwHj0aKq2aKCtJ4wICAjlLm4G8ouzfzZUI6NQhAmAp2pn/dmM9 d5civ2F+DVGOiw3IYht+LQhnoFGlHan9V/od8/qqp6T5gCErOo1+0bkW8CAwaQFZe1aq06F6vtoy gE+YEVrOFvt3C4WAEeUlOzKy5EvjYt/ATr71oBpenWNzcXxKtmRE+NxiRRjjTP1ghzrtawqv+EZ2 dAF2kgdSz7hT/2bSAIvg4dWGLpLW3u7S9fLxKppSKf2ZUE73VS/JbtqX4S7kRittnrhhB3akfstt pEX8A4sR+g20w3utTNdpyO4OVlm4RlbWOBfy4hn7Yjh62xIZKzmJkOyF+G9cSGejm/JPdF1FMR/c d+dqcg5oqzP/5nhdFxIOHpUES787SEDIpwmjiTHo0i8QkjIQhtjj0K8SNxwzcZpYmFVUR20ACtzA RzcS9YCTzvSpttI8jA9J4VyG9fUzXysuO5lTYw7iJljYCCnKr1QwPfbqGY1va/dsePRo48QVjj6a KYvHUieRdSPrpJnXuwbnWRL8UdLzWj78xErDwCh2mXW+5sKFTghB02MWB5iiRbWE2Sm1OHSXQ0Mi zxVtMhiKWej/T7htmuH+vWPcMMw57sXRHK91WhLiKidOQ30rbenLwTZ76P1jTZburwe3pLGHmOUA rLSMeOgXsc1bwbT574VvmST3y4lOmuN423pJ6enk9UMc3HMnGCIdCA93uAikuyiqSredu70L594B 9VdsLztc6SxGwfI0hD4Zo+Sij65FRocIFmP2OQWkmHkUiSWpSqFF/mU8AsMpapdODpB+0uLCUWSV 0AdEwnt8PWdwy9r6OlHOOGRuTOVEyydukAzkkhIyOJVj1hO5EdRhxFovarPqLOqGk7s51bMnMqI/ CDcoGpKc0EXZEwp0ouj22cW0NKZKAZedCjuwyZ49SZ1t7rEPGWtRdKBuGhmL/xf5QXdgnYHbg63i LwE0D7HHb9o0DraBKEKdFuY8AKQhtb9tYAhIJ0oho12iNmL+2eQIrTlR5ysRvARdm2oWDR9Bgld/ 7/Z0bO5wDed/km+ZoHwho/d74nUiNRdA+V5jKT96bDsFPSLB4JApIikSBwgmLzxfDDT6OIlvvfnt nR1a8yj4CsRGRDU8qImpfPVL2PhSIIxELr2stB8L2vHVhjp0/fL+l4Q6qCTDuzJYhTanECR0YeaW lT+xdHlqKcj2zWIUjuxKjhDQxvGOO62GVbBEHZLzgH6wmvcuYnPc517AD1HybE/JOVEkBF0MKl9/ 9cW1e2dY/xOSjWRIfSjTAFtTyiAy9bgAlOCjBNz5+4AwKDJSydWTNxUwjykM4fzsEuiF3DBIKu1N yZ3agbgY/r3pgYlud6q/897YQf0RviUpYXMSS/CElOjhW4Eaa6Dx6WWs3JLd9HuvVNF+jeVp9amv YwaPK/hvbUEStoIm5JsYiDO+mXiynqO60HCoaz0gN3oBnhRwZpRVmJVW5QxJTFLSb9UPbubwiljf aTQuzFBtGZDokbScmAHo3E2K6CunVrk+UaMdyybuK8dj37ZZ9CRbv4OrPUKc9Oyi+8HOHpHCf22E 4CjJmH+uIDs4blGP+eWm/Xbg8XixSC/wIeuICvLfFAR38LqlZZOyY7huSKnvU0+ErJFmvGHfnnix OhLJ1Bo6LGLrP2JngqBv0DkYrozpDLD0II7Pq/z4sp1zX9HTG9IGplRRw4OA15MhC075z9yadNB4 OVkMrBGVSY6R8g6aU+Xnb4PZ/U13dSEG32EVBA2ZsC8FtuLgxSL4oLF/wF+dooU86wd7AuknKjil jhTsZk0l+dB2Yga4rAfxdNZ27zD4jZryWfErNIbufuvHppQMyyQIdi8KDHNpHO6XAv2HdaeGHSLc cpgDmM7amkfYljPOhgvSy9UenhUnUudYEMnx1zE/TOp54x22jENRPOnXI3ysI/IM7sIerWD3k8of Xnr0SNHbZJl1zJxuyANrXIZf7EXtEbFXanYlnolTaHhM5E9ls4loI7YEYdcTsZwQsLi+aIr9yUU8 1TkonAs+teNw45x9tLye6LZamMJAanvjzNAIwEQkkcqVU/1a98fKudBhiSVqkR3MZTuk+EzjeLcG vo5/ApgC+P9zPoWvDJK4uDjBaaYZ1tZQgjfI07VmZnijiYJqtCsNu7pW8B80dSBYo8h837fFVBxV YK0KkBsnPqic3/A3bkk0WychGYd1UngM+L4Et7Ub0EzhLPAg8VjXlSOURS26i0jcm61OIX1JkVEl pcacjTfCjVOMUGpaGJ9N95bFdwH7qu1i69ebRzbZatRzo6GvHOY1Lisn9q/P6mmTjCllL9vf4O4z 7YMesBJa0j6cjwVIYwZDmm/xLaQo2aZ70n9WzWMgc88hh8sB18RF7EP3FsluyhR9vJKUFVscjfGg xiuuk+uyfZqS/irURI1IO3b6l6oGUlhViTM9WPlqd7EFuO9N1yS9OLCTLxYJzqQBIgC0u4MLSeHJ A1mRxCESrZkct8e5EkPhWYXbAb3B4sZbAxX8FfPA0emc49ls6c+aP4uNdFGrOmeCDokSXbKMQpeu Gdelbz1S6JXtBEMqoKHQZqV5rv/HhSff3Sxb7c8fEonKvCbO5RwbfX47LKmt4q4xPyLS6Rrp9wgX Y90y5VdaliM2t2ZW9Pf/D3p1BaHhONOvWXMCG4zTdgKcDkKFavDC49iBO6FE6GmM5ijjA3myY32E fi+amIWB02XfrT94nxv7HFSMGqls2qwpDp9KHGBPRgavHTrosX54fm+qWxByqM3AdM9L0jt64mmc dzer2Od+qc3ZCLGg6VrjXlTr4NIlHUk3YFVAbT/GTFFLUmtSnwP2XLM7pkzwpE+O2ZygG9ybN92p /OhPPu3zE1cRdBEqZtIXah75jxg8JOeKtOLO6yQxxcinkVJBrrUYAIatxcfSKnGPQytP0uFN4qIn b1xOi1lRI8W7+szKbxa2I7NZq4947YJY3Jrh7l5cEyXEAxiv8kMfMrn+0NUnihkCwkgN4UNPOGgO t2uB5TT0OYSMHoMgKxIthmUtoqnEDQf8MCw1rDtdXdGsZKME//iLOipEp+jJAhtKocD3yf328Si7 YNjz5cVh/bn4n5OdFgKI0zuXNYVFEHk+ejY5Ln3YMASKti/gMTJ/8mg4vD5ju50beVma6xEoxLwx DftZx1LTPoXmKHLbVzYYMbGfRyE4m+FpsgfH2Qnnp3I/OGnGOT2dQZTlfVhKU0hJfrRm8UAr+hGY WzhR/gJbSZOAIcV8258772dvQO89A9yEqUDUW7Pp8VMtLY/BO+HhLkKfCPE+VWpJQ8dEKTv+cXWq Xi9JmYz7Di4Ru7tFhIwIy/OMCvfrR2XsPalj6dss/VHr5ZGo2oodTfPaSeF1BAzQV56c3I1mpao5 z96EgO2+r1yipZTXpyp/LJXrlBFIbkDabU7T0XS12IWpik/gjvFYAxa8HG8nZdUj3+hkPi7FV5pM QHcvrgjsneya9cra67r1bd3Sv8B8hmPOTzdpKEle/Rysm05aeSGeaOJ90PQ9rGUYH/BP1hGvpxvu KJK7eKj6IP374R667TJ/cDYm0JkwTDuq9S1yKhM7yXhoeQ/eOEO+VFU+1I1eEmaPyoAbPUOZvly8 n9S7cQ/MZdByCHTl2SnUHNXZ4DwgD7YibPiF7cb2kgwGIhWqd57tHj+mJDZfcYk32duwnWEU7KM1 4p1zTxnZ4VCbB+lXaF+8CJAbxvUl1zxuRbEc3WjadXRCjCuRPED8aVehIc+zZiRtSYdw6xAlUDEK 4zSDSa0BG3fe4f1XE+4Pr4Vrz21JXmDEvXu87rQwb0nka7smjXliWNv3Zx5TH4DqWnd/YFVQGakl 8os1C/2ivve7CACACepAsFWx1t/u6tWip3r48wFm2hLzbdozpGGSlAOan8iDaetw2HKYXVFymKJ+ YQ/fO+ag/gXtf6wyZ+mGBEUV7zZW8/7QH20QKoOVONw+d5e+aeBCgYvZeVn1+fbM7Vhz6+nogtIg muDQNHZubSott/AQUtcwzdqfYRFcH/Q6blpf1Ra18Og1+qE3B58nGBgigdfiGdSvfkCCisTyyprw 2o3ddOZckJSmctraNp+YtNwMT59d+NbZqkLTjPpdn2zjXPoT0qyktFIRMEQc8gDXbSCIDXZsBrAU MJEuDSGscHrsVBef2UllGVlUymr455R0qZwqWgKIUcUOSxEdhp2LHqFvNgf59gZWDxUJK1d9aJ59 Tp25ba6tro9i58zeZcG00ki+F9EyCMc2IF4UdDOX/CVqAFXf51sv1SeNhUpYsbqBsEJBBLlqwCpS fA4YkMgQOqNs0ofOVMGph0Wp1Oxp//qCs3P1Jd3+fOtJA0Aukh6Kz34iWMB8Nm7srXkbHCEJsrGB Qc0G0Yr+v1ctapJnd0hufqWFr8LCkX4/xlSew6WJCIDGdnHW4KGuWGg4wsMTe1a+uiEidBVF/Y8R tn0UU20NyDR1xdVQnGAJKYjCKQJVmL2j4BkAgxUIcaTcvHHqf75OHBcZFi+HRMIjLydOYv/9IK2s hl9eeuyGbx7Wzx3jnle9ZPP2+TCR8B0WBKwaixaA7C8Y/R8x/MVDzlBnAbG0hBA/5o9SMgvkE5pi 9iIYH/Hh15Hlj1jWFxCaxB2pQGQwj7QLGw7+GBXS1OLyhuUy3OGl1G/KmIppU5eyi0lbJxPYmXEj WTjRU9SvltjdhqnHILghhd1qN6bAPVA63E/EqP9EUxZnVIf/pb7/hvbleu+1gb0TwPPyXXavbMFw ptNCWGqUw+0yVCjEzsZWKtH5fq0BuVP9Coi/GP+QqnoucyBn47Efemvzjflg0Qs2oShZxUxbU7u+ qqewsweUmlK43nCGACJfP+qW4L7NdidfJMPvrV/ETGvzo3WDWatligGB5aHhmFFuaoUTTu1z9Up1 KBAKS7azX0078wszk3JkJsYqXLY3ZbUdDY+/E1w+2s7nPijZ0PokcQHomaMfsN9ZOJFF+tPMCtLU G1i3Gt6Or0vf5HRbXi2e/4IQ9V7GFG3FryNJs8vrdcaymLL7eiYndv4fUy4aQTKTZLDbrzngevc9 cTvKw+tUQyxpYGAvUDMv6YOCa3LGeycF/ZLAI5w4fHhUND7gpui6xRRaIWQhBntnn/ebfB98PxYv N278m6wFqa3fLaVm1NfWLaTD5q1c13kSuRjCSUNRtPcJtcGjpa8KDphLlPFXIfPwmZ3lpc5sjd0F E+PQ0RI8NXYYoQVBiu4krR/iCc6OcatBuLUAPwTucYjhNHSF6eqI4Gac8a5rR7FHN8YEv7Mf5wOM qo5iKk6Q7Xks3iPEzG7t1iN9CS/bm37ThqE1RpIe16z3qQeq+sbTw1HgwFDSaIKJlNWo997Re55n xHvI4SdNFcYRY+tc0DVDylhic3jJtuSXx1T6GBKsGxEgBrAm72Z5whKCmLes5Bj/rjYa00jqHl1n zExtOToWnPHbqTDnU7e2HVt7eEj2TE01cJqfjPGIsROAfacKsbFYfZkQL6Ci1X8Lvqq8O4y8FmXX qMLBRutPTb7o3jpTi5+m6OAVJKJVg+UUl7Lr3/Xhxa2IuiHEV0v7hAO4qlY0EvALoSYGl95Doyaf 2ewMMsjD6TnLitP5N586cuEUXIFLB7IZPb4YiDMuUtsb81b4PpPuqq5KuShmcm4ff5R0VUGMyYSY 8RUaxxGPcxJj+RHXkX3s7uWtS50sgrQSidGGl5FsVBow6zloKtoTjE+mpkDaSyA518tfUloF9m1g UZj+Ry3qr8xna9rw7q0IXmY5gq4uVmIG/FKtCc6Hdjdl1iXmLKCBcTWEDZRxS2167qycfKXfubsM LDEBE+pLVQOn7BW2Am4m69OVXx22QxC1AFKJQBVI53/WCwFeCskko/9UTG8WAIFgUSvCpukcV+vX S7venTKntoi48uFpKBdogSgCmjTPUu2Otxg0bzseH7aBtAYrJaaWaR+9BwQz9lGBh3L33MEupT+h MQL3+1t3TnAvlHtB36OMV2ZqEqPYVwpwIZcjj+kGScYMkjUquI31h7qlzWf208QmAoenniU3kOju lpdo04vJ6uM4qUAIBpBAKjNFtMvOkOuhlMrTTUEIxayrzGb5KTtqKK3EZqC/HG8LrIpy7RXoCOZM 1xZOB1cC51JlE9E5Rd9xB9JgJn51JPI/Onhke608TrCZneNTZkSjnZWdBdUsZkmUG7e00Na7twzf xXMgSNhEl6NO6rY+7j2JMM/UOigAxJyXN4qZe3VknWFOtVfdKAyoFXd04DTo0kQb4TcPNXBibVlO f9AIKQqIjq93Wo9y7WINOWsRGTFE960C6yH17tnU22Fbv2C4eZDf31b7CcGqgxkfYU3afLYdqF96 iLPtRd4atQrm2xUfL564WoJiwgingpzZWFtE7XRSXb26lBMbpqFt+JDhvysHMmCRWpEWwCs1fGhX P6gpcdG3ryWIZvRPm6+ivdph2cgH3t4s9O43LkGjgxv2TAEB6WzKviBHkK6FECrLY7HMPRkmIXYG BHuEt1NKEnCSsdeZ1BNUCouiRoK4gxMj4JSKlho6MgWW6BA6IwDhrlz1vHQDZLNp88xxmOrCghLV bmAnoZ8RsCts4zJN9+oaVhvKJSyUdeuvHvhZtE5YIvBf1L2aW8HFVm4Ynj14nwS6NCWewOVRi7PK BjwvyT2ONhpz0GBEmnHpq9+vVcNIzlUQz6tvH+tC5QEVKBwYckplo28FimQv+qqYm9Vh6txkIaOS vckaqKYJenMQa3ix3bU5eNVtBqJ/A/WYvR+PUdom9FWrnKF2/uHnmTqrZilXDlw2/+sq4aNWdaV9 yhR18Iu8aTmxHlWVErnktPAD870o1J8E6Rp9acS2WQSiEnbFw4lOiuNjqGuf2ZD3MvvNItLVBD3s RQF8G7SRd5mWrDCwpz3fHIxOdSgAXU+rsc44eeol/gmop3+42uqGVh9a/z5pKsrJZ3YKsYXvXuhn T4kAxTX2KGh1gO4bhH7vKgRxd8NwhsDrWL7hpcB6c2zXTtr2L5irhnaPfyjhLqnPH/6OIqMjFScS Mi9/8tAAzqgl+X2aM1yMBwDtwlYNMRFburYxc+zDOHMRRio1o19Jz8UB96jPUzWUaP40CpQl7ycb Hft3Ys24OU4Hug+CO+WqlqHvJevl15mTs5BH/ALvOB+Jd5ki6o8u3VGjKPME9CKMWgrrnOogOnuH lOG4aCjhpzxWCKReIeyJLtDKeq11vE93Hj042Yy/5trhw9YPlgIG8dRvBZN6L/e0JkiIP6p7V+iB zxiFhU9kmX3owqchN18s+XgV6VE/eEwXruPX2iG6anh8ffq6ZY/XQGndr9U5OjLyoitnjQ+2MXAO wAp4zJzzd3wU/tzTsurVysDgogK0k3ZFk2YjNuowd4r+sAVmXWhpzWsAaTB80GBPtLl3tdI2RPCM YVOkZFbAiVDQuIsn6GhnI/FEH137oZrEhUkpOuXXmE7DL9pNh4fmxzZJOzqVdnIsRKaB8Uj+baRn cmH3bw0YG/TN9R5UhH5kO+uueGEoFOHbyGv6qA6eDa6ZQojhQ3pI0aAt+MalhEizc6jiR4Z55n4X DW2ADRnLxkxEFaDPNrqJV4S8LxsbRGrdbD6rs548bLAEDZuSrFdW/eNGVPsNQ9D47AA7w+xfgAYK hwwAovWbbW2aj2fm27TWF2Xj6WsY5kb8SNo0TMyOSWEQYgT7O8IaiL9aX0DS4adb2GNwnT4DoKEX 1urZR3KdwdQVS6BeyV0AtWi71w54LhrybKdYbOTD4kCbdWZ/DKa+h6MYmqNHt3Y44b+PCHWRC+dV AaKcZFMLYW75Yybhly6UtG0xhnzrnfivBs7tk3iM9uOs1Ed4RkgmQIfJg+gFdcQniIykFMkEOmmi OwiAA7ie6aa92K1R1+33WHltJxfEyG/K8/eUnnER/LnIz1oq4ZDrHBFkbK0Dn46aPx6eTFgEi9Uk 8qbOeyycfjOuQVXz0iKme9tc0DrAN0KdTaZ/78+usJIhcOWEMpew+39YRQFUEcJ6FfcC7c8B28F8 sHFNQ991m34lEweGLhC2nttX5ZZpNdqnjIz6lJFTzXrQ6Z3gymf0EfPHqAJTeaX7Dc4LpbsAqJMA olIfPZ5PevxzD8txrm/G54V7/NbBBS37ryLBvCyWaKGaEhATVTghnbU3n60+AADh2lHFzuecv5fd nNS/9aO+CFWLvZuJPCMplocT3jUwOPlPyQ80k6tukgPvl2sElnDJ+7njpzswdVOiRFPoaobLsXI/ rE+9WUag1ecbcFnux+TJB2eE0eF6X3DgmiT4Cy2xfYch6rITCgM1vjGvkEJd4feEHViGssqnby8K fy5lFE4+DgyE/JvQOSwZt6sbJQ85sHxp9CTYuYEbqB6wm4TX6UzfNp1x6ddE5XQ6a5bJjH1y4i7X uaIw2Z1bV/5rV8Zcv7JIrygYHprVcAZO6TY+4uGKbKqnxKg8Dbqu5awZfbxQ3ipuIpru7YUX9xJO 1Duj1tEUAAEZaMSYGLOvVhaI9cww1jWZJZAzHLEjN4g+McqgbwC2E4w9NdWUVGziTAx1eLNhKFqZ 4ueFRNL9jrifFv0vJtaXjfMs9LZg7lqdX3VJ2M594UItkO2IlwGrEHnLvLNYjKGjPNAKpJG+fCE/ FaiJ7pu7yF6DcQKi9eFHXgAA7rUmV4Ca4P3diTq3p7TTCjsAmHTN56vszK2vrAIb9gazXaOok/hX 0vauIJfbx7rQcDFIL1toUySGxjoQjmyPwCCKa33HzVYn/0I82tx4+v2jWiCp+0Y7wAs3Y3L32wwt SNnAXycsn7cfZhI2FcnLPjkc0K9vCzwzkl9XM2TNxgCvmuXgy7rJ2qyKds1zgT6YalNR8l6TJSwf f0+C0dkuMhIkdI75FJrywyBl5gJoOgSLMmR18kvWdlJmMJPdNPgScfojWw6FW/JLaWovZLg/Yhe1 xeDRKTIV40VzIpnonQTpdhS44vM/VjZpYajEBTXzsUDhYZfPFpKtw2QZokIbkrgoGax7M9l83ROx m+33Db90tqLDLhgKEkbw5gIeMEQTKzb2lPIctrfKluo2hL0RGsLaOvF0TPjxC18vpDaJjS+Q8tK+ QqZAoZswZRdNnQa0A5AE0mKwtrH+lCUE5Ym/TcOK4YxsKgC2Y0IkCXGeJ50eSrhwR2Trzj90ApTs kqjRq+14LR3vboGIYXLzsE7Fdq0YKLHmbf9a+p0ICLVsuHkRQGrF7rXkOTVQjUf+oOg7w1OoveAt tKIacriiq1BPC7Hv7vJoi/FDbW4HnfqAYtEkuE3GHLJIJ1OF3TNkJCoPenxk3426W/MKVNf8lxIA jnbUKxRpWPv+KNrP9vbc6A7fog+l1ezfIOU7UCm4XVQ1sfcOmb98P9R5fdUdyvFnhVk8FTlsOnDT SES6UgkUPwMalRv+96ca/6e64mmAfQFMx9POq95b9pbx737TYGnbk4MKbS5f8JTbba9g4pKIThYY 53VJJX1XX/VLmetH9oNq+DzpsnaauBTHngLo7tksFshMYKK+81Ykw7J4Ilr/CYEBL0VncCKwgQcV gBCLgZFxNT/Yo1WCnooztJ5TIkVXjUicQmGmjE+O9OEeH9xnFKknJEu16uvWBnL39+CVrufDlp4p xuDRKwRcaXq9DvmHEBsWbui+ozVcIIlZJ8/O0LdIo9chCNAcAqSfnCqeiSZW2DTjASXs+fZ79vP0 gX/VGicxrWpRAU4HUfyXMGogELI7jTswQYKQqVhzdzhbQs9vKXwqM6gixO9J3rDNV5HI2pW4ZIcn flaRCx/KIwKqhuAL9wYBJW2Uu1LFgPxrajV6uaRdUTwH1HMaRNDOee53NraV15RxIMB5/TZ8Emys hL+a3Qq4SoilQp2VMv9bxj3B2zpWrYzshQp7rfJsHWyqYN+fbLvOkSK6Ay5vp5AP/lD7DZ8hW0qi 5V6ArPDWmZE+eRpu+gCa/83W0v4U2rBNf7LiI22GXrcLabompfYYZx/QpYVbdDE4Jis4cBPqcCwn Zyv5tqWxpxpYQgLF9fqaStpO/PHqj7cjpubiA7cLjIrHV8RPCtaOPaNjr1yhxqqzDLLUPRisCI48 tAhzonSRksRPW/580hUv9iFR7r0SUe+BEIZvoyyRXnOqVpst+VyLTN649aXrG0Tf3SRRQtZGzkwe 3c+ORF/7dspXWWAhw15bWZ/wJrrxnT2lxb/HwBfbbxDAFnw4qKtDyorAGNMqBRN5bmIIGgz3oMzq 2KYzYWeOi4kMSC0DTxLH64LHbt8Jd0SbXcgvCkDhWj9em9NkKa0oUHSobGyQtVrNcOx4vMH4ZgHy /kYBSpW2m0imGviBnHU5CxGWOp7GxH3YeyvpWJrpcfkDvv0OnynwlLPJBg4RmpjeHeNB7GhJPMvO zyELK2yv+peEGy9NxvbPI/Ntk9dqv1fyjeqVLs+Pp1vKTk7y4GMekKuCaBr3SjhN/4fXqPUGI1pF LRllNgyqqVWHzfYECWdsaH8n2hKPhTIPpWqtNK4VJSZ8EINv9EXOpSOu5yaHl+fzplPSeUNYOdcf RjshM1k5UgiN04KKE154/MvfJoVRJ2jpG0w+udVIeOA0uEac3NVSRX4mcXIKkZClb7zLJiWMQ+fj 7NM3qEPub1RjpCh/+n+Pjwo7r//jMn3VcCoscLSg4DrhUgFvBaDNuoBvglE/BhJxEPGYu1irUj+f ZGBhoOVrPE+zxoSPvu4U3gceos8JcqTVOO0gaFma4UCz/TgLLJJyctmBkQQIR+gOQUhxHGf45TLm XjA/4+03QsBnGnyoZpIrmXYaSq30mTmqy+2P5XERg4WnyUW+pZGz8THirwo5Vum73phF509RxCry CvWPvQ1NfwBzxe3j12Rabr6S04kdn/j/1Sl2oH3VM9iNfgzisqTmHgO85h6s24en2xS99AKkmX1I q/XvtNi6tXwwKoU1lsAQBC8J2wI6TqBaFPqiQBdxWQoqPQI7evyK0CXkIGenvp5iM3lFdH143Tvw BsHQ3secdmg8F4W1rRTLgSID1UC9MX5I0DEZvm7O+KAvkdRwb9zA1ZPD1puXM0FVFmr+X55j+0HH Q0r9jCAVEWEt72a7qKOqX5SRzQM40SUDzTTxk4zoI8OlU7NJ4Y7Bud2Q7yIbhScNKufwisPDeISY LMlyQ2pDfSsoitQ8sw2t4ZLYksNTXpT9Dva6vULxilcr9N31V6huiUgIPuhFM6dxbcGOHDXzC4qj nWqs2/McpvLGjivvlFljjRCTcGk+B/5r9wp3k4OYE3SWC27qEcvOw/WBFyGC+av8JkHgt/jQxmb2 Hnla1Lk1kyeQxX01m6vpPe0wrMEXT7v2FC+Q2Y47013SO01jC8hmsQjyOFLARSGW9qs8e8gOqbyZ +PzVc1teTQSu0qS0Vtr5SP21jgNmqfZkn5BsqBcuwMs3dYYIAflK3wN+DhXJjWD08CYxPV/WxsRY GVgsAZT6CEzg15PtKRfaOnCOc49RmjX5tW5SjZkmdnfnqPlxGtGdNy4G7C0EVASutpWVF/4+1/bU ky41MS6S3u4YjPNnHfQSU0cmcrudeg6sfAcKUeMMYj2VZsQh/u31Od1KY+pS98tGDbOKNRDHWPxG gnDkyVCSaBpGw/u6EnNKexBL0c8yAdjw727BJQUi9uFs2ZPMPfXAbL2jgPIb6kgNw9IRj+Q7YBOT l4/3BHytU7Sp+8jnC4aI3Dn95NZ+BjTcRxuSfltvpUU4vIFGWwzsTHRiuk2HQEbVnOm8dv8AXLLG PTw0QrQMkPm4aRp8WGF9XadHYYT/13zkGqsr9fKHir+MDscNnxHwnvBT3H0dz+zdSPTfKr2cQZYt oTr/vokIdxewSFwcOJCqF7U5T4Hix8MYa/WwNqx72Jx2fTwXX8OAOlQhPZutUBfNfdmUeBht4gGy fvkbQY6bqET2Eb/4N4XELdNmeh35xsdyNBQf4Wt48vgfVnM7JU/ikOAvTtphidBpanL3e8mx71FY 4By/VCyYH1sR2PQoTZGOKlbs8YnpR/uVFORtzjbJeKbJGvFLJMjhv6kWEv9p7VCJAXq30bi60psT RDcRa7JvItOwPvvPW4xtClhTrnwDZl0/lpbblBJy/F1HfRnmXRIpLnpsl0sa0+ZiOtpmVd6WMGBS qCpuOk3oLcESm6pcSOB53y8c6yOSEseuLKzj2XEkCSrn2gbFb2bjzL0UasflOfP9QW6TX21JEV1E SiQ3dEKYShLh0tBBiYrqVXO1CdbzKbrO9dtUdurkB/imaZidSapWmWbY2WMhjImDVIIPZktyahnK 1qd/Z1EvKzeIQf2xo8v8IyQa9f0HeIT9rj0Hn3CnAtktgcg0T4YhtaiGD9veY69OHYJcXPc9+eFb Y4tzkDTZFwk3Cvl0SLaHCKTgtVp888cyzGXvzK2V0YtXO2Azv5rlGwMaFiqxMrhWB+nWKYZ0yRh7 uCSQoauWWEsxXnW6QneZadTBIpWHJZwEAPXxKsexVUehEAkxtkeAhqqO2gvO+T/Ggkjf12w6KsYt Z/xNo8093rHuFu9qQCyZCOEDlDcshcAZ0RBzZf7hTp/TCouPmA/8c4+cMzfXG6dzQzHbAXPieYzs 21xQmd2zTDoRaCaJnHmPUsYTrLhdRNYWo/TonZ9v8e9K99if25L8N6/LydnwPvFEmr9MIQLw8qMF JVhqh0CQgKOMkW4D8QIb8EEvkBRV+OViCqKSyg+uxX4jrzxJTRy6YwMZ8WVsuQC3qKSS5uniSaU6 LavZ3kJahZShgBIHvN/sRPVhC1Y4YRsqYOnGUll2kNii1i8LHwpDescqnL505gvy37Nf5imqzqSL 5T0DQniQzeUJDLZb9GGdgaiaTLbqlZi+fYlUVxtW2vxusICSEZkZYAnzb6I/4EZgR49NpqIvvxum vrJSzmxojT167V1cbRUJXNnVrf7DU0ojrxshdmS6bxsb8wAbZQ1rReK58Jke9Mkc3ZBjQn2scMpa 4bzbIoAbHUUmmB5dw0mwUxW+Cxbp07lWisYa/Aa2noQgKnyMDXQu17kjRHKahzVGIWCNTUSFtBhZ SDHx14o2tXckD9LlnaQxTibHpaf6HLFG45V7GXAUtrYzD7+RrMVaX3I7b8BheVyELQfq1uxwY5Md RqHzmyh7hpq290Vkyhz735t6VEALsr/i9FHFsqSunwSk3aDgDbYQg4uNJWu3U+aOE2g5BKbEqgFg 4epy4x+t/iLg+G5zQP6jPoKqOcU/Ug5FHVFcKTktWI6jOoITixQnq386BOPebLpSGIuVQMYs/zdJ K8rloZ1ykpKbhyC0T4HSgGE/Smw/O+iOKYOzyqQ8mKLUl4+1hwF3CNb7nsQsJOoWBhC8ZZXnFY6f ikVGmxC8lKKZJqi0uh1PUfDPXnUUO3xZVMT8i228WFKP5KyXjc+pkC8D19iCjhk+br1N+Fp42xZ2 +Lizh+B4x5Dpl1YcC9skDsqszUKmI7uVmwH7BoPCTL2fQe0y4um57wkfbVpOPEx6SD3TREL5WtT4 KARW7+BkeKWh0lcTtccywOTZE7x4ZJTFVMASTSI+tEfiI2hRt67DpgIPurx1oYf8kDfAfiNR2XU6 7WZE5+sqNcyX58cS0cuiRHmjEmpUyNiJzNaxvuwQDfbs6u0IRv2wGKz3U+7JxWUPfGeWMkinAQVQ AF25gvtGbqKKvNbM5loNly9tcBu3bIlFFF/FVo8Fdxonr6B3V9Od5vdahDV4QmVmjbf9FQihbJ+N vp5ORmA+UPagbs0cT1ARWGo0S2TMEmFLJhxae44HHjbZq7hPUARG3WZymMRiHG50UXkEY9Pjfy9X 2E7zkFKU3LZ4G+TQbjGhG597XVyJifv4lRU4Y8DPs+niAxe+1zLg+lpg+NDgdg2lEi4v/olnpSKB HG0pGPsr+sBSGSpFr+1XDqd7rTWB7ayyhr5waUi7TO/JFgDpx4CtOvO70M+oHveOaee38agjMXCE 9U1EQU+S0VOLVfrWfacexOypPbhuJoMhUjYxh3VjdUpp2olCi76X6UWdR8DQQ/a20By/cG70sXzc fggylLhUstNpbFROh4YnUl+fMjThdPMf3o63qa1Qoor5/GilE/jvh2pRSqErGd8P778WDOHEIXiI 1wtrYd74XmyWcHjM2UD/VHrFTfMnlAoOAsikT/UpXMjWEMKEdD1o0k0dMDM3QWy03+JDTqmdiMPz tbgQjqG3SRVKN5LPM8JNb/nzb81+h1vor4Lhy5DMccL+/0Bhy4pi9QLEq/wkYPwYQZ2GlhpCXK18 4Fp18ohdFkNMnJzznFJrUjD1iD9eTZJpoEhWDFQgR8k179aHfvyMQlN+uTtIecQT67M8fmCUxUsl osysuovE9XTB7b3N6MdE6o7MCiQwE3/D+mCwIsVRBDdhxF0EdycsyhyGD1ogkYlYDYkUuL6JFGlg +A8RYpdGgBllMS3ldRiCnvzNypzJkz3Rhav9nTFwHV91D/GnHCmPoCRruEdRGg4KarzKP/X5j5cc WQt50r5t8eQdphzw0kNgdszMeEyEzrVx60pjWXwt6AbeAM/CQRtf6E4hM+W8aMuelIwm4vuRB8nJ pMrLKwzFId+KreuzhR3g708oKAvhJfhH1CJ5AervhUBnLJRjRmPM97hbz+AXJdZtv6NXWOTPaBbY QpQ6qNAl6G+rQy3sGn415YCIun6UffKrH2hh3arVExIAStP7at48hsScNfZQZJq5lXnAxfRWQfLq tG5ZMUCJfqXjLXVywrjN+2qDwIpUsXQY3wlJ3LKjhpRRfFjhijlfIuFGmSLv8DIW4vx39aos+xSX 53GmDgYxckTK2GOQ/AzUOKGcNkFUT0hDd1tb8v0WARL/YYEHPpriGUHAiXKP9jnoTKNV3zTiQvgL ZcSt8rt66R+9+aJCsriQnIuFg4EiczcMDkiRtM7AL+IF/8WMuHvxwUu6JI04igZYU0ZNhcDaupTL toCkQ5QVc/lptbrUqyP+w2TA6mSTy8ROvS7AH23/iKmHoiPvXujkJRqljMsOBVHUTfCpWxxkKONU aGs5ii0ghQZ/7mWLlbVJNh5KD2tcYKgn6KRUPNObP+iPndO4q9XNCuZ2W28wkOx97mc1FJUx9hxS dMJrU47bRaGGbhkGvRnyc44xHlnwgABpAruXI8jcAwP2uDPJLzvdiFQR7dpolgQH+tzxxKCkhCT/ OkVrcuFZbcsbqB+qhyMRHR7btE2gL2APCcOQcmmWip3PucIuV74CbcJIl5wITBEdtoI70ssphuLq nAbt31Biib0We70LdC60vH21Z6OtHub8QaIttj2Htim7kXvIdbQLrSoAB0KcotEPp5qAO+bXIqb9 Izag2Uuwl6Xb0XZ3es8xGNn4AFhu9eodYAiyqYY1Z0UyOicinM4cfh7MenEMYb3xXX9oDVthfqsA 0vCHWeqqQA6jEbNY7cf18hDlPswUxanxACTK6CiOjdUUw5VlghXzg0XAAOG0M1ZQ76XvRukZ9sWA g9y560cCN3vFmDKKtrJd5ZbPp1+UMBLtKSsWWq7vB/WJ70Tu86bNgWYlXxX6O1oH+/uLAYw+3xP1 MJMeX5fmnYgd8Tl+91sWUHSBmI2erYhfaW86ugVOWpa7OBW4jU1QooZLW3DrVUkObByXSKyIj3ax c8nDE2jpmhQ6quHVwPZDxFiKDgO2EMkP4ZOWCTGgcaqMlxlUZhOMohsuvyw1taXAYHpvcwhzcV/R 4hb9+jNIOVQE357yx4Qe+4yARk0krwejdkxr6uU39lmcc1eILofKO8A9CReovw71gSm36WDG0LyV G64iCjYOylU0F4FgPK9yGtSS19KKFyXBmAEGveQx7M1MKlfp+63MAJfv4bckmKi4EitNqQerhNiH HPlvOhjjquATF3p7kC/nyksvQE3M5qx7Fladue837/9MkNYv+c44871znbNFqAb9omcKFyU9Q3gl tYDkT//a3KFI//dUIS+NRlUKPKwwuOCqCGUzoQOQkjGreX/cekraTCcV/e4afOOSV9V3+CzAKcXs DubqxiEP3gFRJ/alhNq/c+nh1As8VHN/KD/UlLokJuQjxaZOlcnUAtlB6RWhSaLgGD+ynvxsi5S3 jHdHgBLOJqlxYYCk118pIIsNyMlLCkGGZZdhYtc1A7Q75YQOOk4MlflCCyKzx45xTsGAjEC0LY83 NdRiVMnhEYhU8qD1S3VYKsK90i0Au6vQD5R62OdPK+FGsvzXCrzUhHiOFzagQOcGZFcHDubRGydQ NDgydv6WRWV97oplxu2KHzxDrJyE6pGfsliPbYtdKiwPbrLeYiZoO5qhdqzPavPs28ruqfBE4llo T3XJuvf2M0RwiLHeYMFgmMrDY0f1SkbncwGKk5Wn9SzHTcvuf+v/aHm5RoaIGGHehs2vOLJpdERr qGIxoGRxG64oIhuilzE6d1fgVw65vFlajQonoENnP1ABxYjfFLpDhAHx/Ta+JnM7lxs0GHrALX43 UjxkJMLZeZLkfv9XWwSX+M8aMaDCTa9wZneCnRgQQGJo1PuXihtqFhGEmIur0zRjE5e/e/mt50Pi MTJHrTkkAa94bAwuvjSroh7zr2Tyeq5/+4r9KEcJ+6NDyG8RBE7G5jmOGELgbEi7WiFLpRuTrs0R COJxrbUM0iI6o2oxlND9JZFiO66zhxsSwYv0iE38dRaAwFCwMlETxZpKSucpuhxsskl4bBzZU6Dx 1X3zJXp8NcSgrhlGXm0aDUierFT2Y0TWdSETMbjhHpjeItYABn2CVARq5/mTw3gqyXV+QA2teHeW Ci2L/uYgcCTU0qgj1rTyDn9vMlVju7sdfHHnq45NXvhxzXxFd30xAouk4wgYcDXi2uYsruRS8Yaq xgZykydGKatA9iSRd1P4LBS8E0OPAeVQSqGy5PL0fGquEzb+GarjAshwOu+jCXMhfkdqO0c+2QYa 5VmfRR6PXe0xtrTiHV8nQuj0xQ186qgEriKJ+0f7MpPG6+JKGhSs7DdeH34fyQ5KMX1zEqkBAwcM F8mv2HGy4xCD2ft/bvB7WII6ZxIURdUh0eXupb6HGFjOIV+X4ZCo/SEMzGDh0RqHLnpQpcJr2wyz Q9T/7d7aC+VZ0wp1J3GQlGUmVj026GysHVlDWUB9a0bjOPirMeOjD/RrRlmHsTjRIxz6xc7p8lSf 1dBckNva9MILW4jLPVCiL1LBtSOEdRVyS2SjCRyMLq5LaH6+aVRheNCek+I9AvvdpyNjWPl68+bf RN2S7sN2wH/czAdjX/3rsYWzSiXgCD5cqKI3ZexVkgMRG0X1WxOaORSTMNqWIhn3m/w+lLXiQEph a+Z6+Kpyo7vTDXZjFSj/4rjW7XeBV7FdzmfMyH648PBhidhhRpJseU5BV5qIFXDv6bqv+DMYpTme qHXudJ7KMhY9c/lIAYz3QbEUbtCBbk7Y3ok1mfbf4ftp0b9VgPMLQi5pqs5oI8S8lCojiW+0DuOl dpn30N2YLTDeq17Uo1pK6XXgDsI1fQzZIOPTlwUBacnrF3cMHYcCuWd97a2tFMrgDWj4xx+QPyAF /NWMlW4x67ffiWNB2ETeCU1tez18/qrhNzRHCtEsdJkQsd3gio2ulS+aR/iBmWOzw9KsLy50kKia zQ6ksbD7zbejuOCBm+g92pE78hOyiv6+v7U6cx0bmWv7cokqMoonQKDd46jlEWeZU5x8L0+MlHNQ zuzfnbzcMQKyv+tPa908eiKqWilzgSHQLOEyIHYpqyCrSH5HwaX2qNbkz6emvZjE3wJKCR0tldJ0 dG4LVt6BY0vLcz8956dthSu71kIMRRVjvXblm7oypvhCiTvfNoC39IXqMwlKU6Otfq7pnwTkxtdn tgbpJcSFwJittpYs6q0kHFTWzvOux+OyV8XFboeiVYAobMQ69UiwC5f0XTnoINb7bbsQdHFnJkWn y6sE4Sy/QPaqIO4RGqp6/DHNhgnCUjT922ULIYLzuwuwITEUSaHiJ5nbnnEKoC3tWxz9TKcciuLV O7fRtL/s3aekV6cIyJWI6vW8g6Pcg+nxvPNgCcCe10N2ncc3YzcDP97rrQYygVVvZnC7oeHNiBkI 1SngRIOXFcUy13+/Lxwm80zjh51WddnswLDVUq4Q8yePt1pSC4AWgd6kkkeNT0OTIwme4HqyNTKy a6H8sfVXSCiSHkzO9dz1D4sG4Te7kC9JjuyoPq9Xk8hG8TscQvFzfBB1lqeLThEENCMjFyDVCNGO DS7J0stRsl9q76/8C49YlJfqUhK3bMk9eH0+ibN/0ISwlPIPb5O1DsJSLcuKQ/cmMfHOccwrZqfY 6Euu5XdDk7/Iwb4zXqgDb4zHbzbhifoS4f6xIPHrfQzrNZOdHMrszWhSEhRTZ825A8L8WSZ7h5tm l4+jVlMtKENMptdJg2m0WOwZ3il5aplrj/IoHJv3gmc3cO3NwPaEK0UFJgaAjIJIDOzhzzRC/YPx I1gQYzSEaNtEij3acJBf8/1aRnrvNWK0gLs6OoEVlCDhKz9MA01pIQGTW7hlzt0VvF6TUJA394J7 C93CVGzgCSxZXQczv17YRsmpwhpI0Xsa1l3rp48JVeECy4395jv6H2mR+mi09KcykqVvc/vAN/5/ +vlaaO5XFEhC5AnbGU8AK4JwHw7PR5in1xzuVBOGce/ZkKPy+6ZJmDzr6dlsYHUtUF5yMdsV0WBr 5rf/8ZlN8uJs7CjbKsDOfW9gClHDo62Uax0OX5h8AhqPhxlmN6zmjAs/g06TyuECwK5I/nNRhwbx 4lz84FakryuwKkFNiX/kEGBabZ5mNkgGUpdzwUBGQn9+mnbOu4iIASmIWgi84R0gKZn1A3/df9iZ mmE6f8nkAS7wPDpNXMbWmJ03N/Hhvj8Qg5pUe3LJfAUMGjXQxdOrokcLS7KHjA+m8nflKIqcFy/J jL7hprdLecqGBm/yTzZBeu64VlBYJ6NZMSPU+aIofdFhrfA1bDy8VAH4Bi2njxT6q1IIUjBwMSQE 5pq9GGLdvRuyYoBtX5WSRciKLIiqnBkQR+tztj8/hpq0F9hVzpt6HAarrq84Ig82qG0Dc+yuKnQg T0+giIM2XFRwGX703bHNyzL5xedZvdgmL1ceVEG8xhfmYWCEc70SDx6oBcRVIKVUTG6w3qBnZAnR T0DEGVZpTMoxYdjMle1A3z248D1w3UmrlRcpgn82gHwCPZaoeqBMfHZIAvp4Xi8rcgnnylLy6X8U aLQT4y/KMXZ8MDizE5X9mfrAnKRY4cA7rzMXYkdaPJVrYqFdYLxWaU0mnkK1MJLAVASl4F7NrHW5 Bwt4blqYTSIDHCF8Qx2XL0BZSg8/BKzyzYqHfrPOwQDE84qMDGH1t4o8QnEwUL+I+xto6fpQbP46 XtD6HxDoIYrfR6ugqa8Y9KfLLv4LFw71CeVTUzzSTmhSBuSE8Cu4EWL9267vYZZk37q8oBHQ2QPW nQpw6raXfW9wMncFMUKqBCsyhDeCW1+Nw4FV9I/bf9l+vgBqckPr96cHa9bfT0iDaOqbWsi39Kyw WuCfvmGo03G+34xfTo4BjsmiI6r7R/oXbcqWnxOFJL02A6HXFZ+DWngGxRWpwSifMFryq4EU9BSs MDWJhm2e4c25d/gXLEaGmG35EgzdmTQwZEI6XQWoN0JDGcmoEP+j3GuCdrnNm1Wc45p0olSNgW1b XqxDkp8506lwV7UtFBMOarqaenUf0rbIjYFFq4bpAkwjTAretYNh13SYuZmQ/7b1myOL5Ew5OMO1 TBkrq2ir5KYev79vNxVfmrZ7jlGwFda0tmO4Q1Yw3+hD/gImfaW7ixD47BdU852xlYFCPzB07iuy slKboe/9N0df7iBOU57k98YQ+9aJZElXDICGHru8jnFu0+yU804EL1JHPf3+M046RpZc27BQObW6 S+sZUVjnmbXnlTalZ/hE1XSR2nC65uTH3WN+/ic/Qs39Ypexx0p9X74zwhTtqD2LW4bbije+75sW wcbREin+w7MRTRMKQskX/kK84kDOL/O5g3bCBxRi9QU67gefGC+kPx/pSXCZwLZVaIeABFNEXttr nwJccLjU4hAQ/9Eu5Q45Xn+Lxegn+Zc2vZfqznpS/X6gCfbA4W8j5ab28Ke9QXZVfqrgloiCIej3 ZY3I2Dd1phF5BOz/gtx01mJwCVsFIOfgIlNojYTakkk2K1vs/TYfzwfYmfxYD8GsFs4QtsMstJXA YP+SE+WnhsgE2MF1oMBVfL6ENPZy7rvrIAmTivx56gMHNQLgo0kCnIFC6e45afBSTtdhy/tSCBtJ RRFE/eKVPfIka0ta4lSzC2TvtawEVBgQS+GZJ6p/r4IAW+vJCW49FF836gx31nRrlhXqDU+pjF7n fYFVHTuSyXYAynQ5szCdXc4ZddtKmMWtzSwUEEDCmbSaJoQqYkgePfvyuYPRks3gZmI/m1dVVLbo dnVoAkY0WmmNC9xNAGXvU1G+kmeSmkyI4y2pzDfhmmvyLsYF+YGG7abRhfX3CWqElWTKbPGtkLBy D/CQr7ABQPUyKd3EnpiQvaLO6+AaunkT7fUWcq3sCclTOI6P8/uztb4pLLZwERyUYGeyff5+Qq6+ kfx55Id7fnvQp1i79hyJbqKNsUBUkVuHtAxnyWHgUHCYB60J9HxJDhM33c3IYJJH6eKBug1ElHK3 otATdq9IE0hfo8Jo1oqFNCkSb5/J2+b0r2Re09NtYZZzs84+6EJGevjfMLG/4N30aL6MIZYRxVTu 6Dcorj02+t4Kg0JRu0V4Aa0bglZOoPKIz8S4vYC62JV3lfJdug7DMsLmJfNDYz703gVWVq6zmiDw 8hx/kSllp8Aa++ISsZbVtEpLwM6RkbWc3Um1HWRqLaG3cVx0Pq1B3Y34bIHe7sYfRMAWgvNhG6nO CS0tyv7ArnSIra/K64WEXIm+L0w+saWqfp8f2H+34u+mo3LJPSOtIVyzTRWU2/tNPgmxfUpTAerw j3U1957Iv803g1HsjKxk4hwmG9YribQ7LlfnbvvX4Jsb+VyLj7b2tX9nkVuiGCO1RHI4Qbbla0Ty l2DZegrJRIlaxi+PZamTQ0SZMEqVvVblfQPuwbev7PTd5vawLOyTFpYWn8RBe7bRlInXLW1/3eqP Cf6Sr1VS45eE2Ye4dWsRk+pBSoc7Q5qggMqL5bvrs2m+0ECZLj27agrEjoXYODn0Xlv+lY/akpMc 5BrrTIGdYpOl2xLL3ceOh2FY5/YHg3TKylcivr2UP3CfwI3fIU1Qx8CHhnKtIs5tQ1/sIxr0vUwq L4vXVNOwdx6iOgG5T1d1LzctADBDW4vSlhPuxTR8vnfm4JCcpBNCAEfJFHf0Uf8DBtyS25C/PIds Q8zVIhvBnbFKzrQn4lnXme9bUzjd9kMXwkzGfygZPKteRLHY5lnhkZr8mz+x6FZ7qIlX05GASBjY 6piPn9bGfLjbC4nzhHBVeOXToOQ6w/Uh1w+xGSh74yPj3nAMANKoOcAa+B0MnkfoTtVI+QZLxCyP 5iGXHuISlHC4onCiUQJ28QCqV5jUIx4vOsw9ek21S8KzfXjlK+g2dsnKEcwO6czABstJTvxMsNcf ITeFTmiNJg3DDeJZ5611gwipg/xVPQ5tZzrZBd2Dbh09sEssA8kBjHUhUrAnQzX3nhE2yNPjLhGG cYZ8KGcUqYvRNpJTfEkJZUCokfLG+gg9PVh8SsK0nBNCvxm1qdSag3hRttHcg8y/K8bYGUOTqlkn IYENKqU218ZX3kBSj6F4BU2accnXnZL2oRaH/xnpn3PgLzo/L3pdAkAQwS3SxaxWgIu6tASFjIQi WXXJXbCicEo3vzQoZALrPaFa7SIv+b+G5pRDcpCSJ8CpYsqR8+O2VB7TPHi6oGc4/ufXh/ZbQdke SHqxcKKpa9KBN8ugt6ttHgDAjipaFUdFRoAQeHSCht7194E/jb/29QkhVPQVsy6jvut6IjT29Uot XSLdD+QC+KOCnkdC8iCCq7gw8MnTmdQOWFECSX0hcUEnN7OTBLjYc2C3dMLOfLA+DHX/MuMWlIZf poeDfR200u75Wx/uDHQfoIx/8WhUyEjLq5zQpjlSDoG+UWL0UPE1M8T7Uwfi6hCBn8Rw+cMAI9B9 Stm/AXWCsKhveULH08r/XiB6sqLFQGPBy1/wK7xn5lXGh77qM/QBvA+pAmdDEIrLmA8Zp52EqkBI m1XqrcbSBr1dYDy4Rv+E4sV63MUCFG6Z2g9GCFH0fDzoZu6x73cpNbEDpzK5U+cboKKUG9Mo2wx5 4NxjmSLrtfIo/fKgAoVr/XH62fjW6/mI3mAtEeCaIISveQUVWFA2XYPhwqHLmTYIfPGZo/C6CJgq Vh8zeCLH0IgfAiwMBNJdad6vxRZvpF0yPW+lFFfntAQIKCxYaDZM3X8SdIS7p+Py37ZEnSlIMn5V W22okF0z2YWzLLmUq04BUns92DkmhwSpMEsYe3NU2byed5ixH+0/ugGByYK0yJTFkuBrvbpnG86b +8XJVHDjvo78Zvp70zwuQFAUDXn7VRqN/3udDk+RtyUL4/NXO9tvmCfMHqpio+hEhpA9vHc00bo/ 23CJc79Fp5bWOHCXUB+LW1dK9S6WeTYbuSQ7mfU7yfadEcftuRg2vOQQNbYOSFzSm8/kITZqqs0G cCchw5daDp/rw5Yx7lbomuGMeVkbKKqv7g7lDMz/BAULV1dun22u5G7EKBI4VXHveifVUhsmivkT 0A3K0zw/RPgyCRH9YYF70GYTKbMRAI6Bv6Fxafv6pBByJnK0Q9P2WcNVf1yRQbdDhVEdd3QJuYM0 Ls9F00IOir2U8TkB7ED5sEAQ4ALa5ZPCR1MdX8d7u7ijDl24CfgHsxG5vaHD6FeCRPKoQLC3RYQU T9UhzbdOaOwiUQwOGgWLFoT+OrP/94lQa9uFlWhiXPD3WKSCPkQ3Y8p50c9wuqppPvx6/PKFk/HV 8No6M62QGJlMbM3OjgYV3a11DgMIfjmi55DWj5KZaRjif+cvLFqWyAHq0fPl8OpOK+nvBCfGth7R fr8jb+7lSLABUWEZ9ecGkDUQe1Gdx1P5SAnHwdA44m6gnJsdHrmc9MB9MlrwBe5knzs5rVAnbM7P 01tYjU2YiPn7qmImhgTARc2T0v0XMpg+BcG5+G1bFJA6ct/jH8vUTUyiENPk1bm21J2UTLVr3U6Y Suq3Cz4gDP+QkcFAY5PXr+WdueTy/SE+5vbCD4Au6GzOy0fx78Fsj/P1tTvhE46/jN79OJaXvN6o yIbUXWcEpHjdalCrKx6q1gi5/g+lSBIEubtAys3ULml+fOsPBMgCDw5ZOWvuNpLbi3ZS2h0AMJyp ombOAM6IyXG14BH3OflerSwhYm+OFwtYwtbogj4PpzivZ9ziklliwUg0jqt1hvz33+C38um/oqh8 0YsKtem3wVi0l/GbMG/9h3dEbI1jO/+ErsaIyFTdr4Q9ArgoW7k5imtovOSTEhUhfMRywM67Wt4S YxoU2DwTCIOJok936IxowquZih79UC8sgNJbdUcQ5ztraERLbxaB6n0xwEuuS/eVpDw+Lz9wYari 8Stw0Eg5DrofDbuMLFFVmbGY8h/7I640SZYLWQ3d5WTHWLeq1mFRd3N0bKVJtUCRXGUoQ6FUp8uF 54kQttY0x7JwWBiZKwBEnattjWYldTscRt3uwqzrWm/M5rOz0mvNQds5RYGk4yAX5akFnVvQj+w6 jzNUiyzXaMJaXchXHnQq6X7T1h4akcLkuywT2MNIWmis3Su+vOLNbQisUe/m+O6+zhXc9XmMdaEh SxF0toaTrawGHy8Z2daGphMebs3pXAhi4ljCuEmKU4Y4jDWW+qkD0n/QDA4ZvkFAI+GAkvGXDFwm 4u4g5q3aQ4kY7vHWignnJU7hlsugSNl6W08YMkJiHKNFxzq9Ay7ctuwPvoaS2lJLJukmBYuUyO/O KJKy5lSGaBDA/xa+tuVVf+9mQzJc05Jhh8/IZJvpav9Dh6V/odKtEHA5EGFpUty/Qf3nZc5wpFEV 3vUhPnu7ZEHjK47lAuMgZurxZE6Mok7/CBQ6JiG/g29T52JjLQ19dRpDO163QG+xLKDf/97Wm5bx ygLGtY038AYlbFsPD3+HzEA/WOWiQ+Xy/O8LM/N8kBVeAM/C0cMB8fnSxNQqEz4zWZhX5pAlOy6E BtMy9kVWDZpM1CXneSVbOzzDbIcdHwfiLceRuS38ppDKeQ5fynvrhaOQKAkYohRwnO79FGCvfNTI 1c63SHzz03a3x/jv7OxxI+EwQlodjd8m2YheZeTWPzfG1OTYBJdPaCEeMrxlhclczR8/294fu+W6 XS4eOrD6tNG255WG7Ow3O+8zBW7EjR4WV4SFbM6kD7hLZ/H+UxnoO6uFSDRh4grVUR8K5fDW3XCO BSOriXLcBXA1Fi1Ou3DsUx7cirUMisrgmVv0+rjop1m26ch2FBFBuO+8BjI3KthPcXaiIVOGP5+0 Kqw3KF8uvKO6SkkgpS/tMp9HmuugksWpbjrREsqhFIt/8PxJVue4262mboAgep7FIjYnfzXp6Ufd 8ahXPzvbHlHFPTk9hA3bcAQ2DyEMspeCq2d/wzjsTx/w/BfLVbmprb3gN3WciK6nlsYKOUKf8h2l 370yuXUtMAmKDuA9tRApTbCFEY82+JTdqu9pHmH6/OIxNH3HA/gO9FdgOY8ZSz4BeKx1TiU2G/EN z02TGwYcYe4tXeRg58Su9aWCvw9qr+wSM12VFzQoI4KNtqWR9GHcQN9k6jpJNyhrzJqPlYr060Sb ZamScKJ6N0tTSV5jbBsVL1wdD7pWkjq3GotDBxA0Pfhye/sxkDtUBE16T/to3PFMmyfUWkeb4m0V MhtnNV0qHn1ZCOnCuTx0qt/nf1Mp7L1LSARZ1/9Ct0ZarwbLDiHYK08NMyEDCkp6dviyEaqgC77M kuBbPUpw94Ucm8MJWb1mm/AOat2WUYLRo12ih5CEujFnK2kKZ9Y6v21jRJHf53CHB//B2c9qI4JQ ON0pZzMn31cFMwWR29hbq00n1frcJZpBtMMbtjyNQExqrdcNvxTEvirdR83EJ4j3yKlcsd9Xkdce 3gWpFUVQBrTITWR1c4VurCltNBKDrrNeEE4TLLeCYyvW4dzePSuSeUiMD7+zNN/Fcr421Y81/3/M rlwWuvSWwLb0ohazlmS1PTTnW+dqSXNWWV0bpc/+qFYq44V+2yo2d3Vg7H35XOXUDyKAGBOZpjJN BLsFXpvnEdhxV3Ebo0GkjlLUzyeuowZ7H9Bd2cvRxLTRPmi4HPfkY/XBquUDJ9k8qnpzCco73+qf GTu/ccokiGt0j9hdwPJ9dSUe3JEMqvh6rMgM5M1HxzMfvlO9yDC/usm0T3inD1WEy1p9+j+XPA+f DBTlLxiLFZpvSquUIQAKnU+zhkGwWJl69fbUXEjc0zQba0Igjt998G5vraNGFjvLQWdOHFikVxvW YXomtSb+Z7ESf0XMkfknbr0eeRn7iurPakUfesaAm+1lK90Akp7N+etwH6Doi/LxGc9c2qCu0Hbg XgXWSdJiQ1f/1cKZWC8xpUlMk+OTFw0V7Jx/sGiZiV+qV2CGb09MAXQ4Laam21c0A2kXgdwteiWW r3SIZjlM3xJABMYhAG3UlXM5RCSx5ZlpubPYrroyyNbWAR2W/VLzpTYlA9bubCFLXUChlYQUUhWa qxMBJk/EU4E73AZFAcAkhzHfmix+i+4TpCZuYt3Tr8bGAZ1cMUtLgOueQSILZPJQfDpgOmWTuhMl hLcJcJ8H5rNZ2b+JpstlmMb/u+Y5YFGa+84Dr+ME7M62N6PIuAtEmmsKY7iqEg39N21hoq82sI16 7f+IVQDLjJuvEO4UOUoQw1hfmpI+sCTkgzzm9J4M0UwTh3zKqQQYVxTKXVs9AYhEjrnKRX0oTWPl njS/WxhMFY13QGR4v0DszGiFucxlFpv6cXwybyF6PxyQpKs99sMV61U9t5I4CbzfUwz2XhfRrFPd l60Uvbt/4hl9PKAvUH6WInwq4pE/LFgtEYVdzi1Ip2kK7LIVaYGZWgs0wGnI8HsHhaF6WQhmhaIx Up+k9SkMovk2dniT2D3jifotVeUpCmBuuthu/jh6xjY80XxgU8/BM27h5oPDX8LmG9CoTTAeDhDB WqBACU00YwJpOV01yosNIYI/4iJ+rR4E3cOZDrxrj0kWpydm+gVLZV3VUt+iRQoGq8jqhFxifhq8 P6GvnqE0Sq0BYFjQ2d8ELhznRyTTw3l0uf7SjzlU+h9/NhYWlPmZuGNrjNWsyQXMbtlPuluzyBhw TlXrlZ1L6Md+RshuAHKsmeUb1h7fOZTvADx2pfKvBncJWW9VQmDOapGmY1rw+xfiOCiibDvNelKP fU/SmXQ1oS9mGMOlc6S6D10UQMOXA5fhHXlFa2FmiOIPAfMr29ZsExJ5M8DhkvaVJvlEm20LXZgs fttZ0/TlL5avocBvoxiPuN7epCVza8IphbpzAv4vPgOYTrK5d22yU6ji//ZXUTPufaNG7ThK/YJ5 odM/PCsLAipUK/5nLXyEjYZEZnFc7OFWvBvaOD1XmROkhbufm5/VAQMSQvsGxlI2Gzu08MtFRcD8 XB5O+46vxYCo8EtEBpKmQdOJSqhW37aFS2uvB7vsFXSf8G+Ak4wb4NMl2lr/t3lVGgeJpHDCB0zp UpupICUIGKJXDnSAtJFN5mnFckgtKTI5XI42oQpsTzoKn1UmEdtrNNZSXiSt+9RvRVxzJbOPh+/l yBdaWKhlRRI/03Dbi31Kg068AvaHhyRKuzXtIRS+inpzv0v30n1L1YgxNsnQNaJlabLvvVnSKU7A f2xYw/kvuReVrXc7vwLwzlc72j9ogTztw+9Y5f24YFaXqlEmjnGJiwle6FeRVjA7uxfQnsbrTeZ2 LgVVlMSQ+70PIguGV2v41ljRsVcn2G0pOQFp3ZTQ5QWFS1LerGs4/tRk3AzA6u1yLHOTYSUOSJNi wPaYOlxVXlrqLdEjj+e6k+ht+FSgEoIzFeV5yMdqIl/ehbliYT3JCCtaHBxnMXtfeWhk+my3SUN5 F4ZWg2JNu5tyBzI1X+q3hW3MBH9f176xjB3ZyXSBxCmDdaV2p2+8dzxN0p7FS70VyrETe2SzHt7X bjP9xFzna3l9KYwVrHglRZrkoOPDkHs60c2EsHBQe6pafyWVdAi8YAxuM3TQiRv8+FLvlRL021Ua h1JR7XIHKwzq7P1QnujBb8FZqsJfFy8Crg+A7GYCWAWH49FlSoD9WPadaDYfZYfDQsKrPhRpJWQx gdHkqqI/2JIx7RKOYZyr/rlfKG+i+0uDhKWxSQIRBVqE/i43/dkb7DwJwlYMVg9QTB/020WYYFoQ JCDf9ovREwNv7DH1WNCANhVN0Ut0iQ70StgbSjd6JGBkHHlgfZW1z68CApRKxQ1jMyH/ZTeCq+zN tZZ74FE+LcgqN8KTO6lQtYc3vcdAFcHlNZ6IA8mS3Fk/ZY8dzNhfIbAT9u3LDk5FrzMA4ljnVQmA YXVM4M3t3aQxNP+lmGy1RIt6X3YdJ5RoSFrpeq3Jn51pQWHBhEWaqRiSqujyHGFs+itCGjoiRrJb 63d0Ney0TtLGw2N7zroMUdA3Cuaxbn762lwWnxW3sdsuGCGGz+KIPrbVMyCHLB8tmV+ZXlHHt0c0 J42hoBntcnIJj2GV7AzuFvdXugFvcSADDykzhBn+/kQIf3WqUdwdqyuZ5ZtzRjrERwprZcAEBvnQ Y1c6/GoHaL4iY3G/Nj7Hi+71dsi8j7cTzymUaR2+Nu0UNknQsOolo3JAvyu4d80Ge3SiYm5e8mkZ Q4Qhqcp+lOYA//aBlXtmEheGcI+0iEPRguV3eFFEsIUNDtbhB8x9UfZir1c27FSfMIBHeCsaF114 mXdMpbj0RQBfucCntvupLRm5tKcFIOinWKmdBeap52V1RelqURMpinta0zjC6KgNWdEAxnZh4bZP McDYQMdTOPL3t5cMcszc+GReDAiem8oTLD6Eauj2pjMYpiYPszgb/X0l6+c22VK9cSM482+9RdgF Hk+FoF1wieKLcHbyDrYEtVYwdbzjVb24e+WdzR5GQbqLOTdy0NdYd89KO4ODFobm+J/HyCuBmc97 yit+hqwKCZgPv6fvf2aOFgSrQzp3WsX51X1v8CfyU0XsIxWX2ucqUMBU67uokMGjG9zcV88W9Hdi H9LsFp92NogMvYnezmYPNC6N+Yl1VDAj8DCXQ+0/B2SZpGU3/EOIq9jSr9dq0LRlYIqhCuJXHQTA 7/haejyl9Wj6800rv2/XHqJKfhsKABCBYIhlRAz1w76sSzliAJLFn58LSOWqbrGf6nZIW5BSQX45 AC9oe+hALhjb88ysACWhWxQ6JqzA2yEkqA9S2c+VjUQjdjfGkUNnv6tfXP5ejXzraDCybtBvF8Am OxkrrmS9pIffkd497XB8mxM5LorIeXFhKh0+drITJiVf6anjxld0Fw9+XrZ82SdErJ8pLE5yXsv2 ux+eXqHT8WmwY/Z64XQ64D6NreDj4iKICwB26HyxUhE+rj9aB4IX2RqsRquFY5E+P9wS2lzz10PJ 6ToJlUH7HWg7BRA+ss7uUiC9zbV4/3tbDMO9iMMUYtJGDcWA7Lod5WREwHpj9JkIfomfOkokibGp UQ6QacYQaYSOfogEGVH8aZosyqPua1d+Hr7Dfo3qiEKpSi8En/f5zbe+ZLqsl1kH9vo0mGdYUrRk 04yVsNuXD8yE/rZHD5sXLN9Xq2DVOD4guSJBOQFu9h97XRpdbEUzWu9NwTcv4R17vriCSgB1jKmy sRd9m1fuqgaB5i+vF7bbICGY8uAimVwSrKtFwBefixd6gr3BZSLtljm0xumpy4QsCOBnfmYghXMf DMtCH53H5oqlpUlC0cRVdoSbU5ZUOTB/gFQPb99W6R017cIZOgU2+IiO+5dOlIjnRLTMJ+gjaxr0 w4vgzNAMuxB1KaqsmFg1xUfR0IBZyu/Fwf3NpR2L92muLtPX1mTS13SrgrEQLN6e+bFfSGL63Y0j ghX8nUeb1JvqFlm9RysoEovFGhEUwPROq8E1tpJb0sU4T8lh8z0/aag/X39PGO3YlEEU6rpyHbp/ Lt67pu6EB1XKAs2jP3zEygjLDli4e98yPwJ/t4P0XmfLv0vwnlLHI5B08Qaioa0ek4kUojkR3rNA rUQiBJMZAB5n4YQw0ZlFeQPJPLhbTNe1BDF/ISKctOoGmrg6PtDuxqM0Id89KgRjFTs3eeTS3j7c h0LQd2m6bqG5tCvmqdIdX0w1ZxRpuPe/6vpguYlrLMnPuBdpMhVOZ3VWJlZqRgCh4XSok6TW/EQ7 E474TjtdLp1ZmKnS1Mc2JCg1kUrhxDyo27yue8Bp1OgnPsSmQznHrU4VC5sEoafOI5x1iC1MxoEL 07fQefclKmXfxq6ZzM2o3jwiFZ9nW6+77xJPagNUFc9TO1XQKBpwn0hqp3YjX3puJmLn89y0wNfG l9Qo3PFEqxhZEZd53oFUpWlLcAvKFbqFNsKfEkLg6Z/W5riPZZfhsB/WTpHA/m/7hpPfyJNpIlQN JlrsZT7Qo/0Xfd03ypu9L1wK9gcb4+BiZ76ReiZtssAmmPld6r8tQ0/SVexqU3LfCUyzTnvUwWfS ny0BHIWjNjQ89qS1A2Pl7XYvIYpwA2s4gaoE9D+QD9f4ndjkRZrfaqIOuuOVi98uPOIx3gInRTS2 rwdexZ3QkL2nwWddfdlcWDdV3dpXFyb60YNz6KBvYLIFMofd6h0qV51PW+ixxhYUk0FbGdmqhPby D/zy9Dd6tR/Ud456C1aDf8c/fa1ChYgbJRqUehhzMpX45TYCwN0CG26A08i6nZukF9CqRuio/DoX JeH94eWtg3wHOtwdpoOBYrvV7tRApvjPbRUAiEh4Xi8pKthysg5E4ojf7Xooa7GV45QK7ntkTiRh UeQbBTrOcVygRP8EYDzXbs2xv9YdruCVVtCX8Jv4TkIPJDvNzVNVCcF68+nEjKGsgBwLaBLwrDL3 UCNqwgZkBofsZOK7pAoSrJYosBpYH3lXPbZq24yCI+1tiY36CPJlJsfJ8PpIfVjoq7BSTqIm61Ot e25+/zGj1Bh1ZTwdvwT00oAv7HfwcIWdCOcDBiZIAcOKvKjuTAYmrwa8ysbixV3tQlvPBRzRp1Uc QE08FrE41keRMmsmwt8YGyGnFNjqJ3I2UJsXqmHjmd6w0It2fA7jwda67nhTGdMFn89B5zThVGLs y3QETD39OYSzMiTtGI1tySfrauBBDuSME6p9uyRMhBDoKvyN8Arh+9Kf60XBusl+ZTiLBjeoznXG VCf7nr5dJRdEfawIK524RQXzi7+2OQ3fXVUc7AmqiPZjXfH3ZomN4X3itCVAHLlxlOFZ8F34hTiA hRbDg3X1N59kfhpxoiWBNd9Cf7QDUUhLToKDGezYaeAX17p32TcjHGDsI7AwRs4ubxp1HMnii+WZ h7SNT3LfJ68yJMjgJIpuFX/LkalmyElNCizQaeou4R1PIZ48bl+Z1wO73ykojYomN3iA2X56u4f1 L03Cs9wHALgYyjSwuCcXDnVb0h1ZQHX+OmZh1pZE//eiUljWHnW3LXiA8sarUMzoeigRmgi4noPH 3erwMoLQUgcMCK/Hm2cZTqEg3wiHkNArpK8o4tG8ZanYvdZgCIbnVZViphIRWLshEezOpnsGNNts nCvP9zcTJ1OIGJQNUJdOT4fkSEaFQA/Fy/K2ypFpM6ZPG15MEofbRhD16QRzyxFWLSrZvYLTPWM8 O8uPqC9eyR0FkwwryDoGqog6a90swinraVSXRBaMtyv0ljQRcoawldXMzYcPbVoetlPGRZ8AspZx hEkFKZZlocgE0weVvwL5JZuLDk02itj3s21nBknN1FiSMWq7kG/mwFSjvDsxiJoMVBM1+AEjjTvU asOFFTYBGV+bB/QTLHdhqYbNmnFPnKnM7Ue8ak/dXge/xM5Wpz2wo1k0Gb34OdmBuOyqJZFln60X wreLl2OuGdZynFsmWRoNePQ+zfR7gvtML3SBr/GduaX1Dyp0LImybxVD/dt8s67dUbk3J+kmMhAf bQ+FdxqFKa7BMBZO8Bnb82YmpjzU/nsLh3ezr7nmm1d5rAcmFZmQnJlbmFeswSLhJ59zKyD7+UgB qGd3jtLOTlFWSsvisrQ1WwO33W/ZTQQxzn9a05tDRqFf0TNsburEUgvwKyYzqBQc/5BJoGD7kss6 Rtm9rM+6lvwPnWdmNOPVTf5la6Q1in2knsHBLHpw1uHeBV3737v89EihnsKU4mjtaXvCkVfHljd1 PDf7Crdkl4s90zywqy4yT+xbz2gYyktCb3o//jidoqMO8WfKr1MvEdWmxq+UgXZXYj0XbIRIdb74 7wiCP4+4NI8Dc+/IvAe7LOl0GLKGCD32Ny4FIHjDxD2IA3HlfA8sZxghqRHeHpwnmEMoLr4ZZ3NC YreSzT0VN0ZALofbQ1mJsFcPeO1iRjlx9DgFBTLQcL4Zm97R4bfJb9bXWwZeDSJ3G4efF5NIY1Vq qPfQsGUAjDegsvhawa4cHOTSxAf4sggXSVAR0b+Mp0M1juK8IAPbh8wWHGrgDSmfenSUC5ueLSUf 4BKFA7cpvcOXHVidDP/j9vbDNhFw4auQiqZZ0/4Qn45vVbXRqYmpRok1Xqoywmyo1Ld7Idhm1D2h 8LdYqOaT+6SpHS0tND0hQ+H3tFIv75FtpukI1RwjOAdHsAwImgOmCxx5QugcDblcXZ1lT10POoUc DRbTu8DHfWUPJ3MJKyZatYUepIPlVroXqu0w12EanEqj01ESmgzGg6WdamR7+LTvPuIyVEloOyWM MMGZgSDIG3muiIMZD+20A9dTXejxX1yTGP/p3gCUztYTzAbeCT1vRt5xxRxXvRnx7o4+vc5p2omp dgRrrcYUnoouFIAGMWe3sosq2ErPwjmX5fZDVQ4vAMFLxUyfMuNfVS82ONlOirL9qA+mzfCX/Zyh /o7+XlE3R7mYzzKm+qJGkEvbXOEHl+VodrNWvInr72hiEhG005xFnjjG9Jt3VT6vOWqscvG7SjRe GS1yFV2RnV8qwC1ZbpVydZ7EGjYrWuxU0zjk+f1xbrKUbSI9CQ7Z0miZs34CCJv3q0ZJDkFIfJxH IQj3GHEkoZcC21wFLyHlBmggsfJPOP9Gmx39FNdEr+drO2hWMj8hYngEeTXuXScPL4fB3+6REvU2 ITbVYLIR9PJvWXhI+qvRpO0K+80s6rhQ2DNFhDRgU7jmskmrm//K7QwLJinz+va6biUeeksZV73V YfZqIEwSDftCkA+8VkY0re7qWi+PtzsgndLQ2J6ZjVo7hEhmpLJWDVvN/f8OYRKslFaJ3Bj8iH40 BdI+s4cvWPtmCpBbFqzr/MvL3QouUoN0OHNdNI+vlVONCzt4NRcBkdJ4ynjYdg5YBRx0fiODbniM lQfoIjTC6napV06MP+lFx1DY7lDUi0I++zYOPl4MZDq5hSzLauDUoGfL6HwRUvZqTsqCCKPTEV10 Irw+q2ui67ARtO3Egqv9TBg0V3VwDxeVkJ9bX27/kh1Cg4fyTc0DQt93LSAETT3CP1knHeDiLpN4 ahQe6viayeMNlEH5pPC5Jbzeu4hPO8C1pXNgLql3fwZz8TjzSFyOUhusb+GTb79DTG41sBIx4LXi vUnLHSW7rjpOIGu2p6d3+d6mUXsF3EQwIoeMq3UmhxOjZVkS25oY8WNHcrJRXQfHDXvs9seRHn// l1hp2ASn/eBUnCJy+8wQxsHAdxSnttfeYUC/aMaw4ci++Jt70NLQFZQlyhs5VK/nTW+W+OlMb3I5 KMYcGfIS6dEk7oXD0tUK87cOa/zKPyTBsrNPUIwpJf4ldCoKLSheXPeu9t/0ElAtG1p4TPaZYXER MG83YbhEU13gg3XhVKoYOJUGjQhhbiL0E7QviR61w6X3skzLbJScd0+2MNdq8Hc2Xwd5EbbOxiGR Fg/48uIJQwKlIwppAped0BAofOs0PTf/A22v3KctLPTGExh8hcRiuFt4z75W4GzGdnYoxoX3sf1L T9K0lFAxOGSS8GYh5WudJdy7/Y84ozQ5DmETbKCE2BqzpvAUZATGldKqVwNuuWOS8bs0s9GlnhCL BSZa01FLRygGVgZgFuSqwV9IsXaJkRjaWELcAYwBz2Z2hgpgRPNrtKRtaP0THZN0WJ2vC6gJGV0Y laZFZTe3ijqV4Eeh1+rnm2mw69AAoksNMif+wPc9DrZwAzOP8rKFX/Brc0Oz5renVRQyPxJVpCQX zA2fklXTlC60vXQLr8Umj9ta5plu0PlHqL41H7SBROaDYOlHd9ZuBnpunOIQigfxmViVbSbLVnCh MccT38JA3+YXkzU4Ind/NSy0MVeeY4FaN0xCF/XN5zGIH61XQpVylyeMPicPaQjfcrx9nW+U3KzN aS8UF9smOu5GXoAGZdY/3XtKpgpFfBToxkaFWZRr9nRU2n6xKC8U02TIY0tdj8/UOKH4zLIWIhhf FhuXOiAQlfYDuDCVNCkhvmag+8B5y54FxbxAX2Ry4ffdlSEw8YIR2Ixcx3jnwr2U6LKzKqB2wzQ+ lPD39W53tdpESLBSUFFkQdNJ4AgZBxeby9d1it7cuGybFLZH9QLppGf9xlypjjJDgMcIkf37ezMr Wi451GBsRzKiqL8HaMmsOOmEbI6c/X2U0DDfHAw6UNLdtfejPKQymyGwcEZ1dTO/Eziebwls6AYW /hn2gRyh5ZIQqHk0jHdBScRjtLG4E2j5C0peZclBrlmoTOrxVJTpOYDkzT7EuRr0rXNCZ2sjQbI6 7jwUSzVb+bJc6EK75/bdnY1iNnjf7HPJYWciQGzvD/4O/r3W7iLkU42RhwlVu1J3jlekfm1kfOS1 57fWnTXG+jJtaWsFJ/l0NWwh8Qx00ei7QinAxr0+ayvi2RtaWEHS862pE9Wn6u0fZmTgTQ0GHuEX d6PZkiYXjp+My5vmwTVo3vdy3Q3yIpUwZlmbrTdSBkVaWdj0ul2KoCndRkXfCPnTu6DOWwUPUNzO SI3N85H++kge8L3Yl4XwGZTpko3f+eAuQ2YRaksOgJnLI/Q8TOIcgj+tvSkZwKlUHa8+zrbv5Qgc La7oamON3GDoHyxo1PSsWWKaERE+xH0QatAg95HECZJ8l27qAFk9q634IjvWzejhMKGVToc4Wn2v rlcQWv2QTKoyCEIaQq4Uq+9c4bxFy46Oz7F/6fuCvxZpdwA9yT32fZ5E2tOWyTo5EyJ4J9jfoPz4 dybKjDr+fFgXn6oupZGYq0YHw+LRdRifUnkbdkoZRyv9zW5YN2Hb2lDzx+ANomgFmO3H06Pglit7 /nZ2IxrI7rq+ezZWfFjIBHtIyG/I85gcVxp9ioXOGF7XqIJqbaenjfOOufttVjX+alIYK5b9ZykU 92xP2CfUfxAA9od5lKhFoqRVTy47kFIdxqZH1VRf47VLelQd6CI4UeN+Q24lYBrEH+6dbJVTA0b3 HKXo1/brLknoqwgUhsSIqj8NnhVNI7qc4RXGUWOZyQmolDGLDkD6roWZNeU1P7coUaNbXFbSKusU duunIZrfWirIQr/nDES0Qgmz5eCZS/PCP8f7EJDuhH8wvm7GVNoGd12O5VG/9rnc4Soguq8jZqnu WEJQ0ti4qmpDZiDGxrsS5F6EbbIU41QkcQaajtL2wQMFxcfM7rK4qBn8IFnM0g2wogT3O/kdIdSD 6EmZwfMXc+662qZ+fJCgvUsS0Xfagac5t8bFT8iXr+V1lYDGYUbSphoRE75SojVEQPhG4FTkPBDs YUjvhlqt1vNmwF+4W8XIFDm484PuuENEzyHAZkUqdw25INkW6300YDc6vxj5uH/qOuuIMq9J/wNK Qvx5ll1jh4vi+WNZbJ5E/VdXp+Fwy9A13DZY+xyXHog8IBOjTCGFhMMxe4Z+HgSGyMWeYypKC0KJ jzGnmMlb59pAdKp1jrNnd/a/d8/LL1TOoTBnYk6IEQX2ZF8SYBCUjNN2xkE/HjIozLJIDoKlgIgo RC9LelHsuvfOO0L6CLRlGIxVyIgLvVoRwx63JSbwL29+gKBEmH/3evdlZxovgjchLXtaKW4UkpCG jNHZjNbYpn88p3cPJlpNzXbF/ADQafj0oEBNHEY5STTRAMl6rnK3+4B2z3H+4zO7Q+4G7kIIqWpu HuZoh/IsZadb/cmwHNTr2G2dILJAOobxA0VUxK3/r1Pr1q6Xw64/xG7CtUYRYwvtCDR5M7cpr7G3 6h2uSVQ55KKHv1HcRkrSbD1d7AgysAJxPgwZdQ/p0YJboogNejl8NUThKyYKM20i1iE/AXquVF2Y zhqVilTpeS0kjbWlaPmEqw7wG+WazrW0hCT6S5GqZxg0/cqUXrUSNtq2UgQjaiyEe+BSG2pDl48E bVCKDdLTv84z6hK/AGNHZyfpFzbz38zOqF/VKVOrTtu6xcoWeGzbGyzdmakUoqVLXghZgeo8tgQU N6gKG5SeWQyyzgNgGKRGQd5AZi+0UGCyq0ES6ul3ONdjAXHoinWA3tHuE73ovfvlHwQx/VWSOzdw hm4CHqTkiP4xBPivXC8xi8lhCfXhFQF+zNKKZ/BQqPF+RMdfOWjVq9Prl2UPpFP657ma5RZ4yd+P YHWju10UUBtF+mz+fMSR2mLa4rxb//wD2vGBCEeFrl3DdhOt1BwU6U/fuEOifVkCElg4OwIqyas/ lXHBdW8yTj1DKxvVLS8bUD79AzcrBpwSWrZyvziZmGNX6CF+P6eEapqSyUSZr6yr22VSy5wr2mvC oWlD0wV8zKMZjL8WgtwZyQB+R4TbL1cbZvng1qdbVB1wE/HYEEfKws1Y8nUPIl1U38d3FB72YlVM /2Z4Mj+QjxgF/B+E0sNPLytfvCfpCkd3CK3Uh+gV49yHLsQc7ptkgaQP9TXouBKAEP4VXYv/Sbhc FLCtAhfYh/QITws73jBJ7zPyqUKUM4Vcgi5QmFHttQteVC6e1A6ELvujPAGUgazR907O9PvuuHt5 6qNVP0A01pyz/gkUz8oJwh2vFG49W4/xpA3OybqrMQS+r9tx3CMzHam0R/DAsGAP0JdvIvxdbEZh uCb8g5uC3xqZRkTJIGYGMD6dwLQkhtdqGsAQbF5wF2HM7hOnXIY5h6r/FU0ESvU9dzXOFl+kjhBb AUrKXrhgxkERc03f4qkM+/bLsSMLEpvZ8Df3o1sQHtuVMEsxfDgPCzB4DHUVXQceDE0DeZq7i0Pm qUFRnllxZp8zzfZqzIFBy+TrWD+e3dru7hsNayWt5HjjD89vrGFnI5X+a+Syi06qI7a5U418CEVE 6HiJj2v9UnPZT18249+W3pPAjFXSNuRg9miadfqULFxa3pxTQVjqJCvZmBQj4awk1A64s6RrYVMJ S/mmtNISHT2RvKL9uTDiXqP0quKPEaymUYhK2tERmeGS37jS3zrfEMxllWgkfncyeMXxevyjB4rA h0R4xq279dufpN/NuWFQYTiIobH15vWXoyjihTzZ2BAMV9KDqrzTtQE8l36ijqaR1sNJTUshf4xP QelIL9ky9TUPCSCRJ1/E0ktX05Af93OyO1tAOUMfAmuzMZOVWmXrAG73ZX+iWFnpurWws2EFzb/7 DGcJbsvjpOWqkpElOHzyha0U01zEznDWCRgiSg9EU0e7R+aAQiARl25HoinbY6wbaOSQ/NQ/4F8w 2zhSPrlgT4CnlFqiykfbt2TXwdCQJoxSgyxeMZsh6aA79GDeuiyG6FXX3je+ALe4fVrv9OCOI7lX vDgQ6cKlfpNlJF22GGzRnG8t0NRHHH1KLdCoTe5fFYRFJWmCLpk08indmDfsJvVjWkdaifg2a17D D/YE+ku/Wn/XzLRANdd8rwSkCOq4rgicibqYFJgu5irtE0K4yvVIpqWyyICERkMsro/8pI4NPVSG dkfiqiSFbc/F0c95RP6gEe3NHpV3Z1KemLkudcvV3DNKArFNjQvlh+UlqtQNM8OPveg751OZ3X6U DMg5yryTgkPVn0o4JlYAvKh2qJhpReo93xVykNjtZLB7px3VNvk3QYCR/EbZbI0rx44SALGhk0Y3 40/O0NfmyDy1WLnpVoSZO/0KX9LnlonRoBkwdZ0s0lgfQotAzzK1WllPOnMgHQ2VQ6WKQDXH5Gsn F8K8OlAV6V4Ji4Gcyz1wViB/gAZYRE3wm9sk1poOKwie/Xia0h1XDzyuifx+mJxo8uNUEXMi5WsW dkQi40QPit+yq6BLt8rCIWcDfWF4MHeuo73DMUM6gcgLSw38kpHBUwTAoI+CqVeXUUrOjrRxU4Av Brr+LfAxvPuH51xskWNLVHYQ9DDt/rGHJuJsiuWEDLMuO88sd3CfSxc2nlCUdtTiGtw+a0ClYqiO 0fpvNJSzdS7poMPWzw8SsDksmbkD6PmtRyRg8ZE3JiT4OdzUc2C/12rq+CCYrocMDUP8TrBCLopy t34l9gezxGpEwSLs7eMDQTZUAOEwuatCG7FGADx7lAhHd8XT+9Fcp5EVG4TWjzicGcDEmHI53nRI av59s3rinG16OCfiL5aue3qWPIgju0FvTVLDJB+HcmK9Lbg1eeEChOwx8U5l9uLfKD44zRc8Yh8b Txvd+J8gpvx3Y67c+SIjWbpU6SP47C9ic7pldJvtZnaCgX+vXn5STBut5OZzG+FIw4+AKm/E5yqb KqLDMciTALWB6y4205zX2nj/hi1EAdk4rJYfWpoGjl7CYojxXm1HIPi4Ywy1KESiyCQygg1rVtLS O3SUf8e8FnkyKMIu37p2O3Y8r/U5MRHrr5tt2zDvE9K6fMMViXt7UmidgnFLBf1gbjWrpkt24HOl nvrm1s5BomXL5t5+PHfFIQjZeS5NQNdgbAOeHfHlo/VHqMEuwasQqXsOkQgIcpWibs2w4u05Cyh6 619hS9pZj/lhteuQ2UgIrrTf/z8Yv9rQD7lo057D7+WD6g3TIJ/CWPCC48Bx+co+FugJ0iPiN9FA KWFfpL3v6rxs706AFg6u0f3hDF/4JCmmUBoWNjhQD3Eba7BFAvrRwe8tjy9Dmisniq8itd/nU/xY 5vpZUdSazNce82qn4CfrWNZeHSPJhVkY4ewXGMTxFQIbHBrDz5kWoIiycGfq2sM9GBKUCrbdy6tL X8As1rCVB4FidfY3TWbwbIkxb8KWfGJ2QNWr5SygIjGz0FUSU4cGkVprtjP4kTLQDJSBGot+ntJ3 rIvq5tLyzI8ZLmdXU8HSmROa6pa2MZO5j/DTH8CP35SBh4BVx7j7nVti6Uynmg51BP0HMBKyE9aD JtOtxtqxBHUlIUdk4c8trZy1MmRo1Qir4OMUPjVpICHOjd61MpP5QmoQvK17LXVdCpnpdbngQRgU aXhxuUmjO+XIz+TnG/yF6Ea0cFPAthUxulq+nz4hxj9DVdkZx/RjVWMrHAK7imyqZRRaMnU+aVVv xF460C6RV7PIliwA+tVANzDMBy8EPWeo1igQG4QFxsgu8WQr0SEKcbXhztqHV+m6rUPYd60ihzbx Ou9dTwDn8sbjq6JKUImD+QngPvJ1SX2WC6RcaP36QLsmFSH/3WUrBDfqqhXFCxiKFc1n9QFkaF22 jbsnGEc29oIQt8hi4PyGUmhTutF/zEpsZr8s20mOE53tGPits/dCyLg/TuWu7DSypd9tqn71T85M FIFlIP0NuGLGsKclJui6QkVGdG/qT63+vX3HDOcuQ4fMXp+/wNl7t/6Y0Bd4Ap3QNYrqi43D66ev c/uBOianZKA9ePYI1U7S7+kubJaaI+/qFl3ErbTpgzkaeZg+NEp6ADqfdKXNpg/kCFu1VhRsJGyy i3v15v5YzW9RNcxB4vEmypUyJG8KfaXB8wH3cIqImQIEIn45gqlKKTCj8Do2fR9kE3nt7RbNuow/ e1lwdHAXEF0QZIoKNII/5809muwRw4CZe5ELMf7S8+xS7kn9Gbdkn7SW/UqoCLaAg4RjoNKcW7/W wTBfN3rqD64UUn14nook8tvL48bW3tMzodIbWk51umN6k0s3mo5uhl8af9uhnMTiaW9ApsKW6LRd Cv7LtnDRwBTh8p7WuFQUqcBViMzMB33vsANQ0W2ptzlKKiwXH7AZL0ycFzJOsGMp8pF5ZGQCW/zX XvKuf1s+fFA1knEjEBUpp5Ly2wJHCH85i05vsYvYDHhgv1/JtUQsPEJlteDXn0/3tVW9Du9xulJ4 wev0QeZqvsmSNVDEUsk3hfQrmDxtsVtQLN8WqUX7o1Hrwka6KTQaBeBhKjEK5KNNQ5qurvd8CKkp Olu31cfrtZWuGC71tx7dNDXuY7mumhTqNZMDP79zomfzVo7x4lhmqYIcqaijFMYYQkAH8NyuPzAV mwuHbn4/E2EnmBq+HFdAOGR+wKDx+/OWcICIOAkpnCMLVw3IJhwh07WW5WKNmJzxDSW0CeV8a+AU XRN2pCHOrJluSPfewBtCiPupXnEPzxOsTi7QRjKm829mSZskxJH67wnB7ukccYdqFAQ5M298lgt+ b3mgOcbiSckadBtMzE/0kzh8rAUk8tfWW35XI0DBWjCK1iSFKqvhx9zQSNE5pK66bM2wBinqb7SE 5jP6GrgBVMJfYeOT3xFgfG8dcMyYWhHj22iZsEHdXnMZzNqrW34ZXCxcKeWjLF8z/OpoK4OvPTgX FrpKikbijiKmc8rDYGB4HjIUsNWRcNbbrZS2yq/w+72IRcaIFmYGE+Y3/LlSJXBe81RfTIO57JZJ ayiEqHPSjR0iZ94hdHvX4tizWrQJGBFDzd8GA5YM9e4Yqrr3uUwQ3TRxlGnC5P8zT5Lxhvlyw/do rjUjkSTF56eMp3k5W/Aag/iNLJzkmsmPQFnS4gxMwqDWaNYgbTs904jSH5bgMhMtJrC2me35NmPn myZ3niKCS1tHNRBuxrqXmEQFAL9h4/okULsbc+DSXp6YXO/41zuCU6cCLLdoUZgRD/rRveXFcnH1 xVi+XW55Q+BNTvuNN+nYFSDYymzrZ5Q7x4wNKNC3robkINina6KM7bGbSsvHfrIjvU1MhGgiuc0M Ei9WBojqhrOCxMa3B7WVN729G7ZwloQ2MQIRzvvB627x4l22Li24YsXGeleapXR9FKX0m5mGwGst oMX4J80FDViK4NI6c857/FhvQWg3DBTYTKvEhK+SaTEkUyElWtIkDqhiK/j/15r0nl+RgSU504RT EddcrFh0JpmbpPRa5QJj9t6GRra+O4GPOg97DxhmEZOdN4zgl5TVyKWVkvSoHxJw7FkkqGWaoAlL O8SJU2nKYIBaiZYsdq2usyMLGroNSOmNfbC3jIiqNhEgIRKDJKB/ATJKQc/7hLrY/zTY5XW4hCqr tT7lU93RNWXvz/x6Qw9vZEDfX1ukxfK8yBXmynppjIn2gFgK5iWe7nVgXXp0gCXa6WzRnjEkdQDK Tw+efIMpiduYu+955Pma0zCYgP9TmKWed+uF+ba3ps+KdJM8wVButR0IScm6hVTF3Okmdh1aN2P4 5KDB9a90PeHajyAmNCRJg0aK6eQlOkD/pVAOHx3acm8jmAfcK2TdYK/9pxRsuo60AYDjqZ5j5Dwh QtDm6CsSzwinmOAYhwX1mfNIGMhdTOP6cT6EWktMZrI5XRmd8j0oNvtbjRxbBrytV8GphwwZ2kzz mVyNBGJ17pqwcRxzk791NPO3qKPhmsDtBzrkFU1+RNKsinKB5XunlND7TyGT1eI4EFyPhL3HhPYo mmsuGPCyLTqMpvLNrMHRdW8YfhZyhG8SWqaCAdy4TC1EQZHGh+PMjJFuuxiWQzNT2J5O7KjqYW4V fVeNYVFxwCkAMjpL1IizweQsRUiep3hnJAz2+IwvLr6nR6jYkjQ9v6Xe3gmFrYlYTqjKg0qfp1Ce /BMew2l2V7BrgZfEczFfK9qgPELtWLuIv1+Vg5y1DkVlPZvARrt9XBjhf3VctafxQlwVzR+z1Shy mOusPaGWVR/OUoMqOdBRNRR62thSLz8l0RDocPDBJLhEN2P/wjyhRSXKoFy/asOFYuzG68PzzWdZ hN+Eq5jvJc9o3mgGc4UOhccjeZhtpJkcKdpJskJ4n+sIEZ6jIdM3hmGAPYBIKJ40aToN2/NmR82R Da//snX7yb+cJmeU87obne1JULWb9dFny50MVeze8T3cvtkaCRJpuh9on8fuIRbd03lDg/6aQacb lur8BT1Gh6rOOakMWM7EvYybmAadarXKy8SRZqm/uHsFwQ9j7ZytH7GW1vN7KR54jBYMeSJomzuT tWHEeM9KvqhYbWrD3AIsi78pnkTu66lFhl8H5Wl62XXl6TG1glhfyZL7E6iugUopCgt0aO7hnhwn ECcuPtlGkmxmq+smCLF999vAOQMFyVe6S53sinhJW4nLx/mgTKO5lpqtvAWEecIH4I1GmqHhnvXJ BenuiVpCmdC1SgbnyRyFFIEiQD2EtWKV7UNgDf/+Ih3DziwPTnYL/jP2ool0erw+pRl0BupsJxv6 k9acEQCSFTYOIry8fjibPeQ+WjM0RqyDYi2HIXhTEIxID2r/n0cFU3pkxzbtq8BWlXnv0ShYS4G9 Xr0ns74wp9hxVnHeZRAdIW6oiVAA3THptEMFPQtdCo70osy+KCEE6+UCG+O1oQXk2G0g7KEv4GgK IfjaOs5BFW+l737MCx89ZCcLsQLDJN3gQCx4jwaBgZXWCxzBjYJBw4YHcm9+RUnzEil2yFXjDGs8 pUw4N+cyO7UxSNonhG2PeEnVujpKqV7ocNq++igu8i/Jllh7MdiEtytf7B82+YTDMlz7bItEU/AD CsSEy5vcUNDWIpXeW58jzU+8kvh8CIJBB5VakmlBhuwgIaNwhg7C4qHMQusfs3IgLMM2YAGUNykd wFU/FpmBuBn4oXd8eXE4/e1hakdNu8GkKuPcVHB2p7wdOBXqeCd419HPmr+dIFQy4KuSu1Y1Spsz lfDJan2fvu60B+MXe8Nb9sd95JYdvc0uXnLQQDLeaWu61qSKlbck4B+qKlGNLcbkk3/dS5FmGCNP ab55dYFIyNWH9I6usj+CaW60TJWtQw4vogA9dPeDtT6n5Wg8WFMDYasALOJdtSr6MekxakzIO2Hm viEmBv+WyHULjWnGRWfSZDNfmU4XV/ghI8Bg5XcsOEEgOgOC+ihBfKD3ebNFGP9ieYfmLXeIJ5Sl MWfDybLerOILiIDbkRRvslXQ5mtVQSp3yiyI9cw6pAPjTIC9hbuwsTKsdin9h68hQ0KpXNlDMY+/ Gqxj3o36TAld0wayfoIk8PgCryVgYA241Czu8K7cS2cZ2LUzJFTglQUGCvFYl7WePnA3AP2UK4oN yAD7fvfZAeyGNpZ7aKxyC2IzHJ1VRBj91n9PyV8NZ+Oeb3NjVnnBbK1VnFHPgN6yYzZMtmXuz5Vy 7S9/eY09letxBNuWNY8dsF3d97Rffb3PvjjXUDNnXeo2l0sZv7FayRLhC524gXr8CAYeuS4YjWtJ DG406zwhfAR9iSj3DPJEsfAvL6nAi8v9F+LC3FKEXgx6pvCIZwjRicVFt6fP+BBHTwS6ngqppEho Rz2/9dweSmc9FUOr6yB0ZDMMCh0u1daA6EN5nq5i22bJ/YblWs1ieXlZL/qDFq9IxETKPf19KEcg JL7Tb5I68PDJKOeHvgzImXE3213IsJH/MFSA2/Ojs+W+LUOdlEY9XQhNScPAV8iHStY6HEN1LH1j FIcUzCAFCxYrx1sjluR1R/JBDiHjZOzFb5Cu6v28CWnaxM5IiuZ746+fVAB4V/vqF/he2oC9eCAi pGpVLyhr33Uc5MUe3qu+4N2x2KZjgC7B24NEvmwDgRow6HB44E8Su6VyqvGbkhZ20QlkRz2rp1YL KDe08mDDEPRWL2wfV9DMRTMKpHIEPca/EGE4PbVdmBfpVXEEwHv3vA6agoLdKwnGiO2z6Wk8CZjZ cFU9lRzoMmwpXcdtuMyirNiCbS3B817LLKfh2E6L8hd76AFZAW0VEyYV62wqdwoP4/5+fIRrUWqI 6EXvK4MQzZxiijwIbolAl1CC+GMQStC4NMzuv6vQl55VnxxbLPV/Ya91VXZhuXI8gMfeVqt4g+xO dOHty4EmL368T/ijm2lHVN1ZAii6QyFLvC7PSVcG67t+k1k1RN1IEnJRn1ws/P2WAqcaPp/Pemns iuso0JIjstXTFVwGHmga8GlSEthz2F79cRdy63D5nAiBg9M+tPHrzoO1Dz27C9Y1TSImx7zeVwFP geUMp3OPuhWzwSHdk5IUklGNHwwmntslHAwe11X38nsdgl5N00x1zwRn52oEqbhABBMbZDr+VvMl nN9+u/WdGlJ0rWb3xK328NuoJC2AJab1sjFB3mhAI60m55hyVJhgxMq9H+N5c7tkWD3noFOWhlcW Gw/4fz8GMChD62crmK6dVRMShMNW0QUDTDuQr0cNSxjpmT63b/OaipmYvjImlIXLXPsPPJp0FAPO o9OaehnwHhPsNzBBQbQRK9MpsTVohQtP/pgaphs/hXY9vqZeFpFF3FzGrilnbsn1+s/6zuFaHb8C qQYWoFGdO6/Jf/cLBRk1W1x/yBDoTRxG5fWpd+kly0NB0za2dPdVxVCJmntmZNgcJBU1Zynvehzf T3P7E1cm86FZFSD0GKT2c9K3uVpYxFG6sT3ilE4wYZtkBOCq8weFmxWiozZSXlnsg4D2rCa8f38W /oh72mUqRJNW+41JYXGIhOX/TcwTTnF/N9DKej0rbg8sAh/qFLz2ObbkiWzLf0vkdXhVF47D1m48 t3sel80Ux01blavuQfE1BHefW2hXhgaU0wxzcvmKgu8cUarbTJfHcIjUmLkOeDE4DsYrB6i9j9lu VzfbdjRM9xnmfDHnxvf6j4vLumF22i4z6uBP/KRLOCsiUDNhXt1WFW2v1568ZtQXUWNosPK3LLWY lPN2JvRA9qWHEsQmZR+cNWmL57vUu6IbcFaaI8k1+683BiXUlTH0YoIGvzKPhhI/eae7LfkL+LN3 Insvzj3SQ6TnC4Si/RACCoLpxmHyFndoVW4CyGxATNgD1Wf3iA/t4lDccJn9ZVe4jwTEWOnkcytx CaoGDsdkW1+0siKUmSLqGxMpo1K8Sk1IC49OcHWnh8R/mHCD20X7VzfW6gOyyv4GWbvTlH2rQFIS Iomlqw+CNjO+EFdokhbLMkMi2zIadvy3zRslE3ExUiLwbhzLPFc+MA6gBIp+CUeG5zOvzxDaZSCN 4C2AUW6OqHD08ODkvEF6C/YdP245RAW8XH1A1AsK/emOjBG1me6e/rmuNfOpG3c4w/hQ72T7sxo3 n3duMc5CF4TCLs2Be0nrQccxfr5RlhgrGAvCybvHRkzuER5+hZRBTjZBQyx/qH2Dig/GByk0/3SW ux1eXDPU+RbahwSWhWdeifVnwpiCSC1JIQZoH9qd3lHeugb4Fyxy1wzYIjE9Nh1gl1gha/d0xw/h n23goeEGo0YRc2XHhliW8QMGphix5RjAl/zR3zF4Bh/Y4v+1yiiSu6yPTuJs2TYHNnePDV2bUQXa KU5HZZ+f6i/nk0Ykj4Qi3YRarmOqgJAJgD2y9ODNZhDmNIMphGZwmxQMwwYVvfisfTbB16uP1+0m rb5ejEXkC7EdCNi+btNH74M6EUEd9QlRpoRoOzd2G1JSPxpBHUr9BJ7ENzY7yYUuEM9JT41C6opu dDszsePl/vyvW2p1dgotjt324mG0czZl3rA8gz/pHSkzfqGYQM6CPvC3ce3Ogf2P7dorAb0M4DJs jTOgRIckWQh0pY5hGF2mQDm6xnbGCC2ku1sn+iT4FVwtNMx66SJDv+bUrWdCrYsei/HMIcdstIXS gTOsRDFMe8DjzQMfXjLZVH3o5GtFBvxc/MeWjvrsscLwXMSO7Fvor4MMHU69ta9LStOE6B+o2EGT Ss19yhuEainXet1H3zbWNJzbihENjb+4mxhaCVPQcSXmLo1CZwD0c2Eb9bjoJWF57/CcAl4gwj+C 4YwEyghj/iR5lEp4yaprBKOsEJG/mZO5JWzqMMWTva+mVMxK7IFUZm1/fXCg00aprqmkBdstxgW7 hHSQS0wZGPFjqGuoddOms6s2MSLbi6cK5NOsUETSnJVHVZfVOwtKDpUsAR+I4cWtg+dQB+sPqCxv fetJ4CneYlt9d7TkNp4vnlAxVKle3LYj1P/rcgODxzPGGpw8ybd/k3p9//HWG4m5Tfj/8dD8GEey xYacCfpwrdPsU3T6RAsXi2XMtGQH/44QavCwSTuvAWnhjAnC/j5eRpG8C5Z3/FB/Fdwj5Tdflo/h sI7nWG94jVK/jl+BPErDi4W/JOfuAYU1ZSoRcwLLZ3SfJbjgXZZBayumizBE+dM40cJfWq4rVUFX n3Gi99LUigkHKQH899ctPcvYJf6jb9yDJ7jxXMBeJIW8csH1PAdCfJuHYuDKQskffiz7UhNJ+pJx TSKp+jzQTU8aHWVh1fxxaiMalCUoj48sieK4kqOtZeB6D4Cqb5ydztfL9+H2XmdxgOWm/KdGiDf1 2rY96RZTPjfF2bOiizoQ3W/A0ddp34+fUWhHGOI+JUkndqcmUBP8Vtn9DScNN1+bD6wUvrQ24Kxd Gggsk56oBRtagDHYdDQm9d5wPQHiAW6FN7hZS23jbTyu4rSzxK+DlwA92KZymMOiNeGnoE42Bqxi xT39dw6WcvSXIMQdOCc2bANW1eaFuObm4Rx5sUQsRvxQHQhkl2w5mWzQU/RxY+0CYFp6W6QY37+3 gOFXvZkSungdIHZ57R/6SDycjxsAFSF6AutxDX+cfqTz1JULQyfe8iqECEd8QfUU1S+Ofs3TXyRJ Yb8I+Um2puml+ZHdbuWEQ4CXfVKhyWgihaNIVYlMw1AqxdlN9EEPluEsBzKx8AdM+/Wox1hAB1T0 zUPO8FyNTi+KwSWvpLC3PyYQRjQbbwkigImVb4owkucSHRtY9doDJYsyDpOX5HSI4A/87NuUprPG sCcj3qoHj3L0NV8O/lotpbdtEAgOx/7M0jUwBhnCG2WgL2B9lCndKmC5/v6rkIFJ/Vv7o1pxuu8W GVym228alJCJ6edhd+c+QMIDPmKODm9id3YBi2NC+2bsbWA+yideuav8g4IDr3L+ydZnlI90QL1v Z+gdZFGde4GAu9iYxztNhblIhoFUj1N933oFeBMCjpw6b2wghWrj/nBlgknHdNXVj/bDyABlc7EM LEa51PLeg4D6qpHgPwfx1rgmMkblno+HyTFTCPxaWPjFlZsp1vCqnlKxFTbGc5Xs8h4P9lv7Xr9f FSIltl9RCScH8yL0oQ0fIRUig8E3SHTyi+XWX1X/OL9LdRS/gMZcE3fjpNvL314fFnvJqnnsRy0w yq5iSCc07L/mMy1o/lLOYoUF/c9vWtgVtJ9EbAOZuUnOQPmOPKHmQPQluySz67SCxozA6k99zFPw prSSPua+yvmhp4yh/ga8Ttg/5MWNq2LHQvvfohuhp73+ifxIXCOMt6wiYsZnb0PXgaMykltpB8r7 RUSOl0ad7V5tqheq1UQ6R+LBg1WBSJVZUczx0zqcEDPA5WzS1+5vTip5Z749dkUv29CLw4gCVXl1 gkn78ZqhaPl4ZVnPsNeonYL84jRrTI3ts8jbXckse8VoF0Df0lQS761E9SXj4lOJAGqX9hxHneeV IEiV1t6t7Tk7bxFqBDfyK+6+HDnS03rexh3sjjxLGClHUiVLYGkVFKVR74Vdbk9s7TBSbYkdeHA1 AxJKh7NUvls6KQT5vWgGkC8ln/BWeLiAFXmkinaAMC4Nvm4GGBhaFulnTvQA0ZbbzoYJeERrWvbI l6PLshbWlv+MhthxJUkiSRxa/fBTAzVSM89ypMvW2vsj08+ygqNhKzfFOcJTzB58pgB0y76Vtxtb twGrjFRjkBAnPEWJrZfD9s7NsZpi1iG09PZqg32WrOXnrhDYRzCyZ1iBsN5xy+zPBpkdnvvswx5s 7iG9lQ08tE8YTeqwg1QLn3VT5xDTmZJju9ch+/2WdcqDyrT5rjGD+5Ntz+ir3CX1QjyE6apjD9Ks z3+21+jH/FltA9rMIMuJbxMack/FTcLSy9DP78u4xiGOdCb9UYEgcVmrzODyG1Vj2l7L7SAIZHrZ WndJLojI6lpaC5pfxZQQXoWPrX4t8qdxgl8kVIPPHW6tCLagV7QYmkYRl07QPj6haMtfr2Ua5hUe GfDfc6gYUDVaCDsbQH/uNMdcU4N3JCnShjqhiKcxtXvNVPszhQ8XHnzzTfZ+eI5WolZk9WW5J6jd PltJZaoC8Dehzy/gWPGlvKvXefimSsddS++5jt8hjLBRGCeMwiyGk6WwpvjGIlo1/r37lVXg1mFh Wh0vld+FyvTJpR0ET/dzoDu6MEjLJNdy0gwb1yztBDA8AEKOEknTYINQpDXENK9Odjjya1SdztoZ HZvezdIIbCFO9lVIg4Km928PjeolVJLBhKcrcURnkVTW9nTaXR8+Whqme3ebIbdojhjlnVtMFuum /vwa0PSItVojBNrHA/sgc0ts76ptNXdy4L3qHuZPJJD2xC/+f6BucJ0lwSuK3DfL+S0znqquFeR7 hRaEHxkSruR2HxpwOh+JgIIQZGFDVb7DcBNtcY9vqA461TcDjp5ZuJVVDTfPWzBiA99b8cMV68r1 rO40fotDOuc9LLtust/ccM2LCnGy9LzgvImYadnxwsULFzM4aWQCvCEgtxnSGmbf14BBZtn+Yjm5 ebKBJz3Pw9/dWoS7IUZhvSmMwE0x0+x/DFy6p+zMh/cbe8boXZs3yKWU/zFQbfjqOYAzfmEmQhCa hXRiHjboxWPE/hm8sBC2TzE30m3xxafvh2dh9Yr23LcbC557qf8AbAxpAe6XmKSrswC0CwjH5Db1 Cf0kTfl79BGEzS90jhlL6s+Xb6XpXy5QpVR8besDMT/hIu9Wy3VknV9Nm7loz3bVSfalK6hsxjkM b/r5p9RrcDRXlFhUd/52evgevddLD++kQoTGKN2oi6KBNf3KYNjiwbFbNOgLGBi6Vyh36YhMTJvJ nqxR2z1Rs4hB7dx9uHvQRyr8O2Txd4HrRYT2sxVMEbegcq4Ya/9ZPbu4W0ftxgdvFx3h7fgGGDCO //RJNsdOffT833bGU58zBODUxobCXZLfENFmQ0YBMSYEly9sIw1kr6jcSn2JJMN3FfEHMBAvl50q M5pjuNT9Qy76nWnUHZDAwhfKgU/5lZ6fj1FstgBLWcuicyEfpHRAtOZnAPQWppvYmJUyoIWpWn6m FnIUtqCj72qV9IamkuGXP8zRGzfgw5I3pfu/VHtDClk0rYzs0Aw1EyDejietibIJVx/KWMy21bmD 9Eph1Jg+LmwBkAtyO6HjpsjCtzFZCx1lnS/zQHTaxJnm5ULP08Z4+v3oefCQnMAFBydpRHCaxT2X /QDn3RAnjp3ILlWqJ4LUhkisjFwl9HbZmmChnqbJSwha+HBlapU1p3PMGWHyTaAJ3gW5TzarmGDJ 0D/4swMKD/ZnAGEPoQYraxG2sfVAw25bBUeYiML+hWyVomw2Z8E+ukQMJF/zJqe8aFWgeGK82T4t Ci9XUj7fCXVcYJbMyJ/knNXFm45W8hGAv8rQhqHk46Y9NKmbTvKV71XXAzOJ+bb2sWOLT66UbFIc Prwu5elTbljLIMbDPNk8NN4YjnkoRQvnxlGER5uKa0ogito5hYA71mktvHvS2zFroBIxT6gHk3Cl 0rZv4jV/ckrG5JTNu3INiu+OBJMO+vIzoTJ9oI9GdDXJDBmntGitLm/w4UOqVBMd47Prc2Bt18Qe OjohRDDJtXZnf/6EmDJqI30sMJpQLHBnDso0jYlaw/D1CLBt5cLZlfwIwf9KARt9K6h+bUXeMp5X zJwE3Y77jVIUY/pi993uVLogTABY8ATv3HuxcGecfCeDH5qs8KcYhEyE31KS7IB3gdP2QYvda3mH +IM5tl+wNOQw11KrvRtMbqIUnJJAZcK3uK7USIUbmiTK16mOr8OM3PfJHwfMrf0+kr6WkkMj44f/ TRaTF3/Wqxe7jw0pcv9V85sCOeivYtrAMfqknPSNrntQ8iZ8NAtZNJnxlsCz3hsKdFXUpCExoqRh FuhFv5uyPIN7ZhBXLP1YDu4ibsdFd3BatVBle0vR9xGRZ2Y3aCAKIMFTGR8skvcvQyH09hWDYHQJ 3qX5kC+7qB7bnTlJU2GPb4K2R98PWWjkaGFYgm+hgnRbU7GFfH12P+JjQk7+QNO2HNSz7aXAc76f MvmH486nlKm0OcLWpVXIWQBZeaVtHctVBJ6V8xQ2KqmWMqBoxIWI6xq7ASfdkndLtW5JeQZuA1xV LYU44FNvel9IRI6aVWlEVzXUobZMo2HRa0g6RvfKsHCJuCZYSZ4JXtg6vqBbQGDzAy9KENK+TW+I yC76CruWFQISd957QVJbziDOhLXdqmeQCq7CVZXvzokbhC7rauvaSDc4GzWYL6EHEEV4DgaZo3Wj lO+mYiPfGYcNKmZGwz283cvkysnkSZQzpvxTClCIoupCragPEG1BRAIA7y8rGmL/VmLfPbQ8eFCq 4K+Ke7cfOeM0ljl3jvMtDWIJ+uvqPGm5QEzNAlTk6Gkvjd1Wid8UFEZ7ZnSIUg8fbdwBkSLGt0gA mZi2wZ9ZVghX6DMRZvmJ3vNgrnEymrEu4jDrVjNgGUORrAP69bD5CuxEJzAsp0zV/eamXOb62iCN vUTJzqtXySLGNHSyiykmDy5+65eVgxBRCimObCgl4QmhhG3ZwcCLZ9bVUCndmq907H+CjjCXgAjO 3xjAda0rFF3xzQ9ooHtCbStG4rn9GIe1hYCRGcqZyk3GziEINcrN8dfcBV6e9wd+pDoKalDlyUXw X/3/aQ5BvvvfrmUS75iwef8tsRM1zYT57f/Lc8la9YALlAjNj92owDkYwHUrXTiLz5HKcjBHFGo2 lUZFlSix47NrHJoIXu6Sp62PeLQ7Lu8rzM2qpSIERc+J9Rga2tW0XkgNgeqaTRGII6eLFCsbhOHk V7OVHbtCsHwXDxvVyGZFXjO0sP/EeQrTw0xGIiHMkwoEmlR/+STZYUfhUhgeH8jG0wOMZuk4LHx4 HHPa++i00zeVIryuGN7zqt3RG8htcEUpkkR6QnpjQKNPL0q5xFzpSV+d6+VxenNQ1FwQKaWUMNHa aeMIC9+QLQCTGk92Q1HnqSC7w3ZQhxBqWNkZedRmMVZwoLgaZ+T4t/iRvU6//7MoU27BYYZPrI1l IFIHfaiBF/9WRv9zo6tWTyGITQS/ARDAUKxvGqcV9cPnIlMZSvvkOhvFEwOs9lvBUFlyU+6H5HGv yQpJ/z+GbTgBjI+aWTzI4/r609sNvY5f18Tq65GoPB71j3mwYnlj44bWfhcGp8oK1kpGRxfK50Ur q+o9SVzJ2n7pD0icBkEmCkF7rtwKRZrRd5uwdnoGDhzgiVkySDfFUPs24DxCroWxM5DdbljFuVVS PgYmMUs2N7EF2+XypLmBUTAyh9q9MK8CQW+kZ3NCmI7Opzxev1aKBogXw/qLqsea3SVsUssjGE/c SPxHZWdXqbLojoHEzrjZZpKtjdFKzOjN6Gr3hagzI2P2P5i7nYtZ9lwIeM/4n/LAPj2icPLhr2Jj zYZ1+s5JfQKn5Arl6XeLfJQOvtVSxepGLataDAeUChdJJfaPhevldA6GDF//cxWxRuyBzTlyFp+v RLHzo5Mn5crGffcrcGrqvjnYNVwwg3F0ksAVfjY4LNPkJvu3kTst8N0mNVYkLJMw7rcT8zOGilst YgLG5yIwphQsNXOqtiYEY+kRRnZu60iLNCH9V4vX8b08bj3NaFc33/es6ryrhSz1c7OAW0FuxDlk vI8Kjnsn7FZ7FENexOCyvreQEyrbKDIWLEgi87R/Fbu1UDc5EQ2opwhMOuozWCOeoG0m5cWbE+Dd mWwH+lH78wVJLR0DftP5uSNvlJ8XGhz6alDPvFmLB+D2f6+qGEjVgCRHL4oCSWitVxZRFxEWGg1f P0T2uS6tpHEukeefpwehIm4cCnslBHpfFeEvhhgkH+FQqose1+MYKrVM4l5tlyKebj+uc6REIkTf Zn84JUbT1EMu8QhYNOxTYERw6gig2caX7zB4IUj4ndZxt76QJAnwy6m1cngaB0MrvGIpDY7fgi9f jFqtb6BMwtOCbFujCW7sbpvkRQE9uoWq/8wp1jsVqABSoT+RNZD8BpysxN5zrY084VLKgfIU3a+C aPJdGlCmj/hhTG45VboOENWpe0OXvnTM+lxTmk+XeKloS/PtU8uRP4pn9IlqXXZweSGmBcdB2VTQ bt7A0va07FM7WxQSnY72zt/uExryjPd4BB+TXOpIpvx6b+UPS17EgUzjvpMPcxgYqySX1mPZ2dan GIHbSsVO3TFHk694uxID7LSUyDx1xOAEjqYo075ajyhB0F6MQY+lIJf9jAQScuJEfIco1lEMT3oX PNv/t1FDC4zjEgzcJjhYqLGs1WyxZPbbVAZOMSAp2DwurbLl5AHCmiLLtLq7vEYZqr08+083/i2x aBKHscWuB7RvhTSk7030XwZ+JvDGPFFgcYVeb4svhsJzJT6Wa1sCIlejLElGfsUiJi4yAWPuo38R yaLU4QsyL+yZyfBy5/Zq+G9Q3AV9Euow1hQlGmukSeA5eLkCIE2OGVxJrl2SKFN8xUmdsFbabnkr QRhXhnQpAsAe05wcCcIXe0cg48QW//HvFgs6o584DkW8V02CqEhEgYnXwLH9jUqITCvZofbMFwOQ JiCftia7Qw3cCt7PuKEjsV3WL0VK8JN7rc8b9Nc2OOKinxFQdHr+W1cdZHjMmK3/1Ab3LTFNEHRm iq5GeVrmZCppDk3abKReMlOte63yxGF793OWJe1kEirkubPOzya7JPRCNs1L8c5iZDrqIIjqd5cA cGwnWqbpUJ0qP500KJ48TFfAGJ4LyID0CC8W/SqcB7JHVyBqEBiqdahfgoeWHetzB+plNxQrfIlV 7//Jc12tPQOeaOGzXZgdG+YlxRsWA4/4mBJBTjttSNhoPkBo46druptEdTR/iyIUyVJZC4GaA6Uz /dWt8zkTIHU3agxdtYfZBl27uyFeH6e/fp8bO2M6AUUjB/EJfvlGycktxhqAQ1loWPfVSMjDbl7P pcRA9d5qB7K8jRxZrUqnvPWHoMHDKe2AMbAR9wy4zqwB+ojlJVtIeZeAWfmwweSLl/6A3eA/RX2i zyGMvhYflUJuU/DlM36GIwZ+Vo60KJPsdciD/8dDpHAnlabL34RWJt2xE78rvRSYjR8Tnx4SkEE3 KDrqj+m0DcGT245+LrTSWyY+2Ci/kRNQ4TptOo2h0Lnn7W/DBA1JcJtMDm0VbiDmrGTru0G3fFPL MEnkhr/LvXXt4E48iDMz4A4bMLmzpSf3hegffS2q0qMkt8lzASRvh9l1eLHZHBMl6qyut4eAkHKv KkNuBSw9IsLPx9wk7y07QkJq8MuW7E8Io6Ltw3zPL8BWTPLoPPC4uY2JHb5CJ6o/OC2KR7FquHVS jqxysJvFN2J2y7Wvb/U79vmd160NXSRPGvRZy2A3kRBwqrVHypDj7zMX/ffCv0FVJRy74NrBid6O An0HwyPSaAKE0Z0+v2LQ3Ad3TLZYHuoVIhx4qZZFv+b4VUaaNHJ3EYtLoUzpBYdkqc5WoMxi7uzo kmkz3+zYph5b5ebWieUWOfSAncKtKxUhZQcktoC78VyYIBTvOd7rp/wXaIOTjF+cXew0vQh9X+Mm ujF7BJnw0uuTnPf1x1hvm6fBPdaEKQ7/kZr227Xju+/mMOjr2dygmZvJ1nBTES+lNWfIZ2Z8Ja6A 3TSnzLk7pudj0oGs8/c80aNVyIFu0ZjBSupYrN3SMVPJ13AcOfFwaywpmDdYrysmYPjox5cHdntu ElUlgIPexroeG9SrZfCVa/BglyM0JarUGsLpKdxLrcjvWX97XsOAVJ62D2a8rBn4WG3+tNtF8tSL TXvjGDCPGHdwepS6eikOfMMW9g/za1lcKFDhfayRironQ66VMv2bKIdm3ZFD7f2dJHHE9FjODHd/ 9fGD525UnJje13BDgTN8g5O71He9dAszWKR7JEYqoTxf3WoU+EMQHH+nxkdu2vp5KeXrETMetE+W yFjYBskHRB4b11djNnUmnZHYNnLE5JB+2m1E2FYpb/RXPKLF20XTkdfChVSIbIyT5XTLNg4ks3OR 2McUVmZVP03O6rTY+PgtdD2g7gl3gYgjDqMC8v/MI2KiuhQNHojD4J1jD8Z5Es0vOinDcFq4p34r lUyUW+xXLwqFk5jfbjUiEnCfmAJWvK8uTaXuJO1eYnhKATKfWSr4HG6m/d1tYhZlI78INcGiAu+t Q6aNIj3whynlCTJeqDhIoKMwTkktjAJRaMII1y9xJYdfEvhgcSPhg40ANcD/7NGAeJAjTZB4/ecE GZD+78EPg1w5NYzBLs+xKv9j7dxL5BzIa88UHnH7hPVgNBVAonY1aa8tvL8x9zrL+pey5BvXal+g BhufpdxHLw+wwP7o8xKexNh4mXq2DZv43C3uGrMGMOaQFr7leJXma0eDVVdPyFIp0nosa7ZxT5+d WoDZXA5bz6szeuNiu66QKtoDV21ulV1HucCnhOP9B8ubqt9n65J37EXFGCwnoAVuLkMTKvla1z+x zTDkrw6aSKXce7viiliTpaRfcCYN7am4/+IRPscw6moFut+xGBydpzV1N8XRjTiSBMmHFLuKBD7D Bn14kp47GH9YdbIHZsir5Jyjve79+Jn2xC1jYjOk/e45LqIYWF5UJbLByBJhp5W4b1pVtKmXH8tp gym9Kmi3RKKWCGFDDPMewuXLW0QS4KjDHHZyUMxgaTCVx8Og7++LJp/IOHhg91SLsdA6Fut2BNln k8DULdkPI5pajxxmSSnP7rQe0vh90xi7JMZ9GRkUtB88zZXl9J7JKST+e0kvEtoYeCIHk78Ncp7c L+MbTnF4C6V1lOKhWC01enBgxG94gdFv48ODqeSGju0P9z+Z+n5cVscKP66RuIr64OMGhntT84bq /r14I9Lj+1Hb2id9Ur7bUtdLAD4z0V1CjBy0l4bJvfMn1gN5YrFoOaPhSJ5XUPP3tCh7ZsTeFo4q +7iw1xAl1bB4TpUHumTG64IXnl77fPlJ1ykW6lY3y649dwd2U/94chARzJbgRxjGo6JcLQIT65ql FZWZVfSJVRQ7phMGuf+a4hwYoHVpi6xabREK6o/yPypaXZe/PMZTzXf0/3MxmgHQhDJrxVU7EXZg rNC09tZJVhkI+QrRwXHMN3iA97xfkKUYzXwE6sls+p9bE2MDcB3x4fTO7XgHYwd9TzFETYxWC5pd ChX6XhiDDxYTH7/tBsvUxbcztm+e0p3WlwGFHEQ9NzsLVMl/+jEyAR6hoZsgnvM5nZhBQXeMdmWU 8+DaLNXEIWDbw+Xi++jIyfcv8IvylxVerwBxvut4Zi2BGZMMQWQGrSeyX7jZZaBH4bJtM7+ECFAu iAdtRCuJ3rSxbM7U0j25rqDEl1mOHkaYzcFidc3u8kNBIBjBQ2m2B931FqT2QT9dRXvuO9sdpbRg zWko7GkwhPYyyQCclfU4CM20TGopD/vUtJopXb2+opWYqBMCqsvCK+bVOZhB4aH+xa/sIYRKwy1p d2SJy7IAQ6J4FXy/8EnDCHFjIDeu/FuzbxnORyJI5Zi7nl5339ewT4DHhgvTynQTsTFk99SLsGgM SGHEZ2RnOeNnqnDhHK00bsS6szE5Q+gEpJn75sE1xG5UgUZeA9a43LPJsby3d/urVVoq+rDeqw93 pAmoDzk7A1IO2odR0VqfWt4qopU47fcJrEQs31WfUGPi8JyMnVqn2JQbClzfOQWYVnPDmTi/b00+ ZIY2Nsl0FufcjMX87P29etESUWeK8sNGCPX+Dt7+Yr+toeTftbqK6AEQlpZzuvvhbrNBArpJ4IyK x0fjt4M+DxNwHSZh0L6M/YSYiT1eztw6IuDMIMhrInxcfbwJXSyVKN9S+DVlDtDkMsPIv3tASAQw xBtcNk6zqgdYNiv/ytgEUWu8ccFW3cdA2acDb0aCrWenngQJd+ygBcApeL4kAi0n+ZFN3E/PydmN tj473+GmQKHVdaPZKT4oOkMEw2Cfdi/lufkNiR1nt4PnkxozOdkeq6RI/T0gS3ImRn8zetWhdksP b9THId+b4RMZVUVEAWOcWGuTnnVgImrtYajkuN7J21wmH5YwPy2f1o+x2KQyhIawoZEWpoFCkcHx qyNQ6oS7iPFRpb0ezJO4eTZs3YdigiEPt3Q7ODEl6gzQTS4gPOuflY/hblSCq0OuKOK7yQPWUWw9 0PxqGhE64byhrBIvvGkcKly19P/wHTMKW1gYxGgYwRanxc8OsHVceCgjiQgajAHMstojn/6gfRvq REF0eMFY+c0mGaLtQ7qimfjL00y6yGTCOSuu1y3P1Fpql/bYlJlXULExV+OaUegJ1Q6DepSd1uYD W9zEgVt5ArAi5+g7UA5IRrmsABaJh7uVc25sZNIpLZavSrfucHjjY9L0s8n7KZiRTzDoLbzjdbOt hMAaDkGIQTA5NP9QawXkRYSBuDA0YVwjdrlYB//N0Oeh46FkURzjb5HrxRt3HYwWRHezhtY01zWB oMTLZdwFCt/yaIqZiN4zhAFODh+zg3cJ6HDuFXOU/bjEXOEfABwXirp06MwuOzH3zyzPjzGzfuLs oQU0KWa1de/WTNvCkFSsIBkAr7rx4foBYsmIIqw6WDDjRcsESecL8T2pASmeXmC2JUj49T4+Nq0K CgJV39jeld5/1ZAMymP7bk8GUxA3YjnR6RcUYdZjU8ixTqapzx4gOFRbN3UDBWxJU0a9KNo1/Mco y312EKJLOuBn4LJGPdyVPkDvefvtNUJ1Jimmx7bSpD15L9AHTsEjDuekM5UiztkBn3rPQm1Y6ycl i3KqszhwpqDIjlttiPAeyPerA9u3RHvSWcHB4QmzYlcT5JgGZKpgOBzc3D6lR+MlyJl0nNq3AyeK bRRA6SYgg9o08OcClwU8hHiuJFuV0d0ppsK0JEhr4FL3hjDM/TfNI5rSy06/dcQzRAF0cA2ioQ7X b6+rSNTKmHS7lG/FL5ovSxb0fB+ey2tPXJ+SqQD1sEgL7naTEE9eYIdMxMoCYNtfb0hlu13fzwA5 UhX7dy75HbXhop1Z7xlVdyVykvSvbnywYx24QJDkl69a1+FWpWKlZDq0srbu+gZ6yq7SkvaZ9AjV zQVVCMMJ44EndqdzY7PuvhuQhEdXFA9zG2OIs0OeNuDfrJRjLcE++DZF928Y4Ar9MsBBeDL0u8fQ 0ZE3FJYyuTZcTvQdLggLOfWFYE/7XABYJNRCaVm+V//YdNaw+CirgQWmS4ra9tmou2VuEUCPx0Ew SDN9Boo6usUXwbkchg+acE1Sej7gr8rWxju6r+YNTG8jzDs5kuQ2deRRP4lFmoZpFZYRpfcyIZSs 7yFUcKn+IdbQQDy2Xv0qSLps/JnOn2ANKkbasUGclKb2UVCrk2NxgYrmJYO9US8wBvwJFXaE14t9 HuYmfY3Rl1OEBje1pzODMeIeoF/WRxiqYCzoEW3UhHIwEFQTK9G/ldNGakjVP02MOz8LCduPKOuv lp4ZuwnSby/mIOyoB/9FSeCyRx86RhpgFAhQfryYgMskPZNjnWP5DpG6zpPQ/F719yK7SNshgDyV JCQf+qFOIu7luLEr8+Di/ryg1zS3Lj6oviuxPxNZBKjOV8v2Gu2KcrToJk1jqyKFaZOIU7tyFodG qC94rUb+EUDMNR5N0xSNqrgUlzl3/O4eVNnbf7Ol+zApaEx7uaI+DjFIc+WSeqf2dsWgSqdplRuC w2iJu0yrcyCNl4YQLfc3cgdrLuVc7fe3eewC6Gg2f3dWKZ/Q3/tddLy8kJKRKIt7907NcTkLbdQ6 68SQydpT9Scmn9OCU9pwkIcqaij+l3voxQV5ixRiDwhhDU/ATaFjETPrDG6hatjts2ELU8B/dKol 4eIwbUTPKxMYx+VDgypq29bUn0dazg+9dOEoRhx/k5VvNtDjNEwG2G3alsjopUAnd7FYXIk2u7xv q1fuOOkMs8UX8SiCtq193sE1tgrqdOsYTXWIcVBw7l3eGNT3Bcrhgtt6T1bmJC1MAdrSFPSe8633 0BO9bvvNvPo3VmcK0/icIkiK584JlLNzQUgOzzxipD27vT0795qzAj3c7cZiTY96rsD7dVnO+RVP txQejGa6Ij/HDZhLMz9R2FTUqoDGcUzHj1GlNOBZVNxblThkuGSdFN8XhB4WxjaG/DkJPVRi6SB2 D8r/v6OWcOXNuwp14QF6Em+hbruOvl/yYCMDAaanLiKVackurGr/DNk+rmG+B4MS7EkGKN3+L4HG YiRj+OZo/TRg21w/9Ze3KSezaESiuPPRXOVA1iXrQi6SFI3WojOO6oNk3JU9lY5I6tIgpGsx+mov mUvyFFAcWOvC3zc22oZEbPqo1L3gSI0Kz1VZ3pSw9AvKxWed5DZ2f1mLZtVdD00xiXMElCRgy7jf /esxa59LSNcFF9OEKZMJDmlEsMbockxAXD2fGxsTwL6Tk49fOi+L6crFeM1QKDG4QRv2gbY1Mpac mLXOIdFbVelapr5LV2b3Hbr3cbzI6F0TaNZkLvbQWwwJ08iYaerEkapKnMtsb6QoIdfEQzzAluES kemCFO9XMemTwq5+3fTDwRFmNXqwSr7UvqplmE+rYAyYwBEfMnvXAm+SARYFGHEx6GL01W5FeMc8 lE9AToVjYeavkFAbOiPzYmqceC5lteKOHMOe3PHtq1iCxwQ5qBkOYE2BpC2iCOhH60MDlS1XW8iu rvliOppChzK2TSPibPcHum7kQuZolLCQjzn+aB4sP9qM3Rfmfh4IsKou8hKUNjCMEnL4YWYAZ8XI ovD/L7jkyuyCKajwThdOeGQjXbAo29GyerrwZZC4f8/UzaVfUe1dJI9ZQZpLmr77PB8wNPcStR2x DrCViHQUziCIJghZN73bTqVgfUeWtdQc0z8zVyKgInUUPAv+6NTmoEgyKWMsFrGU9FSWsFeee9A3 R537BAuPxP9+vzXTg10InVNFjW/5pYZzl8ptqO2tk8HE1ARcBdDqDVeqkqBBVuQjuVF+ih/PIqkm r8An+j86FXtWvzPR+W5dte3Xr/CdyAbtHH+0Ome4FQyghuK3/o6fVrRVnsZVvwr2RlU1ian+/0gi nDCOu4pqM+v9zTbnnc7CrFnNN48O7HNA6lh5fPjRKk2Gq/2/HKS/Kxspr/ojaRjfNfPk2exydH7/ ysXQCUvtSAxxEEpXNTVdzHyCFhcnGl2NpCaVDJh4d++JBH5fplyonKpXVnykhcZoUpS3PC0zOUHH b7yyQtKEhM1NEYOqFYIhisvVu4ENny60UUJd8JW5kv/HLCeZW4ulsZ7DAgYEvsC1grhDmaTgnHHd PHko+1JqUIPO1xjuXe6k/2ItpSCAMt3BBaVDqobKU+7Ph9+IAoYD1yrfc3QV/WP29fOAgDPe895p K52oSYMYLKWWLk39F7QkNFXSyBVnMJmukT7+tfldIhz3FCzX0yLzsgxEXs85NtJXgGBtnKE5w6WF 8Fh+CKbck8CSMF92g5suYFFn6F4nTe+1+md0rGkWFWAMuUwrABX7KWvUQ/DytrYAAyt6wqOtv1Ts GC6nksY7xty8Svyb7NYYPa4hsFe0qrNxYGZKSl7NOw9dgZcQ+AhEhKltoJFRHuehPacVa7jIJcrx 2HPfFrRO2In+YaZGmoH1bUW9MJQxEuhWaMG4j53t+KvvN6giacLqT8/7jtctWDJ6pR5VWcRcHG5E +NjruskZP1vQ3WKkW/4grmgj/Qr+MbjSxkgNGMU7FHdEM6wUWjcOBGI7F1dF1xfSF1W9XSLftKLr 12pS5BSnWDo2WCpheLmJzh2miwvWdZyM+GS7yOTmrahl5ppOXiam3sdzxVZ7wmtZS8fV29tRuXOb bUoRLoaAnkcZYSVF9GTQhZDx175BFuxuwSHau+7JJZwseBPh1g/y/HsooOXMzUrtI1EVe2YyzKaQ HI4dzB3f67DumP/g1Qpup9D4SVHF3u7lseMd3C/Ghtc2MbBtGWeswa2+LPjXt8C4M7zmDxWxRzrk x+vgEx/JDnox56TbRFHoEHb1eRkNRxLB5i6Kl/wLiA4eRs1gcyA0bL4UqWjpJkHVG5IDnFT/4KjS mJ24yx6dgLwpiWDkHd+StlEtckQ7GGWbUF3JIcpZqkNnfn+36pN1HqOQeP2LmBy5RTRbpZrf/zPG cM6yHZvA4H+ek3alCcWf4rBxWT9ZhTNJkfR9R31JTmDY9SkJI65RVzfX6HyqA2KTvoZzpTLFSw50 NkTHlyUD0c5Q89KZQWjr5tES/6ytbOBY/NpwYLZTaYRMBupwZeztxanX5f9fPMDpWExqt4WC3a0M YdN9Z/UxcuCBb3TPGidR+YIHKOz3lh+kwaAIV47eaJ10hJT5h90lxojAuBGxSbLHhjzaGeu6+mTx WkWQ6FmouH2b3V0yx2dSZbw0nDDiLlmjaTW8ZfBDT9hw5IiSEzp/ilblRfrvW263bker2S39uQW1 LUGdQCJvr4FJJLd5vBQ/2pfjJZ8tLRpXHllYjqcNUc8WHsmdmnUuUfp3K1vpTP420uojlm3A8P6O LBgx5vErUAiAHYrqa9zQn4ir7Vakm0IJWXJSGXENoD/RswPVRliWYvFz8YvM0k/M5BPEcjjRcS5O GK0ustOI/bBLCYdQYGtHVwA2V4gAAHSPLvaggnDpzgkNR1OpJv5pZO2EAxPyXoJL9tRCvYkbPEPr QqSGYzAm4ktPUVNfLTJKMctTqyNE+QJy747wlqrCIMFwJ/dCMy2Emp0uwNZp7j2NoT2Cw6G7Hg1C FcgB3Wku0SwgwTkt1c59wZ54Vir7d7vMmDUBd6uL2y80iBlz6dIR8KO4hR+QA2N/eUUDZObVqv3C cCKrWHL4X1vZdKb8qvlYOffuQSD3SnWVu+nfOE9tbMtFdtLEj/6Lo4dcefLhtsF2zx6Pl7k0Di73 /4jQGir0YffQSnFplSImSlmurG33tE4HWJ+4q4t1+lgAqXWnJhvk4GY4dG3qJhUQGhF+9ca4N+gV 20Ln0ybLP0xCgNS8ugDnj0ydCn/BzGkfIFAuUzIqTZy2xyPWuvOW+LiVBjl7y62LyDJnxNpaMawg d+lT2UD5BIcFOs66mDsbmYN1w9m0oiZNqIUra2G0coiyU4JhznCm5ytC4OwdghEN13A8VUaWEBjo bNZhO1eeG3/0vfIdCyWnBZeeT5oKTtpC2IykfCiAFfxZwS1CUxOQN0jkAaKHr7406EIDzS7vupYU QEQfotbFsdw8tjCxTz8nrT11FDaZWianA1jmlfvhuECW217zIhZsgrlYv7XgmT14LXvI+4NGEZzz IQOMTMjrC04d5gE6+EigHnxdipewnY2EtFn/vRU2JFit/8J+5vzhSLxe+btOtfkE0Bq5VoGJF8HN cT1HEfQyqz6bfFBJEESqOJ0bS6NhyIiPWJdwQZvTrxoCeB/KqTKRFXWqsGqL7u9oTHgnDYzTwQuz p6x6I8DHFe9CM3MnNMXx84aVn3epY6nJjdcXmxsgBrJ6r4Za6y/PVFF6bw8NkG5y1Z1F80YFfzwE JeRB7fBB3AEySMlve7RcVIRFLt7oaI6QE9Fj/SMOzviFd0Dzc0wAF+fj0OTnLZECxpTg1uxg+mRU 4xj6qgvre0VVvLtxeV61LA5ekico5VmY5yBFh/eMTQV3c8b4l5r0dHX+4qNyrBTcryicjH8ppVD8 Gb9WghTLljrXjKDnZJqdItx+L+C1WhTm7m8t+QPReOqmd2nyVuNZHfRK5TFbbJhSpsZKAXBOOEfy CW+XvY0BJP3C/tlFyQ+G7vcCe+y4fHXcMKXxy88N6wUlXTml/Tw6xJTEiWMw8TGtQp4dREjTLINW s8nDqKOr1syHbMHruahQBLWWHpeGjGbNk5CntF0MimpIwOXqicKXgCMTrLq5RHLq5MpxBQwZATJJ Cfz5ma9KlkCfYcUNoE5aqa7wLCwH+EvmHPWp74php89EISkqVQlrZqJFgH3VtVaxy7Ke46qdduCP DarZT2sq/5MmbpZYqZUdz27sKxQGisXZ7xBWR3yiEgIE2UBuOWDEdmfDiaIEg6louzpA2W/oNi31 5ZU87C08BXMyHiCo2W7y6jHTr0EtZgwDnSyXU9CvumnSX5SN10bMhVvWoXTtFLvBo8Lel35q+PHW sf9UP+tUw2EsIstEb3lOZayVkhSe4QxaTYSFESFlGbNbDVL+py/U5mVAcpE27brlmJt1q7edBM99 Rwf9ghtivLKFn7/hF7KZz2jcT/DgEXi61TT+TabESRIDuYHBFWRPfXl0ySxnfcRfIvjp+S/z0nfR o0E0b3LLZv8vqWqiOnjs1OKMDsmWmCx99kCkITouENkHv1NJlXaJZ956hR436M6QRajhKLseJNVm 6w2GpX510r58XhvR0PKQOkNxjqtOUZFPP3i2GXTqtsT/PXNQLVA97yhHeQsFz5IRcMb7UK7db67u BXH/LhtfriSe8x1IIWphfrdjkSsfmRgbadswykP3WZ2wfwgp+9kCYCt7SaUrMtZ4VIC7UuCCoHk9 5lNSMNCzZYiCTwleQXalMTQQNMiSQJW27F3qs3u7NPk5KbEHkPr8jSR2j4rHFk1q99cRHtWb20VS Ar9sVP1cgBMaPYN0i7/+9Ne9NwViUtJ822U18++WbGZ+9tszIlIO6RrNJ2bawKacbQqpFMz+btS9 xyUaQ5ER6baw7xCG95YxS9qMIiJMdwHk93Y8d/abKV4dQei0LV8nIEKsRuSpvXgdfXa2asLfo9sk KItUN9jGNx817EMSnc50lzAP8rlrAU7vkMcu2hwurtdQwMdLWR2KaOG9RvgLfKIGcjuQ9m343vYj n9Gj5p9y/yZyjqrr2TGCF2mW8r446SilM4reJMi6BQPv7qvJZfkNY6DfA4iRyz6cXkZhFlFCnJ/A TXE12W5djZA77ccI4/PodxgHgrBzK7o8YGxD9+k/GqDBdHFtREeTVRSfOKUR4j00wMSyUjJLh8PI KvEj6RNTOaAiKeQAlV0Bre3rcWcQs1DfjFuAMneSL+Tx5K1wIBnrzaT8iKurSVdFOi35b6FPszOM vaDG1W+wUUN/JFJO5n7xBfc30tFZ4wErcuCeRsYcvstalfruSamIm8RZ0zJ+hfxsFAudLh+nHWf/ K1xgO0yDAfgQk7hX6J3Pn94h3EIIu8O8B5XlO5h2x9tXRG5/YT9OjaPrzM5jZGo8S1ALaYiQ1XzV dyNypeDJk7auksPf12JftEvaLiLh8hwrMGNb1LFUmckgRYef/b+VpieMXprOoG0ojZXBS12e7S7q 9Z2jksISQX2ZqUiQJ7KxCl4sn1NTSZ3xQQrBE2AzV62o56Y1oS3TMUv1j4mLFpPwh3GXUEjE6cqi 59eGf2kXek3HxabiiZce+FWK/4B5PTLUGouFR0jM6YZJrwu5dq8YxDulU/JoJo8jlFARJBa6SKFP /IQ+v9JqiR/RsmiFGpLWdRIdF8QCNsBXk14pqUG0jvubyQvbvGfbVoj3o7Tbih+p7/0W+hQkja81 c4ZrNpp1Yq5vd+EwDaRMTq8g/vEJ181Ydkf+CZ0FXG/1zKlTy4jKawaHVxO7kl1oSlPvNd5A8rhl 689JSbziPWDwCAaMyWfGOMR41OYI3GOnEKtcSR0zzowiG3KR/Wy2voM5t2pWQoIsaZ564kqN+bNv FHNpbvhqoylUVd0+QYQ9m10jaktPLel0c79JQXaNZUfMAIP6z3vy1ejzDcCCQe+W9JOSYMi3Jmkt 2OWqvsXQNGz6wXfuIBa+cY4Y6XxJg4QDSPEyl49Na+qdJFh4dWQUMLaBAcyKapSy+dkJdfdkoKTV J82yihvfaHqVbgeYJo12Ig25eQZi838iLFGV5kwve9v3F8KNkF5gKefQd5VVs+VFCCAsBz3UqLoD UiWp7vXNBmeTEFo1IloGeJ8xExYBxHfWqaWiXf8eUqPYvMJhwhNu2OofpIJaws3r5GvIGk+shdp5 wNVArroUc+mnNHEJNJcxkXW9g2VR56s1JtjmXGB6s1ckmquJXoEPgOWDBBz0+lKRxvArPRPJcAQ7 xAhfdT1em0l+X/4IC0qrLwGZb6RoXo0QZwpbMBS6ok/8AVzeQcyFI2R8Gv1XunYLQCVAr4n1LX/h rhG1cg+vj1FEmfeO/1lYMVNSFsmQsuG+Qlkw6VOur4S8SPDJGyK0S+8LcAZw4iPEKzq0It86Mb1T YcaKn4co4IBEC7k6SD4HTNjEMi1M6JGNOxV8q7hsHD5TrPn2p+v33zoxwBNHt1zHgwYaC2Vndblx ktj6YHaVRPnzYZrQQ3/exS17uHAkPwBLsQir/bh6LRrK9aEVRsbm91Gn1tkE1Wf5ch/JoaCNeH6K ZMWlH5HHIBXCC6BgIp60N/995CF5wGh0gjPSMHOM8wN53gRojwJwBMJOoULk9t+FsQK4dqn3wzWz QuZQV4OQgMHTbNITNHfdWKGV0j4s1vw/ikrDuJWF9i1mZ7M3ZQ2X75qyx7IQIRcmZjdcIP7Sunmp 9C6GECcpdmf+pFdIrtcrfAjNK5ebW0eadBCQQzAf12jyO/UA/L7w9c0seJ3GSg9PpgnYZukXBq3P dMJ3fER27c9UlS6n+V0fHIcVCTp6ga1sIuCB9CsHZ5m1se2lvkaAhl0phKxfQAdwLToAoXeoc1GX XLd3AbQqh2mNNslw/mBo7kwQaOEQ6OK8FDNvTLE4JSxXsTXqeKdNoWw0yAbccUr+tYGLlGrthDx9 Zm+w9HHbFu8xDNOGN5yYnQzy46ECOUdnSAtAsfAyHSB8WSQcRRkFb21tK82W1yVPH42HzfQfhiFR pPVKOjXhJSILddzdYTJAbNZDrGB7X/S2sEjCwfH8oGSjxgR5vNMKhpP7b7S1BnC1bYtfLRZNjTDO 94C3RwEW2xd+TvnR/nprTUEXLgQPkFOYHHv6UV9HWP9TGAExgYzmJ/baySoyVsh+2qT77hVXO2Ky 0mqKD2sZKFvRUSOiau/tgVatR3+0Zpo/oGIVmm60ub8/v2HzWHCLRqvKX1x1MwWMgInd9YTNFpbr 3LTmEh7TfVQcClrs+2LW3Sef6bzJoSCvgRvJ31txNzg8s4ZPfpXzQfib+6s5Ucava0RyXCoIDcjp 4vxd9/5ZfaTAWBDaeOs5lmtgJrzpYzYV2qbnQjCXmGjLXCBkXKxyDkTQVhh2ZoQup/S41Vox0Jkd eXn5HP1hzHnSf2Vghn4OqNeBi0Vp2jZD2goXvhJrVRwBax4CUNFkWN2KwPf/gbCVlX3KLUpywOZy m+96B9fo0TE2NqpnCtxaWDy155RiVB3SGMraY2HeLQz9lgzWWaTcYckVkFhNEw/uUu2cExrSudys 0ttj8Z2rjpfoZFHf6rg4bzERVJibm3hbGC7giJQ/tRncROWJGQWtnydqh6q7W3uacSV2z/+2jCfx ZcfZjHg87d8xYQsUjlAOo12vvXmTi6WYzqhbnRTsn9dM9fnwdcDrtU0k30iZmtXdAlYtaF0dB4I4 xqutCEGbCBtLtqAv3NwQO348rRwBMtubdtYCWb3xWRbTdw3s4IQRks5k6qrBUia33YOoIa0J41fZ qjc0aLaX4iGWMnDE8EhsqF+ZtMYpzjO87gLqAbX6xwYj3cShpGQXP1fqGrNAqcQrXWoy9u+xCTGN bz7Z37HloCspTCpM98cp/tMmc9x0IDku6Mn3bIOqQnsefO8i/nh4v1bDA5/NyqtrqNC152W78D91 d/+TIlts3CVz2Fbyx9SHFl2v+QxTEfvKOSr/JXGiiulqut+UEcFJAOGS11NvsBy36nXQm3fD/dJq cB3y3w2I5MqZYHXQO0OnRT8UCamxgRxozoQb7VdGFi2jXddxTp4gcNPbJI+1L/o8bjmyszej12F+ UcyvDTK72cXTQqB8YExEYs2Mo9Gv+1Qf+3PD+DDGtXcxjfbV7XBDWycDKvIxBPxS8Ti3PhtI7Luh XNNjmX3ADJKqvQr7l1rTxmB5d3+gdDF2k4848j+eEDl9bMnhjQLQ4UUx6Nb+jJDvBbbyfqmT8j// y6RrFFab/8QaWi0fEwduMhJFtgVQE8l7mS37ADQEFl53lgU7TrPw89EnUMSFw6Uz3wvnuGTCYxNS C0jEcXEHxcBevrnZqxSyPsLVOjfO8VKHvK0xNb9hWNZKwivTL0c1Wj8EfrIY5qGxZJZvfnjOGR/k pvp+GONoa/8xm6ojLhVgI8VL+Ejfct8LyES48eOL4A5q8Ywp7gp3J9/A0O6q/DB0K0T0SeHiuPsg Msn+xfmjQfK1DWuGFRUsjjuUImnmkyf1ju3U42aIP04PqzKt7PUuGGLRjnsCXFjsnCOk24MMxznI cWZ4Ee205VOUuE7KPdcd8GfgbZodQuAamHO262T8e27P44/3nZ3Dt2uJ1RbuUHGQS2rTE/B7eywN d1F1/A56zRCGnCDrv8dZdZrpZvG98cD2YjYpj+PRnYNIOMSp/B0ktKKTs/aGlNkzkK8SFLdiCR4Z Wdb6MLQhYITMZpgmkdtVFlNHNFz63nAjLJSdu39bpnEe+JRwbQa5DloJxbHY0Gptd7gUtfEeHEHe O614Bv1792ZdAhxEhN9qBbloeXOaybnz+9DYHlIf34g+6slB9hSkY4TRaxBtYJ9tCBeR/DVrbp7A v85MjHBUqw0104Vb9FgHr1Uxk37Hd9e1GkEn5oKiDvg97yR2O5MPa7+s0f9US/RJf9xgU8a7x2yv xmQVaf8B4UvFI/g9fUJdHTgWj033vLPvCvruofZxq+M8KwxrRFuKaJBtcXyB3fXVPjr0NUgTVepp iueHk837cC6hcMIG9mcrYoZYUFTrWJWsFM7l+ql9uhnfxnX7NC2QOL3c0coDeAn5MvAncZTMSMxL bMeDjOptZXlriHHDjTncQz4Xm48GbfGJheC6iQk2mBPCI5WFxjiZBBIIkxy6Yo66UZEZzS06i2B+ FG/x49LOKL/INsV2roPsZzJfaiSC2jzVx3fLCY41VgEGKmssmV9J8lrOkChF9Ve40R3sflLoMo1Q Ds6jru0y2Bhz2W3p7vNyqqUcBpuWvyFF9xDjIza96g9omcbuRtbiZhbM40GbG6VdwCz2/XCTan3h uPJw6banCVztkXEaAsOSyenXWrcTyqLNErQKCmwI2odvLcPMsBg0y8AeERRnZLZQFRJ16I+MtXCZ 226R+YEIQiHeFgfJ0oZQ3u8fpI2FVKwPxHAmFVomhwe7acn8wnW2DlbmEjyo9W1afi2UA19s/aPU 9jAd+Edc+lAbvPFI34WWg9jqSF5VVTrDIXPeDAfUtZWLsX3qABS+yVJIx6Lc5m2qBQXI27kMI8cT nJWmd1XM57sMB0mf6LCnn8DV+4r84iZ8UUzBmv40LYXAxc8nHAULb/SQHcsPvaNKrk+VUEE8ixJ2 /Cmqsi5DjGO7EHlPvoQa8sN3daU0QyEXTh5e1Zz1L7kiBurcd/OmQovHsAKCMF3y/Xm3MALooVd9 8JvEou+rF2Pr0hOakX8a4Pz5H3KnCyDU1++e3i12XIcWQhdZrgAUtgAhyhU3r9k7lf/kkiGsKUcJ ItB/AS/Is+TFqcAs5AzEKrrBYZL1gjnSybDarDk0wBEB+YTkE1hfSZjuWsdEzjPdJvdtWhhyLhIE cKvwb3LlJbiymQ+MfmQr5VLzyiQYvHEB0gBeMTMQ0nhvFoWxdkAkQ8r6aTjTQpI75sV0OCHMYd0H mmbKlT9jIv2qED6QTA39SwBC9LGHnIBzaN/7QrUoFVzhvX2E7RLuPmgElDg7ubBT+ofkXB8EERjd qTcf5JM9DVwMkBmkdEbIdYQ8Aqom+/aVlEkoXeXPLERroyFlgj+WWLmRURy935X7W7UYZbklFK8Z nf17bQUbIx9LKiTjLlcNwnByaNiB/ne1ltxuqUnuW7GGgTzvJV1mWc0E/F5f5jBUt3XUUf2Hd7Xv oMhg+orMmWNSyh2Ck7X7EyIiF9zADB9RuILLOf4lWGZupYL1DO8IAinElu48c0Z7Fe/DHlD6jbZd bpVl0m4in+d4xelFKiRLtGGqliN65TqnaxCFoXen4Vm8Vku0d/6FVQeBlka7ZmuN+ufvBPBAtbHj U7X8BJCARAgaLu8Wh93cfAFdTRALNvSGe/J5VzZ2cEzWnfB3PeILXOf2MLIqAv258rYryjvVEX3t YG4pcnhE1e7GAyfbO3a1bJBZVz7vqglziviJWOfBVq2D/gLXHVzK3uQskNAXlsZ90uzKy2wcyfNd ql5xalLzXObLKWBRs0B8q3UXeTSuiFM5eLhCyz2E7V22LW49gcK36WTs64DjS+yDB2s6ciTQPZev y0QRQFIK78oQY/qOk5PqjgWMYCeZ49GZMnDyS8kIgwlMDfRG/8uOVEsTEbqXXa3Cc95I3+uZxlfe ZabkdvsG9HE2EfqUxoyoB61QOHR0YqVHh2fb3N3AN7+MJb6Qd+surdK+d5gafNSk+wKSYYhlQhX5 nOX4dZlxiwsm9KPRoM2lwxKuLQFAOi0tADlZiaX6QnvBSMcv4i1mNHgR0VPruFiOceho2qZa+jeX 1ivn2i30Jv96spR0/+4M9cxJUCYGHOdkz2TrhheF7MeXQXjaZa+CVj+tchfxuQRN3nBwckZnBc7P w7Wp3crbL9et7waaI1T5YNA2AosKQd9jY2ThMSrIH8ZkWn0UaunJVeZNF+OQAh1RbBI28NM4pAw+ JKExxF4CvNVZtTxw39JjvTdvzwY6cgf4m6z0rpD76y6hB2Zi2m6Bi6wbyBjz+9aqLiFt8ejMwf+s ch/jpoBasTJKe/rTFr4mBK4QtJgWBssnsVzOvH6DubxVDDgJXQJxes0bm7p7IaTxAK/D9iY100E+ U2+7koR6BLcg/J5oGRVxzM0IanQVoJdud81eOpa2PBMhuSl0LobSETR7zXH7dth7895DGnTveQow nSTOVNHh3Oh6OAftQCKA0lM1XSM22JqnXMXakJvbpYPQNW3PkPnkhMx6cfC7pr5Twk3Ul7Q6x2h1 ltTQH91pSOinIXSC1UsA6ncDdh80aeUetOr0ROTs5VMvtKHbf5IYuEkzbIRNURCNw268LOCPYPhS IuXoQO0hCCIBtXjml6o7mbCHfizisWNHRL3rz3ufUwU4rAgvpvCXNC3bIW73gDZl2UBdZefPjuK4 ZDxrupg7tCTGGkLEwoj3aM7KauBW9ma9JjEXGrDXiNRrlo0krRZ3/KwR9BlhAs8Q2OSBwaRvj1Xj V8ILgbRnrPSZs+2aj0YhcpCQRIj41SjtjD1O+Cf2it+e0G8v6iP982FCYXePK4Gti/asXR1X6FpZ Gma59aemrVqmhHkiMry2K+5aWcBSzsct5q3446IjtpXDtpczD0DwrQmVqeBmu1n5UN+1xV9XHuEI styk86DqnEIaSaeoVxZFJQaV8FIZBC7h+2hhmY2Yp89hb0sGBPzr5YOevBOo60T+n0SXRlSTkamU WstlXCA2p8MeoqC/o2zjvZkgG+RytNyYrz2+yXFCLYx3Hu9tHOnaT4U41iE3ACcikWX8MaEGtFbd oVEYte7TW0MPDzWBcu57OfcebqyVeqmMmZCU8+Sp6dYcO1Lds0jIRFG3Z9S9bEPMn38s2ZXB7sgl UZsnHr8pZFh6SJc0pY+JM+iVwWGch5lR+RKyYoIIDXpcHbAk23TFrixAAaMeZBRbQCbFFtJiySQ8 /L39yeAqmicrOrRqw+FP1BZK/CiBtQ8iqrtPFdbUmpko+G37VBIpQIRRBnJDcPcDgzMLHV6N1j/G 6v3piVg7syyYsurPlRlCMu+LQh3V8B+bZynspgMAx+7YZ2eAUQGKQ9cToJjTjjwiTSakdwm/Ihe+ 7kvOcqAzHUZgWVBpFxhMdYzvw7fmjmAyIqmIsVq5oMGM+ujwaC2r3x4/U066AAFjxWif3hjpnC/N V18BsPsK9YLWDXEfBK2WLeQUI1lQJFNGve/R0M4oCzIQSKNUFoZxbcDIhUthgwyBdbu90oLPNoJ3 v8/PRsNA1PY0AXFp0czbG0lr9pfhKgb5V9HpbdqWNMV1WAQIg9XDzMmUuOyLhc/mNrR42f+zyxDD IUTrNpXyf7atAVwK0NdJIaZJE5fwiO20JjWxWFcEwpnwrv2V2m9wz2C+kZ/jBTmlOtX18TVwbjog au8imzkrTI46qjauKEvjv7/YgE4YsnovQIxDFrxcMfYnh/GkDV6xN85AUiJ50pugA/ilgdCY5BMW /RbaZVj6P9hDGek0vX280fdNB/lggx74bjZ0Qk4gpVnP5lu9XqHks+gVC5vlsF2Ov0X29PBltk9o osVlYz63PUaFz7vZkNoifeU1QJRinVK2/ZEcI9d9B6OhdMDZq+5DQzL8iobwd8X+e/xkkujAK8fP Nz5oU9lPcdGCCdGWwHw5rlWIx9U6aQegzlwj8jYAiFBbCOItPeoy+J1wEyNYwapdgOkcTO5v4zcU JF+eJbWLNB9PwJbJFZXifZvncl8K2/ZR8WflFbLA3jrwfqU6WtVz1MCZFf9uL82P+feAw3ahNJQ+ 1VPqCw8JT1Lqmae7XHeIiXz3dGtnph6wToLjkFh5+/LpoQZNRtoyPBMyZLU0LIbaMLIukVGFB4+l hyC7i7FtQbAkwUQKr9osQWLhuv2UV4bG/TVgSGEoACpNV4vF0+z7XX6T3RxWrOPaYmQgo00Eg4pB lfb7vtvpke43uI7tuUOR5wfQObY5R3kU9DF5XmusR7L19LlGQ9Do57hkkkJCUoU7/6cEpmA0Yf+Z DjxqUuWYLpU9z4O9kiZ8R4n25PfwYKekeIf4ORjyJdXnvXcm+tIY1u+JjdjtFRzYVvqvybVqLXMb ZNxGQIvvGXIQxZ3EoKQtYHPJMYzcCj01XmeeMd9EroFUBbuCdswiGccqY3cO4qwpdA6sKiMw8Pj1 R0JMS6XQKmcr1Tl1q4DwKuMoXjjtpk/KjtSfNJFzNDhuR5KOVPjkCD6V+GcsC1BQkQTRXr6z/hNK D47HLKjydD0FV1+zpk7YR0PrMnyIOPxqZETI1SXSiX2QbO9nhtv7T4iQEZRTcVZpA/mqeB5B3UuE lcsSTODqv5ilt2lRR9IqzzRk1rxkH1cCoAvejcoXwwVVRIqEJ3KDyqH+rkNMnULqmE2zjkeBzgXF M3NvB1JYTbYkt2snNLPi7oo1g2Bl12e0V/ofkl8nbn4jP1wSxi1r/pjGuZlbgw1IEnaxAAP10Ctq s5vMS6Te7rWb/VK2njZ+4CuJWsWAkwkxljaPGeBQIqZY83b85zIHySLaiZIUVUMHg7BWJXW4jcDj 6ClpLLwUSVy/TPVFB3TkFldRuoQpDUVffTbrIxlvsKEnTEDaTOQo9C7mjkHotnwXvOrYZFRownhD 4/NlMWas+UL+REi2JfOSD77JuYA0K0OglF4bAoQBZ2G9HRWE6w0cz9Ehnji8NBOVMTQbpfcbI5m4 ghDX5XOocJGuxMUxZmS5H9hSP9vMsMs0I4hqbigU+mpQz3lc9Mnz1NFG1fzwmAJjV0On5u/v7MW0 CycZeFlMuX2+8aoWcycBJDTdHgF0UE1XtZMTtcLv44LjuGfK36mlLOyD3Ut+9VLhPRxoqssrg5+V SrxUI2a708EY1djDniyr31VzEc4r5g9PQOjfZHjPQPkzWwXs9352HTEonpRZafJKsPA6rej6nFou ek/ejxoCKDAwjYZlhfxGaVpR9N9KG1yGeio30DTnkwkAD4HCn5R9ZEAm3GMs7s2dGkRTgxBvU+Wl AatHykWGIX3h+ddPsAayCesWaB1W3azsZ9kTQNlT5LkoU0trGg/vNnDZA9OVTUOF7GNI3faK6Hi7 yc+QeDz1JQnuqA3kyB/oAluuUWbCAwfGmxCk4G2+fifdzr1NrJ1yomjJmVFbHhNPiIIuYhxU/iw0 M8KpJD5So/My33tqmfSs8AHQYJR7VsSPS9PV4TsCWR6RFtYf/HgnMwMCSV6at/AvqPeuHO2cf/go rz6B+Q0N4J45rVUyINomBL3e1FyzOmlQbhvV5RM2RRVyDTVFw4gYYHVr3Xd+zGiiwV16wb4rAtHi MM3IT03JxbsPsSzH17z3pVqNxSDskOYLBkeFLSVH2NhRECQo7r0FaCi1i4fxuS531Q8qRrWt4Rou 70yo+bFmP51W4mLiah1tc9iYLBTf3OejQeXpURBZi4gH11Sg/3yLaStbPfJlK9p9F/BgtV7qzopH +jORp9NV+VrGNOuxNcSv4+u+Za0XIR5lJA1gpsAloVsQiaVV97xxxupXeClOLAXbSiaDwDCyLl+m 00kQOg+dG2EmP/z0OBgujFfGgvGsNiXQU19TMfHMoIMYgYvjjEyyR/BpNnd/UN7SoMq8G6bmGhm+ +aSOPNw0F8yBHzh7dYiOafRFDoLh259QN4Juc8jU8wFiu8Iz/kZTKfGIKu1xiQffywLYVdGAYPJq HJ/OH/+jLrepTKSX6nYxUuJedDMqbPhu9xN0qRwcnIJMTsxZq4szHQAGXBUHaBKvovQMuJKqwrpO XbwoQLDks6EK4KLSqBr3j3K0QpOr/0X1u66IoRcyzIippndP7kwpDnyeEI8n038RtK3DS420XVqj jeQEtSrpCcrMBxiQCPyyFUdp4yz27r6dHC8o+rEiO1gO/FZkayoS1upwzCBT3x83eIppJ65chtFJ dWhUwq3dzMQGOsXiqBVTR78378/T4gu8Rr+RCKo1t8fk3ph+gJXZln1UojV0GpjpSnf9aVxAQeUS Be/nktXEELANSWMEpzoFUP299sxgH3vAqRpKtUXGWQIY1Ieu+DB0TF80H9OHaqajoeyjFdK1dJhE 6kGvZb/yG3Ce4uzwUCsWh03cefEvMaKtRR603LofKohFlk6N8eySXw3hfmHBZzK3ue8AfA0TKQoL 4xec5S7Fq0sN6ZyghXDkrqEzVZ/rg9SHUlvpyIFoyIdn8+GSAaGJG4RIoI3qjUvr3YgJREGkn4So C63c7S/5yqmxTS1bdrXvuOpN5ggWyAIje6qw54eJmY6tTasbqddAyDe43K+Ig8BM4RLhh9JW4sWk mQIdTQx6RFV2iOH2dlZ004QL1pBjTLMY922vy0kH4zYeTXS+xOEwUAB1/laeSprYe8BqZUT69an1 zW6My+5yyuKjAMAUsLfP8HYXl2MRkuO3RaN3vVhXOdjTzp6nxUbc7tlJMr/UL8QiSD7gPe55lAOC 4htM1ji+v9/xJtXW40F8QrhBWcA11DW67wpMTFzCkolKwaCNxel/Cido4MN0iFtLEhV8X8N/LV4K EsjWc/0d0qCFXftv0kxBRaFsznC9Jm+we+t0czBcRREsC1MgasMx64yb3pVOBNjazELxUFhxBFso DT5cPRUuSVGsDvpJDDTSVFR3LzOf6UNxMMOIyqdq+ZltLqywvXBmmq3G3hxqaAmPRN1IukUtRPC8 4tpXwNVyRyFGSGENxViOyhtr0pEbItkx0bAMYBeOU2tucwykJHYEdf4Lk5KimYN7h/S0W+3y4QAu R0BqQMq19SsbKQHi4+6pfkZYCRfJCLCtzZ/cu3tPdKU0JzAflQFM3cOU7vKbJDi+nRPU8zIe6lWs jsFg3u0YLFH/y0p363rDIZjkSU1qavcQTta9Za8hP8W+pr7WR54Iw2CPbuYfDL/wfSQEkLvNFmu+ R1rQ9f/kRvZnZmFKV7IZb9XZiMQm45LU2HgGxlnd0FWp/keF6NKCGMQLRwzW7WWhqtHSGd7kh7Tl LHEI438Y8YkdojprOIcBihBr76u/CHre7LR5vEJQUCcN4fatQVy3xgK/f16piIbBzhzZ4/wtjWbb mOoy9DL9NDWcgVmVfJjF5pBLNUpxedtK+IiEjLmeItYWo8R4TN2ybrSZyhoeFy26BtuA649/5CbE m2UkaZ4041VvStt02EirIiM9wFGasN5ckcdo7g0UQONDJZ8KMT3qQ68Qiujb3xhFaxTptCuxHCuW OG2TgqjYq/SmHZcVGQLK+XW6jgv+ufj7Bu3FrNM0p5qztAIgiRr5yQFWcS5Kf9jqViPrSQb4g4iq yKTtyofG8qyxTRwE3oFfpegSUAFvZbuJpeqeUhqFCYHhpEX5Z+5LRrGi8zOuoQGVlC/ZBIyFPI99 lzZOiDixccTgaH3k4hev35slzbfMMnJdnblpZZxGDvkvV8OZIh3ItU9oW3r1RlyVXKAOwbvuhXGJ UXPTClw9AlGbj85dW2YBroRRyll6R8Qh53R39Ckvn/bgVHUVt4QTC5pbT/Sx8doEua6Lva+5pGFh yRpvdErqFzPO+xAQEV1fgrqhjriPo1zx9h+qb0RgRSr8OOd/SiYPPuwNvnuOQaxrnz/zK7nmZ5L1 Lp17+SQojk1jkLDgKT1SYFCb5gD5h1rRlSunjJXwUw7uj2Ai+Wqf4JKlGBtvPV0+iuv8+InvH9yw v3yz1uG7qegOOcl4I4NNINd0RYcEViCex01rTa1+ycTNbD1yiXtIvz370/lzu1kvYwKxTmWGDXiL LhYGjZlX8ucJTxKIY0wbhyq2QEMw8IOsVUKLajAp0ftptH0HE0KVfSepOW433sMDXnZnLNSmIKpv /Y2HNwP/aa3xVmNkiR7U8jtkvp97lcEiGPAlmyWwpdnDM29sXfzaUpst+UadBixwixkLfIcNki3s 85ycMCm6IzDp9AB5uCg8rIyITpvy+Uh6yhkWoltkWVDPNgMkNETx7dcXExovwrzwc+3ebOq72fWp nA/EIbChFrSeWDMaXAPXp/prhC0lyomZmdnhiJ1/ZLlBSEV8BeuENe6MLbZFygys0KliRvitaCUg Lcyx0nYgfye6nLDW1Pg1U6HTh8ldDvvPFt5yhLp4V0hqG0zs/qqYKMns0q+m456LkDCrJ0IwwFup dP/a2/FYYB+WM3OIDQAE8q3NJrhA4wR0M4lGdg5WY3MPcCVU3gFX/d32Ks5NLBIC3R78ovKHaNk1 SUsv7TF90Aat+GS7+esxIkWpoK5nOr0Df6swnCRdlwobGYcx6Pw7F055wUKiPM5/+S8o5rQvs2sG H+yDKKm1d88d097jUb/vMh4RpMP14Qm2GG9Fn0mToxc/XdFr6ssTkjdN8SM8O1Yy9o1zgyc/AYdP vufnC8qQ3sxCDDx0FgeQp40OzPZG3+L4x8/09N3nUmAIdurnlLaGxfQ3run97N23bzk5IdquN7SN PzK7o7Chl9ZaRDJxj8Em62DEJMpoNCoVabWRJsUMGxWS3JqXTy8tIXGs79gwpuwZMT51KBQIROYt Lzi/CcP/rfsHbShrLwbipGTkP8DJK8xsJT4nluHZ3mU4rGYd4PDTTTQ6W9LRLv0KAz/uzCNX+p/1 J0XK4xs5BBiJ9YKHSHSDMLqFmoRtTq5CHEqmtQrHkSz9unQY0HOY0CrtVRqeAdRhDLm81cSOIaS7 U/EgaEH70D9dPDtsPsmjLTgPgzdptu0uK25CaYtNjSz2viRmkp3koRQKgiAaz32gk5U+zwKMvkLp G6p60yhMN606uBfkUxJuf1cg9YAuawbpBXK+6BuTIstTsgDkd7DElYFOp0wLvYUmzulacSh0c7lo t0fm6lVNlI6PEEZ71pPCcZ3D6NPJ4SSXoqkdjfCgyAkbTpLKYigbuVODJBeorbAUzAYTHb3PU53K cC7CI+wLJjEcihk/25xZJJeN6kflNGpAAxqmjXsczAULzsR+0ivcQ08hBI3c3L8BJm7z5P+/9GeA fcPgGkpBvRELP4gphHjlF4aezi4pMT2GboggQaqI3IRWXucYijrnPeC+y+QfmUPookTy87NmCMAt S1I+W+uVtVgGtZz+4xiyH0fpxuh3Xc/+Mou97xt6jh/iyeZhQwwb1XPJgfUJyRmTd+0DIiIXTXcK lBTzsRGlXDhZhzKTDMbHbaL6WXWx8neFidUbac1z5snX2d2VC47wI5daLfSl1y8jHQPPfNsmRDiI CxY4jUiJIXzp7Q+6dl+1a9QvKb9Cjf0kFCZNxxl8Btgb1IvOc4WkF9KvmNnXmFyq6lDFPN1X0bwJ FBCDyakMW4wcAt7A+c+wvSFHy/nYA7JwDbl0nfDiKyES56nJ/2AlHyZ5dHLnXft9/Ktw5T3KvbTv xDSba44Cv8MgxvuON9e0FrqX5GG39xkMVlgTCQ/THB4M2Bkah/laq0O2rA6lF5DzEXsq6JzFzyjF O2mk6FjXftVg8axacpp0D1ZRmqaQh0dEM/c+/D2jL31L0JtEMzpFwfnFY4FSZSAtosTFqV2OqOJm g9V2VIp1CPYoHpyVuCodC96AH9g9iII6VJhWbF4HsAvsAUMQvGF5vhUD4mSnr4BRCSg63wF7x2fs G4u7GeWfuNWEWIgvgUhYWZBGaCbD0irqPALyJigSrLSeBP/lmRIpGHTJbMEVtjEqfzo2RH4eEk0d VLRkOvuvL4WFa2RlNGKT/Q4zN2ibC2l0uJwGjSsABoZiS+mpOiBdtrchEY8GyJrlqTUE90UtQ/qZ MydAO8SAivw85wrZ/Ixd0WcKD1J3o7CUZSfxl8vFtRF0s6wqHBHwcLskxgjzEFd1+jXyTu+7zhYF KgZeS6mQ4KPQRO+74jEl06TPcGgUBWLlfdlVw2J4uiV1OaNjl3Mj3NYKkeMQpQOugFyCQOzax2kN to+q1DZgJ0X+gvpK9CcMKkQMVUXExcCQv8okxOWVhx+0jik0E1+Ny6WTC/goCXVTnbXI4V/i1oSK hJf94uXxZeAwcVZFNFTer+AZvR1YldY+T6SleyGrzt++VyS6eYVvo0kAN6nbnxm+7yhM8eGUP5Ym 4Hf536I4kwVJK88evnKBO2y9zztEO6aXyr3EnMxYzlybf1QaypCNbvBPrIPmYZfgdclYRhwQIn87 NhQO5wxZryeNgffworI0tkShqarOUTIQQOuPv9XGgexRBkjQsS7xUxJV9xVjVzBGptaRWfL7Gnv5 41SE0RGBXzT/qL7YB4QNTilAspkMwZ5uw4P6Kr5tJFa471HS8FBOI6ULbHTPuyEVRLRWAs4a/YI/ qJ9yC5eT4BEq9V/Xj4OkqxYqEAAP9iNPC8tuDiZi5JOu0/t+wokAVf33UiGmSr9ZL+IN7RO+ctCl BVXccUkvnBsXBiC1uuEP354HHWAptfvqwWk0Xlc0ENmbsf4WlvcAEPy3VBybcPAkazxGBmW9kio1 OW+hi5TdETCLwuMiU5PEWtqgLnQGPKBHKohZFJZv7L0ad6cppi8bLVRvNp3Cf4ooaWN8NX1DjNrK WB2fZ93gntipLv6noG3AbfKs0A9EdFnAooInYjKxGnq8feq/dKD7Fn+ekzeI6pi2l5V1P+G5syg8 1KgYGCGaO6xRFVFPUkikua/FhuGDnW89xxO5Wh3dl4ZEhyQ9+WvPICjjtp7o3c686zAegDPr42As D6GfAPqOdfC+ngYh2DX7MiF7sg10H1I4l+2EeNh3I/iDdt6xx/xWvAnfbuawPEnAvSIZa5xHMqnb RYae9gDr2UGSH66iy0TIL1b77Zlwoe1vOp36QuguEpEYtKT7Ug0yN5TwBekB2K9ZD1VhizymzHPr A/BEpMCzUxmpoYaHQa7zMRitOQoa9Lc0jcTCuB9Ch0FqObO/AdH8ykI64U9hsVe1j2mISG2JWhiM VKmqIP3DTlxRs+MX3H6AgioHh4wO4GIt2zlY7CROYJovNPMxN5YYpskXTFC3TG/0Dq++pH6FrkQm 6Ds8hSfFlRMCps9Hn/GKGFnlTpf+doedby4AALuZEfhPeacvEgtyylHX+Vl+TWiq+Dz0E1o1pbyy hKwY+QuMJQyGVUdxHn1KkUgKSea7mVLftIkYH6hsIZ547zgvFYkUeJCpO1Qc+PUyAKXlYa7DTLVv XVHPPeibvyqYCC0Td1x+OjDqp4r2LXKKhP+0DjBEh5SB2aZq+8h23P4P4coGDt6o+F3vdDr4D0yM lj138xirzcYFGXrlluxFSyyIeKLch63Dtwzh9CYcOFkwusMppKU2BnVJM1D3+El71RDlADghphTR a6HetcnN0LzRZhvI0mT8FRjvJgb8IQ6mNUZ1JwaG2nZueEDmX8CdqfJId5ZFeF2MesEgj6cPBOoK 3/6pnTeg+xsT3NasFtE6zmPCPE/Prw1Vcs9k3UPAVs4kNAyYoMUreQe8bvpmh6RLjPOB1uxVei+9 zBObS+Qx9zfMvtnTKl7Elh9RuogPf0GGZD83SLnzWfRNGEAROq4p7qeU6yVAOxCyRdyX2MzDl9Ls 76Ab5LGVjqSR3V3tU6aK+JDALU+te1JjGiLXBMbwHObLjksk2Ay5vmgnBbw0dx+AYcP9lgVD+/JA uaBjgEXPnncFYxBbZK+mi+EbV3LyLi2/P5BhPoWTTEt8km/8HdlDAGfxHAW1ixlPSPWdD66QW+AG qSLyf3Gg8DJk9YwG2ploGdo4j9FWqe2q9U2eSFWKW/9jElrcwyBCMNLLTBHf1e9Cqw77PdL2OQU3 lTn7xfsDMwUhgTkvZv6hDey+/PLsngSW+lkATSIXNb4y6/vM27lmdWvIOmUzmWka9pMmsb+/gue1 Bx4zoy7Rqr5ylNSxBPc+NghlFDsjFPXUWFnyu5KmLyvW7e6h44rpAW4LDij7a3D6PggxbAc22hV+ xqH89Iv6+9PzHP3MOh/u6gR3TopyU5ielxeJd4GsbaK4jtVnlhESGBzFvVYI36LHlSEq7hBwvsRg u0zrkIPN+mEYE6wKbsKxbR84VJbZBQkxxAx24slxe3q1roEMS6IjjqRdWDHWz9LY2cNowLzZl8Hy SIn72RaNGBfnBqLHaMMnkhRaTmEPmXS1alLQPo0J79LHSUlE+2nUqqBh5FrPp+ZZhq9Ddt2uwCMy xMPtpxmo30Qrc8EX+cXbyW/UwPz/H5wnY5HU9QbuUCoUFxpCIMyUGtRGMUJHji03tXm4WsV3aje3 tClm1G23hb1+YRdvWGY9pyKYrq9hQTCfh+4k4pW6irAY6+122dARr/FwZ5/RVd57l0bV/LwvI9wN cnFof//SPavRQwqG+ik6R3WIFesWd+djGC14qtOh3R4xBzxb8mL0ieYT/q7fTStKgNddHGxNdOAN R0uh4dVYTs+7ZOXrPTuYPxrU6z40SdI1fHtQJYRXZ9pp80W96ArjpHLjPZ26/PkKrvzRufueRpPx XMmo/yGW5P+2tkUhNw6lqSv9eK50Jb02P23CPgORJ2BuaLCT5smFbTgp9uChJGmcQlc+tfHSr4xt BMpR1kaD8swei+NhYeQgV8VE+tyUR3BCl/NMiB+5kn84LB4rpZC1n4zUKXIDgCs6wKuyRcvsqhMT JLpYRVLmeuT5yocp6aWiO2EGIIF1WNRKK76TlE2Q09YKn7H05CBrSYUqywrcMgea3Bng/KszzPst nb1fOl0ahe7uUDoIC0Sj7Q7zjN3la22yXL2PUvAQnVSmU8ZMUeWRicVwQmdNDNOGkoq5k+fiYxv7 GTcoC9U8xi5uakZvet/DC2VFKfd1MHKkcWPOMl2D8hjI0AEo81whUON3k3bsgPjWboOlRQRv9cYV ISRSnLjohAeB3yVTADPjcW5EUgWcAQjt0toyxJcAZjWUHOZ0L498iOCz1gpqfGkUNnwL8xEWiur4 eO3I/0i/VPCNO8+72bvhQ5I5/BCTTcdQqDuNPoKjRgz9pejKKbjeIVpXCnZwo9V8NPEK1wJxcdRL o0LxKuSN1uOONimSySLNO54hyizPKo1wBStaoGCD7KQ/ac5O3sQytyzbRoai50Dxxuz3EqyNBUiz aKMKc8EXltRgau3b4dpJuBZ/B68nv2HtXjW+Z7wHTAnCm0LXeAXvh2kFgNJ/cx0Kp9AsFpBRAq9+ SxQCnrLjXU196YidakEve+DTPNQd88dabdXBgsrNCdAeBfP/EywZsV0ADvOd1Oz6KlJDs4kxODoJ kNaQuckps6Ml/NDibxmpymR1HkSqHz4tbH8HygAjyZ6YoVOtWb0MEQrMbPgOpBsDSUuDGAf3agxH NJHxstLun/DGM7NKmp2AvI+xXc10RLyoFmxRzRAXAJabiMv5fqawamz20NEV/FB6J5bUEi+xFTcb uZb1Yy6/7MHPP2yDhWbd+0k5uEXcutbnZYkjxwutWG7717BtfVccIRcIzUekPdYynC857fyt8khu 5utpAGx8T8B8lO9y2nwuKbA6+EsA8CaLNmIJGYgKHC1vxc/h8m0jBkLQnT4iwXSYZ9na5pxJH5G3 hCxpgPhDtoe+gUYG5yjAWXAnZTflGGlapT4QLhXrDc+oKhzXx2MrZviBlmD6m+ZxNpdMK5RU/aJt JzcdTufZUZxxgvqfV03U74nbvywz3HQg5Ct4zB4BaIEQ9Kh67d1kmQqYA8b4+YOlHdTE4MgRiAEF oAz2iYzkV2q7sFah34dX+usPJ21vqZopYp7bdoVfyerciM++MNX+q97o/nGWFl1klvRrjNPzjFYQ 9DKroFIdvlCCiNYLHudS9S+QO2N8q19EHAdsCvTfgUtZAOX+LWWUTJF8hUaRIBLrZo3r/6xeqZht zuuMxaihuR5g+RtPSYLyqeVKbJRfqXxJdnHRhEyrk0mlZEOhpxBE85koBwwQr6szCnpuC2C8rei7 qfo2uUTHkGsbTjoeIUVaUiw49lqlmhKS8O3RDWWbk+RXKcrQpa1Uuc9EIMexv4cniZUn1Do1i2Ee IousIM3ZTK2AzmzBtTTIL89u9amy0+QgeRj5DehjW92S09XJ7dmcdVSA04PfXBXhm5tauGg7y4Nv dxmKUO2RMi9HQJGtXgCfZfY6JKck0ewPPmg0Qc6Evg1Zoi2Hr8CObiCC+uVIJHRuqlVyTV4E/R0Z WUin9kLo17oqXYvC4cRDDYkDxJMDNcUHJrYwddqmdr59JVxRpbWKX3g4TBu+dl+rDt4o/2nhP/QF CCQ7ubX7WROsFXJdGeArmQzv3F8C/qOySz0cdjjzAJEyINRD4Nzhha5OizTvqfMUY/5fV2QAIBVo wEvdMrJKP88GeCDO/NrJPFMy2U8/kVH04fnzbaRbv+YwpAZugw/HrIw9FRgz4AeVA1CpDfmon2Bu qIdlZyXnEzSrFXii9SxRK2YYdyFeW4MR3P2OW8rkJnj1AcZuL4+OoAGpUXnPABu2qi3jZ9/H8zLP bEZPx5dOUB0f/e8q20W7kWYPIFT9ElAGmoigWACtfwqjuWgWO8h6OQmvPP8ypYZi2euUaFbywBpv UlJ1OMRdS6coDY9BU3/anafy3DYDo8L0+J++CqzBDQuaXgP580Tjav/yRRpMXZxFA8E6E0JMNhGz 82VHvWUoNYD5xkMOV4SR6ZYwIvXhMkEnaj0SuF3V1/9hLnOURZMXGKeVX021Q/JRwu2S7AgfiOW/ O8b6hRZ1TwjkpnslMNI0lDLsOlDmiWqSLM1/1SzrQ1XDFni4f9CqDBvf5ttd5d4op9a7FnfIVJWe 4ZyfsZa+GRjAS1tuol4bYjF24VvoWWasvN7kjFe9kcnyMPuCKMwaFJkVWMFRhaANXly42hucNJzV LzE8ItabXgkdbbc/KUWK58GQkvArQYeGHB+m2NDvZm+3IjQVA+E0ZazTCPjhiwVpIoaeRjvBdAh3 wElwvBzXdgIJx6PDYua034moulI6oQ3JINtb2BVJsShiWm9criSEKCYXp+OWcJiLVFxrfMVDs+Ft 8jklKHnEEKbKn7XBqQIYdnSTVm/1JIpMUyvq1HAodmSjYb7ha9NXFrpTQtnKqd3TAacaNtnKi37D xlKx0KBEBbLOVfWxq22upU6P9zWIQXfcQ9ipq+CrtR4QKF35pLhh39ZYBCJ6nFEJOdg6aNDDqAim pr+TiIHqMzwSKQ1MvUL8M5Ra0F8QvT0cJmHK3SLO2HU2UA3ihxi/QMA469g+fOvphDcrKCnoTqLj 6cJ9BOhgMplu+vlSJpT583RAw3BqYqxgy+UIPLNWwuHjnnTAcXycrLo7VphD5kre63nwrMqecHK6 p6jmGinDYiYIg4LQKSsKR8QWYPNVWKgTx9kRA05edadOEu/7J6UctBhM002aahjaDbqEoQjgS1x4 ZF02+Md0+gF/tAbN/IjIwLKCaCPMSVaZqUCwr320G/wg5IvF3KB8mTV2ScfXvxNXaye5vm7edS0y 6g/iYphIVnAWUFzvupzhqnDuImsbJBaS3569RR0DZAdvRtBrgVXNyzpvCfKdJ1rzelh3vrgBOY06 IpkPNrOfeqTiPsLZfgWS0buPZJgl+L6MxkPppHyt+0sq3dOUDyF6oz+4DcMZjH6JH4GdU+ocJArd +ZumTirknXLSbDIWrFOVqWJidB3YWoKuGCleZL+1pLZpQCIpBaE9s+CdHtqmy/ZkPVemPCtjeC7E 8T1blTuOkBMRQyRS/UCdCcJ/MtkXpfTKH1R0UaR3KL23uiC1tcWiO1tlCTfCY9zv2a+qRAD2hd6y WDbPRxWvvkT2YLIYczjKYtRwpRvObaZURGMothJW9N9sZMPUw/AkEun6BU6uGxWC7mRlFtsKSZLG cknN72JqRELIE5zwAGIC6u91+cCSkf/ckNBjFfd6IMQnejqrcu+s2UdKcibtye9NqPrMXQhK2ZMW HCSm6di/X9mCuC2jntf+OnNF9YAKiG8SXilrOhPsPe2I/zHvvQIg+SooBZdlgl7WreYECcM6ry5h KqgsHMxqI+z96vqw5KGV+26bFfEve22r8bJzi1OW8/5KOfoaCROpl/TpX+llbKOHBmRtsiPomlY2 8Xof5D/fCFDz46oQT6o7dPfhFe42vy+ILVeqPDh0dQw6CzUeE1VppHTG7Bkt5yZBoG7rSOmiR/j/ yEbfh76tA8SyOjiBECFLYR9S8kFexCdMs+/HSgrDI4V3hvpKas9JsvlUG5WyddzPscdtXJVcMoET m6wYZwpWY3pPlLyDe1PEPBtzOeNIDyDMXhgD9g8XjaV9mX1LFD9Zhm947uYLlciTg9h+MyIZMbXm MHWlxr+ptZhEJdVlHEd54ppCEzTfAYGhE54asqYN/VFY7rW5SedtF8SBZg2bOC638ulOV9JO4s+2 WuxnJPlFHZ3lazsgFId9hXQyoW+/8aGd/9QnfjZDpY4TiQj/zxekVNLHK/UYP83calfxzPttOXWm nah7JeioJlOooricfuzi/t4FhmA8wnQ/ad0g4YhiOZalQl9Jd1NPPBFkVkrfXWaZV3iYJZy7Ns8a gYqju6tkADxRfHm1yk8vpwSXnpfLenBKqDFfqhNFsXhAjAlixI5vI3a5NrbtU9LeZo+Sy5R4O/22 E9Ckqk+aXbJusFo7xyH+eIl6a7kJaChXkO4AtpQ9ZAQT7IKHXHm75IJ1B3inCmwIjhdQPVN986sR H47tpDsBgnTtWwBu6cBjV7AyLUOz08lrYSyCFVkJClb+cjg9QyoISmyKEnfnT5glcAfuSGABusE4 HozURkuw7AlvsMcFwul5KReDduWHQG6ExP3b6kbeX5KGqdRzsF/zjCUh4y1li5F6IcqRDqw5kWlv oeSoQI4LL1daN794WAjN5DiLA0H+NJpd1WqSdX8mF13z3pwsdk9nBl3rARkHTsA/jcrXpxa8sEmZ HU5qLlEKvCCvwfrFj7uM5cBy2rFzZI3RXfyt9mNBTPHPsyUSWU/fMc+iPuR4qMt6RBasNrJX1yLQ HPxvKV0/Gp1CdviViTt2xMNObOAJBC9br+BzPBmss/kr/GYGijji1WdjAUZhO6qPjaQCqJR6kjMm y5ZXZ/1J8yhs0utnskqMIUVwP37WpmUbCp2ul8NfGL1OcJccXLyDkKPUcIt188LZ6woaZn5qjqTr 9U0ARQRAYGFpeP4iLKFsV+mBIxHxtlDUwj9kMfDEkzSr89Cy9wL//rXisoX6KBzsoCwxz+Z1+tHc JRaRLGcuMK4KjCvcdhd94Fr2IZ2l6kudNI5aqZEPKAPX95PGGMYA1/vDjvti990kYj82iTzuCWN4 YQv8Uu0hzlYpW2ULk6mP5PNdChLi5sjo015ZnpQzLAH3G5/1KRGLIlgSgTffjV/Leb9tXtvlRMo2 Lj9TOtk80RZc9jaxaRsdsBhEmGRTRepMS4DitT6vDzsbELSKF5rOc7qr4eGX60dZC6FM4w0IIDJE yGEvsNcaekxlx5ZOJ0QcLpGSfjElQq6tA3+OeYClTx2wuFN7P/ciKk8Cgsw6ni9gcuNHXoLHbiqF u778pDX4zk8gI1gMLaIUJWtIrDPrQNrTshZkxsubaJwxm413qcOEB6b8+WPrHm5z8ydbPJwTVKI4 cLSgxduya0HNbUS0XvbtgmE5aiPjTYJT4Y5HropJsQvqNYgYo865f1c11DAi+PCePR/graMfhZ4K PN+FyNnySirQh5o6wtUPzvWhSu8tEiylmllWO8eZgZlFi02mikXOYwAwHATFlD217ZeKkIerSOYb zrd8D2HcHt6oz3e9GjGsKMl+PjpqoYHMlfuCCdQdJF2vRehDAkjSQuMS9neCL+urlCZkpZGIEmi/ mgwt0mOUz4xsPlaS/QJQGtQXyBX27M3xQio3Ww+7ohuz3xmVlZh/RpQk8lT9fpX7nOyGrvZPWC3J Nvehy4w4Vhp5ImperJBLkDgUe7cNtvCsOeak0vgm+FcYc2n1h5JL4N90WG6yHRsoYoVyXn9KZFG7 evb9BuQs2HP+TKLTTrB3299BeFTez5pjlau+jpDKnOCB0EZZeArXt2DWgOY/WXq4SRnP6FVv/OIe GuC8LM21BcxwZpc/dGJqqztQuuvY7MQGdpUYmfCqxr5AbPhSTOnRcQNuVfMEW7cgbpUPpOI7jlMg oAKk+DLgnQgfR7XmJ6UI7eiTyTnJL3CXL5zWDZsfKcrTe7lLEfj/qd8rbvWs5r4b3Ajrjdut2J2w 6BX7Z5+Aut1OYCr/jc4tRRxYPAltfvQoycuvQQl7m/Zy5Oe0KSd3k+JVLXW+I3g1CO3aDkdwv3gT DqmaYoqzLmgShf7NBA5C1x1usQygXJvZ4meCY49j34dnZDpjmLqC9q2T8bLFe22EkUDKEh2qDyHN +4wGIVJUNuWvl51G/XpBa+ss8pTsnSEl4Su5lRXJfCXszdKmZ5342/yxUP0yGJUCnTkPbUQSDETP 87dphK0swnE/y06Rr7YLrplGKWVNdDLPlDrpv7ncYvmnCtqM7A3ufDNALWXqGUOAjlID0oAUvdQa MAneOhRBQ4KPwlq3PG/jbCW7zc1H5IgQKEjEMKf/ETadleCM5IhtWwfqJOuKIYABAC/xK7sOb48H 9iFrmkBoAIQKvvt3B/7Y/w38nlxc8EkxRV/b7eu1eLt7zcyZh5YOK25+lyITQTb1Rywhvlm4CXln ELYFnIaWPRjvt+VKHk7W8yBUkqh/7VbE8aHNGbEyOoEfmYic2GIyf3fN9BsxpqPGtmqgfL21sxwP OYaMr49g2y4R9+ykkAG917Z7caUYT477xC9MUWRfnw05qW7zMOiiAsIgmMKwk7V2krrIblst/7eD obiX5rWXY6c/bEr5nQWvWmV8Zr+xy9sec8/qNcD2o6TR5XBP1tguSTleuKeRGc4ZNZriU/F4rl/k JTNEZ6K61gwpxBZZ7zEV8iOvPYtdQuhN0KVHzt6LHLlmMHAmZfhcymdBALhv9zCXpeKkv2bcFm9h SEIltyyhR4m+bv7qg7TAJGbUO4P5LIFR/KU32mls0NaiYn8xoXE05ZK6TWKWnzaN/HBWQThKP4Og JF7VNIYaCHgocC3yMeZac2xOSF3OZL5WZimX5D8De6uyDBMCPCOrd7HPb1R0jQdgs/ACr1pikkPo 5GP0PaDt5u5VWA20L37axQP6yFCwDJTHxY763uMxhLOJMtDY3u4pMZGWGC9r0A4ejtfaa300JCGb 6K2GwRqYNDVV/Bk5bvWdnHxYqIF1uIATZuWZQz77d/pji7/d7nsgTQIRkFmMxBauTQstpLMEPKoi oKk7s3JoqUuBuE2X4hosTtfllVscZcsBs37/vPma9aDZVXRkh5txGQpiZyspOYuFMk47RIDOKyZS 3EJEvd+ECs0eqksZsTBNO2EP9e+0bEDkkW1NhwcuLZilrzxx5954AsT0PGBueUQVJ+MSqfUyDmQ4 rjZcudjYBXi3lqmKybXWGhJy9DCO0p7Cvjo6ZkNt9GsMK407LJJoFej9f7iHUweXNeF+cVnprE7U Zco7A9RDWQqlBr1stF4XbmXCaDUMrckLUl2W1OwI14QaRS0H0RSXkWZGUlkQIvpW3sATV9AL8HX6 S2IcL239Uq+Ngp/0N6aUp00zsmzVuiBv32YQhrWWwSk5Fyt8jlP8n5iGGP+6akXH6M5FRD++MqFz 4YK9lPL46NuDYjWaDWM3HWruw/+UnY1Zj1FljSOxRGc4maeMvSqk0+DK6t/VlLVealJNHCe4ols5 rSvgGua2+hv37kcnmUAxQxGfLINMgd1FSwTBHbdg98dVmOGKK/9WDqC8ZhG5O39DCja86pAiNokK XO1h3xzAPXKerdXFxKhiZlTx+M41LhMXsInyPkXzMFB78Sm7AjT0nJz4sVdUSt70MR44NtiISnPC PwtUvrFhmrZff0fyUDXvAS+bCsIq6BCftJgclGNFS/quAU0uJluVYR7e7Aqtk2BxQFjmFbalziNH 0tyMRbTepKKgBcsumRKWdIGt6RErjo6Vg6sLmHrwUDAcVUuWQT9pRR4JEryCuf3sHu1ePNihNQGM 6gmwCHSO2Ir3MIFtoXNK/v8cjZdFOGyCND3oXLG1k8bnnbRm0PMAr8QuUMukQUUPVz/Cj7A2Ii+f 9U6jYy2zMeAUH4uWm3bwP7NLNd4h0XQffUTKH80hRFprp9QQa2YNytdxBk36xg+JN/DSi5LI1KQG wBlEHhW9jRonyVCVWDcjHxIBo/h6wtp2haw8UMq2yWJvqiL+IC2D5nQz4yVj4qAlX6o1oA7RAOK6 idJ8h//AGoM6Wl3XVWKrUD/cEP0nOOoj5oWFSxdlQQNHhmGEp41VdOeuSVgRZmYcz4hp5E/rFQ18 d4ZCj0aeFEGYv87aSVWk1ivlSuIdMgkRGnQTbsFHP795LcFIHqokqeUlwJpPgSKdSfsMPU8lYaGx TkIlmrCrYZicvVihhKF/HChLQrDCtgy9nJLYAPgKwqmBTCbFnSlA8+U0neIt5p+RGEcTXlyl/1hV ayPyYN/gmsTzHlVPACUjKITrVKn5u0HAE/1C+wViHGU6Md29vjFy1R4C0GH6bf5psialdsbxx9vJ b4MyNosRTIDho3lTpNeTy1N332Vs+0lVbtSxpT6xJPdicpYY/zmRbul2rfKWuKQ9OpWWJoLFEFiO 69RpfKE+pVez5s9aVbWl9CcduwopTOlb5EEknl2Lfvxm//OrqsJIyfCrzkdX1o+mPjQrkVB6Op7+ I3+w5scEE1CnKsr3mAyRf3wiI8SDu5jHe/tA/Mc4L5guZepXRD4hZj5YKihEoSky6rK8TkDFLbx2 b6VLeevewjxpWsnHTF6OfeNIcCH0YxfQtMb8ZYeoGar7Dg7PaPy8KwhF7GwKs/wx1RKP5LjqTBeq swyn4EJMW8CfRTXGaod6CUlxb0/11TGFLcmZwUGaNjKrhL42qLLTn95htCM6qvsP8ETkZxJES6A3 VVmdjdCYWw+8ZbvQ5IFT+f/oq4Dq5f6s8I1YBaXi2+3q6PwuRGZc/w9RtmJBQgGs4u9tbPm8fkx3 2HJFrhDM8x72+AJTTob52uauwNRz6u10FvwBGGOsn9sd38HVWHSbts/GyJhwORKt3TO+FcBjlaD1 THz46hVaE3F/vbO8/48gJn80Tm2gcuHqP9W0w+ZEdlPY2JXOdsUXlQCjcc461a6zLimLS2PuRw7M Q1pIXvaMArXbJgOs3vP5PjqD8c6XZzSkHv3JB/Y40Fz5rDRf8DCXmOZdOeiY4zzSlq2eC5x+7D8J kFYwcijWuaibeUcQWoeK8GHMNFKHUhvsDQzA1xImGRkTVpWl4UNkC13toUiE3aFhzYYM/s/yD1ki l9KCJrzVgT2utQy0u+bG35T6gmQ3A22Lt3SbqQRHn2006dIINM6BbMDhR1dZdrq8G/Ob4PjpeP4Z BRs2zhl9Y3ZwjlKY+z/YAQdNtpHkO3ysfcMA+pnw+teBrXc4f+VX0n8Pf1JdqrsKFie14elVtWVT Dz3IkK1B9M+Xew5ix2hulgkQuMOxVYdRkCAm5gaum1IrPaBlAfP8bsSNu/pjgr5qan7Qyr0xWXGC Hz83aPEQTpAU6VKJ6UUI7D14L2qbf4KQkTPXC1USqGIBwfXfhX9IHYjZkq4IeWbSdgxpCwKi9v/B 4Tqq8wB4sHaA8NLTxzxn/Wq9vHxJIGaCuWQfEMmCC2Jf3XLOZ0xmuiOzQBvFZEZryHABize+MOEI qoNpzxGE5oErucxROmYwoMzXhXwhxOvDKK24s3vorL9EAACMcmKpI7XW4PG9Eu+8gfEZtb7ntZH+ HLTKEFObU1vsLzxkdagqG9W2o4+asydE9Jehjso34e6iH5QPH5G34tZ2brcYo/1SYrVZQBB7G7Rw Sfmhq6qPz6YvOHjGjT+O30XpVgIoePB/WbLMhQIalf5Q3lVlGHMMmcrPoLdYa42r3p4BgNv3J/b5 Guq716Hc8Ay9+vnqXCmsX97EB5ojD7ipah5pzxSX3ydDKsTbmrwZjZAWbM7HJbau9X67ch/LHxSe 3M/dWk+VOtwHXhG0VxbrhJ0fIVb7Dax7THAoc9WurUKUrYQnhQ0GFUEUATawfMuMTx8HOBd9XVBR x0RoOKWtpEQ3qb+/rkqI8RWSvpIHSD3x0C4JeFqPhMp2Dvoc4GT1SPbqzJxvvGYC7Rchg63kB/r+ 41aMgrGcTRLmzL7S89xF8DZrky0D6S8T1zD8FSQW/BNZhi+kRAzfaxdBBKHnlbohL9+kIiDrVmS7 ZIUqxsjJvdNWoWTsS+j3I+z+HRM5/dyvYDlv9te1m5gY29t3kizGPQ/VH0XoSdsOGn1MGReoPlBR TcEtP6rSowtmpomgyAwwv1bGyMz+BVtUPCPZcg6gyA82DOPaUN+vWFUCpLGQOG7zJpbXx2XhovcR 9Qnk8a5GlPKZX4Pq2ldbYcu8ziepunu30PcraWxQOZ6xqEiBDZLc48o+JIhN66xUk29yKUs0iN1R PQBJ7yjOoh575+1YBskX8xbXRFXaBmQ7mczjGgDepVckdiHr7EBr+sAdA7x8RaK2ux8XREjMUu4Q DvQSAHAHqE0j2d0yTZzuWhQc8w5k/EBrbiK/b7Hdq2Rv9RPvzrXdJVrs2YRaWizkGuHZ4bX6K4js +g0Wc3wYQwkAuBJpEu/UZYcPHztgMuLYdoYefw7v6uT6wROfMU14is0TE9ddhuyzxl0nANZES1j5 CciQYVNY9RrqQeSNQ9gcxHW6GeJYFuBNyfGcTRpcYcEB4wcKRclIpRwTz3XNvi8jlBoh5G3+FUOw CtIdBAjY0f10nPWlu1+TcQoFGoA0fBM9PjykOddqa+3gBEcOmcpG3swYIzpxgMhcYC4bEkGNsYJc 2Vdr91Jg/n4H7uWLY162SM5QwU7hMo9/b7pVK2QZ2eHTOBqlc2LBsFy0Uju9pQhDGFqFWF4/jrqC Z+hip6CGmVkRGU8nG1Gfeaa5kZzv/Ts2DjQEDXvpizoc6dskdtkigwiHRTuyzkw+ry93VFmqHn9k 88XENRyuJo/G0O+aLXJFOsH7JRrcDD0VyjPQ1cI6BW2xYEQpfg6+RyJZV8P+JU/79HkWNDAYS8BJ cbcisj1oAQWGt8KvbURWOrriCJIIOJxipoR5s7UO6LtEqsy4Xrw+RRMh04wKa57xs4Z3npGeTrJd 47LxWWBxWqp34GzViYLUmv298YmLVLwhFxybVRv3huo6jQdqZQB7d7NPj+2WRWzbuEpPeD6rhbju +AQ991W3IASsQRmnWIs8Yvhe/UhSQbQ35EyfYBW5+iukWJc1PL8D3VzjlpjLqPavkM7qXOt1NGaF xN+mKOCq7gwcCm4ecLBQyQb5cIdx1W1QSRTY1tT8LeaynFRJZUAamoo7ZGryUZTXM5fUcA7wJyIW Z1qEynRQP0Bm+w43tz3wjmMeUglT3ZUybh5kciOS70ePeP2LLrYsMyFYYT7PXBnXgAgchGSytIeq EjRpd/ykaX1/NwPjPlTse0ZM8l19eX+FHs71pbS1arP7VEY/RdyxMekwQGEhf1JFfgsCPQ/8EUMj 9r9BtLWX+Aq67yHtc4x16Pxonjr33hl/DDQReIV1UW6L2cwrAUqMXa/UkilKxZJJ7Apmfk0mCdxg 3x+Clcl4IY+mJG8D158gX+FwV6nOTOZPhW/75aKnrg7hEMQrkaBd8ys75E6OIt3ap7yEpJ0BHIq0 ibsFIcP8aLsJJNe9LrAljBwbTHaH/3mHMMVY214sx086nboAfM+Id5slYzJUQ5vI14fRLp/DyeWb DjFFuDQsxe4jeNQTknmC/hCAJI5ga5A/Ee+IFnb7giOLvohvCO6RV1441aMdhF7cLb707XfBtlN2 TITLxTO9vtC0YtzD/S7vRKfuXODrPh4J1ZRoxnLhUA9VHT6n6kfaCMR2DHEPxIDdVuL4slCY1Bs/ sdRARsXNmFpYTU8f9L93bfsXizehen+Bxj+5D5bIds4Xf38uCp52OxwCGwoDQAcU9w46QVFjDs1R c/eS6qeys3YHu/8llTlofzASxTDY614I3HvTx3pvIVuWvUiBY5fuY0nZk+0vrkiwCCNWzluFgtHh h+eCBO7seAtjSsMOO8C+EBjcX9i1z95LNhPwAExxCNbDdXw6Ty2GXIi4DGTeC/NxwxFqE8t+UTVL /bPEOmn/7RZ9mhbdr4IDJVJGw7ZSt6Qw9CvQ8j0guOyOiCUi5ChYJu5mC5sD5OSfrwlSxG5PVq7t iVbDwkB217BqXp4GnLllQE5r1ykUU0hlUDfRA+VJJANs/VaZM4qbm3+4ZgioDZ4U3snV04DrOV1X 5Ae0ge9EIsfKMWvfyhN3K/2Gx6msWfZONYOB/WabXZ+k0mvrZK1yyRkzDmXRVxxTSrvz8LdW5sBN fDVU1vfSzIOVNaOBdvPStg+uCNbzE8TxRyqKjZEnc7zaLVFYUJob6RaOu4HC7hs3MH5lWBgkouuF m7UbVgqvk0o9EjFNXRPG0BK+eHOOxEwA8Lro5YXuwG6ecXPexUR3XlSyAYVOKJ5M+A2S+YyNPWkx SZpyJU+czfuj9PNZUWZVo3QkFzuK1Mvu7vHUIOJx7Ho+4eLd7acSd/8qwkCDZ4wLvxUuGnebaLkn r/4/3iWZR2cQREY1RZRQXqxZ5GkI91hdAvF0SQN8ddzeuhZ8KQdmdfEtfoPfUrJKt0JvypH5+5VI OywKDijnFib2CewyKp8p84GAU04y/JD+3OhPxEu9+LKdouUhlZjyUystpFD4LhTLFF/cS2XsFdqT qmHSvXSsYGXkfLRw+b0SgsaDKYxkDf7ncCyWB/OyWruuHezmLWPm/CQ7N9aNRpcnkb1536pEP9T/ kJnx7kHqWQDhfrCiTyLQKLTBg18PmE+p87sQW2jrT88CTBuhKQzJhicgB3s0SLnZ8ffR5QDZCvd2 zUnmMuvPfou6yreKbwKLeCar+dnlPC/2jUwdsOyYJMrx0H6DBuzvThUibsEvCyLvMu7FbBcpjmIg 9ZQtmnfRocvIQgoY4zeM4T0PzfqZqTCzAmR+XHLxiLZkleb8KdqdlwP6g8Jz9ZUFNUUM5Po+J5yy 7azUWpgxkNwvmPUDQSyugtF0nROvYEcPs92n3D8ca1tL7E2qAXOXJgbaFg3B4QHgQWq9tJl4fM13 NBRl0g2z/IzKOiSLTRjZgU6g6VZx16WS6NRPPHTN6NNR3klYIq/f3rP+ESX3wTtNc7I989pNEHri Ud3R2Xkd8VXuO4AGcTyjqF/sOkTCFcBDrqxx9aGb2me6LR0zBm4ZLMmizCrPVmJA9HBIXL+Dsypj RFRHMMzefxhhc/+yDzMcy9yda9Pb8IgNBSfr8LeUdm6FeyrKCtUrZS7/YoS5nsS6h6SbG48NSpvF P2ztV8vxcLtwwszIJOk1jBWVB2IEXG8PUfI/ge4r5r1qMzMaQTM7SPhdy9xlNFk3XmLZlY4CPnwH Gwv7O1Vd2ITlcEvhBpOVdkzP+Xtx8xYwnXRBdykSBohq7IsDeMTyOzvfMh1Q9LuL68fMM2xSY4r3 wo6z9L9cYjKa9wGe+aiwL+BUs6tqbXTbGz9jdUgGWU7z3zz5cZYDWls9/ZIqA+vGIKzCe0MOTZ3X n5cXmIe67MVoBaN/RERhqsjlmjD/jLNckphLaRCasYplruv4/kjB3fZETrtluPYX/v9kTM5Z/vjW xiLiNwGcGakSFPMgaPeCy16zjrH6blDdHHI8msPeCe3yagDA8xlCTzRiqDsWXgyQSOiodLvYRW8C 8StyVg3R1Y93nhxpkbavaKUOApH3aq70QSWhfVSlk4BX+2ZP0cY0B3eRGfkkvoOIHKyz1hdJ7zC0 o4pf0CpKzzS9Rx8ZDfCOvZhYPwIXYEx2G1rOlwUn49HIGk/c/OPASQ4BTJQXF+SnoYXUEEqf+PeX S4qS5E/hud60/aPfp5FGI47qwNgiF77Pmn/xnqZ4yzeyCVE0zFo19v8Fo1pf0aJo+/pMN5uOeDGe X3MECBZ3GkFkaLlO4kvNxqGsyPBBR/KKZQsLGQ+Gur2wMAAxruU/4g2Ib3MjwMKEvu992JGprtRA xxpWBciuXyOZHNeZCyJ0dC6epqQ0ATSnXmZ6Hem23US8qIqgcX338U6e5V8+XX6gyX2zZBmrYwNb 4EwPECHTEgvgFICcmt33CFkTCvEGKJayo1QGWNVY7oacLb/fy99m4qGh02rMfGvJhaxVVGESC1BJ s0ziQZzCJ8cxADPRl4v6L0D1BK+hoyPrxXwPQcqL/JYaLhG+asS61vmvY97LWsBbKAkQwpFKXkcL DBx95POGkigK6RehorR7Kp0BwhGvRMfP/phMtDbVD1UfjILrIKkLp5s2dOrWK9Ou8qT3pOzZOvnq cqCFppsLGPTLVPhId3yfmnj7p2czCcBRYi/gDuUcSdOfv1FnJwaUwZ9qyW4JYyVvi6Qg7llBPgQI PIE7ohOmL/kn6cNmQwo5AvB5ajruRXZIy+NKv+w0DIheAa72vnLoA00tGS4dJwrZS96krBHZheRT AWLaY9EMVbcSYKQtFQLilL6XqzysKBa/wCg9ySOhB6hWRJtEuG4oMH2Axgebrqh4epC0HhQIl+0z WYn9j0hLqOWC2yHmqkY10i0967gpTKUJ2ZuuWsfEVAU81hhk8dF0Hs4lyZI3EMbO6FTdALDjjGpo QX8A7tDRxq0TexGbn5pi3ylFkUqQ6YwWIh7bJFqPxRK34d3BRJY9VmcBExWEMcGjQJUd9S5kWaUN QTJppEXU4Rc8vaTfrRsaqiDFXj6lJ7dZWz3salxlAQ7zMZetubEcvT8RROelHKndGcJdJpyOdw4H PrWzmwZ/R1IvquNNC6y8X6PhJcOyTAHYKA9mhDOT6MjdMeN5HrtX2UtQlyotlpHUtwYUCGzEN1Vj SZ8CA+4UVoQNrk+/r9s90cGD23gcPcgx10kZBlqdDpviYytL1kzEPV8oFv0L5nOuc06JNCa+vqlh HokCbU3yWxTwbNRWh+BdouxUq1gnXqNkkhxM0YEWctbsvdf3cD/9jjWNPF5bRmp6+E/oZbBxC3b7 d9JebpUsP066qC9Xl7PNy3Qh1uGUk/dFrDa2+8koFkCpj4O6R4I0AqD7+dN4bcJ/Yhv1ApvcBHiQ jESRSBmUJgy4i9bqOyyC6EUbMq87BHa1NttdWvhBizisixegPVllC1LrBRn6LsfVO+r2UDWVw9GD A1/w8/8h9XsyIsTHsFDfIZLQEtnpE7A7FQuzBY0TJ/PPF55jXEsU5Hic5xhQYRpkrTd9GiY1yfC8 DLgV3+h122p1lTFEsWMPJOQyUqqDKWGo0Il+4oVMgp4DikQoDM8XaPQNKXkcvkdDDAmm80kw6DGg E1nmEBg7/n4vk5vQK7f9lgzVnR9g+n5WA+x6qa7a6Hq4/PeDjWnMS0yCMezNcMrMvvg/ngPdgdvE ZItVGuzv5VKzpipwq+vVAdl6HcvzCG3f/VKbS0JO60v3juqvneAgqMZDJtsl4q8oDRw1UhCk1Wgy eyjSJpeOcuRiCItzGkx8bjkUR0UK0WIMwKpLolNA6FasvPwm/klkNKVFIyQfN8L9g1QrgS3UD5/5 djU2TOdoP5PbWgiebEAHa8uRuYahyArDw2EK9Ea6mSadeH3v+p62npKx6WAfGNXXw7rq9lP14HVI FBHPrAbUdkfH8Z/eVYvbIxUfWCggSzPNHEorVpi1PQe+lx72vHpdpgxLeWrTM/x7UYyFgYxIjIts L3z4e1QfLCRVBLltMIr1vtz3F0Ays2vLYLEVB2VEINqSDw8m8PEFFtUjYvLq/wmhUDby9MYujVcC Tkt7geCWB7/uKOnVxyZFvszuUYTW7FJEY64moNyPj9nrhLp06EY++sATJvY6dXwoPnjNDTgVEegv mXxRTDTOHuFdo6Rjgc2r1rzH8Evxvm6Jg9HnyvcelNQGuHRmOlZvrzucAgrP5QjkXUhPb8m3CmZa or3Bl4VUd8nHQ+WI+vGAlr/AflxD3xN3Yb4vazuYaVC0nHEj4r6qQe7jqV81eboJWhJx47hfGufP cvtfswulFTF8FJqV0KbxpopfGkgjU/OLmkbcs6uK1SMLspXxd0mmyEZPI8RIEZ5nxROfqPJruGEZ IatgWoD9LKCzsxbWWOwlkAONzP7WEWuwQoWxgoPnvivqAVV1xPcNTBtC0bUKW7ie4U88sh6HtMpq XHJU1Nlg81lKWsEsZv9qKM9VELEHcTJ7IWWN5j4TFZ9EAgRcppX4befLRDS9iJccPaOViykUFHdw X9iTXbplag/MUCjZgfPA/Si0MvvUyElz5Erny95QtTZD+xllval5ZlbkEt74q+taNHIT03cBasaM KO2Mu3bHGPdtq6f7B07+cFaP91GpwT1ebgX1dnLAHm/kuXZB9EhS5Ui2kb9kzbbFX/VinJMCFKv3 TmAjcNnMHnK4+Kudyh63PK2u9VIcXhXtSn12h3I0Kpntr8k/Fki+MgERR+E/Zj06QpPZMK/kaaxJ Lh/yqwwO5Pm9/LLTi5+C4RiQBlPXnNA2KqsyucMQxlkGmpHEI1908iDLbugnaFHA7hyaxLVA+mo/ wtC6Zp1tdAgI5QEu0seN1XgTiEFpVkD51Q0AejsBLThrVhXB8JmFU9uLrAXzoK8a0r4fJhMUpGL2 WL9WGpAwR17XPkbZGj9RqinzYdC/5aYE+6w60tfAl6fx2proO6kiPmUrhBED7Kueja+5IOlAcJPE dCbZaz0EUs+T8CUH8/WIFRn9lSCaZQEPbNJuUhXkAzZYKZaxIndLCJwAov/UyA3Xj5PgPEC24Ibp l1fepevCrMMZ+LzO/4C5j4UX5VBzdo2YdZnRo+LEtk+NkBgRkBvDOSi6B/DSjF7fVfZJ3B2vHhKR djZpWsipweCkYKjlZ9Akq7Ig0eGjC/ghoLBZqi+guKYp89tcw8RGLveYVCC3ucX4pVikYdimNZIC 5mD9kVhDPcaTiLR2A/XPwB9zSo0LJGqYaEg6Q9VMS9EVe+V2EuV6PBnrshtGZoAIkUSUQ/gCXLjZ R80KzjQKO/prRBjxGLfOBZRJsPSTuidZv6KbXGujE5lS9A9yY4ncfBoDXZkrpk5kSbIgzNPGtWIf Omn6+RdeFMQFGxA7RZRi6usYT3rKivtbCrNgEhki2FVzl0aPGaBuGC69SvrZGWomaWikIu/j1RGC 0rrUR8Dkpo3HmRr4sSIVJdj7yAttOaO+yCHzPH3gLyrZ6g6v2pUbfqreD9j+taI/AS5z+/i8dpzN 7jDBhTWDPqhjgZS9+lklHsuLo1rI0MOpsgvGL7UjjaLFgBIDhayI8Ai1gTFMlY2jcQRnbKk+wVE8 pe6OaelaWHc0Y2qybWyOsp2LBYLFGbYnPmsZM/+sI9eLDLbQUj2eejSDPE0BXs7+kN5URh72khwd F1zFaps7scBLzPP8hTQulI/+R+AVAXQT+spZpBp2CnMPndHGGuIDXFUIBv5goxC1DMK4LoTbbOMH BM2bsjGnCzlp74ybUeA+K8QrqGDw0l49r1PLqOtIIwUOwjVqksJWR+DdswKV+bMReiUkeewZgGGp JZI00eGMWFZTTNlTT2jK4uTzWWJr/1BenMUbAR4Vvjt2Nz45NimFJAvew+6Lk5G0gf3sVzvk3pwq +f1mAVmZHgY6g6aFqNwL2Cvp0Yv7WhJCoWnm4XdizkWu9idCilVzaxbadTwBysVJZxa4ydXg7ysE 4siDrV+/swr8WX/6gyzCUZCTNX/WyoUut85JUxdSyJY3923nifiEP/MoX8yHUWNPXKIKzA4sPMZQ jlDziIPwXyOQuD0Ix5DUqxSn6Eo3hDAimCbSgW2D5XsiXLYSMSMXiFUpaoj0HjJqjgILiTAXvr32 NPysAO7EHPdBW692OxTULSfMw1I3b+8JhN9tHuPbxhC+liaWTzWnyAwcZ/yTSTH1y8Fm2FTYIMHR h1n/s5+ZrO3j9xNVe1FzggJIyVJ8aBxMfiUFSjB3I2UgBPe8TJ/zlZ1zRRv64cNkpJO1bkpGQcxr Xmnd1ftfxk3rPr3rnloGovQxkzcmmiakrxMGiIMxYgNck9QEvBG+QBEGDdEm3QZF2LZNloq+htm5 0GuULFBLlLFe9XVw/dTKQ/e2qsANhR+LjxJ2QVct2PGTllHuB9hnr0Vhqby0c/sCKPKnbnG54KLP OY/JCdR3EoB2dpQJpXyYHTK0Q+Z51dOncp/YIb50EUiD6DAMOUwBUOL2wtRJpR0WH4ajtHzNLGcW rV3vBJ2NaxiHS9hnyLmv8wFO3jRkA9mvZqDsPkJ2A1d+OONuJBReVGhlYepFjv9uMG4HOWYOEf+j vXyJ0upj11lAOwJCdkysUiG71f+PZT2iXka1C+TIa7oFPd2embtF3Qg9eWsnitoucL6FoK304T8t ePCtMYXbA2elH0zus1CLab+GpR99t1gERdPoDCckicIW+wuhsWzSrqswR7MNR9Jopn1pISxiQRcV barNoBw13DgGLmGzWte2PwFnhkaACw52E/t/S/9hzl/PvDiavs/hhl3sXoC05swMQ3BK5ZqRIbrn OK4CRUqQKgvdDhnaP2Az6luVYTzkJahTb0jx3+A00cvpF6nN+eN5cTqk5AhJSkk+wkCEj1PyWTIa 6ZtOpxrhnwc7Ver0THKKHU1VjT7KsxJMdrNyVGTxXFmMSsD8JCozS3KV81tZipB5Mguc9rP8OLG9 BoPDNn1TEQwzHDJJKL3ZOKFrBL2kN8ksSpiqBtGWlyCnaOniHbHd6bXZLsJwLWN+IvS6o+SJ1zV5 yplJwvPShQMzW6Gl7rTKbn5zmmLwO7eU6yrWFs59zxPKtdnQo7cnvQ8O6SSFR2kxdFAgPpKAcNMb XG0Dttdp7H4YlkeTStCkeNtUTFY8MbccfKS0rDdd0gcqRADWxvsSy4ZnZec289LlfmLN9DVb+mxp QqT9/dPpFfbMMtYeVU7czI2KNHK6ghrQikv6Tm1Pu3SgQKdibiLMPN240zRIttvaEd2cL0cHJaya rTvR96tGJBfo01RpFs7vAC9lwvH3N5FdtzzdlTDV/kSvPs50Fuj60GjNsUatEkEnmNzvo1eCPmIf XcPpLUBZPkw27HGJczgVixR81xIDtbBU++fKF1om0ooGtX1V/lYDM6QILH49ZBe0en59NAZ8oyub J+0o7pH3MCKroF+CQq7S36zwJQR3Eoz2cVWNHsQAQlGZQHQ8sKBNj9PRN2tnnFrv8RxhHKSRjOM0 IXAgtURHeo6oDGWdZj4qc6aiNcI/uibQ++Mb2n4+ga6k1YIrF8mgDhG9eDkf91oXVLBhXk5SHoiz WhJMCEzLbpxqRU6K1vJ316CMyglapj3UjZKa2ZdiVBv18p+lrjrBIdi/ni29wUNu5bN8Sm7hT2hH Hpj1nuNafN6k/7KMjuydJjk85DnQOj7F3r4YS8KD5gXhJ/WFH0W+ytbg1MNoC0U6oxngQ81vFnKJ 6uuahT72Zlc6wg83ZB0QnNfZ89BWoFnjpNAT9hpSH3+PT9Ho4xx2aNa2raUaZ9W6HRQUy3gGnLw3 Mhp8wwiucIiG1FMAkpReKx/5X2nT7aNXHHvzv5ILSSB5CfZcUeF2MdLvT9tT4jO/wPep258ffwOO UQZHiI21FsA1C/0bZwui5tQ101gV/QUagnsvMw9KEyuxT2mNmhtpn9IQRkN4k78Ey6+cEQXASZvZ IuQtLtxl5+nl8s40/zTlj31Mtq7JfNLOSRYriDHKR7lyulunx8U7Z43GWH3Wbrl4sp+3EiYaTIft wyUUATuyiI78zZpq5kg+511oAZtglqmPdkJMSHWy7qGfhB7ZEmavMHjL7+9ODDoHxb55gxsV5dy7 1UP45FUGDwRDxzcs/EKmGGjk9rCSCBnJypQa5jDfd+1EJWCfW6z1paEL6zfK5KEOA5ZrznfRJDuP IHGzg2FCpZ9GcoFi0mSFhblpOrraiKuUcingqQu8KDn5Lpa1CqagLwH1cApfmx4fnV8LtjroOOwg GxQBI6GU8jrDdQ1s3av4HjL/TZ4yZ4ajxiIS3v7qHFuO4kJigJimMidj9zzhdfhQ0bEjrAUJk/Pl DSe6EKRAUWFG8Bysps529XjfQV69lDA9A2TIRcOpJU6QQPmm71mvnRjsDjRvoxApvokMeeS+43KV ROYYdrf3RmHPnLTuVcRuUubtTZ1VnapoNIKFrfZvEksKdwQ8teDcwvpTi3F35E2Z9N1PmhX+hzpD iA8KyrGeXoF5EnxsqMF1PZOBJiFJaVMarfIP5BeLQPIn9RnMev+qPmAa4UC3uBM9D9QV4LT6Xh9/ RdU0zcHpqpY8kN9ZBoQsqJW8RYLxA/si1wp/+qeCva23fJKxInLVeBbJWLbYZfwAGAduQeBjnTsd vYqwJFK9dM+IHFqxn2lfRc87L5+L6yexTTnMYOGRfTZvmtSY99q6EokDYFVaJUvetAFfqolGfvyI /RslOoBhV00StVdnVPoiekqsIXpBA1Yi1qcb66WI/KUBGsENk0q9RLn8R2mJobjj4shNvUo33WQu qiO036Tpcy+PxNJBBAl7IbVp0x1pwhOlwwiWGw2loc6k7yaIpVK74YjqbkJZ3sxX5Ko1QBIxzut2 xQue+OVMlF45EPDnAFslakaieawoMsrzyUr1IWOya/W1slG49r/KC52QpQ0JEhd1/B/dFUubDlEb cXbiAOszScFMQ/XMmVdJMuoO3m7J+DSPxojmWGecS9Dr1ZOrFR1QLbNKDncgkMRs3wiux9MqE0oV DZNqHxb/VtlXdTi+e93vB4i6XUZygsg03hzDdNPqlb8i1A+D3oTkvAEudReHKP0li174UlOyGzrK /UrVxmPAo3qNPyUWNqPYGC9hdr5ztmOFVyNsGjdRHqiWVL8jAuEI/NC3sAoeYBy0rL50zG1R/PQU 45sTtaLNZVCry1tRZTBEhMU0PaQd06VX6NlREhDRjEnESiZTlg+aXARsdGnyjkzKY7X0fHyYSTBQ BPShU+FTx2MNGv0ffTvQcuQeRp++Uxj2uuII0pJpzxpJSnVVYgJayQKI3bpYuqJKAlG3vdpN6K0D mGpQJ+54BteJoGbwdC9cnh/168SGE+fkwzdzDW3u16BOG1tdgtKYYB3mXHwU6E1jQ+3RRKI5fbgx 9V8Q9+AcfIf8gFkvZdYA/xhviglsz1R5RazJS9Zn+I08UpcoMeExlzcn9Ng0iLQByZa0O600JbHo 0ZIq4vdRjPwFt90yFphTlrXXnTpYsKiLgTcLQm89rPYVeCyA13SBcc4g59Bg7O7bqFvEcDYAMDMB 0xrWkArHYD26nFHFsK857VDHSSncxYLCSXjjkoimhB/5G6CBvd2R5mIuEpZViTl+HIP4BomTSMMg k/YJpPQudZDukWoFRB88PbS3518P9esSu1fh5mpBRDh/9dEudV/PcUdC98qGb8ME/5Rnhb6p4446 CYCZK+pTydjVdIFC15qcXJmQxMchha8r1AnxfRMlzApQ4xUJnbp+YYQCXqT+7KbOpOaGlLnZfTKz e61v3U3BsPprffvkDO8qFtG8L7WHF1wVaQOpZ/rtadpxC5tPR3nQthcoEYFSRDxkvMlf9q/iaYQE a5VpNnurm31qcHBZL1Iub2C+SbwlOMVViBkifiFcercBK7PAukQpyXD8C1yZKP838Wbci7piJOGd 5WjWk7i/GDguo+ukZxaZKuhwjoaqZ8/YwYE6nA8wvTdiVB8hT/ikhyeSaYYtvuP1wsuIWWvX4MYJ R5XILGx/Zub7Oo34L+PBpkINy5ewweONwIc9GCvhHrnQugaBhwEGJvdLxxJdFNc2MA7WpMQFvP2l scIKzQuCjo7XOXMrLebudo3kXNvS2dSskldMFSYbqb4usAMtjapHejaXl2TzyNA1dSuN9GA0W38y f5/M7a+/qHKFfM+EnfD/n7qwNzEaggQi5Cenvy1MxJvJYxDYoeeH6+BmZwuq+/ncyh6qIHig7Ncn ZxbL62PI2ATeFb2fx/7f0qnIrChe/zG5KeAhGbh9SK5QCe2ia/cpsDgSEUFc/14TA2kJu+9YNUqE cdhaIbeegIN0vUZoik76Aqn7uUes/b2hAB/ctVifxzVy281+TUhXZZdlZ43XEiBL6q+juo4FF3AK M8vXaNivqUDZ/xPvfyoZOYWfWS8wwzfXvxDJzIqUduWhh5sf5cZURBeJsHw5I2y7Z2Q73cTu2pBR +VuBvAbR0SAMBlSpeksPJYjVw/84oyjzIIG/gUuM7W/CoQsPfOfP4C/3al+OFvvK1ATrJh5TMtVU 452C6muV4bnwuANbVm8DoIrHIO+C3zQTXfTAHLjXeXU1Xb3JO2IpaeZIAIMgCIM5DwO6fu/c6yX9 BG15TZR6mGo3RG6C1po3NcY6RJutLIkkOpvMrn6nlCkoJSrsWq1kqy1RnbkhZjYY4EKRIPo2KtvM kOZaLcs19+LIxOkwWK3CNJ30bt2kgr0xcBf3xWk4olGQoRF72Y4iHvkFluvSo1D+IEsDtBsJiC1I l65pt9X2waqhIIdhuL8ufRjAFi2j8ZawM2s44ssSuF4vEEYX/jtnckhNfQzUXgfnv9qsCT6qxDNS mtgrfsh7I3RX4TmyVEClmEqZ0rzBsXw7SC69yWaSOw/z+arBj+m5BdEKLbCQlqWHO9rQvBHdhoVc jCnaDSxqQ33oqvGS3DWPl45IfZ+mAjVDd5o2qdwHCIWDHW1vBFAlmvrLAIfDdcu+BjTi7vddggBK FnSIz5+50lDDWx5hvEgRlhhlwsC9ENrdeHXvE/k5DXbaVsl3IJvyZGvE4/mR/fdChF8LPE0X1YTF 61LY+gJT8805RicPNoCXXsDD2e1t9a5SHN++YBXmJS2G/nmSshQRphMSLW+KpBByb9YI1oSRoin+ 2pRAy5KhoVgvOEqcLKyO7jcaWvPtYJEuaYuYeaGlUtiCJeYM+Ra2BQb6teimhaJovpjpO5Z/TwDb umnyCx0R6HKpqZTpGURdtlP8G/fU3J0CETA68uxDXzt7ApBSPHHdk0TJw1BJqeyi79QxHpa7D4RF 1f5X5SjGkR1QDTpnKAA6PBvdg5MBgagoUPBqhMh2Ce8lrqQeDkaJw7rvXOmflK8kJQZMIAyuUsyN UeiyIhlqeU1YqisMfhxwf4bXW3sjZ5595XqSRjKU70EHbvPgJuS+RJZtKL0+NFeL6PzVsAMmX3bk Lbq6LNlTxpNwvLB4eaFnb9rQAlF0LFzNa2scztLzh/NCAdEOr8uGoaKu92F1kcdQLo8gyXZTN9xZ nI2Tfptt/CFMa9otsaVdmPMDKdtZly08KGuqMWidjUsnnTq/vq54OLThSxnIpkvUMcUGp19C86z3 omZke4cCtGW6q37paE1r/XtEj7Gp0Bo6LP5KEaCjkBiRw72iF0W2Cdzx1xtm59wvZ/JP9Ey7bThM bWKkLoFQTzs4Q1sdADzFC7MjT0zN7Gw72bJE9SGLxpbEnD3m0dCiO3Yi5Jsb5u+yr1ct9xA/qvLU XNqcH4+NlVSu/2DCkGI7FOx1z0z+PBxjluHLppacGt9Y5VH0Gl8qyrBbD2hq2mvCKn+hE28KaH7O 4E7cEPqvy6Wef965syrFFD29asOa+scC2NtxPGpWsMwe9raMNY5rvl6ZRRnb0B29IP6yxTPWpUhW LFqJLr4dMD5xsw400J0q9p94KXo1huNI2UHGCFGCz8skmzN1FoRIQMQFhhDnhyOie/H3KQQN/k/G fQ49EeWFy/blZrEEVj4rdOsfN/3fr75sIWFy5vbiAl+226tLGRQ0z6HnPnm9iGSP9OQTjuorFKww T4sJz+M5eCEGvqOW5mAJvD85+DPRLuN/8i0WYSDHzRrbo/NId7NiW6SSGf6z3mc89Gm/PA6+FwDt BO59mp6qsJwsfa4cWDULEBueqszV/i48dooria7GsHN+cLXBQ5Q3k43FnlFS4cHtczPwJM6g7bDT jykg7RmV5DMiP4pNKZUmXsfnX2QEXylKa8gna/jwLRzW99K35oQNei00Z3YCgjYadV1CImNIMwdN rIgbmegbTAxH3D+Sox+b/MWHNAlB2hGPgd8ZBwDR4YV7GTUlLm9TcqQ1hjoahRE9TmMQ98e6Sigz SfEinfYvkEZpOK+J9WcuNkzKICzXj6M1OwuRrPCJ6TcM/QDPZdExRC5+oc9l5uGTNq9IFzGH6bUq cur77ceCgKzJqDZnHQLwKiZ2QKZ8WUi9cOXyXr1Gv7icSNWtGFlZ6tY+j/KSMMUxCbYkFktafXNz 7AxyEinaoTMn37FdvrX5UB+3hoycLtnfPk6AWZfqlUDK1P3LNS3vMrSZo72XqG1ftzufvEVuzimM tYrsYTArl55uvhubO/aRQ+t7uYRBp5fbVwuaQ9orNFjB1UqfntvbQyAt+x033oLECDm5Hf+/KQ7y h2jUq4BmoFexQCzKTUGetZuE2uA1NjMYL2uUuitCqpYR0g8lmJvSN/fFrDnfu4/aloO6NaL1V/hI 8nvX1zGfx5LvWLWsu+goFAoy1J1N3v9lPaVRLJscThLnuFHUB4RqvJMnJYdNBUxyYmL1+uCqVefo brlGUeNBBuVKo9/TGARDe3yiRogdcXM3/LvkOLX6VxdApYkbGcoUDQPSgebO3LXyYWSnqsiF5+zt qm0Qt55YYQKZ8IWHSX/Xg9uci2gBc6euRPoBQp+Jg7hcyt2x6/TVgHHbnB4mtPNc8uGug1Rvtika QzAzESIJPc03a2t2as/P9sUnnyoNtAqmDP7lskEZ7gQxaGBqzIzutyW45W2h8g1VEEtK9SHX1CoK +ibhLv61DVo5G/a0iWtyvFQ3SueOLUZD+DB/2yXJnKzOI+pZkjObYCauXnGHX4IzOp6j4GY/8Mdm 2q9HbXYMYBF1wvMuxyqVv0SfjJQAETu3mBZP+ZsEmj/ehdi5ZbhIfR0wRjE2bL7X7tU+JzdPoXqq XJwVNoYiVAVaH+B7l/WUYd25Rc+csGLB0w9tb9PjXYl6Vf03NTcVU0XF0z/ragmRMot6zz6+lJe9 i9cdIupRJKY2szXijOzPzdch92rfJq6OXWDCYo6xshZD2Y2lmx76Wh1UhmyZS47Hj0ObZVe/GdCU NVDeO0bvH33ZU3zBWNDN5t7Gq8rxOgWUbHIJ3q3Rzh8ZRWVnokCT8gozjQwkzeICRrKRoGNuS2BI Lua0HNTJOqzSIY4YadCEqOLC8iCsE+d5CvgBtLrk4mu/SvS9L3S3jCZwthUEIqluHz+XHrSlKiUQ A/NSACHikyS/thmu/+SUqZUyRiiZNGTJF6JC0baXLNTirKZtSND+wHV/H/ZynwHooLQK2l3sCAdy Sg9HKY0tlm4cFrXol46d+yXJ9BnzSoPrmwdyaH36U9u/0ZcNTKZ/+5y4AMa0BfzYTkUOb4TgLvZo EUl+4UZTKz+AoYQUezWNV5rxP3xwxwlTxT4yHi+aSNhdLCBYFbe/9qBze6GjMiirUW+2Prp48QGP v+s79Rdd+IIo+EAMcIbNuYYB+s5n1Y432nsrl3Ibj+Nb6ef5pr0A+oCrM6hixsLkE+5NZqNTx71v K+/2z8gpl67XN2jeWxOUVFTdzIlLYLnGIwrg+63en1I3zeEeme6z14teNmMV6pAoy0803V3f3ZJv ElWBTQYUrVz8JH/+Ip391dWlJheCqpIxK+pbx29vva+LXaz1ecGE8IVz9/8Mu7XqinTiO7L/34F1 tGiLzyoACoMNr8QjvCSLn4hrUQtwteKVeh0D56CecHiDpXjwy+8vOmpKAd6yHUuonVnT1HAO7UIr 1sIjOXrufyu3rdFFM+tAOYOSFka24WUGAbbN+6DBPnP8mgWyow6ERCm9VVfM2l620/qooI/wDqM/ GtbRV33RU09QT5y6QkS+yMafti/WG+eFE7iOTQhflMe/vXu+2q7EXuPWjM/9dYqlo9otjS95+a55 jIHFq2fJGqjcVO9x63la4IIeQvTK9X4DcjsHsiVFwr3Pib5u6qV9GOvH/Ap+TVDskg7h5vk6G4/h SAGVTG3sWRVxWOK+wltJsTPYuPWvRKImqFWvS41Ftdk8IonNeUq6RR24PkPlP/MLslZ1F2Gc8XPH aIDPRMvSiqgiFYBRR9KPeQRsfZONGlxc/YvFzuOOSBnM7/gMztWIdpeGUsQz7L74dSHYR5Od2ocQ clESrJ+NqsvSrFlX4IO8wVItDZYyaL4tTiSuGZTm3kfDkIEb0SIwBdhFAIL55wlCjNcQQSLhSszi 01MpCIJqAkAfSH3RG7lrjpSyBHln2fow7/zRVChQ01AuRytV8S/eIJ/6pR6OCy+MzGiYQEhv1yJU jSE015/yyVHnmGLR2DhB4Xh8bZ47TFB7pSf/96ZeXTo3HKAN1xVhmSFrauNXK/juCElQf4fvA0V/ okoRvtkgDg6/ONNCnFADNltskfHjT27+eMduLw7+R3FOe7NBrlgeJUNfWHlPWDLzl+zvO2QPKa+p H7KjLITo+kFRck7bcoOflzbRsnQlRLMofGggtXeUEw6uLUkUiPzgG/jQ5E+mqT2/0Wksvn6n2sUv WgL/sqTm1CFHEukSDTZgNsbx36ldLc8PeVq2M8H6YaSpqn4PkAUEv03lxLZBv/aXPtFmQ0tha2fs cok7Dbl9wqrpeWY/VahtBTJfuGvoRb/O0STf2G3wgdIj7FG2/cnNhQJl61vWhcbK9t3mEJN2fXie ZHyOGPSEBO6dFXIZzIOzlcX4DWqFrk8WrxW8xqHUekeOwt10h7Rw3t/tK67S2vbotfxABnEW/aZO TUsUACmDI0FggF9ATq1+zhdgJocYj0qzGNVpDuLgXyT6SYkxX9yUOFSkznnV0e5gtufg+iostybi 6QyJwxH84uxGjkkhUaHuUtchwmS9Y17wxe8za6qnkibgaKdIGv4vOBpuFsrQEuYNMbp7feNGrCWT zKzxzRjPTY1tTNAb+inVfQOz7ig1MlgB/DDi/NsdpcsaBH+XMUImSvw7WGadA+aAFfB5EjH5W4An dZdA4TKLxtOkZ2BYa9QSct7Xv6R9Oq5piHrt9ZDLthn0Ch9WZRGwWwUNZ19P1A7WyopcgHQgabqS UtC04iC+Xm0/QkAUohzxDDvSsIIRhQwTHLy+yNX7ps/Ka5C8zO/K+HJXaev4aoicxVvWNN16Re6R pUu5p+qmYlwIxgHf74a4xAaeEffpKbZQ/dP14YokvY91bNxEHA3ETG2bDSDsJqvw7BrFXcScBi6b DH4rFX/UKsqYqnmC7XdPhtc9/J8CqMpogoXNBYKYA4WTIADlkZi8gjHXUFud5ZDSht5SQq/qM19y JgD67PeVmbLcUVY5PSj5ptr+GX9DtCFKNp0bgAXoAAOD3tx2spMqp9Z2FDM4w1vbEMuNOZVAmv0S 0NEAk7R7TXEjPbYyNtWupYRKoaIdEzUovxI+KwuQENGo4SXcUjKhlJy7TDzNnJqgMI1yUc3t2Gi2 3zBZbJWF1NiOtAup42gaWGa/iofPsXrUx8XBed9GtZplDvBgzT61MyShoKAjbhJbO88f+cWO6Qfc afm7NjsgVdrLKmwLVfPvsdtKu5uC/Wh7+LI0Ok3tynF8C34LwQEseEsH0BfIY9YC8QeDUbIV1QTt nRkk2/YhOYJCu5KFnpac84MGEEaAE6B+WAajMXqPiO9zGqd21RTucB85woOTW3GUL1yo/7/XNkSj Z7qO+wdm6vUM3lszFjWOczLgUmtd4CdvXdt0qP9KENSgJfX218SWFhYIpkowwNJ0hwNNT37dAJHp n49cE5CcWWxImqGkqFdLVxg/Ikr2ACAjTgkLU94PbafLKB9h0AQaZfB0hbMjjrbX9RJd1GEQD0R9 eM0ldSvJ8JStilZDl/I9L8c3I1N4KDXSNHvCNFoP3w7OrNvfoRMnBqEqtRAdVTEASAklShWP+Ssa yrX3oRiKq/Wi/YSwjehnMgMyL055B8zcFiOQP2Iu90itvxrS4jO2gYpwgVOwAnquTakSGtUU5RFC k1ZPFdqe9OSezld+7kOP7V26/nOnr3scf6wthFDn28+qji009mla1NKke3TYeqPiY7CBZa7gTCDW cRHb4JaxM35wYzYSyRbYz51AbTvBM5sv5ED3QCRvg8MFhlWuY4YGw0PSOQcNYluzIlKW3VQjdGs0 +Cog3OYKkZazbB2+0pO58pUsKUQ6g6KBGMKZnEd4S1m/nxm7V2YzAY/j8XmChGhE6r+NY0gr9wUq 53LfhkNEuC7gy/Xxb9qo0lgtlCmPFWfXOhIsHxgkFZmIzhgQAOjJQWBwXGeSPSw9yQHUUQOzanTm +f+QdvWRj54H1LXxBV0vbY9ctvrnu2uc61BCeQLM2LSuvDloUNV+1JgmPAKhy9Dx1jk9XuFpJrl2 jTLeG+AnTRli7RmR+bC4j4s5+vj98lPtnZV+X2ZSBsVmttrlAU1Tw123zOGMouJa058f4ibqTiGm +2YNk0vfeXXCo2OKp8tOpXf9Cre/H1irjhI1aFSvb4Mdm9f7h+K2OQqQtCkUkAqLFxLhtH06ArBc I5ee3NpHA9ax1RjFMutyJ8JCvcIPIDA2VQ0aSUrdYElvIWpHBKPB8YmUqKEs5qQeARawhq1JLxDv PxmRZbNHc6nd4wgBn0+iSfD936KoyCkd21ggub4iaRWJ2aKLK6wcU+0ENuC/AiIS5Gyz8IVNdLF+ HeddYqcOOf1SKkRRA9qOjUza/dPLccHRj/EdE2B0S31PM6VHib8/jTRIIp/dLrI8385/poX3vJa0 oB5nK9+dMOVqRoJTVcbdvbbh1xyg6wop7l5loGK+EPO+pWtgvikVHz2BIhahVP6feZyTIC6AoGrW IiaUOT6R/RTsoQoOKJFRgbnR3HjpatsGmPiq4zEQXAYKm8ZEZfCexhmZBvZJ9Dq5omMSLbjaPxsE c2dO+j+tG6r55iFvaxMIBU6mDh/N3bytFgR5OW7To5uX8mDd05TOK3qp0gUpaMSCNDi16U82fbWt l2XA5w1Ue2q1HleQggSOLlJzdr9Chwv35ZH57y6kV/At6t+PQiw6igC3wq73mpNFLHO6wlIOLgVy HLpLP55LRvDgoluDJbEt4piIspaa9poynYxHDu7LSqqvnzkLQyqy3DQ2GhHqWKAl5f707YsdeKNc 4hbJU5oZMRLy3VPS8YFuPN1DgG261hG/RdeL11h4CyWyOTpSecrRQeq7LR57bGtCjkfdO8pRTyPy KhGLIQFOWbAkcXlYzbwA/7UM9dqJAomVtpjYXNTfy8uBSH6dA+IO5e6F6/Ydo2wFD7HNpgLtuP+y Z0Z+AJFej/e5E9hyOHlPGN181xr4i/YwIILwBlmUG8w73dM8+U4hv5jYTECaAyPoxI3TicZr/Q6+ TI0i3oBmlMZLztcPwZA7hjYNAuP8FIdVbbJeK7ct2ohsVIq9ugy6BpCFFz3VYRWwX5+l8EPt6Owj F8KMUy14GwrktAymNE5kknNaoHOYTGrOGhqmhhL7snVyY+IXMhpHuUhkMLHMw6wtDT2JbkO/ocXe Knc+HeJBFJU82YcrOVaZ6fVu+dnIAYbII3NCXDLVEoIoXR7mhr5UCBQI/BN/VoKZ1YLbA7ALYS+O 0y/PKQQqW54aAcIgr5wGxHe70m1AdrELY+184wOexM92Rg5hCBZTiESssrVePJu4nXKGG/nA9b5A 4aanTrBHbsYtyI8B+ILHK3337RaUDfrltIit0M7lMX1jVCMqeevLGWg2GG2M+AcSZ9mXv6aQE3AA ZUbgMZqSkR/UX31QukS/HpPl6/u20rKnLygU3Hn7kl6+pYIjR8D1p+tZllezaijVsDGlX4UA3YTP EhgrQ0K/adMB39r1KsFo4y4luJxIiNJbStk54fiwXAeYziMYA75UZBFE4ZtFxcZfLAWpNIdcHDnW gfpz0iDstCUINuwPFg0C47hmqmfYsS1lZxME3LNp0ULFogxuWuSghYtueh2aps/uA9oejQhjduNX H/MFb/A59UHYdOrw2jnOY8cxPLmcTiz8Gwkzxj1wLViTBqyqs8GzDc+uMxiY1n6IPTNWUouAKggI 4IswRAcP7IoFIIRicmrOncwQMBy9IBoYg5ZSy+Aqt3wJDxjQhHyFnWp5GRowHMNWL9zBY7l3SqqH mYGaNWxWHzDp5Pp2Yd1g1a2nux2d33gvgewkKMv2guN4q68QeKF/W5Rno55Nq8JW2pPGIC3cTdfc 3zeDOB7FZq4+EflM2qNqASLHbo5r1yCZsyQGfVnjYUWcS8DMR5Rpa8nwj+3gpBJlvMujh93wx+NY Pmnmtw51+S41zOiamKrvZ7YtyFTJKJGoJme4bwgCwzSu5y7IVbpMTyUev8KT6/q6c1zi6eSxwko0 oOVbAMAZ2OQeyIO6hMDy4WQPGQ3gFo5Moxf0Hikkthr8i5nQ7Jw2Ew+x0YHe6v69hjliqfN68Z0y nUFEeu5v5Mj3FqzWwtyu3+XmK9Cq9WGDfAn7rjpRYMyCllIZRAjSzOkkDXt5QUFHIftYbbkadDXs cTIwC75kTwrVg0y36bE02CkrmYYxqOrWRtISfssepMSHIzTapuIn4x2CsossC9JRWK9YtFhJHcAh nv8Epl5oQZ8lElUe1cNVVZGndwkHG+yhC5D1qdZ48FSkPCmef66BsYqPBHnpk05uLpVWx9q4pAtq 9p0tqeXhSc/44x0cW0y9pGh6/yVFjQi6NA+y3tgl3ZtK8oyHJrr1roPsbzEG6jGQc5gR3p2XYiCh EH+EVLGECeyl049bT/e4GyB3punRRuAw6g2SkkeYMr6MPJyOlNcp9lJUUPmcMphjHwzic6oyTOAN +5/IrAQG2w6X46aTqfD/oisu7GTKhiKhtdgv61VNXA5WI8stwUwkZ+BpEPcOovXiWRnHmLAsPDFu YxpaXrxUyPbflKAKDn6RJuZim7aPzs2OJdLIsPgB9qo3XanyKA253Yp3N8vGMZ8ShF8KdZflwdp9 aC0UV+3f3Jj4lj47okmICY4hDD02oPmIgcioLYq7k9bST2P1LKLfK2VxJyTJwYRPewxYnVaJko4E PwFxUzENjQvPw+yvkHYg8MWpwRhfqY/xtJjujSmWbZ81pxMpszs6rurDK1fZUQnojXCUpX0nulty WAJUW2mN3T/AjwnU3sRe63ImCypwuOI2JrNLf2Yw1C3sy4LtTxV/xs0odqaZmfEWT9Hu1/KNVC9o 2qyZ90vhCAajMdH69rrRfqb+2sde2zcJAG9tifXjGaYtf/rdNuo3ghIfgoSc1uxcjbsHi5ro7Luv LNGoHzn8Rc6OVceKJcUmSqki9LRXgeTZHO4NHS/BqRwBqbF0q3kRnR94qCN4NXuy08eN8S2CKgei 2tYgjQuBocLG//MS9tXe5o+UqrnxHeiclnmJ3MY/E8aZQYHa/g75zD1ZLgPCSTPLQD1BC2C9bhZm 04MhqiNkeu6+w6cUA22xNJCy83Bv+s/w3O2nCFTj7EPFiNxPtQ/FoQOrCXkUHLJFyO47GIno0CSR 7OxX5jsC8MTb0Ur3DNT+diwXWSoWbUFSZIgesF20l9TQSuTDYwNk6Xpdqnvhv0eDKUHfsdtndF6+ rZXZp1xlybPCU7N/KHHwRwgpdjh7M8VJ3kGRMBvynGF4Up+DhvMBX1IP9ow187dM/tkhBoOp11pf cMxlDS2jzjQLcLWGXUP/UFB5KUpN/0UKEFW9hJGkX9Ll2ZCfoi521WDy6bM90RX3JtJ26HRB7Mk0 I0DBfXy+ssYVw2s9JyQm5Ws9x0/feS1u0a+8kii1xYRpTRCS57lpBl2TwhKwbsnjqpahmD7M4YxN i0LOEV9qNo88lUjV8ww6K5fTGEmWYQdq5KkhykUAksSnI4A/q64HzablCIUTBGTZ8W+no2+sZCqx gl1yBNRHUjmcYotxaH/oZVvNTmG02Y1nxqEAKoVuhDdn8PdNYdA1SxmEGPhCGjomT4wItf3UW71G U2kw2fQYdKPJKL8Dgek4VJ44tJzcC6YTeaRH5SdqrzVcGYbSuhjv/ihnaW9P9AlcmpjXzrNvbWRK rFOg57uHcjcW3UNdxvG+axWlVCoTcqi6ADAGX7DBK6W5QqcZL+Xdk+yVmjqaw3P6GiiGz+xrzNk7 ktBIaJgzMUTpp5Tz6+AbXsS0JVV2L1RC1UFZCr8R8YGvsBfoiZ/XlJfCOGfdkCPxv6DgbujpBeHQ aBqdiraejuPgeCR7cit/8VvHJ0+o4XzIUVSvHEtBnJAvgiuNCY64C13UupmImzqH3JFlPiOj8amM SJCMAkrsViYQAmLlEKetbYR0FbqGHzlV1i+SBMSeK1OAj3pALTWCkyjV3wgIeTLYXV1EvFQX4/Hl Y2JVJh5lik9viEMTkcfYcaKTM/k8LlUD7NZaeKV+JEYIekMyDN2utXHXphUCNJ9rfG8IhWyvY/Hh Ifg+IpMKqvR+K7BLVqcBIWJi3foMGtoodfxxHjRT5zCC0oJw4Wa2jfmmwzyWAwdEc3L8idlhhtqu /t88pOYGaPjzCyijgB8AHZ4DSmTG2b69wN0jk8tgsh8ZR7YzTDSqKqigcAFa/70LwvM5Yr8bvOLR Ie+YplVA0XyRjeBGIBs8IDZjAgenK+KP4E8i2WOyg31kb4p4k31gkCcjso8I1A/0ZOF1TkxVeTUW b+N9cvfKNWFCcYNhsc5ApDWBZlOxU1DjpvD+PILDcgqefxFcHMQD8MPrXNO1e4E+Uw9l8cKGjsUR IyN+PtKRz1zR7AGRU8GZeoT4TdEtCgI7mbaw+eeKrj/T9VUxrEt/bsiqyypQSz2s8C6WoeIF28/k OaNFZfkaHbGtpdK4hH2BuYtQ2BSwKxxJe23cuQzyFBtBvPhpmpj49CxSg7rjHePxsDCSS4C/R4xy H/x1E7X6OdW0yUqJtf0R+4pom5dZRzRXfJIwlcqW42AUVyJfaotfSZyIgunP/pzw9FglH5N+cPqX r+kZYmuOIhhDkmmSllA419W/fSerfPPytj+yCapCgOvdT2On6PPIDzY3sZh7kPX4PRCgNAn3FnUs wCiDI/dcFKIxIBsAznUowkx5DoXqrZOVqfQTPOgGMh+W0cvTS/0XqAl539s7dAFxlJxNG9CpHNU1 MZ1zT/8OWBBKadvLjsblHmF/pOeH1WNyr4KEb0UgrgQqfwTxf2FPaJ9za+Rh37Q6hMkudjywGjFh NB0vxb3c4C0vfGWC5Nr9WJGrqQJxMfUsF4VwSMFI4syU/DTd3NA0PM6hC7uzwN+1v8ubQcHrm6Hc v8rA/vj29UoJ5nUZM8+BhnBEHnshhgiqdPZQtDI4QxwOPv9UMpWgdU12qI9wyL0Wto4orEac0zQP Ky0i32sz00Fj2Sg0l/v4WAgr2FfR707fvr/iFUsmS4S02J9eGxggrtR15LFvYQKclmFYvymDElKT dJ7sVQXhWK5hxFL/SITr/SN1bLBsbM1Me2Y++HeqMoVouH3ezPpGgi6KLqgGi/PPDGfQ5+rL2vWb BHN0MSvwUMyiFkkrSZXYuGoOIcAFcP3yD6Emrw+plTkpKCCSV0yMDmtoDW21LoAWL89PLKfqrKvh UVRgnPL8uKEFyIMYqIgiFVjgB9eDi23nEqI9i4B9U+/hLwZCTgmVjG3M0YIrG58ljMNwq2RRzlrl pLD5MLZO9i0eJxizD08LhHEdxE45+w8nmq2LpYxWdEqIU7oPwY+poHpZRCqXoFK2k7zyLMIBhg09 P/qAly+/1O2OK8ZfCUagqiNj91dbxTXS8aZMn9Sz7Bcwre1dhsUQub1A7kweE8ycfgTvXf/sBCX6 eyNdQzl1jdntAB3rY8a+nH7vGGQgRwe+yvuKwAGlopu9OMSscretth6bVbXW6vBpe/VAWD0+0UiT i5DCdzjlknN/wzRwyQE+TGe/KSFLvD4Ogky1u+fXwVXlG2gJF9FUyUv3czx/jPPG4QXjNfSSZj1S 4j2+z0/VsP/gqqA119QqdhucxYiGIyUeHX4kPkdv3MOBJAtL/8+M4P4hvcEnAmlsrojuITRMGH6R aWPlGhvCxcH3/K6+5vmN6u84I/kdgXd5qDTQ/oqcnrbCut3KcLUd6AiUANktY79oVMyYB01qzteS ZS0gsSMhXm3VxRvIJIWd9LAiimCEnfZIgoDcL5/GMXywCuBoUzHg28WuXbN1uES6etGYDSY7yFzr V0Hk3YsnC3qnvJ8GS2kzzcVlEcJH5jfPuzC9n6IYXcn57ck4LWbj7L/iCvkIrZVQLaNJFKaDXjwI XhzcielTRrQhu3FXAGBayLuaibrLwVPEMKtfThohVzmlFSUe2rpMl2b9+uN3sSQzz9lyYgTRrXW9 4pYVjUFzzcXaAzgObT+icm7h88BCFOS4hUz93SnYbHspnujpMd1KXfdyPpAUoAuWdvc3g+DieDe5 2eJokE8gmNbJxNVoJwMa2+uQHU0Zaqv4tH45s0Xb9IV+/+x7ejofoDjBpGHE1KXoOwUKiyCSlF2k TUa4SOobiED66PYii/nRVzqahWBkLUpi52EE2bWjIa+tJCwDU0fxg+4JBbMToW2TxiRmjR5mwmY2 GXVfyp6pyceBk4E1FJYM24s89RHTHNL9qNF6d01fX6KjbN++MO6upcer10EKtE8mZTBsomFuoXO/ G/vWNTX7VhH6KjitkYa9wWfRRLOuPUFh6rOE25RPL3g3s4WDaP2Sy3DNm6WoRmT1sSq3tvBixtGQ QxZtRZYw0gHoqqwGE8hudvEWiwUtE7lQSR+FHbyF50P1ZoUp8KvMP4u5Y/WvpT/KW6So3MUVDZo7 J/QFO94dzhycPv9nMyXPaOwvayaHCsMgLkituLz9p4yPNWFZrMkV/nWbEIEZ22zvgy3Qomrdt1F4 YFCfYc9rWqnC7U4kSZmfkVdjC+6M7Ype/Ltuh2IidDKuxAJHG3hl2NH4VA8mLlKKHRn4GAfesDZa QU/GQZt2wKKYQ9CWd5y85EHfoEgiDk5zDqhC7QdyiJZzId+METBHpeQydrz088HCE3Fu22dJjA8k O2KLW9WSoPkqyKovDN0uSoOJR6WEzOWMd/OUlDzRiiYAIdAhAIwMZ3/R1VpGCQpuGwUvR9dBEMGA tPnH7b6eWAkOIjAB3ZF/QarItXyoRxRkU57RkB/IpUyPGTlFwzdSA8/eQm2ktK3EVElVWcwRJEUS JsLej7TWd8zBZ91Wx1yfPzsxMoxdYX8KapqCURweBblcwZAyAwaFHlk5Zlv/bJmwbUHfNfl/9uGB 9NbOx5UxPUyULMayTRzXy1/L6QieJSBSoHR0B2rxRFePy14Z3udSS4f/Z1iC78FOxoG5ERWgJowy tpa1yNqHHHA9SdAlr7drqaeedUgXHg/TShf3dvOO3amGsbk2IWp2nuhdZRD7QmWKRJGo6cW6vzN+ zkW5w+otfnhI2FyTK/or5K8jM5irEkO4MuY1+uQmQhJggbO4Tfbc12shvgyUvWPcisr3C/kORya7 HEFuEfZ2P6M+ZknYswcLy/Unn54Ubp6UEh/YpN7ebVgZsMlFbVDg65Y1Rf87+GsOVnR1F8TYeT3+ kCHiweL86qzSpHopBAMdrJ2fL2B5kmA1gsPGOLARdU6ShrD8LGkLkSc+i+dBgg8KlOKZKZkS/VJI xdhfOQI4+FsMlz65DCaLhfdsOnCKN6vnhIn5yBwyz5IDwvjkERsl+TxB4TMWwoQqoOMiVMboDevd McG0ZVlkkeNJI3kM+nMQRa7Zt1mESc4wDav7/TVA3Zo4jTKb1qcCRbqc4swHdX+TMJSngCx7pwVW gsrpdS+FNdg0vLX/pAbY6Eewwt+iy8+OeGodex2Ozi0a2C89u/BLRdbnWVeTsHNUffW83H0iLVi+ 601rMfBdWu6TXwVXCufvI0uly9p+vl4VmpD9ktEb3Wi5WnaOYt8Y0TEhJxrSsn+XR13RkA3u4dm9 CxRSet5z5gAY7WCzZbQTCe5PRexsYpXtPHatAQzL3feGNsp/4JUqSoo3IM6dpdWP8jkAH7WQyFyq BbEBrFnrhyjuJU2GPacwmA1uCuyXNzGyulFTxlysJK2qez5XEcb2j50plaNBduom04Prt/6LyalN H96vlQmRYqwcBHl9xdFQ6hjFaDMyYI/wpYB5EZEi8lCXbyQoeZmNtaYw5yghfpRmoNf8EtA8kxoR ETVsWH9ncotX3T1BMt1sbpcyP9sqCA89X1mAV0KnYvSEu+fUt+JBeuyKci+J73I6FPDDBEkYi+Io qdfYBPoaGrxTCg1n6u6+4pRyDj3XD8buu6nVFTlCfgDmFAXUoOKHb5tNYNnE0TT8bwYVPOrOX4P4 +GVupDm4TGhcy0mlSbDcH0HtdHhCaHAl8YOP0LDT0bZ+ApDGmmFfsUSI4URna0jKvKNVKig+mEQT 8M5PDIooVW3VVAOMHzWFZOj1LCvx3CyuGT5PVDIGehLdqbxVzXE2vp/44jsiIKpLiSF8A0M0yKSa VEoWECm7Ut6xsxBWn+Hp9JRWOZu0PO0jDg5LW+7Os15VSp+D3sWooXoCZ8bdmuBEVNpFLpn3PX1L 2ISRDyhRq5SeOmBpeBIgpiivaRCRf3PAUTWCbbswD8qABNOW1vtgHK0V6DPIHouF7WwBOqV6+0sI A67E9v/Xqi1WNkQzE8gYFFocYdMnSKDbXk5AgYTOevBqTx/w2OnR1pszaypwBFXDbgDiKp56M8SE U6Uzh8x2VjGJYRwgJ5Aflj+PLtmK2eGP4/QpTyirHu6FoBRfmmEhHTnuzQoEkHMFtIlwj+k442US 019A1+CzdJKmzvrJ6YgJPZll20vZh6EWFrPrAhvyCBtG+J6253TiAVwiZOiy7qA5p5rWv/KThkYs nRBMp1LRIok9/L1J64VpHnIGH8fk05gipBWKdb8dYNcasIXadY6lsrWMJiFWiJMDai5YAV3mc4i8 x/26xEZ4mpNhLouz5hRr7lcClrwLPQp36Vw79UK9y0W93uHl+YdPff2ECcSYU9axYlRORHnW9LUM 35uxdZu8kAm0C8r5ZHLeL/L5O03QKByRzKMglusjsgIcu0uvP+v3Pe0fOV/CnsZzmqwUKOL/yt9/ 79ANFN83ZbRphHGIaGb+/Evlmhnaa3LZ6qbfBERW/PxWtjnvI8FVHE4uLg2dWOSpedtOCrWeJqgA Zs80hkUowZEypQgb9NBje9ahI1jebJqeQWK7b6Hljg1liit9anTnBRT+RW+qD3I4YBcLOzwLXoug U13b8B5PF3eZTIlTkKrA1/Z1nbTV7lJcLJ2HU0v1Pz4B3JgJfD6TSXF4nU1BKhY7LHGBWKXq3eMp LCtCIARiQkAoUUP3eSjdOCr37Lgv79nwUeodH5tikTRq3u0hstU7C/VSPZ60ItWCsS/vUjGOiBmv 5GtV246XtXQj2J741eA55ILCQY7+ikB6CgAkaybfD4AS2MapJZ9cHh6BH66YHutTbbb/hCoKj6r3 GU7ARSgfJSuY0dU98AGL72IvMaY8EcFSZFYq/gjOvv8drJYIU9KzXj70ZyVah/fRJLj29/NzbLMp VzMdONz01+LV6caL92OZYBkCsJIyf0ThiDvj/9BgMrbrhWiOGm1dRxBufwxw1PUfONmH0zA8Wi6g Tq+zWzFiFQeiWJRs6D1xsI70sAfM/+IaWXEvEo6EBMVhw9QXYA1MU+HTqfLlKaK2TO36WRxWuA8O qZFVTGo3NUtk7mff0RqP2CSIbllcqIwiYFMoqA8b+cbXXHA6YosN2ifuxCuzdUxnMa6eYLpsrZKD 02Uji+kJESiKJYQ62kj+Gd2gLb2ZYXGDq3XF6q3ySMr2yCA56n4OnnbIFw424B6yV6sRvsj14tfo QbpabcomIkUvEKD0gOWWt7LSYYKbaZG4IuN3E52KbWd6nhrZE/xs+sbtLtytKsBymm+m7UJuhj/d NnSkAbRfFjsW4OGGnantNnpbnoa4nZBpnPdtDi6NdWQabY+JkBzrx6+LJDkXScvqbxK5noylqA6c sUSfP3O+89jdNrUg+swiuk2Q867CIzI36y2FpilhhS8sBcsPfukL4OLSASf/2lLvmwEw3nAcIoH/ L9UPmo9d3SYu5i92FLBxvZzV+JuKP2W45pKNofaqVS3hgWIzyusEwJBsf/rh/tHU1SkryL59lbZU DSslkfc++7NKkxaAzOya52tbiBvk4zoHX5EWRtN9oiBPDGqH1SGd9arWdiFc989TQMC3tR+R7hAx 5XsRMeLgaKOkvAp/vhqeE7D2SU8bRBD2LaW/0b8zdXzZqEJ5pdOa4Djgeo9//q0pByz75/HxhMeJ v7zmElbQ3c62JqGNgPzrOD0N0LstO+CsGa++kBUSdsoneiEFsdz5vKleb6FkJz0Tr64Aw5ZkZfhj uFvWJ5PfR9Ph/EDs52aj1fcUGBxewd9+qdsMVRBbZTRbIbF5RsJnl2h2wcD9fN+HXQQ6VgQ0F0+B 0VuD8VktCjC11SMg5kEBRtum6DuMqCZFmPnnXqu6zxtKp/Ka646rt8ZragnBKXwE6BJzd/IJ19yc F15mLLfnPPkneHmc8hhCZbME8iMz/g+rFm+2Zb/4sxs0/KpC42EUQvF/vw+cOS54RNpRq7PhtSE2 KEcR4RFSb8Uwej6Y+bzi5n8S/9+OHptvaxwqEaY/KSgBoAPP04pdcDXpww0aGpyBxh94z0GI8D4B eCrT6U6kMsP0FOi3EgYWpXT0g/TH+kLr0AejKRJnTmE+PZT+10HVHNCcos873jYbodbdphjFFdKE Q4QdGCay/MDjabsEFzN4gPiIVx5xKteC5FZKpopDAP/3iCeBtgdYAAeECSXyiLg/GB3GhltP4kZA ObStWf/1wZRju8GwnU811iegkvJG7OCStim2uYw1eXJq7XJN8Nrkst1Q56sFDcxzGUYX0kqRm2jB B4STRd2jPnQ+AlgxaDETTvenpGt2kPWjAj+vNi/qaZlWJL5VoEP5a29lyOgcFL6MrEnVI5n3e1bR yt+lBHGChuwOQB9NVLdKj//tXZnEKGlkQ4Xx/tsq3M62bzTtfW9CacX1DhPDBF0FfmxRR6CzZ5LD MwDWo1razYMiEmA+W26yrA0LOiYeCMFS2tLXLibO7/CCr+3d5luaEhexxdyfVDE3JvcTRT0ilRVC TG2kz41adty3bksa7u1x64mF91E4qPKs7w1a8ziHZjatmr9362ylwjjFyrnTKu0APmxNKHK+H/vW 1PadcemNxKefCdNE9K0Ba+lS/JSzYstFBU4tz9VvkgxDdqJzWXcFibkUzdMvIMlN04V/oW8f3zqm auU9Zb1MHT9fRZ5VBxtlh2GgmprtOY+VL68hlVObEkGxbwr0aNP6wCeAICSr3+YtDhvl/BCqzldV 3EnTsufEkY+eHB9wWEFowjfEm1XlzC8pKBTRaMAju6femuA3S9InegKV48Wqf7Tn2OR4LjVDNnB5 D6Kik8j54Kw0k6Ib48WeLw0+ZDDoM9yVHBbXyfbn8ZKzVC4BouNqu3jzB7TlcLEgFmh06zeaOyOR ChFPoAA72W9oxKYBkJZAglfiDa6W9n75HFsvRZN8crO4EvgzH4EzKobCBpqJypwJ8HsugX+pvxcE g6SIu5Yie6dUEBYHOHrh/32PzGKUAwESU+S9jZDoyRplK+NOTd85SIbTm8lB5+FFeKB4xyenAi7E SACrxXgA6asCs+CbFaojHFVdiHrqeYfq8ByL87/o4JV5yxT4qpvuD60698ArMQAmgasHJMHKpH6N +E0sGZqXq1mG0lNs7toJeRtO6MYnHgfmy2arKUd6iOgFyRw7fUQpg9hgR3NXWFqbzHcHaY/d9Y8J qdpkDybQ2L8Fy85iGJrg0uWKYKBAn3Cj9hmZEBRbagkhLrkxRo9plcy9ocGE83ChoSjkDcVjIQd4 jj5QwWOv1UCa8DdEh2IQMOvUNT2g7/LqAtnSeqZWPgPSzh0MfNcM1vXIPcoewkJL8shSv8t7VWRJ WR1hJaJGr/TlZRV/rRc/4qUWwggntMjE1mlYVl4islICr49264jKtPD61X27zRFEBKdx+VyKWENz EFJCASydvfk3dPne7mz0FCcLLRYF8WiNm6HB2Uwi1yh5q1IZSuSJI8/tQJtw2wsQoFpHzriktF6w PfN6fAmAjp6ZQYrUfo2MTOa1PjcALobKduCF/OFen0xu5EmvsmuuPSnfjSuo8vSnlesSthoH9USF Zd6OvZ2sdo0TQQM2CMx8zIXEGWDEFoL+uTJT34sGySozAUyzhCwIykuYOm65wCi63RuLLMVN07XT EUSleTD3+k7cuLZOh2OjN/1KcIjHRJrCs3xoO3UtFNSaij3Q27aHlBZsoFmSAs6R5WjiHkFB+wOq ZVV2fJpat9+DpUBfLvIXv0T17n31FZdj8QFalGpewKO+0XW1q5F3Pj36ipPrY6eVCN9w6OulfFfh 4KkcXH2hr3JjzTJnpucKza0DEGJue1JC4Xae1ZUcqNBoll1ATbJtiR1CztJewpvTxSWvAwBIaVfm F4UUNBdT4RlmDydvyiw+Z78hmWJxcslM1LyeDyChQiYj0cCNhwg3bZzkK7xx4OvzbilxKvkPJI6F nYZLfr5a9oDs3hunNk6zLkmngJ9zkhRrIpdt6pLl86+p7nAR37o351GrROk5vJzPzwAmyLqIeNDA r9bQ9sSkQCzADQQtMlVwu9r7hUU7Ud87/zz7qMHKsveJoJkPrVvAhunHOOLg4niyx3pP1RkkIPXC AqSIY/G+vNjoLlfqo+hUeLDzNZLL0A0t5q8uyIXw/sTUZgBuGm5jfC/L6X/kX3boW/DZmMw8aqFM aeLZr8JYSiRnp/8LHffBA6cJ08z8wCjHuADdz72TdO+zeaxfyGJ9QxrxA3qF7bI3oElw1NdvRXqU yrk9G0mIkjyYv1AaPFMF8IxRv76ZMy2RDB9T5VY4qEhY2DZDE1DDeBsaVIxutvukmO1FiQk72Fck 0zCuGHGq311aIe7oUO2OMvxz3j03C5xo94DHwNv0wYh2jh3ddCnxKbBb8isOM3An6Xr03s0Aqp7y LQEbjFhWc96pUVyr4s9MsUAW9bswVMwGmTsCTkWTPJt8HfRkodlNK5xGbSwy1MlaIy0o+9OMansn 3e6UtdRtMojO7jOOIzIwWhbPaBOu8Y40C4enP8RoUHBPkOdrHFLrKy+H6SPDut434NjXbyXTwSNw hNMphMtcTP0NDqHdmZI/U9e4SaKyeS3fytaZ3H39v57Ly6Am5nm6R/mkrkWmzYzUfL8vBjSlMq2D YAn0QRQLYUGlyj/BVpEy+fpqXm17m+wVx70tVz+m0UAUgO7sGqSdQBsRDk+vHLtEK0NWygD8MPSB EyaD5StZipwNSsHlPFpAMn9WwbnfuWDR+P8KPgl5lv6YlDJuQpx2vUiYbmT8TbSTQMe+o7FUUfGn ZhcIOBGMSJMOSdYPQgJhVFcsGJIkXIiHD8wKPZ1gwuqi+kS5s4N0LB2hCRTQogf0tuncsFz07dyK ynLU4hO1PDPcbuJ0hUIEsQARWOvbCVe7Tzbj7HOI7MUbeB1fzlB8PjtiyFsZJ+XuDrkulDH9z1Qf 8Zf9XWx4xBtId0E0Ca0Oa+Vxj5eSDa0EsYpPrKFK+i8rAexXD3IPYBUhLlfVp2J0wLgkFM/HLzs1 P1KKa2b5NVp1KtzphKb+TZV3cluUcp6E1pLAMR8s2OfNAglt8xoXVXFSAyWaUEDSoj7mmxz40GF7 +aBdcyb+hKbdc+ohuO528nAUAHDccEgpypINYg0r2mfwkovJHZ/htKncjixQ1w56IVqxWvKrzY+V ATcHXbk8UG6Ku6BxXR+bGXGPgQpe5iFJxbyoFfan4r41e3ZoMugeFC7OFxwCntg11G3nzAG/p/LO Ai9KBYx/nnvLPVxCTnv9pqtANsrj5120rS2AeZHCm/wLmMY22fc5FJ8FC7KFEESLhCgERBH+Ie/E YjOd6UzFoNBkYGDu1bxK/rYOt/YUL/3M1L/EK9vjNSu4NVV3HlXaj1/HvCXiMpjQI+0miBgVR3Ko Nd5PmUT56VgcXpCNOKqa7isLQcZM3E/E4e+ELcL+LKo5M4SD817bwaQRzcYg1PXZmquhQX4eGRw6 +4CxL/1iuGeZqgWCDDnUjy45NKSaYXGuahlCHH2/Nw6Sjrhdakv8X/SyOqHz5m3fnUgU+XK4YyES 0zSU/d8XEXmn2YvARFbF/o+8Ktdhmvyz4wbKy8c+lvgwDPY62mWYCxdI5MuRiv/pMIz7FFEZIadw WAM7CHbJw0zgcU7p7zJBo3yGgdq7rEUNvMfGWkXVfZtJPxsYLDnHi2VMkYftGun/Msm5zCOOd2s5 3pitZpqtDjYXBRA86HppOjxwVVQ9Fy0virclVgzBoNHHRzvcRmDgFGpdRr/MOA1OQGB+gYjN0vW/ YpeNIB2Q/RigWCPKNQY4y2x1BpwNXsxulZgTNNioxLUdFUZFbYju/XPivECfpBpUMjgMhChRu20c /jMnMCYdWQ3kFeYSRcPxs5h0C6z654rV2heq761Ok2A3Hk63qKqOshwkuCAJGFvB/qZ3fAw5BK7j AeF4BCXT8Ecr44XqDFcBFxBeT5hM2I35u0HSrXEIdSLHjkgLHcjfp59N6yEvy1W+z87rUSgAbvNT +J3ZNQ2UNnxxpoEojoUs83amNIcOjNEkj9IlZ2rE0g0O8ogsC+dx/aPWe9DmAEwhCBSeRVOj31pJ bgJvx/ymWhqBd7OS6yDsITsDVHHxG20yPdSTAMmJiosRZepKcR6qOIo1vs8ylEm6s+c3Lnci/KlE 4bSeaxGOlcCQwWeAmWxvDAsB1PGiRq9U8SEKLbXpOf52Cn+Lo7v6rZamPRoDShdM0GHrAEupcHPK oJIVHFNafUpt2QYuwrf15aLtn1xcalh3G/3SEyQRSYLEDyoPug8wE7dK3Ri5ijThhZ+umMd6chv4 qj8qQ8KV0/51H3pX2YrXGtAky4fECqxke3WsspitblN/cSJamVvCQUJS50F2AUIBXyqQ4SPbHey5 Y6b7joCyjWNsMAGlDShA5PovteJz/jnxWekfv7E27xz4RyZTLT1eQfSkobaU7+sXFhRN9btIwNzk 3At8jsjbv+BNjD8nQNZs/iCMAyctwOtRNjf2es8QnOr0VDuDCXuGTg7Kfq7xzQOT4iOIXW6JPkFH NYK36HcGFmn2zuCg1ZTTlHRAPEA+gmjMwMZPse1A0s4DRKA5dEK//THpVSlRZJtw0lEGhA5jWCu0 jKAVJpIsDLw3RsrApS0iYB3ElwKO/9cSiWhkAjgvzbxQyOTBGSfs8v0A7Cxwy0V/GJ8peRBekKQa TTNTqpNA2qdzGDUmVy7INCEOnsosHtY1Ezxwwvl70wImvUbMl9+jbYcVuQdZwkxENaYgAbrp+sT9 /rMNJTto1i+GFke9VA5k8LEPQ8e+mKj/m9RbaZ1ieu6Mcaubcg4+0NrYQkXc7rOJgMsqZYIaKOOv oUYm08gnYqt0xf09RG+uU8cdLovCSCAq6iH11a6WwEHOD45+8/hMr9e3zC2KS2jVYZPIuymmcK6b JT7/G/t7kyW+QX5YX1htp72pkyas5Kbfa+uAyiAXU7+OKbZl9UFUX12ajUDab4/fVcdyAeexFULy owfTX4KOJgV7iHGEzEJ+k73wDjXsk0hXZV+YUde4WOFez67DVmFhXWxoUHkMZxtdQjsy+q0ARiC3 H3BUkBPzfa2eT5YDEz8Dbmtdf4n2KPjw2YhfCELHoG6e4fuInA7dbgN2X0wT71UJ+cb2m0GEvt1f /MGpzh5S9zUAVTq/POGxcAYBzwId1SeRvyKuAicQR0TqNBLM5WEdr+1tyB6QIxJmc8hcjscmLmDN phXHPNQVGmV525rqlEkDp83TurgT/lhJIImzznBkFmC1BBRjU9Anl22am9qgebqE1J2Ye6aVSIj+ +g3tm7FVfOrKo3807RPFq822tbdAaB10Sq2tNV4gf+UwaRfx6cqHZ+//1jq9l/L9sf8PXl11r7cm ZMAssE4rzqtpjkF3pfT+z+ODkhOhVNkSo3+89/4Fwaqx4ZwKXNWJM2kLHbT65yPCDjBjesDDkvpJ TmLiI5ncmyCncJlP9HGTlWrrWkZOTvesFXMt+B+URq3MuPJrh4gtMsxaN/vjtsQp1QkXsiv1DL8L zOJafghvZYsPsfTskqnAwFPFWgaKxJQzjkaS56IfPeOC1K7oW9ZSDRXI7Rm8DOsnSrShT2TM/J3e O0PzdxqJge1/ZL3FUawAclbIym02jqCOgnBczMs5DVT6YvG/ErUF4JBmFH0tZXPzU65fh62tx9dE qzPqlMkLcoOap4X0Ne048tzCQK0tka0osOPyFcsfPevhCAI2U48dZ3WdQ+Es5YrVLVckB1q5bVWm 9guETtjz6o7cSSX1uxAwHmPuJWMMUxY5PJwmaAftiEJLsMZYXirZurJ/7F1LxFd/lyLpB0QK/kYz mMEli40ddUN6bzlIXLz6K+ymG1ilYHAZmvWiKdNIQkrjKptxzty3Yninexz3p944IQKwbD/ybDJd gEtipo9scZISl+H8KXmjgj8DC8F0LiPtrTxJ/ztjukqwMPThLPnInL4VnVcbuUNQ9zw5wmcCiXSp Y2gwTfyWnaK07HZsWIuVnLqmfO7h88CEQF6VjaCe8S2datVLc6YH3hNrh4XZbBkr36dtyK+Pk5XI fSbb2J4Fb5/CCuYOdPGBPi/Cnv79OIRfoKMQkc/DfSsAiO8AsD3gaNxrdulYGIMfL+ILZii6vUXt vOvRy0AIaUxZkadUb3GRL7GvOOH7jeCcWFFvijjbNKN5sIjHtbUAbUdMNBYHzLNAdgJLGQTbHUI3 hbi6W+fC6Zns3xjLMQZLIWLgadLT+Y7+ln7IxCS9RojQ0QvsP/LWPu/MIKAGnprFv/o0GwZK+fTN rCEfZGn0yrMUUsFAff1byxg5UJNtMvdsqI37U/iGTg/CdggGjGO7sI7Ook6N+EOG8PI0mIHIvgOl PdKcqoBI3JONQ9FAntBy8YXjb6p3cl0UMHAeG7sFwbfjwLFIXaGRmBIHhfljyjGWKDjCYpC/QkOI s9ClclbR5j155Kl0GHsU9LsfhACX8wOL+Tq4Sd9kopuGYaePWzdaaY5BVHyt1IRfyshuTeeNpFam /ExBZVL4Mzhackzo/lGKQgC6wWOZEZmB6Ycj1hS/M6YxG+w8J6gP9eR7NoBOUR3HuQZB1qP50eVe RGCYUShl51E7A0v2UNHdycnr+yuTPfqwZwkPuJ5fgC94ZJ+bNV/RWqgX4DXvt6nGVwuQaYXRTJU7 vTv8fiTDcVbaLafGrldC4jcokX52wkD5/J1j8yVpQPTdcwSx+N4hxuIr8CGmTGNnHb7Fn6gFwkN9 AQoxQ3MaZckB1RRb3GL4h9v7dV1+p13h/lhIM5FytMFZgEK9YiqBidcBFXx1nJIDUSfu3zCcZQEE fMDvrPemOMcrMFHqKVlsZMKyGuV7fUHtnvNJbPMoFOPl2t+flI67hty/GSG/08cpOQYTSDpbhCjM sFVwFTRF420ktMPecZO4yyUuwYKPqFh3DQfG8sl+/qdlaklqcdXijzX+aFu7VlAmr6v7n8G/xiV+ Ac9T9gcmZsSEu6E5iYHJ9uR983guU+EpiMpJSXNaem9xA69GW16L1L8KAowpFIn+ZTrZuFmn9Tkt QvGW5UV1vU24qXAgtp3RX5q43AMDePbS8P71qIZTDJeVNRM/MeAeb/zXYFCDk8IL2Sfbro5dzo5p le2eSj2cD57l78P4Bc0sdI3bZbljoFmcUREgASPOtPFOrKcl8xGf6hUGysbM4ecl56qffciWA98Q sEfUOxJCgZGoxVGhF4K7PI239SyXOPnrFLK0SqaVN5XzLcJYJQFQr5x+oxIvIv/mpeXfcbghk0QH URaTjdKg3HkbS6TuU8cID+U+gUi4mwjnI3KbLP0B+xLjcyKBlW4mEe1xsxHqaZUSLChxdQRY18jv sXBczytqDJBjb2DadxXmRMrjSzE6jhDXHFc+cVC2IcQj62ev+adxM0/UwXtInBDCcRTPswjAgV0/ DNMe4SztNA7Ex3VNcuZ2UuV2JUTcymwLjAZeDwExFTVjdN5WsGTSS0wytQHxNMQ7tQ1iVVoD176K mVVctLbQhCqvLVN/Bu2Joq5uHl6PmikPO9RdkPHd50GO+Au0ZyASmpJvU/Gh0rTd39ZZZPvIpZZX zAvXSjUqFeCD4mH52EkPvZmrbR4HTJ791mnG/+Inpc4ykzH4/2HsuESI8Wo5EXHtm9xH2XWHvneF UTpoziqRu8J2QNDN7x4mGzpDXgZ1SPX/1KWU4F76ZJCp5eYqrG0Ii/bFmjlLj+hUw1zhjbKqD5I+ 1YgFsG6zlskQdqN0rHZgQouuJkenAu/5+IeJJmqzcqa0ZStS9rBhz4hqp8os7yil/yfUg/tDMEDQ STC2UKGKFGIbr2+kCJEJxgEGNLn1C07ONQThJKIagmg0MUClnzhV5hu71c59vgCcHVR23kEHC6uy osmaUQsMu8r0PhX12GltV6YkYvfTf4DObu+senhmZ/uv1O2SaZNyLiOG6AmspdI5cWrAM/d2teIE M7AMi7JxB9tYvCew0LniH2N4wAeWXsrffd/UYvzaK8nLyByGSwecUDwLfWa0XyYF6eeqHLp70D8O sEVhRYLWIi9k4kokfHWUAJ3e3ctxbcf/3NuyQhqfgDtjLMkDMljTVw+ZbtBZgwzvOuWgV7Sejucv i7FX+1pH2wLfvl9TUTcP8DhMFpvS4cR7xgKY1feeF+XLp+vGmWSZWlyZ6FAEWRS6I86jKe+u1igz bcYFmWPJY5y86fLic8ALumaDv4wh4qI+XIB1UF5MJFIhw5Z+GbMCLcpllyHs09PhZEa4oVPOfAgo IITX9E7kFmoWHf+d6Kse7wJTWPSraealbyr703G5ypZB/ag1iFBrwSXub9zjkHgxWkWZx6BXWkaq ycgJRRccCtYXF8NQoaf/hRHWOHvX3M9Noc+3cVEzZtaJ6edM3y38aWRHpRXyU+/9HEW/D6M6xyG5 PlNSf8aV1gt3A4b++BaUQPcZIoT8/8WJKSh0agIHxUCmMkyuuuR5xgArncb07UvwX8f4DAYhi1Vr os2rNrd1LH79NNZNxBWn3t9pFR4kaBPl7SDOruDGSO9XqgliUSTwz19n1r/7wEWUNiP349d6W+dY 43kKYj6xWt3EFqDV1qzI7Q4Uwo/jucvd9p58O/2ZupvI8hssIrGEu/bI2Kq0gp2UFljR+ck7SyVs BOgKp2+Gc3x8wFCwAZ5wzjGIohgpIYPXJpNWwUWHUCBAEYsbxV16xexzVLNg1urWOvP1RC0+MUat BcWe1pW7vv3PSkUu5IHnJGsu2+jL/nX3J5XZazIsR3gvbSIW9IAi+B7tUcpBbO6h+7omRWh2F0bm whlEndzrO3+N8O52XoGq4lRFZltl8gOI2aUFdIMl9MG83O5EXZFYwMP1Guk7VuMG+qFru4RsvH04 O0oeKO+0wGHt1O39Oj1I97bkYBMoYoYZt24bYnCz1c63S+GXNjvsJWwFEtAFa83KgA/UkVNOTt+i dqHQWIZSSSNiA1jzuk4Of6dyXCKtgSorLQdPcR+23wL7gdBq/SbW1w3435m3T029fN9KYUWD4b0Y LCmGV5TiFquIcWiYz7+pdaQyeE2U4iEWlcr3A+A90n6PvnsSsTKcIcqAbBbgkT17CY3aMOT/m0cV CbAiJzcZOsdRYIg/b4t3AnNsxIXkNN4iyxAFmar5XWa1y5NqcIe0cuU0xuCXYnDY3DhUHVFNt9Lk Exr0fsh9nez1c6aRbmMTF0ue/iAu0GnC7mn0lk8RODrrQOFR9FPDNJfNPb5EY1G45Q4D07jfs+tp V8KyJj1JKgHsJDc+G3zjZRTXNhp5IVGP2DooUg35zv3KdCh8J4ec7sYVw4ugdwPjj+8IGUEPlr/7 VqAHxS7W2NZSYO4yRnCDFGxo8K3yv/zVOyxa4Ty8nebt5wJGHFny23/Ejk/9nYAQ3aUgaFlry0Nd W8uUUBjU0PTqBJGgL8Pb8Tb9qfvE+i8wFnPLeJ8ak3ZJyMzkYNqTMREYhdANE7Tqm3l6clYjE7ZK C/uKDsrsJe/VIXNN3cu3ISzTYO/dTccnxnrGegNb+GDTiD3RmuVj8ZcuwObUUo2FDEvrRyet9zcz FlaIvyeAsToW0wruuv3vWqyEopeCPgf9v4ERZAxcrrKG+2DkVSJ+sw7tRUnjdy3d6SdqKK+pKVBY nPha5SguXbn5TCwdMJYPM3EgWKzlkyoXA+oYR4JOe1c2d2clzrbfz7/F5cwJU+3SBtJAUff85RYH +FRf4FfQJ8EflVrn7cJAFLbewXrXReVJnwGpAwPT2MBYefm2tQD8fWw3puE8Sad5WcIHi4HbETV+ mbxGOwTOapLSeImenVk7Q84OVEaxqTJ5EtP5eKGei2V26PirM13HW1J9QV5SUV+ss1nvA60rOZhN V/1MN/oyI40/SwprreUWpumBZ18vjZoLppQYIcLQaRo+ZXsxpWudgcixwlh8vWTldu9WvaQwC2HT sz5eaIdI1W3zpFfHvRb7JbJg1WP65XMxbzCS9EtZvqXmJckjyq+sBBBYsa0xwoBehiEFIL998arP zCs2A1RO85aNsG6hujRjDHbl/daiuog71RU9eIe26P6Jmut5UAKL64g5PYN6WglXZPumTyw0M7gC Q9o9EeEeiw3DiuZBrcYGvIU+Nb6Ri0+1SI+a8uO62tBAjW35hwVWvSp1YtJQCu9Va1oN+NGveXii gWe9fb5ucT2pQkL2PhUyX+km8ZYKw3Gjx0WeSiykjN0h+saJGfJOQ73NOzr/AjfcHFmqxW50ZkPd PViILjxU6GG6EUYIejvAgjAQ1jgt9GT5QE34oPTd00QTtxECT77iewJtzv+QGLkuo3zmt+DhOyY7 Ifyupo5c4xyLTe5Q4FcdKKDID/ABALTYOwuFTSWMV2Pzo7eAaGE76WM6USNNdFhVSfupUldO6Hol ML3qtEt6Zjh34YJs7gLoppe0RgPHKjPTek5JqHFHjMUvKPBaEOfw/gvLMG82Hmb8izKTFHirN6sQ 9vsEYOPv9B1QrZTOallDdBMPqu7nTe1ZXqLLeVcCIL04crIMTkhZcPYoqar+rb7x/mSS8XymX8Ho Sqid+Rw0sQ9tPBtf5KVZppCHMMvARurZfAHP+IkvV3W/L8Rj8XACEdTd+fltjVUmbLzMdmktno6+ a1odcKAHjJ/JzhBIgFJM/1TH9QbKJnzBWiE83XtCYinurhLsZBV6PQNNzNH6eN3DVSpgUsKF8i3w O1C9JGzEXDMd6HEOkjOLDiOz8moYEsYsN9ZXT0fGlMqtDsc4q1vwQ8qR55ZlzZkgE/SeOQj4gmnc ftXWaN4yqZ7d47UYFDyayhzpaxI4LPVkdj8EsXJMQWgQZ0u6cXw2eHtF+u8i1Q1zHYMITy8xtlJu WKgypxS2b1hz3cmx5b9AfpskXBlS5pu8PsXlw9Wi78g8PmK9ZRe+/iFS5em+kx4tnzijirkYxqrt qJdTejv7WKmx/CKDXyf1qxMAaciFELznOJKN9bhPgxZowns0RdQnr5Ntfq0eJtvmbzhpWrLIW7v1 O/KLDWEdB5kGZEQdx9j9Q6vP7MfVeFRjQlXNlqpT3GvNeJaWPAM35Lm/AF2/23PeEKsp536yzkmj 1Z5ebjIpTeGXvUWiBqEGV6iLnQM42IAXDCI10+iEG9+/yaIBWOFa3jrJiMc/qlkEtXI/nNTJD1kp ztIbKk472wrGeQMg6Tg3KHL9K3aFOpczGxOS+SrM6I5Gdpm2ULLb+g+Pm4VgGX9BdAPeGI/JX+V1 I/wo3+qAMKgLte43PwAAAaiaHfFlHs8+jwQ5J7Dlyuexnq/qh6FfSon5/s1RdtZzU9doSCElb6ii BWJTKCEV2cypHxSHgP/XkObO1qs5YwwFMElOdL02A6JyY0q4uATu8yaHoL2CFySnNK3tnM/InHGz MV4akX+etMHlMbKIfM3XtrV01nU3m6Kti35i0uIqrgX7C8eKo9Bl/lSMywAmt/r9lEmyYVHNTYZM CDHguvC03YLyIlWdGqrrAhUGKRhj61/n6ChGkqrZJPdRRsOMez2BRy/HgA6xxl9me/13zhnEwp94 zTuz74W02RNoInPaDv3fJ8Wf6dNVJiFOudFLbr9x5KEQIyKgqwGGauc9tVzReOae9D+ivTA7jXOw BCFfWNsc/1sY/lyj/OhcE/4GmAbgF6cN8Jccf2fSj/98ATgRz+9VVg2nBFoXOze4FiTGHdXE58b8 eJBvDhGNiW1AYCxp7aQqJM1FLvsU09Ex6FUuNGPgWely5K9UDao7omc7IecP0heqil6xyaPUdydz p4NXJSO+NtaTwz2wGUQWKFZ3uEXg5mXtLGYrQWxNI0PKYRJ7hpnBAb+5QDlM9ZghbSkJ9hkfsDSU olC99D+sdM0cs1zSWQLyTmXL/XmrbTqoGpKqPNtzkw6Dl2TNOVgLH1PC3K3YTxQ1Nc6AXygo5a9P I88M+E/KX9hn1cX/aqR4t/ZzTvJW671LTzBe5Rnl4EoKjFSd9zLkOuUP8QPB2umjn/7Alydij1cn d1OZBY/mdHXCIbRjvzqHGDkXy+XiC9QK9GHTaLGnG+fK1IlwpV5YuU2SOEjmx7/ve/14EZ03FBDs Zn/XwFwhTePEp9xAFMhXmK6/9de7mWRJyCySPRM3oYIrWa6hSvgQsSDIHd/dSdOYGx+Il/4sHuql 6dk13rJVyqbhUWx5gzw0i27gjkuZuln58Rwmcd4t7gaRyNx03RlK663kyyJONCI6Sg2sNZZvmX0a AdhO34ndy7ltuaIAOrE13gz9NhNgyyQ8qOfF1TMZGpBaOVFI/FCrFiaS9TG8zsrHJfCihx1mEIYu QDGvsyYgSRHaoDbwSjZ+RXH/finQHOMBY60o8C+DEcBILcHJxZFs0YNIFk7zrkyqruMwh7DkCg4j D92uK0j3iECoq7ZO8++r/uea32uNx7yrKgIi19CRU5G7wB6SlAwVcSUdBn7+3aPvuN3+9/EGAH2I Qyco/sgbdJN2tkg9e/RtivWi/03825D5AWgLHzQ2khiVcj0u1IuORdhnsThZEfxEdDVOVUWkS1se dqXksWegsBbpbbFF1RD6iffD14KcIQQSxr4YtpQ3mE+X5R1T1YsazhVPhFu9oGvEZQQXBS9ZBIY/ GiRS84hRr3wwSy2w67qN/cSEiY//ojsBXiUxay4nbN4EaGPC4O2iQkaamZ/HqfS672z88aXwdpms 7yQHVAw3ajqMSFdfMZ+YugJSjfMBrXdtoiHYFq0PMZlyfZFdUAp5OJUGPUOMcGjNozhg5ssCeez8 2JfNy+N3ylE9+YPL0Svdt6n6FS2RASd6J5rYgbL7+2MoJeIeMG24VFLafnZEpmGz3+bHZB88UBhF ZQulGFNCoSV+WGkfHmBKi6rPFAYlgqRkqMfxieSeabmdazIGfROiJ7xW3OLVyp7aBjaRrKNJpBk2 m+KapQyORvPg0qGEta/pxXBpPe3zAqt93ic/7lWe3viXx+XBqF8a5zTLjlxoACnnPeJHhAhHEKcd Y2BewMli3UT1bTR/dUwUa0V1Nrny9HFEKJsKzfEp/YvnRmAoav17EffMx5E0AZ2s4jDGHmCEnevL ebvMUtoGwsihGtv00q+01tzMwtxJX7TzV6SiTrpYy35JgaCv32j7O9meNPBFVuGaAWLD/e5hK5Sh rtDY1UF212NjSRVw3sb0t75pPu403ZFESHoklvfQGVCshjiP3M8P7TcFGasJuIjkFytxLjtAF7O4 4gvDn7U5w1uR0keh6qn8z8FDyUM8yl+/hdhaVlmJGgisYs+7jSt2aT3hej54Vx3mEtS2rhJm4kvz ROQ7SAc+VFMBx28GIEXjiA9j3wHxrHSir6mDFpPkLOQdZwxakUQHSkyjZcmYd+6TNp0NWVjH2Eru ncRZN33OZUBm2reV0EA+2n7WcDltRiy01jSICyPObYVk2szxz9Fkp3qwdB0MmFPfKJzYd8ljz4lN KxvdIBgHFDFWRfkdHgO6avaTLUqyVw6u+lLGO/qxPNSdP8+8AGWp5Fy6pe4UVaYlPX+FLdw01JkF b6pmlmR4Lz+FBdOrLT1qF+QgVV+1dk52biS5sC8DJEIchtkjdXn53Vz4aIwXy8exMvld3qn7sIZn V+XI7dr2owxCI2c/ae3ljpjGwS1sgPQWZgqJZKyZ+qBS7mK8p73BoC1iqHf82USi/ZLxeiOzpkJf h7GmJ6mFACufggzWLdgZrIKBx8eOEJUlkdgbeMdLZF5DCxB+nyq5N5OZhWSlg829sSI4qyIeycFg CFlhP9TVXDSl4q1SbMvEgEjL2om9+AWGmeRHE8SRNomMk8waAYSuekpAdMr//V1agBx5BzOHV1Wp zlUZTDF52rWZ2MIJOAz7SqQkwK2xw0WwlIEnnBPTlg1YNSxtZDAvrpw8LYlP9jp2DFGdmgAjf2kL YkXWeR0gxa4A/Zp21Lk7XMBCckzO+7LVowWT1YpfQmnaT+gwg7x2ZHZSRd96ou1lYHplZTMKbS8s g+aLwPBOwSWHxB8rG+vGruLWcLws0/a4cxBbTA6BKF0464iODuMW4iRQoNkUDBJyN5nTn+VOgIol M8Ka21tpxhzhCjB5XWmfCK8VRsMoixNX5Od6wsb2LZZKYsMjcXp6GWgxOYsKY1WdkA/cDvhH4U4a Ul+nliw7qVw4XLD/3a8EFEUaBVlf+dJTMRG9g1I+ktDHPuU6MUXOMvLcF4DHMjuo14Ygk1kDNko7 cnLuMeJAnk401Go4M7lx5WL3s95R+wFElWj4rS6yA/UW69pssGdto9O35TyssC3uIQskuHrcD4Dd iaCcckMe7LwTaqbT6bC64aRCFuepyWIyS8nNBOouaXWeQHPZY9VyZKn0y+w1bNkX0ma7MTGkHgqL I/461A2O5094XNddT5Cw/mxOvByMGMerlNxTiWFLku7DmfRjjNLLWXfMhQIACXotka72srKHCR3V SMJMNcruz5TW27cwCWVacGZVQPn266FnU2pS/VBj5eLe3GYybRGKHPzibwh7tD6W0RFWTrjonSjs oLTjdzEQRQQpxZeE33sFUsHIXWHeaVcOdATVyrVQVdD6mzCqVxVfcu77on+tRq8MNBwHM5uOKBoX QgO1/WoH7x8/Yf6X1XLt4FcmdWjbnfnzikpO7bEpwacxjNwGDuiyEl9ZukgKKayG7rP6CjYhzfxo H5mp/ftwyUlQYw+t5O6BeDO0tLjDPw87v0YtMl6fDBQk2UNQqmYKxXqPwnF+0FgvoUrvzCyqSHCa 5rpOpmms240TW31V358rkoTLUWcOSrl0oaXYtRBcpgefX1Qv1EaKShifi6xuqQmMRNziC7gjl8Y1 N0XYZ3SV07ENg5AQw2jLyuyZkvheHRs1Z5kTNOO51M3b2wBRGh+07AvGnamPKPGZlGFmQeUogF1M JV9SZ/9s7ukBx0w6JcHWXtIfiU3njF2Y7jxIz62FCLyk81d8s0pYk0fBOfkHEH3jUKBTQfJ5WW7t ObxQGOvVvC9cHZb+frOdHW8BNzS2Mlr0JOZfYAr9cPOz5qZmtQLudsgFUBKwyJaW2gEqrBQlKr+y z/qRzTN7x5p0uEiejTGSuOYAmD0ENWFCLl5wo4vybf/1A5OEAfZeZWVWREdmUhdRPKbpFaModihX 0O608tk1Sqcsl6PWR5vK4161g241BbPiLtHU0al1sgPRQ4lixef+r/RmbkgmBWZrzIOz4Jan2/aj gXcED/ivNYLGl1yBSCrQKAEoVw5C5slobLId7MZnAM4jO+lcjsxx5ZBdty1COb0oK6EzcjTzDswG bfKtTiqAVfxh1L650GJ3IEF1Tb5/+TGcCrY8vhdKgcPfZsprkfCWuPIOZUxb1f1wY9oyAC7LNI7/ cDMVybONv/1jZd+FLo4DJkr9lSf7QSwwdv8sc+bwreLV5kagQ/jt+jLwGZTFLbAnV98AuYw6wBDt rbQTdtrbVPoi9fOqSPm4b+Il4npA2iOxZJdVs6H+tQcUx9fyk7Qyf4/OZk8MqoAcyVVSEfzFsFFj 9kITGxehQjRceDg9BTo7mXuIcMN38QiDFr7DFOaTXOLqshSh1wd1brd3A9FPZbSpE7OMrBceu/Pt fxwArAwoQ2vPMUb+eroT/aK47HyuAs1j1m19w4geppNGmkNEQadpYon/DGhzDEC1sXI62JYsS+xl AE+SYJ7ign8NtPIcH4dVeIbunD2VbHb9EKDLETMGCnfav5AgicrHUxUqvU1avCE/Ao4bMOENn1Ko pHWwU2YwGteLyD/QhE0NuLDYmcqkVLTyZjcxH4VkzBO2D289HlNTP3ENYrTOMTBlQT8NafI/Pwin X3S81nKuf2kLZxwZ48wS7nxtv7/tMmKnk3QUCksfUpQpekaF5j+sIrBY/3WxoL62rY1f78UDMQ5v wXOCjVK29vWHnzXloEJI/KjMk5nmQ0YLjP6fD6QxCHDJGnwz1yz6Ud9Q3k4C1w0BfZJxHl/hh4Db fNRRUsXGHLOTPyqF+I552jg51wgT1g4Wk+V0M+NWDP6/aAaMHgvcvtgZhGNs7jI8UKRR25ulNsoU 5jJUGMUjvTF/nfqSFQQCqzm9cardIeemhUuA8A0kfNNzO6EgyfpEmtyaPm1jBzzGYUHyJnOKYSVw cmIYmpR8rCLd3fJ+/6l4ft1zloJ9TvPQncN+HTTYUy64c4punjPOCyAivUUttE/gUKbiXjxeD32I +HQtG4n3CBsDIpmNRmBeX9TW4xVNx/Ltpn5YO0vq4yU5OjkU03apbYVXRV1jxoYHJAV2Jaw1XHBC mJrKJJGWOW2gePTy68/Tz/TidAlq1BAdrCuEgNHf6cLA4HkmlI+N71c6ULfWrewJ4HZ1kqK/pRZO Uizu0MF59iN/q1mCSHmdzpm588xc2L+TvuGrE426fz53H1AacsGhsrXCczwC14ZDyuWj1BskdwVb XgF0Oit+9mdzCvcTfQXjds+VcIH+Nn7Fa/k+5Hqo/9TKRYfmdwbHEuJJU+5TY41+KV71J5zS6rrm 6Wg+ToWQDR+DZeAyFlnBfWTmmikQ9Wa7t8jas5zipqIhpvc5Ds7meAea/QA0dfjMafF3LLEXBX90 JoqmWniMm9h1PSXQkKmViQgUjOSHxF+myDawnxXSInglAIK/Vkvrg9brzmBbNz64eIXA3zxWcOzO 9kK5kRO5Sb6sj35b7YStfYiSnJY5qRGHguusFThjyJ6Lggx7Xe3E9PbDdMaH817CHi/vcWrxle7A oCz+rYMX39pqgscnAbm5kDZJRq3CDL4yMmwkqS4sOnd1eoLkbUyimJASD35zPP1sMKZTe6tQPVM6 v7aFUr/FZhwqmHrkk9sRJckYE1t7QwLZZr0kso4ErtaHKftOOPmcWkosuANwB0ynUhC4wydjsIID tqHaolTW+4TOiUMgChpzhyswW8RyiSPTyrivDxcbCf6n7B/lT3xzENxsTcDQnuAOQ1IcOINgHAK+ YLf1sWzmDrXV036T2RrE2anlJMmz/aHLg5CMqutUl5jjNnsIkw05zZ85im1yi51avvukmA1MjEd7 1WCTLZPMUeH0hZviGfgm6fCoScN2+RiHBibo95bQmxs4kBlnfVDMjXDl6Q9GS2Lq19FXhI4qSNnn dwyqWw/u7gtfackMuJsUrqi9bVBWhOOOqa4nMOQLzKsBf2idB2P4myUcUab/ARWrpoj2IU9xHIiJ WvaM6b8XWNRC++AQ/+H/aVkvM9FgT1RzW0AU+wLJK2pMvPD4v+k0dtBuZQ48vY2Z0Td9QTWrJfKg ENnFKaH4ZhCRopX8WoFNBieQsI1c0WkZx7+3h7xxy1TscnDiV+1YoBxFLWdBuHpvSkAvjG3Pcp5W hY5pemqUEuG5Lm5BGGy1eGsN7greBQcZHitA6QsOJFZDisXfJ0nrtG0unMbgRabTy+hw0jOCxfyC ajWRP1MuUPhSNXT3RJD/Fsw7q63Zw9pWJzIoBrDoENwLqvXRto36848OxQukOA3RHQlmN+lRbq4R gkHe2gIuk3hwmml6CZ6UROI6coLCGeNRJMrmiUlVsKwOx4ZqFz/GC9+e0sSJPH/9fckvc3suPsLd bnvxLn2Hs1g4BFIAGOmsMB9yTnqgKzVDFQh5fja7nS25zRDPwP3l6JmhaKvKI9ocFbhBxNUeboOw 4BUKxTApm0OloQnosr0A4lBYxYZ6rCTGg1EUreLVv00OML8pMt3R/BwbY5kK5AYQ4H9nlBP5aoPU 9DwLnEHZ4Th2RmY8UlseFKhcW9tj4TI4duM/DcEVfz21m4I6uQafTQ7m5l2MdrZuRpNm2dHhU/eb 1wbknmx3ejNpaD3/6xcvKcQONcIv6F8aV7DglaVtuWjKlCz8/L0k9xMl74+ZcWvkLRnR/bZKBWNF ZHpgh54YQDxMWxamm4USyoOWgyRZeU35oF0VAAb0Eo2sOwQkZi8qwAh7WJCq/a/lSv85xV36KBIa Po/zL3W8gOa6NzuNDjAOxsUEpec+2iaYdklUIcddjsOnTnVEMQqXQV7+WSJXskYlx3eTK38FEl93 vIXCuuHp/EFeuDdkX2qZqeSFwvEmDhjJ3Ln6LovsQieFfxkrsDTFVlFVeXb6DcFL1vBG2UTRCtpY Hw2AqL4eosEzuQJpP0X3/m0/0b/c39jKBD07DvULw0qBjUR3TV+d1fJTBRiztBF7zvo62vqs2E1S w1XY7tf4GDyf1t6vhAdwFsA3xCcEoQBHiKoPsgVc8cDROCBcBk9XFWFgw0lcQFJzccKdqEp9tSxA PSVt1Dr98C3ECH0qkvUIgLtfc2ub19dsqghhEyFBgbtI91Zk4Iw3+5m3+xi2/bIDTH7jhVIyJ8IE i4A7QUK9/NYU3dILcmCedIdT+a7NYthWO9k4DTiHD2UXttjxdIhRnZw+faQ8Snx/6ZYuAWL4mYK4 r9CSPbhw4LE2QmP2uuzIMABQAc3Eb6+Gaa1NCRqTUhXppyL9VyNv0P49P4TkgYdjn/DZaeZqmNH2 kRgfgntqe79heQrjzDQCT4xHPpQ6AcCegIRZG8SA5Umiz3hnRxCe0zkeNiG0g2147L/jxr9/x/Mh uWLCcWa5Rnb5WVMFwZJGNsUCebRBRH9aayatSDoYO3ZB0UPsUCU1SBRm+LSKpoN0SvBlBUsDMYg0 d3xoiS0oO7Zwal15lGagIs4j1OrqCofMIYGm8wER/k+ax5nVQvFRmdekeA8tX3PeL7ucwH1gM/j9 WTMXI+jiDTH8+KkeB2mFXZgKx4OioXr3rPwePxqslM1RTAypNbHauIwypeYOKjaA29GiRcL0mmNn AW9fIaE+3wWqGDmsv/p/jgRHdF3Nhoeyn0o5dUnHaq9w7fACeNaN18YRs/AK5oUne8Ld2Y/rhrGR DqqNLWEw0c0E46iC0E+kmSjiad4eyZjAwe0M7p38vK2g16Bpr1MgGZwxo6IpWPzcj2mGTQQ2TjRb VTuqB6UrlKGrhnT9UtT8hdzxCEyrmVeFuXNaUVMGWYMSnKjHXy/iSByANO0JUXoTpAcZp1VUaArN KYEXADpK6BaDLUfHri5Cwwr8KRauJMASTjU5ts+V9wXhZQQFqlquQmjYchET09+ZrE81Tc3CvDI0 dM85UpNk/dCWCBYyMCOrYZCR+J96jloVJjzoeHkS5LE6piGNhrhP3DBbCsCLa5IiLF9V5xLN4IXu 106cPXJJcLhCuWAFwBUMEUv3Ylgj4lz2XvnqgtqFrGr/rWiW3w2Wl9YzEhnIM6560oAQpuYH0kxV 6n7gZGrGeTDLtvZS7q8R/QMKoH4xpT9eWflM1DFENRBe7FBfRiho1+t6tfFNaOrQeTBU/LFpiwy6 CvlIcgvNNaiJ/w0f4QG0fTnXi7gXxKqmlq8UnDihZB/eXLAVhPAo/MlzD8QJFfyYIQs9PVhE3xl0 LZGuJJwYtAiRvC/8/qgoCTX/KOv5hy5+BNMNFPUFLb5jCqJYPf/HTarjkVUe1Obo94HIIiCVnDYY D5y1xGNklEzRpUgLRZqpEM099I0e2niI1eLiL6sb5BqHGoBnjKjQjSCAvyVfqtwkF0mLDNXARZs7 LIKT63XbETRBiRfBDH7YVvIfRXcAbblJFI06nckg0m92yjDMvzxnYpfSquYxiAA3wVvOi4V+vcYw B4d/kH8tb+jYsJPyU+t64jxwiyMO39hhZStK7MfhUEUttuqNDc+1e1j1A20IZAbC4BTMRPQdXL8V DES7fkQrj3npohmN0GnrEKkYXa8d29fhe/3gBfg5wDoRnQiAheXdHiIpZIoMhZ8F1vRm01vzsE3v Juy/A6wmf+vrqpRhN301dFHF/KYsw3pB3ht8nCORb4fz8yb3Gd5iBY4fFO636oYjAx2SUNRP6HOo GDK2QiMfZoWPE9+UYiHfxpxRgOWZ7wmnSkJgMYl8een5DIc0bw0ZpVj1oOY+PlO2W73YVKBoKIhy cuSuT+GVKL0I4KjlorH4i1L+nQCnJu9nWSwSUM0fhRX2t5tCy4wUTYvZ2oreEFQhkXnIrOo6qApR Y09Dg9Wt0t0wIEyd0LL2+2fUjIKgl0h7btLSlesokO919gvXSpXFlKcCT79VtBwbIvzglz68D6pw V9FDNy4ksyPZU1hMqoEhsNBOXkLq9ruJGRxHKZ6m5nUrZVUZKxEGeMKQT5UwlaxWjFId2clbhyCI KvkZTzWU/oeXy6w/ueiZHPgRP4HLM763sdBmhjNKRiDlGHHFiT+VAZHUeRLOZr7gwrl56hwM4Fa0 N+88L6T1zjhHnx1Ad50AsKin+JI5MVRXVuFlWBAEqJB34vAuCfWwzze5b8hrN/bXM2jWrMnU6Kgr k5ZXnyixgXwWU4XaUUSDkSp2YtS/4HqY3xGjIQu7WRsb3002vw8SxLJ7NfsOywQ349SRuN4xFmyk t/mOicF15bvDvhqrhjRuEHRViHa4QJ3JeFZZNNYV6+a+pd33i/pbT88jCp0sBqcJHGaAYtpb0fT3 UeB3qN/3ykFtWMfsejjwl4rjbAvig8SD3Y6XznXtf5mmw8E6Ke1UORHgTt8+VH8GFyo2pBVDhlYj P/CVoe549WUrVoMG0folRCtyrSLX6oKOKbNvMzSuTGhR9NZZMsCMb/JJQnvlUWmbeHNUvgcPZHvF Jhf2JLHKB+iINIdbRtWeTKz6vCVSgChjl0Tugdbo8didWl28ncELlB4PF4dHB/pXpXI6AiHN36cT FOYY6MFqYKum916CM2MZvCpOFY4cslJy41acSuqes57qSNuX72zfZ/S+6IigW4T5ILLEQHGGbley vT/+i1wEH5gFN9Dj+KS3ExQEW4rnN5ZWTZRAnQeAgYP8HJjHhHT4M1H+olKpAmKtDB+OQnpfFKEx Hbz992K+d252MxvPrrOU2lJeSw3swUyeCVU1nEjtYiBMd0O29BNY18o5EwNT5XVCTvFA0DqqR6zi 7Mo0YcYA40tAuRGB27035A5D/48amhmZgO2mqSX/orRamQNkxK5f7JhPg6dknU6MEDKVoYhcx6fW /61OOXz0KZwPfOED0WIsUgGUJ/1oi8yTkukJSNfGdmceM55XfAaylkbNUAH+CpEk/NWqYc/hLsVq bGcRrghnUt9ZZvlQWX9BHpGifftP24LjezfmqAec00gY9/RTnAoR2zeU59HSKicKR0/DwXNwAj+c +Ho43kCxpfClqdmtowApPc/Vmr7h2kc+dZ88iFoW2vRbhiw/qOIffhzHUp8MrVwlISABQugvEiDf zXxno0VycAfoZaWl3Cl13MKtrSaM9zPt8DnJIQB3/awoJRijd0sd9YIr99G30kSFMPakdvHMelBu LFBFsAwnqKcnNFwwv+AIjrXqlOSDYOWxFDh8vVyaKIr7T/EhFMZDjLQAX809IPpdRs9YPOqbbs6r Jj6XzH9wrpn8RaMQnmsg2T13Ann2aixaqUQUECJeJS6tBUuUA+aJVFni/0NFmD1u69D6nSunsNyh Qd3cJ+9PyJx1BFklii7lj1DQ028O70KHghR0+w8oscjCZmKhK6hk3fEFJjs3lZuM+7v1OsxcQjI1 YlYf7bKkByNYTi8NlY/yXDCEjcqLbrKXkEL73ZsipOKL9ZTIxN0wLhzaIBpcM5SN9PY16HLV4YVH OT9GdpWUP7bjprRSmf+INzXhx08M9/NAbjqAPumOqVKmq0B9YVVxIWuVHs8XkVYItxPf5tIEvJlv tB45+tFWk66+PywxrOCYSSSUmgkvrH6ilVaB8p/owhjYwSQtsKT3YSRSOnlwp/FovSAN1USj7ukz zfz0sxwya+Hfbp7vvmrid80UCei7MCm/O9iyCyqhGO9EkGll3ZWQJ0xSp9Zv0jZbCY9KhHIC9c5U ZBc57+ciLsYlBo0usbsGXdkFBlaRrqKSAf+yt58OoVDT5oANjk7OvTmeiuPM/5lQU63oiIyiEq4d iwNpDRDlkXdk988LDZRAuat1Md3t1Iep8pXdFLeFe+bbbuoVSj+ArEFODcIGa5vURHpv/s2WFABU 2w85Y+sOS2BdltiD3Hr2XQd6pjh5HYvJld0V383lINOqif/pzQtfXEU6w26DsFPAED29KTx7l5sb 5nQGS4gCtJtRMAJ4SESTLRTpaTyvAk5Jf3KLleOhnQWTSpYDNHDcYH9NnIFddC4YR1zYPpOO2yCK x3pvkLFXPC9uvDpev+7CUxMcuuhcXLyIZ27DaOlDYSaU96N4/Rf14yuKybMnjGyQUMRNvthVwQPx fGUVsrKWJ9Q8Q1hfW+0f7D0YVf2fZfRTV+SDv1MtCS/cLdkwkbCNYKKz6D9K0iER0BVy1SEjrYEL wqsX/8MWBMAaCgmbUzfvUdnkcHdVdmdIBS7FcUglHxTbiR1ZIPzwa5wGbvCZsE4aa+fSghlHnRjT d5Ah+JSo3WJbjl1LMVTQmp6X8MXnjrmt16i54/jWsWM+x9qcU6SQ/Hk4ju6eUPZYzfC/dicFs6Li O4Lch4E2zi3JYFPtxKI3ldA0G3crwPCUT1tX7nGiWPaB06NPj34N6yQy1f1iOX4HUel+Vlr6HWEX xoOX63Bqmq3EPm8qxdR3YelvtT/QrkRrmvy7YN3+2Fb92pqHx30gFfjIEdtZgdxF2LzqD4nyVgtg aW5gw9eyOy7LAmHDMPiBz6vDOZ5BZPA4KYXo03sJxltETg7XHRJXvYKIZBfL9ss/emMQIlf661Vl 1JO/u1KIVKca+WTLev8nznOdi2XeAR6/Vt763UbncrtypSwpDUOGVFY8KPNvaLEgLJGwbmhUZoQU YYAiZj1y0+lIbgqJbe4FfAQJfPh48WeVGCQMJGSgDwObp8w5CJUYzfrQJcRuhESVriQHxtp8X4cj sgZVMl60vP76bkEQ5VftFnyheyML6Wp9QiRqAO/HVtB49PRSq/q3HR0qfpR21ULspvzNw7660z2e ZoW80ohJLCauneRIL39KTexjbtGQ6G3xxDv9skfVhniDz9mHLQc4xrnN1RWpHroHhWikuGFNMYZU DjzL4gEihZMqBHQ5Eu+DA4v8Ivo8zPX1T+jmRhsDlOD/tz1MHQoa8ErNY9Br3+ZSeTTVBGgQ6P+A /iY2b4Vz6Bb7uSlV7hvz/JDPFmflEJMGxkLqLP2Z2kFgFcQ0F0nmo6Fg6oUTFKRndU8zU+JnkudU /3yfTt+7KLGDbbJvUPewN3xeBsEr+DSS+M2LMJfwC6rHEgIV3fiQMkprTiWl7z4pYF/KvpGFigXj KYxSYa/0kp65sHWa5J6xssEwCVxMYneaMgDsAEQ8YNKH8G2sUHGEq5N7MrdV/cENN08gnsHi6M4G HYC3/xUjjbGLgLCjkfDTGgCtmvVVYj89n5Sx/pU/thAuYMG4Qylq/HKRBIW+LRLZGjXopaEL35vz u37EE7QNjllXqRw9XALPP22PSTkjMFuVUWfVCGxOOmTjJjbxKqE6ATA9uvzi/t3e/1L+kiK/Mn83 x5Yt6JmWkHxNglpazX7+XUGbAtCIjAuaCxkbrCjVgvT332vwPSp7/ROuFTBOBflYcHNGoSdUHhPm bLoAHdnogQd4GP6Y+LPvpIMg5jHc2VXcEpYDGgZTb0yPqP26n0AQ/9/dvvtcHCQso4/1kUUYVv4k u0HlrGHS0KNLUGrc5N4HY+zYnN6xiLuVNGFqsa8RiwYdOJzcYmbDfhRror1DNs/6Vfwp//T7xkTe 5WGhe22LN3le7/HCKcEyWgLRmHG5aYIJg/k8ZORZNTzJhpapVP/zpzQovZMjEXfRtmgKIc1VcfDF +JyFIjCGEFiS81e9Tbn3M7woFWw5SI91GisKU0GrJR10EWRbHfptwkdlcdaPgqA8WiyXuihXLJPf jWkYZG51R9LPxZ+w7fZsaTbG5crgTUMeDAMSs/3FNgNIArA55jwZpACpDTbjAOm7f+3mwm9H2s6I +Zn3MiWLRX27gDufY3uo/m5j2cCiaVbvCvy4Sf0yp/m5dZAnHwj1Prek+e6NaS8gZ5MHxqjdGmJ0 MNd9x0XTzTj/wf9gtEkwB4S3ay3Q7UI8zCtqFT4okE0UhFJoHS03atLMU9+0RJRfTLwpOOplz9f1 IUCFEoCLBnsP8qlQnPD/CVRphSSYavkcWHlvFyeevO2reFgiEhvA+Fco8/eZWOfXtTs3vlqCzu9h 5FVrSyfFcWUc6758isrrxJxtQR55lIoWZcpio5n9yf5jlu1GK6s0mycxXEIqUaQQBJRcBgYCCBZO x3h2fQEeY5ejcuOXlItqf0D1kbIpHIPIG5flDQGuTSWbv+WnIx6LtVGQ62SAoaaeG025M+540qte 3z9GHpwpdKMl3m7NOTS+HZ3KRfhC49xB20N/FtCUYyztRzVyFI9EM0yASF4p6kx53EgXON/htNl/ KHGPxAwnRN79M9hSZz6nhns2n/0faJrIYUgUmmYxKl68j8pNsTPlnjecaKFS4kpgjCBmpj110aNX 8XQ1UmI3jKlAH3hrUPlcDrCLmWlRz5sQzwtIuqQF+Bo1nK9oZfMzUo78T1CGLG2vGXfEPy6AxZv7 51OqOSuo/dkfUDYHytl3WVUJ1GS/io93e6tLQ1/pAZtPI/e4Z0jsa4fQyfEjLvYfNeP0OgeVSM7w v2fPLD6gpH4LVQqpZD5UFNrHApXZm1VagU65Ye8mT4RFGdG6277zL9m23pr0JD6v7fMwfLjQ59zw KqGSxcnWJVXOfupXLqSYXKQIMAJ7kdcDY5RZ17cR5OisKrjMESFh2aCn0GnzPNmGxVIYeJY6NY+K XElNpJhK3bDR86DyaCyouiTCb+Oq0Gqz4iME6j10l7P2BH0gQSbTIcj/ZEDUXp/3nSPfRF3d4MKw aKFQ0umm6Y9e5Ux9eJVdg4IHPYpjsBYgJVkkIQJaWsNjU3oJgpUSZcAYCkAHBH/1zGZzq59AJ6kh WD7w0odldU+VR/jNGRQZmHM6I+yRM/eDG7idP+SGBmHYFr/O3psoThCLFr2R2AAItWBMmIGmICXC xypZ9wyG0aedD9KcycSALMFOWOaq0dO4HEddTDaJdvtapf5aWkk+TLxiaoBnPLXegebuSlbQNfpC B+cMiuUZtWttKIYKoFPHJc9Nw/lC32S5mD3aYDSDE/sobGniXzAIua58V2lfwBoNdWVscSb06eeD 4cOxT9P5ZZ7uIWLSNCsgEQUSTO1VMbzvhKsBlFFdXFPahrZANL+VL3lYRf4GJ5BhbofIiUJnlIMu +CjFI8+41WI3NSQcbE5MkJC7+0FzqRkg9NR8z3j1LPMcdc/Aq3YSnXQkhM1hbB8mQ3gdHEFQ7UcS Es+j3FPc6EsC0BJlXdKnZgI0VkYOYOXK+Krk4LoGQu/1bGFgHZwkrnKlAglz/6FYmbbMihjnczPC x2M2zZg+4scmGEynysRki33u3sg1my79WUPKRXtvOiB9vZqVHLeonN8ZuyDSt1Uy9E3qNaBKZgH0 PkLcYHwpuoj+pzXdHY8Ue1LZY+Kz/c0Wk9OLXOidFwxeCKW2vG60T+MnRRlOAOI9lIh1wy1sL1sK OU9eo5wPoV7FEOiVdZW1Mtc4et3eSTxyB0cvBj1FsX9WwDju6h+8q9ODEB4bSzFZaqGCP9FmeRAp v7k1y0pdp6ZnxIDH0RRBVahMb/DGl6wDm0wnchzmnQDaLGai77WLHbgj7mIIFhQ2HOU5b4q9lxEh 1nVt9zrT2BprAZTD4s5/1deef2jfksThZXMPJ8zo1mTJiUZgnJ9CVMUvdYR6vLF0NuAMFEnr3akx 3/aLk13iiR36vbIHCb3xD5P6uwgR6qLhQasMByPA3e2kYj/xNDtw1ln3SSuvu34E4I89DeBuib5r aX32QYV1oypMIF3U+v7zfH2/S2Krieh4XyLokoL8UD0AmYjLuJtjYAnwYmqYHMLWiLWpzTPNHded 0iCCa3tVU/9qNJ5iwiy0MlNKCtafcXpAbwmLyGOwXoe1ISGFE35qW04cDZkxtqItr4AAh0uT3OPU fw0QpjtyvyLdTZW7qK68hDjaRgTFO1beOpnPAE5N0xiM0WXiGQc7YbikM4Y/HmFPPBmGGCA4r9FH SzB941Bqqf++3lSM41PS6Y09CDPX3DNj/+/7AmcZUxgZ3KeWzs+eREAM8NpJUmOepxTRksRbuJW7 CiYC6y4lFa+28ykaomyXKcF0WuRIwxmz+hZVoVqyXl15opcp5ZUiQ7SSKU8Xgk+g+a6zctGhb8qq zZ1ox0Ug1aUUnPV0QtYXuMwSo7+DKIAn5UFb6dVSnVIKGRd1PyjCWLGf4+/LswKgH+u3YyJQ7Dlk D/+QcVcE9IBqETRx0z6UjFYneUm7CUKn0USpEaeQFvdVXCTh+07NUG3Iw8qs1GSf7Z6wyMsshAA+ ZTILfhk7JShAqn43eV1ciCfSxJoOwRzv7AmUSlDNoXrXIKJZ7c8AAa/cAOs+kMO62yzetjTINw5g mO3XXFxLz9EMxvEHS3QUUDBD0DuuOMComawQyZCGf9nJFk7M1k7gnLrNW6MB6nBDu+0di+hCOBS+ 0KXMVgWKL1abcyPXhasjWxuLjQR0THhENlR1S0jkczNxyTPpmxLnDWGOtRud3Nki1n9xwvLDZiQo RzgNsTJ34CdvTLEekqTyESlyRxE7pHM+TnFa88Fd1eHhyU2+hhVGeDZjtuijXtoge/0FVfvnY1fY Bdo8ypdDJ7IxMDc2v5b5bFhwqsnG59HCREuD1kEBSdPGNuDjCa135r/zKkba9QuHAMVQzKHNAoxw D6N12ODMw0Iwh0H9GkLMhkitrSlT3DCxKXRGYbf08f5Jh5oLsaU0rb/tcNFNGuwxHpLJPptXmLAA TGeKBetDq8j7+3cveutOjQq7h5eiVgpdGBaCUjEX9jUoBOAa2j+aPR0HE/im27d1/tzGsAOyJZOE nDHFWAVf7T5OBSANjVIA2jLbPJ4TJlcWLOHPAB8uvl7+HvLFh2Oe3HjlR29aSp1ACs4hPdbznx9J VN3H4PtdZNZ4hMiusD5xr3cmhAmXshapl+K/9r2tJs2eWxUf+W4BLV3iokTmp7xgNs71WMfvdsaz xugrohE6I0C+QoCvJpDYLfWHk5xPznXuMU4j0+fHfaka6ZMnxDNHf0sGKK3ui22FSz9tm9dbofr4 qdTgNEJ/h98pBdiSic2eTq3Oap50LKqTwJsINORwAI/9JnbRjrddM10YkY0iOn8Q3QRe/9ojTi4+ IEOaFpFeg3ss4YTYQsAT8u/M4qNNAccbfR9WU7v3plrjmB7EU6DToO7reg00BaFvVK5X6vlWlEOK p7GdFUGJfuShuI9JmUhw7Stq3XQcRUvFXj7gDWvnx1e/Q4bb/x6X7RWjnWVQeKzWBLfTbHOyJ5oB 5KDO5iu0rfrDvqz1t4klqrjkwOYLif2gqTHr67v8po53hZYeq5KYickm0vhxW0/zgSAgYm2JxBK+ 2s5qIbUjxj8fIGbpQZ64upd1/+pwYhq1ilWt92iUr1MYCHgNF+Gw7kXiZS7Q8F2atF1BUKf1LnwH th8z13QEZG1AROExNjRx04yBjei5wYsBITjHJNPmeAL77AhCsCqFN4P240Dgl4yZy2WE7uZH6VFM tK7JEf3Ibf0/I/gciVC/wbih+7df5ynokYodRY1dBpAEAxi/TBLBjpQZUWd1QMH7CxF0482S03dI UBYojxlfZDDN/99tbYHEdcz5lEXySlUMwLWlO7H+7DgHw9zueP3IWrztN0WmE0XmkBfskh//oCY3 pGhYbp9pEuLECQgIiRLowicLYqx0c8wsPRafCCJvx9IT2BwwX0EdO0AAFGLXebmmUr7iV6YS7ohW l8EpPMvvklKFQR4UgqkcLP4i1dsS/yFwgZ4W8Qg2MSd5iNF5hxv3tgaQgoMGxoZtwgMsZ/k3vbb4 0Bz4Z0e0zehppOf86RY4S58CqVNg93nLtQ3J8FZUCWYTNRibXb9jYajLRnlbO1RfsvVy6Z0iLEAJ U8GvGv99u6ppE7kU/RYbiS90/AGCeirHdljvPrWotiZOGbjiUcg5Au9AqBGSErm3jP9nZyxVTy5h 311+U5w8vJHwf0IXgJ3NvdRxOU/qVPWhx8SgOIVwRJlX7eBSNm+n7azgD7LPlkSvsZHrY18wCyjC RT8B64gtYhshOfhsqxGJbv+IhMjQmPTPLEUIJyTWagR30+BqCLnikXe5+dhd4XDJ5im5HlzAJ1nl 8yMMZbh4UV+BIaii6qpwuSKCaoqNM6JvXgRYm5RE2No5gQcFEVGoQX3qCQkLiJtS+Id4rhy49Bqq fmfhneM7GOjBrqmmo1wu9T+Fezar8eUvI0RnzNfGqajRg8a0maXXgptgiRZpfOQOfyy2NM2EmRvI O26npG0+8uo4Islf0GS7o7AUxqgyF5yku/lXVG5NT1jzsVIea3e5wQRNiMr+Z5A0lH3qJ5sWmyQw V4SAQdXn5qpZxuwUNLOMDKhZ13y6aSJyBCKxcG6Qeb+SlGLsodiZh9cP7MEUik7RJ0KqhTJ7asWE huWswtqs8FizNTz4vcsaoeyHbDBBcs0TGYrzgLWZ5vbTNlFR8+s956Ywf4O94fkRhupzkbeghw2S z548b/bN7fVyX7AmjDey7nJtsUISmz7s3pPjb3wr5hOooej+fCT17ut2M19kLXNUOeulw5nrToqU QOTN5AsJ7rMm5CEeClfBQEUyqOX8qZdx+72/Fi8sGo+fbHTd8frVR3ki4Z76x2iO2QDU+2HKzkRc 9Xj+AwuBBqIBFyq4a/j/3Vw7NxwX4THuWdkikNPbGGSLkmzAzBKPHCVtzYqhkLRRIlCv1DsrP+9R zE7dx6YirQaV0/YxSzwZNHzJTIAPFtJKeaw5aEeNDzyZz2fMmJFwWa9rLhLVeGxg3CzCeKAb8m3L T4PJ9C2d4TjzmDYbuzB91R4W04+rUVSfC8psC+DYLN/RNy8n+i14Viz3+WFk1V6yeG2pqB2AxqZK l/+XMKfuAqFxu9ypFd6mkZ3SzdCSS8G1wwdDvsW+Ovc0zfL4ZYm8n4Qes982jgTdHF9VcRX/jPfn oWFFY82B+GLbRFwJIwa+KOrOS62IDE54dxGXXTfNwZZfZmuu/EVLac5zc0liOsWN+CZ9GBRcNThC GePEoJs6/bhcL94xRh7S7ZwYKj0YdWd4OIiDyQ3LYUJ4H0XRpTsQQ/FDdX3g+15twmSFcfi9odpf aLaiYwPblnQb+nSYAuodH37jFf+pKXbao0sR7oiYgUwvIhQXOCNAAha3um97vKZ2N4T/a8J+WBpj 9hCKiB2jPu3rKN7O1sY9+t2JgwRo0T8oUn04pR8tzFNLyOcN2ulmArmwOlUriHh+OJyrehRc/oHE bp0VH55nTIAWXgq4sOhjA+cMFXBn/tLot2JUGzdrTsCf9MjmVp1YDk9PlLwpJuJzH/uWLda7ayG7 tT5j+k5bT6u0nXzZxLUbO6zY3B7NnwZdoZ4JgQRPK6R4acPTDxn52rSam3Qsge6PuuGaQsSESOWy cInu/ma207jTgEG6EfOghg9YL04Np32ggnLP1UkGWxoQ74nEamTh2trGAZK3DJiA2pIsyOS43YXX H9llBX3sZonGoqaxFf/wX5Jxw8G9tNL3bQXqyq4hveoys0L+/Pe3afHToTvITwfqmAqvtweefgHM TWNmlw20bZy0TMn2kO3sWSPnldjVIToKIBESKZiy63L4rm+//ZgVtn7xVXD2V20kJnyRpotJp9kp HxRl0vYuKIivyGWHQo09Q/ovq7cXN6ZWVojnw5oxaTQFF16xmz7ChYZJnq82uwg6oBJRM6cgBFY3 gQJz4651NN66L/DGmVNSHuEOKu3OKIGMlsCCe+3SMyd7Z0m+LYqtbwhaYa2UqMDNW9/j4avB3ARF mjIMXCk20Y0F/07uf/ag5aNNeN63OuaeoXStPak12aKyP3gxRNcMwPcRNShcv0SZ3a3Ys9D+VlRo 3HgmQ4366hpL1pqb/jt4J75WrV2lOs12DKzquc4B1fDdWb9rXrSej3Rou6QZz8RaAUUFDey0EEjx 49/i4yu6Ipy683mZ3EvhYt4dkRMv44FBi98PVlsHMalzCVKYBxSgKw7vM9tcTI73u4Trw6oYJjZ1 mjAjqe9BRTry/MYZyQOThmzibf4mxn5TmVNz0D2PWofTRldD/c+ZV5hSyHVZe3ofQbi+z8xwYPLs viqBFM5endrD4bwMT914bwXp4SXPKCINHHC3nH5LHK/orlJzEJCwDHhgXlDy/UP/M1cVOno76DYi SZdRamnqSrV2InmNSUGl2peJ5UJBDv0dDrnS7rz8LXANpJDCggfXtHZNcInLutNPolzs/1WkZ9sf HQvLVcx8ht2RHTgstJgf4gnww6QdKpc/TyLDCyxps5br5eZWr6nXomjI+bZ6sgAaxMTDZP295qRp AydE8KiYdHYeiQVpayaL4ZWaJLU3b/yj3zdlcvuRX18FWmNBasavePcIjZ350lLplFA6xlMzT+rc TQyTstixRLipgS5NfycWpwRsrDIoHBUlm0TvsDTzEAP0JeX+xGmRtXaAhQqjIYWrmfqI3DHp9MLi 2xBsyHZrl8NzyfV0ZCIIOuSmjiGEM8EUtQZpd1spM6g3UcrB4C7pwx5gtmKz3t0kbxMLyp6nmCCS yRF4ejsEGg4cYA+ZUJyI/HshI+CgIUTonZj4/3WUOuoe8ZmZDhvpOw5hW3FD47kE2/+eAFOYgOeb LqLrEArKlL3NITuI9fFA3tnwt8XZ6mPrqEmp/fgRF84+JSR4fNwB1zC+awMKPkIFi3mmyc3EhpA7 PTbkVKwpPMuHCoMMmPAl60lmN7deVBT/N+FJfBMDCxKYPbC1eoYeTL+0ACOVTwYpsLq1G1rqo+c5 9Gi6O3bptok2sBzwBsbbezhaplCicXdhcOcPFK+XgG19QQR7Xb1BjPp9BCUPmOsChq13d6ag9jVN aANiUQqMH3bg7tZN+eJTUU7WyBCj4rHgRcp94wWTihl8ZZak1fr53lxnvLiJYnmmQljjHVUJTYUg +rNHtYadb05FrxpJ1YpmEEN/jZhmYkio4XONYa3MveiHUxazzqSUkJ+wiXGAI2IxX6MLyTwkyoaX BDQAS7nfUCqIBxEW8rjicfI3HrjbnuEspBfzhDVBWFiwBgWdY/NqytXWziMZ0+A6Q3kUVdmn7yIc D8CnrtL+7AgKGETizb/kBVrNN9BcIER01SBb+kCza12cJmTzYEs/wZ6hL1zGK+L/C7RdUezL/j3z 3420i0wnBm9IevkwVDxNDumhQOyahNh+yHLjhWXOXbxaQNNn30TM4xAdmPLKYAGjY3dSKreXscKs lPX/pquMj1sc17c2ICd6QHoQm/i1ypt/ZDHjWufgKa729P+qr9BJDhSzR5L8sy7B5p3+XEITRyQj 5RqJYX9dksi80bVt7ZEdEKHPGHoeIHVI7tlDoBffQLRcJQ7x+Fysey3LyT5h8x537z1Al3XLH2GE IOvIAALNZuBPyS/cCp6Feq8P4KsPjAL/uoi6EkaGrSAzErpozPXISqHOQfxVP0+cmxDHFTHs+4nX 8x/+V1g61SDCgJSj7HaJqsRfpRSB2MBKlXAXa/fEhgsT1IvHeoyU9hfomKbMY2Be0Kt+Rs76xdfk jM7RzNVrs5ghOuXJ83UyCKVWNLiM3XskYVLwF/rL8bGBBqKu79J/peid99AX0nqQ7nOLmQOWrZDU a74y8AsHLthfKXUnDfTxoOxemd5z6BV0qahQK73cqy+zovOq4n5CK3yiqB6g7s5qBJGv77Vn3Y9j o14mTQ11vPEnpN3zQEAeBQjwMNa+OG8722I74EAxFMGW5ch7F5+BVdW0xuOT4TL6y4heVAuTdHTv pgMH/dmA/fIMoZ7c9XHEDLetF0UxdNc6WbV1Z9y1EKSxI8a8kD1uS6Kb6meY63Yg/IYvlAlB/gHK VOai1X0q6e4K4WH0x+JJRvzg79dXcraCSAKIcdTf5uH/NLCd1VrZs7htbw530k1MNtp8pb+Hl7NB Q5ts5fsI10yMtmbbf9c3W1vsFs7kHPxIRnRrNjYNstUzXSbM/qlDUaf8U7lVmPSzrD7TCfc7PWNu Rk1lnO7poo7pWemyxBu66JtFLLY5CdvSbbL85bRmuDtR8PnvFIspuSJZt9ts2wAF+lJNlZsrjea9 u/Gv8lUo0sGRDDjSdsHogkwCvG4B3AUMTSIVe0Qq32eyf4zeE29va6vHxMh8LTjemttFnIyrLePB dv00510IEg/MQTG0NkFyGmQQWv/UwFU9H3yFqi3Wps6B4u29B1YrnojyQGmEWCAmxk7KWDa6mema RZ/eailV7uKJVb+Jzq7XSM5V9NrzgFeZlWwaEg8XtmxZzBqI3qBLxzQ8FEa3u0geTzUvExQjchR1 mcJ2ezODM0OKh0U+RwDk7xN7eE+ue6F8npndr2yaPKggYkqKO/K3vpA+ZSGuvhBcg1ckCRgn1FVT 6RRccU4JToXJQzWAuYrlN1CUSFCsBllsSHoSSl4xe5tK2FVq2kBp0s783KJ/Ud9/Mfx4xT9NHSBN ZxvlpWrJVp9QYQ2Bpcp6y1nEh4fGYKTcSQjvE5es4LtOsxLqZP0pZRE8V+fA5DIUmGXDzVOAt0pn PeQNtg5sMEYpbgXYoPbUAMC3ip6GRvM0pq24NtskoKLoU6DC9Ydi/6GbyJ5SK+IYpDM9y/OyKpq5 WvtUlNPDRjvRyTuqr7+Xp/ywV/AqePTD3HlNXzdubhQpuosnm6vZS4cZx2cLOZA/kViRVaZRgiFW fYYyfchbesqCfyfG3MuC1DglKv6xILEMLb9Rk2tD1m7tX0Tq3krZfwWsIjcoLQkdAFDtQY4KK8pM CeZRpNbzhvYgw7MmS/qV6Wy62ci/jrFz1bRmmqH9lFEZ7lTUEgAZ1O2HTj+NJ2QPzSrDJbJfqqIn mRrmjlW/YHftcpDQi/Y+b+VVPkH5ID5COG198N9H3gPkE81gItoZjl5L66O8kDEc3mVU6wAM+pg8 T/xR5NO6SKADvfCEW7rk1escGQ6FkvAiUmzdHOqoIeS3fOZO/GbZTijm+r2eSPEM+G5+4pPlv4Um nK8ietTahIUyK7btqbcxW+vMr3+jfGtFSPxQLRSuJ5Vhi3pUQdiYvpogemN0JTJdRoS/Hc/SZpBy 56sV7tmVkItwbkBzKWRkBHsxxAvvntSyNaOFd6JlHdTsHgVHKcIRdE2e3hWrEcUw98Ly/jPWIsl6 gKu/LqCudiN3Ja8jtCLmno0DQDZP+deqzLm4t3YfvbgD4ad8DzPUR7h5OUYkugQWlFL+HC3a7byr Qm2Xnk+gRE1qqieL4cb2H9vr+VI/x/4NmI3oLbEh2zzpUknaG47fcLm6GG25f/f4P4kaMmwdVIdA 8er819gwWw2y3qoj8DKHpFisuKsJ3DdpW+d0KqFBO6/bKfP23364wenOcULS6tlZNlcQX4GSVOFN ulSX8L77Ca1bgq4IJ/7IvkZ++MqBu4H2BqtsPAozt9MtKOD7YbS82J9YZexhg5wV0mkRhk9Dd6HG Faj6ouPlfn4pgTI1i4iebVITS/hbwAwGe8qhRxiNRH36GPS8SbyTrKeCJ6EQdS+oUyXhU46+DOIo IS5yxJawBUOJMIBMlvakmgdKrMhYac5e/AG5DfDNb+77Kof/9t1x/Zs+o4DmEuGs/+a/byxLfuv4 GTHxuhWoK3TNiYGSaJVecbkivznUp3morlgmQqN/2SZPN9shfK+W2TmaPHHbtIFUOojmypTQhJXA KVn8bHD1TwFP+9lXUz1M2RNqvY6opGUfSDlFIVBG+62fKQQwKFzLAK/lZNrc1M3rrBWAngHkoedC B0r446dngr5lGbGsBaoMj/HbTOX5cNe5lHszb/zIIp5suJP0RCoS6mlJuEzas3QR7gdZ75yUl0M9 HA4uADRB0q5TpZ90RT0u9nS6SYROXa9dYJNmWlolkMZ0DfiU6foAIxkpAkmUXujNealJLA99oBJO VB+O3c8d/NZhBGJz5VHHQ74/RYc437IleGnq7d2eOpDyDBCiid4euT8AKR65BK6W66glMdDljX3U MRNnQZW6ULDVUkZv4qKQHk65YjgDh157RBEboT5bsPgVXqkboAGj/cl3JPajL8rGYaOaqfca+PLv B6fzm0tW5wvVfM8S2yvzhk4hMlRC8ikRhY32CdhO55jNpcdnfJA79Asr96nLEhbpYVWYZHFb5+zz AN0y2a2m3b1ubC52kq7knqtF/z4eRxKBLI6JozkpUDRo+vdZxNd5sbapFzNSyGitAHk40qr3Iv4z xlOhQEONBhQQEbRQ11RMH3ak4yVKp38E58Fb0wc1JKOr4I+ODfZuRkgDbjChqQQHQE6POslIxuHO g5x+9k45KPpmy7hQClOt75YZ6Ba0XtMqQ3mGGtTfDraDWg98eX1rXzZSJGsF0Pw4tO55dqE29xLS VlbheQRcI/xJsZZrVObRAHdRbl/OVYuBYflmsgnKT7MK+e9ajntDyasu2HkTroun66cCQor+71Ws l6jCEIexySrMyXa2tY8rbuwBAKdmcagRgI85J9yD3rDk5OUFI4Msq7P7eap5c99zRHDf7pqZpLwN Pb0ZF6Q9DWHp7/4vqo6TD674oOYpk92cFH9Ppv0dlH1UqM8HREkHGa1KcKQW9WpOiPti9MqWJaeK GOtv7XveWfX70gXUw4OZ7hO9Fb9CJTDmUxJdgK6cYJ1ysheWEOXlGqtlvCItBvKbmy9MQR1XCnJk sxJoXZANmNP0vNgyJZnwz8IQ6BEl9n4hjzDQ8b+Xvxifmt6SXC2nctP8SxA/1MLFDhpVb2ZR7dhF a2Cv6lx7YxNHp9b0OUfaPdxrwUL2wfv7moFJWXJ/giBTCJArCC0bEEVRzAzjA3kZtA8P7OShE19R qeLY8FhhHJ80a9arQoKKB5IjsSOVJ5F9JmJtoMQIj7TTZWQeHTZFOnrFp2LXhfbLQ3m16gpixGi2 RNDs9qYQrIbOcwVsJGC9LwF9wL486M8aPUxBvlrZa/6uYPDwyjCtkX6/u0h1AEKRxtEUA/qvdrFk rFsfA4g3Hc8B3ux6M+q1m2gVg6d2b/aAY26TrNOKUq3gSZPy/hloQtbcJsx8ZpY2pY5yZ6ldxJzI 2I3pJCn5H6dmfl3BgoSDk7JN9HB67GTYWlzULjXSrM30+75/zE0Pr2BkbxFQTlhJ75BKGYV/g1h9 bbFWRO3IjRVq1Kiz9Yr5GI3aHSdvONc0GYPDvSdb7B5Y4AfsH4iECiYi3OXXLRagDZdMOnh0A3h3 Wj36/PHmtqtl/vX8TFpq84WmYVMhRwg9VwgFWR/u4qH82MACuayfeoIutsGwILSABzktVygkLx81 jTTjMhwPeLaLa04vFB/h3V4WdUpbEhdTu1b/yoqb439kVMCQChxyPyktCP9MXYWQoxV6PkaW1hD0 UpUhviF9DIP0F4wmlTWOYLmG3KmG7ya07pnHrgAyTlUqIgvtJ44/o9Ao3zG9RZ2XnppqOrto+ROK uCohBS7ZGZcI96G3WRYSWJ06hNTvVAKKHCcXPjM9r5EjcZenKRH/wI5UDlQD0mffEumDS1Xjh5iU X6D52t5YFsvSVXWDG5B+QzAB0NaYAWxcEZMDuPmLmLD+7CsbuvR9x2FwDUiG1Bxjc5UtY9r0HcL/ 9I/6RQnvlvAnYuLXevfc05BIFLskmq7fz7lBJCRUe2aD2AJip99WeNHp0WZu65zRAgKgjPP1LiLM Rhbwm7o0XQ6uf+z+aIOnQY08Z6rzO8c+MJY5hyApfXqzndB/Yj/IqFfJRRlx41jO0rlZfXVxhF8S LRQ+jy0FyLvF+68WrlnHyGKsPVFULuFzD8XGnAUAsJIg1pEJT1fv38rFCpXpt6thZiO0YcpHlQmR VjQdaLQ4GQlpVR08PIBblezAC6n1BUMMi2Wl3z288CQPAoBYMEBg4s8r3MPByRqZo7UVGjlYOdB7 BZNs1XUyudo2AJG2PlLSMXI1HVTLgIa89nA8fiYITemVUJ1W158/Shwd6u60ifA8o8CtrC+ca0dl PXMY40mz6K9TD/XfkM+LRLrnxA3NvytJJnbUXD+vlhNS2Y/W9ulfhaNtkhv7hTyZ+yedZwWfK63e BMf12uT3b/8P/3Pe0NXSutesnGA6SETnh9nMDXPlYxu4g+/Ue+hRTIasBoc3tx9s/+vlz7eWxBGx 8Z0mNCnT0AzIDiXqNW9Xu/7jIJKNVwzxnh5G3TWesg+a4Za77vlUyqcxtltwvCwA/Goy4Z2Jkes/ kxZqNjFeMwLcC/7eVM72MAN4JptiiNJG31KvmMWZOKIujYfQoQkX9BdgBNZk+/coWUw4VZOChCtl Pp5QpmZjTywkWZpHvq05B9+kDV4hft54pOJXTawhC+IkBB+ediHLcAQ+gRTmd+Bih4lHzatb7VjL yzqMUqLMtEYlpivggA/jnN6UeXzPCHf14Y+gG/c+cERXJ2mTTxW8GK7WXm2QXpehjDeel6oS1PSh 2pSeDy9rgQ2xNoY8oIneca7Cb737wFT2YchpWZrB+VHNlgoBpcHFXnzyt8qd4swXlH+b5gRtPdnT Ss9syn43s6igEEgwZfFd5Oh15lll1oBOhVoPhCppGfS1Ja3gmjCm24NIgeMsqcuAHO9q5hKWEolm 1P7S9C601+OTXyK35qIboBNUPjJlB/sOWhRSbKCIMtH+MboMW0avYTeGRg7fEXpXiZt8g8NOa/H9 YI/f9engZIq0OnpndLSxDYPaDjeC10Ixlz+hKpwPK6Qe2O9LTFat0zjL15JEop2w3roEZl4p4Stl OfSj0wko3uiCIhILJC695ZezYCnfFehtXFOdNs495n9KHdjmfv3KItEWhjzbzCKoCq03zTmM83It J1bBvj9dClNo/a0J4i4tcvuT/p6d3W/QavufZ+BLQLM6UUY3lfEVFOFzCFoitujbktvY6bogzeEx hZwZR0SbCQjGvACjUCVgi2Sk8fYGt9OZVe3SWVQWftUshR5OAOK7D5hEtRosmVVgv/X6mX/G9YJB kp0xEsyJHHTzcMbmpZ+BgcDkaXGbVHgknfAGRE8Z4DSh7NtwMwJQB0VQl1JuqKfBaSoe5t7GVv14 XPsqunYNW3rNbE0lDeN3KubmmihR6JiB0n4OTFt8TScNFVuQnHxtYzNaOfbD3slAUpKcOVBWguze zln6BlQwPzC530uBcdEPwnaGMM0vfgDi5OzDumb713p928on9627EmGYl76IQGyi4FJmbUMqsdKc lx5NSEAx9nBhzgepvR48U8NWpwE31zQKsIYdmRqXO6CvdWNJXHUzZcReJheiwahBjBS3d+7YwtRA L7qerXMqDHrL8U7f4Yn0EEm1rli2mB6FF40weFBJahn1zIazoc2EDfTOmvmwjDzGtxTwbDmX8v/P GBCG/uPlkcZl7SJKRfCrQ5FadhGEOwbrHQvVVkEBNfm6FSVOhVDG8FKbMhkA1MNMNNwdlRPdbfQn BC7kb6wbsOzjse9GQ4FohoEvDiYCO+DQ2jrgeJjCGQBXryg+XKKa2+mKYY7hoU57XiHm+hCSMZKA C3LzGICnWJ3U4/iVerPGmFtzf0x5WwHJvTK6jlyK5wWdxee5Sg491o5WQWkEQqXTOr7ILvltDMCY ONXupP67bJkOURFz34poocRGCPLghP10D6oWPatTtaXqV8WYAJAehBLG69+ZphEnd/J0Ki7ub/o0 U4535J6vzf5ZZYoaM46MoB1Knu6wmsubUaEuFKpWGnt6QMHlAqgyXwumAvl7heYMEoR9p/ufB0vK M9BaQa25ffjYP3+3JHsQG/TCXSWGznnLT/BlXGlUhYGcO3e0UwiDwnEoV7E4xizn62aVwRJh3RKl PSNCaYM66iI7iUnvtujAWNVAyfmcBufgVsfpJyNgnLf1e65zY3iLA6zcbCScPosDk88AbtFXemT6 lsGJ8t5bfd0HnhSoStAwAmJOlxAGprL4MPKHNcMSEDgQe7lbpyEoTJorpGVXYvZ9i9icAoGWu7WE NvuW8d6vVjMxy6sEYEuLucfCsAGVo6s7F/RjpR2sGTSIhg6nRrckEum4hITjCn4Is5AcmaXXUkNL ubcrZUYv1/hxpFa0eVq8OK77UN2vMF12GB+q/1pEPDNjn4PPplYTGCXMQNaNzYNQhaAZrrmdH3Zc 5W+op2BDqrkibTWi1RCv/fFTnvGZ7Ulf4LqpDMRD7toWxyg6wiD7yqrIvHvhKHQeQdWlk8EQGZDB +WU5Xo2Ym7IYNyGkj5d48H2MQISQj3bC5U2BxFxlFh1uea41iqY9f6YlxODEb0yONzE3BAQAQ876 n5M/5peG4+ZP4CYpDiNMfQThN06qRe58l9hCPIUIxu4xE1gozN6+DbPzXcoyn3wW4tfGpvntR+z5 H34is/0jo4D0/stHGLoNqWFdtAaGEcOm4YOMxxgEasZY10ao5yeOdcJwj/L/0qv/8Gegn75Gq3VZ DEXvd2+R1/Fa9zw+9X11u5oOJoCF8YOjUjep54798lgyPlSKDY4paMqyBYan16rp2rUTLxb0mzai VHFhI7cWIEjZTEKcJgQyheBxFizzxgx/2/HWy6ESy03BOM3kCM1w+QSZP0Q2wUuzsaZIyB92325h yn+lml1agcQgn38yHh5ibBNpjWQKWis24Yf79Wv/EoEQY0LqOJyyA5h+gx327iNZh9U2vNTg+nCu skfL+DSsYihwPbXhyRPsxnEcJMZgYA8qOiHAwqHusoMU1kfZ9odwZ4pYXEVcIEq5immh/c38jyTw 4cXOu1q8gDwRXwL3zLeMoL98X/03hzZxNAveNbyskHtViAFY7ZW2+VS/Q+jLtqeeMH0FznXV9dc1 nG7HamLL4GlQQzQ9hiGzN1JB45YJgY3gA/F0rsh07CrzISoeAgprShUjKIzYhk5tfVE5zoY1Xh+k X526wYjjoCfmYezDUsC9bV+OK60lRIPt8CJRYFSSozhNxdIfqXOYfugjKdqkqAlv/3MWrmknpLQf ZWeUHXaV3mG+LC3TrGJMcz+Pqi02pp2hwkp9ibwKwy8OKrKtbvlV46NNz2qzOwDOzkc0t0THw1Kd MBdJn7vyVlX2CbXRgEeYNNsQ0UItNIwOkpqQCo1hgr0qZfc7DQ7HqTizDbixGdFZFMUqLC22cHo/ cUmSMcVihtuI1ALd+wqNhtStI8T0tK1DcC9CdcIT7nRz6gIBgxGsq7wGar7ErLugDzGVA59SCWDW 4nkAF0ydPDOQgiBOYSI+8bCliN3V2Y/Rv2/zUEIR4wGSzufOtao1w0qDHNekTpZH0CLv8mmvFgic CJw9mu3yXt+m1rb/bbD0HTZgMlc82JG85sOGz0RjeE293vigO0Auv8IhSeoDSKKyMAGzpd60NBLl Bb+87tctPspW9vW88wgSBJLCaAOPqgyPqoyYzvwv8jcekDX5AiV/nJJqjJFaH6SLSe0O8Go/upsS BC3sEi0Kunmj1YeRqoazDhNzgP7SaRXJAnNseqfi5b3x9Sr/5mB4XKhDWYa1FNQyGRi5acamvlUr z9vAQLrb84OCUFkWkdYkW8NuwBBbyjBXcUbhWtLQ2Hz393rN/obXO1elzHrLAOTt0cCApHtt11CY E2PHPTMDYayMYB9GmFMEoVhEOog53Ugia80+lFN3wAA+9w7lcWdtJ146ZztJmz/jwGzAyxB/uprT sQkMxRhlgk40cP+wtStoFWbKL7ZFg8m5yqSf+pI7Zh5IpJO/QqOR0CKZB+AatlMQYcKjvOYE3Ajk 2nzT6UpsS7HxDRj3JLeWstPfK81dLI56kkRVpAXX4gDNSSbNL2P9Z1vPgFdUExADxhZjDV+jO2ub FZ6rSk0W0ZDJQC+VLnjnbRXBaQ1DqZZAYe6iOPX9tblEBkGjHEac0kO3xFseSZqRUkUmq8irHy+h g04yQJnozwCKho+qGmpxX9tITEh7B5B0+omrmA9ybOqnaxe8sP1oPFiBtB9DiQA7xlrHy1BNUepm utyow21bQxvO3LFl3bW6Xthza6kISxv8+UYBr51leCt1ZmAqj9r1Kkjo+ENUWE0a0wKtpbHNaBJK MbFui4er58+uDYtTpFnbv2ct0JY4SmaZ3+WKeZoz2nlUPLpWz4lXyWJZHdFrSePI3m4oZG7EN5+4 5O8lukmGw8mx3Ety8JwziJYHCV+vigit7EzbftIxJr9/7K/ThlXFJact/kTG5vkgSixBndjLektG edFmSZNNM38tqjE4QqAdgyUWH2wNy/8VuwAOdrBNF1UYix9xkq7eQdmrI5wqQNlxq2PHJF2DVF65 d2JNHoKiNWwxuJyhhW9KVVJ/bbbrX7FduGymE1JSyqWG7gkFkaKRlBn5kz7fb1Di0YpvXPmYqBRN K6+ABTEM/dnaGiguXFEvJI80RS60tn5/0nBFsrq3bg7EBFyBpDthMrUS8iYSNG3QmHFugGrcnGZj hPeYedbGqPsM9UvrTHRcxFd2OMmWidDzgujbE4lwXjGjW72VSzJXUgC8h+GIKBXVHUIx4jdFvPJw Ty9pzgjlEl3Be/aCbbLArBNKvcNpQNpmYSlXRQV6s3qAVVdurwNRYnG1i0xGL8nZFkbMvLfxsLts tDED4F0aOgcOH2UhIQeSJCVFPazCDMCVSQKbNYD6vRiICiEWmtgcgV9myzeOhM0CDInrw4m3hVdN 9FNU8EWBbfyZ7Kjv6LRYKe0CFhGT0A1Zv7GZIHKGnTRR6X5Ktij570hmTcbEnJbVGKJUhX3O8jTx 4bnuKg74c036mlNnG0FDWuYiK+cIO1JJ80MhSEvA4wiH2O42mBSinxKViGaaWfB6x8M9DrAUOZbi lYyLSt8SXOIRSONmlQ9vjPRzcjKWr8YcAX3yu07KdR067V7aXNNPa9QwgsFMHGEBfdaXfzLwZJdl dJxyI5Wzk7ZoweXWFEd/NfeQuz7ADb3HLQDbMdGF2F53nuQ6O03TuIYQK0GUVHTrvN7UwQEhYNA9 pHzHhvO/OhmomDpIfo0sFeJmfjXuMoTzKT2sczkBCen2Qm6owV7m8Owtm16raxr1SPxpaqEkfE+h IfNIDCWR7nWtgPWjjVpNTr1rfBdUqtvsYnxuwsNckCY7J0r64jvCOXsZmxBtrOZ68oNIVxVAS/9H LG6wsLDrAuqFw2h5SwobCYdEOZ8MVujQ6wKL3Iqwra4na+E5ucoFFk2RAQfm8xl7TZSHGBFIWkRb ClUu1YbKjUoDRdgLTzTPy9Zb+ka3VGi4AanOhiWFvLwTW1r7ncfTchO9MISSSlw2RcIIquUzQio+ Hw6gXwlaq4t9w4WfqU3VYo2RieXSuo3l2JTdpDSVfQo2tNevLojoMv4L2D+tG3W/eZgaVZ2IPZ8e +sBv/zbmBxXs6Vmq10cjmyyrdM/VjSmssqFVo2dFDdzSblBL56HidG4ibwyFzTU8kGvEt5eo++vg W/APLfLPI6bTahsp8MZ7OVfMnaU3ZB+Qol2CgW1QEsqlisNcqzPKIPa+AqODu41g3ePakVXcvivc 41um7P475FLAp1Hvero1ZLr/gZ0RFisqWhluCI4bK1p9DMd1LBWbojqXdX/GbJN5HCV8YzoOltXu E2h3fkg2D7E4Z/MtfF8G6kaQAIX8GQxXVz9Q7Nkm5Fz3HNoAEdTbiDyBJzz2r5Mb/JDT9GzHsi5I 5SOkOfOIE79oi36h9+GnANxCg/pEvZOlTH0soUtaYLRJ08ZcKdwiYbN2T/bxDUaZLAzY+iCwJsW+ 1r18osS6i7kQxnNNJtRXJMCV4aSmZh8gh14PvHiQGjAIMXiZzKEkUdHV16G+Pg44YZmxZP8o/RPN pH0tErbHXCuJ32MeUsjhOWXFggapajPz3C+HRcTLnk/29xjL8WDS70ZKZGQ12fz4aEksN4KKkS4N AFIYr0tFzYQe+3OVHGDLo4KLaGxeVTQbUYHWHlDhICDkKsSLUMyaKVh1Lao4hJPZuxDWmmDHr1Ks sU8VvJ257xoADNRNGhmEgZGdbSaTGIY5zws1mYdimaW605tA02lgoKcyH5Zy29WZeDJ16gBwCza4 IlJY+iqHuYT37EF7msDRZ5Uy9gnatqiRtxZ8WeSQDRQrpkWyX+uVE8C/IZvoG1MMNy2kzTWWveqU QTp4GIe7evp/ZPuUVC47m0OC2FoPkjIl1/iS0hujbEq2z9efDmN258khWJpYavMsefYGchBJV0aP YRu7yvujv5qdhHDxqLA5KcytFWJo9zfvrsxFyne7FVCYXxIMbXw5QfTfXNPL1YIUCssl32r8cljN uNXlLSQICIPEvao41RYKBP3DajTk4lmCX5CLaqLVld+JLihau4FQv7PppzRFAyiYFUewvlaCZCOq ZEC9F6PmITzLRHLXFdeAJ+Onb6wBmTNd4RL4GZof9YymKMJlu5ZvtjB0tRjAtKX/mYNaisDMU3Kj /fYpR6Rz7Q6RXRuMRnTWGJFS8Pfy9HFlKTqqYhb4xprKqFQnnfNzun0zdxsPUTD6vzgvw7x4Xz6C ux+XHoYFcFwNfeaNcrlfzUcZkBsjtCskHkqDqT12Xw7kvA+JBcHjmfTgPhkFBurrpy6Rm9wznqob paOdwFG8Fes139SY0jinpT3FhV6UgKIrCTgQBvXTuGQm3TGKIOUp8xjeA6CZ8xcVmxMxNY8U2ElT aHCbH8LLvBFyjBhsWgoCJ5+J5OWNHUwazyOUAS0tcSrZto3TBgFNrOWeBb+eFyybmu16S5rREBT2 KpX0rZpjDlkCHKA8dR9SerMoQxwguVrDmLe0DanpOF6fuHm8VYDcXUvcbRtzJ69CAU5mmYnSkz/r c82tOenI6zrJrWSPvvuoARltznJDMghGk/btQiNaO87WAGfgFZw1/zAUgE792ohUlh0fmEZIt6Mk ALBs92TfXp7x3Xeaq3RFMfbgKRaU+bV8MaL0AUOq9/W9s52J3KGQZKRGac8l6+Er8XFrovRGa7xg 1t1nZ25wTt62z6E8BDp9EQmbOQrpxQqV/DhKe/8FXYxIG4BUyu7rRz20/jW26+UTlcslw0hPt4LT Z/e7flzq9AQSEKnJkROHiQkJkkMMjgwG99lFube1o3MyP1bpgRMhcVBOggcDUs8VSnnfnkq6desK za3V3xrNIdxhuM17V28S80mO0oc8BBSudSngNbCVAPeDMTHklREDrCFphiF68V2UGyD4+Tojoy6q Jo/+Dvcyq/nlk2+WppijLOFrmqccnVmE4lv1LYg3qLc2RrygJamzNIZtxThzb4mC02d35r2qxisn B0FrTNE9mVSoT/8X0EGCGk8DzNk4/tbu51zwoqL6dGYUa9onVJgmG4sTiHpH7Ey95cRpHv7WGarB KHhZyMG+94a0Cq0m8RikB7dSVKHOzqzR4R6rh6uHOo913XneMnEhWn+Av2zXRbvBNNPaVTZUUz2P V8gtZB+kMWNW4gWsvHwGf8N0g2GhUaB3aNcHgPo6/lAAyqFe1x5px3MpDuiZay3+5B39D6rveTld bkQhrRQFnaaWB/y5Ez/KZuz7TCWpuJwmXDFwNYlQrNaoB1e4+la+re3J1iwg4qLyRhPWmCe0TYw6 1LexGPOQtDkcu96J4yfsggPxW6+Gb+tDKAkOG8sYgmJRLZAs1bBW0i4ZNHrabh8Rn3cEYSgcPEjT CjQXnGHgKZSEdQxICXBxLnXPBWijsZFnllwRimcwdD+TWW7ojZQ2v5JlNLx8MyCU3P/ebPacLvyy mLD40Vo+LDfp5McL5u5yY99hwEOnJwgYc5XYR35bBNPNAG4iaQ7R0oyLbUjMYirSHbWIetgyMccr qZQbK9xOR5x8TeOGoFnQn9+WwjTQ9G8vyCGKpwOhQuylVMzVD9FZ7fM94cVXLcI5K+84yFfpg48l KMgpX14hdMUMuh1bm0vprmSUN840fsWZCIcMrVLmy0Lzp6baVc/pl9b1Dcidu7oRntoYrLp7tPwC bDnnMG/VKDzut8qg94b9PRszjEodmXofYGmckJ914j2Z44yadyTtWVtH/L9qd8AwXUkYqBS7u/qq dP1ltUB4Wsjlmy2xlGW7mxnQas5pYzaez064g79uxWik8XLWPv9MV4X9j7OjBrEtlmRvnZqX79LY 3L/giC8M3eVTcqEy7Wc9oSkNd598X1ORCkuyrRamS+rfxSUCv/kKoJEtc2ZBDs/xQRj9VhDj8LBt J/1d43GFKl2r2GWJRwQd6301thD2C7qmpPHM3QbKeSxyaL6CHl6wlWEaqQYVXtblKobPwaSKwK9N wg7m8YRjgviy/szvFGymQIsxnoOnlmVQdo2KmuBGe2FcXbRmC4w1R3PCtTNKYqaQSOnNG8JvTJ6H g2gIMEDxEr5j9u+y/821eRECqG5P5tEhALHEVXSIMDcD5VaXxubvrMDFHSlVq83HkBmJ4uq8vGTk RW2beUZcYFQRd2lhdf0tsVVSNFjH4eUmbjzQs6xew6DJaP6yvCAPOIo4Nm3JGI+usEGd4wFkzdyx UjSNsu1NlG0499P32vfkkkE8N8hku3nqLbpgfrBH3JldcBV5Rp0ApQBLqIV0Y4clyref9mqD2jFK CYMyfL/sbNyQ9iV97RRZ928aSq/2lgF5ypyKnJo7hhN7GqDbOy4y9ZmVhWfoxYNpw4D2RSQLSvR0 zOPqkiz/GJxYKgMKaG8J1owu/6D5O+T41EUjB6XJd8PdVVucDvYNVYnNhc5NBIr6Ui0RwiW1celW lgGDBjM88kc/6FefKtLCs+EKGOvIh7PJgPqrkvVy5YQHcGwwSK+Bt+8IjX1kYxdMm/crpxrqPiZt q6q6pZkbaK9kuvBoZJk7fQ50WM2wCxxDTe7NAQGdweUD59SpmRz/X3wiAFQsEWwXAQRVuA12vc7a aJLWgYlIikHB2J+8CtBI9UU4JroeJ72bm4VoEm6ymI7CHYxzPXC1oUYgR5oSj+PGP0gU0vBFxioB zyIG7/lNhkziabj99bLH48nxP+W2jKbBNrsQf4CcnUcaXaUMdSGTtx96RK2lHLBYxTUncDFgUsMu W3g35DUXK/OduI0Yu4Hw3b5kMIvaF6qiqQPCAtxTZHRoz3S0c8rTzNZRUrSi1/MW9SOMLkH6geHl 9yKjBKX+i2fYBrRw2HKWF5WlKBJO++OgFSOmjePkC9a3qZ0/258qnX+TTPUgRkgHi+Jh7Z+VPUlA /kavPqJV0S2aaZ+uR7sV80Ae04eOnjky6h4q+Wnsaczw7XPlR8Uwmzk2S/JRzPRt8I7lMUqq23wq sy1SGoIyJnat9g8jQEQVXuaVTiIoTYq3vzw6/0lLTYWrqTDjsc3KSfIsGUcdaOjjk2Uh7kHVDkpu dNSk5ct9fAB2Q0cJ5Go4dpTUtxetzJ6itsxcA4InJ9t7ZadR5uDYmeV7tpRYzQgg4AdWDJBXNdnc m7ZD9bxAtlmja8ebJvYd5ApvKFeL+KjgbBZE8LDqXNRGXQrMKe0PBTnhyTI1QA4FcIaXBOihNsbH gtqcfUhlSsmdUpVpHM1eokNh0Nvc6gmr6JdxNd2sc3fiq5JbkrEgraM+00aRPZ/yocb675CFN00W KMGdwAtWqsYUevOR5Fwqivw7nJd3RAloWcfCY+VZgZWhp2zhaM0RDtnQRs/xUdx3YWoGfRC2H9FH uCJ/uMEQIMgoujHWjcC1C7FOG3sTrNj0IZoqbWI75Jwoi9V3QmQyglRYH2fiTPSNEEWhiTOHkm5R av/YCeE2ueogbQI6OrkB0FzrO9P8eDzYqIg0Ty8gJWDGeqT/C8sniKySOKQ2WbQVrNFGpnvxKZk8 er2Q1OHPvkP15BcndZOnRROsdPNifxOh7QxOKPWzE+Yuh8G5yjGb3g17ifbcmBV4k1anH+0DSFDS Ih/hvhXBQBewEZoWUHYum8yTMrDGeV85vW8DdA/O45iank2B0TYywcXV5w8rNhL67YswlRUGve5B 1GXxdc7ras5MPDlF1437sPbRxDJP0l953SaAwGM0Sb9s8W+q5h+iaBsiCeW3GOjPcAn1zeYjH4xZ Ax7MG625/vRJsevskEyf4W6NqHAgQDhlaqZdRlNW8S347L+KH1ZFePJ7QQkchMGwLVW4h9mgu5qQ jVdmcA78x0PBQiQ1NgzCnLSBF5kANKMc9lO4+2ZQ4dVyFF6IX/SrfZFIz0UZX855zsimTyBy38Ut OKPVz9iDVETfzVHNzj2AhmVH0q8gP09YxCK+EzEaX9Y9bsVq2JzgZRG0bX6K7rCavGl68i2y7RFB Ylz1opfcLWG927t8RRPr/skNrnHKXCEda05sfMuR4tzfTlZL774h0m/REgW6qDTg762gBtqWkwPf jVo6gaYyvZyx0fG0FPDTTCCHhbswiHY82IVnux7yXRxBlNhsvOQ0rnueGGkTbUwOkTtV2mY7FgVA RRbC4fPTaLvuTjg5rKkLWenkaRjKJPv2MPglvGQScvH8I8R54yXHIV+xgkn6VT2sgKwsd4QmInIl TbO9WGgid1lIlVY+Y7Gqllq8sB1IZfkTS9ERWAPXWwGW1b+sdgECD9+2WgKsWEt1fe5Ik7LUnQXn 2AA2q5QFeJOO2h5TrX37swgBVyU5Ey7DlepponUPzkjld674Q284QBf9c7r1EROytL7Rp9DesiHq qPYqU95Ykg8ZhyMlCrN42xCSlZxrUO0CnlTQVOQ9ZCLlKO9CpI9txXDjJioLA8FQ2RkSvqiyivR0 Hj4oL1/58oiPYU6yWVp9Q4gp3pLjYCv6O41vnVNB4ss7NuluDyxWStXtXE/RmdIscXua7KW6Kdmt KbQ7DzWgUj5CUS/YuBQRHHKtcokHFikr0HDIzbaquP5AyMaWpMnOodpG3Dhx/VAv2dHqygvwiPzb HCcqH7bTZxtLI/DloQl/6PESermckecLPEtpvfA4zjL7GNFBbNsZyTlnneHXLpeUpooraElHwohu jNu6okg/ASFgbbx4SXQgnwffQpJnYBg586FVjiQVB3X1MF2nWspWshHGeGfgDXTcqzGLBZRlBJCT gGl4jlqfTNcfKe8YxDEBpSnmcQKLPw3WBGiRFk5l1kXsxH5y8xxllWwpKxHqMX8LvzI1m3GOyEMu tp1dF15zedNAIKVMcq/zsPKIlemEPKh2q/PZJOLYMRfMpu1wHFiMSCj6V7z4wXdOfqE5spb6MCYb PG45FhlzbtQ1tqtWdS2D7t1g6SYG/x7EgtcONOrkqPKGamV/aOyodz3W/KsiL/QERUvj3EU0I4eF fQ3kkAnBnbj/Kg0Bh++FJqiSYNpmrfzfglDQT2AbJCfku4a3Kd7MLOimOCMFqlphQGm/f5LSL8vZ okINq6WUHGfJZindDpIYMzZDYRvbY/KgxqZWo9XIL0ohDDZzqzxQMocieAK22LCo5oC4/Y7gwmAo eoUZ/FsAQpx1zcT3PWOGD1WXkJvSiKZRMKKnYyu4lu/GbbmVbgDFsjHdJwx9ds5UWd6Er56J1dXS bEnaIzeJqEIHrB64HGP2vjPvRQRjzs2s2jEH2H9Fqrj0wFpcSPZxV+dfjQGXON6h/ejehvqUsGR6 k5lZn4gibBSn/Ud7qfDpXKjMwVvDHc0ITPAotp9qHlbcJR/QlaGoiQ/tLsmTlefdq90uN8t6mvS+ 8ME+qDpK+w7DtCFwrBBTtLsMugJL3SCwomlzL2vOy/0ku8Q4ekoL4xFd7DwrAwip6WRWALxDhy4o pfDCwq+60AgFdWYWHZCK9YXrv/rsu3yPuKIevR6KpVDvD54al7z/m8xgDdt1XcTyIQLrDp4EERcS 9rJPAsVATw16ZVCZ/n2WOEw+TikvuHeamH7QYT8jTJzkNjfMhDFpgPw7aXNGxdXihLHOS7571qe6 nq9cfWoAMW9HeQ+W0XRhEcfVx8L1FeL8msXwyc/4/9HUEsL7KjVZ88Monqxn46RYPZ4WHLLv76Fg PE+UDodyABvzveq3zlATU2JPFPmheiEBlSKzVGRw/K7ndL64wFi7O3iiW59IcBpGUXDiHofS9b0j ZvUteQpCjIUSnANwIoCy4MqXlCnQ3E7NXpBHagYZdHbo1tSRnhitDqo1gULMYtzvxbHdiR6Ke/kZ a/I8oqn5BeMuDE0rjWmtxK+5Oy3tSUR3qOPstMot8Y+S3LAHdF+zNLz2oLcz452H+m+Rx4uMLt5W +e1e8VbLsqws30tojH6diz01S2RRPxsfumV3ONGcKGo5XgU5O2qlrVv+7xcXX8QXmB/c5vw1GrrX Ak9UFWzNQQfwvfogZLuXMm+Ao4IL8NUgtnpN++je77rf9r5bK2wsb0nxzBVQ6cRp/I0hfcvFNj4/ LOJzQ4uv7dSH+r/VvgB23oXNZERcnJLUGzxuQjHjJWWbTuIz29YUow+8M2MtCWxy53wa5Nc7mK0S NPOwSj+bT/lyC7CAf3gRsliAUCjb4e1VQ773KyBc9zgi3AV5m193VnqSakR2C3YZg3X1ZqNWfnWE fDUsdswhc0/RN9Ze+x9a1ooxyiGRAdmxemj2scuTFB8Oz92HP46HDr0mo9e5p25HrlrLOanuU6xC fx3UsGWBMgfbbWEIc06cpQHVkqNf3Oq1YtbH1HIAkAmRzJi3Y/SRDXGBiNOdjL18X/WxeyXVx0gr MOVREJyIx639uFC9kgLhcFxda8GBkz8/7faUU3WstacCQMwaAQL021H32AmSDyYaSJL9Z3cu66NY DZVx8nsJqd4NjKM+93wXIAvBhN1RG18lBHvSxo/d9cgV9cayacuUNlxgysuDxwWDFO+kSy6cvFP8 1STr5+PIhiV9wokf6ofgLNedJbzfOLlzBEPmrDk8ailn5EdyiQXgvSwL3RNYjyyvG+yRuwdwN7Zv GDjGV/G/1msLlb6Y2awocO2f7zdLi+qMCFS5tQiLsQUcUOcQkYlljUGpmr2oy3EAyTTpomlDkjGr 03b3WIUPGMdaUKgKnyeBtc8TgWtPy68t2yjyNBHgYP6D3hJLVPkc+KqmgavTtRkezx54dTjAoFgs ZgFv7G3YeYPzKUzgPZ+YXF9rsRFaiM/BohnXEZzAe6ya8GcxAQJtc6yrglzbfl+7oeu7IApvPJNc MfknVxi/4aXN96+c8cwfiCpbAx8nUgddF7XYmCNiG1vazxw58CtTr4CSiXPJvgGpJf/G5s4/v2S7 4XoMcuu0grOctoYRkR9PUtZd/PlF5Pnk6d0FyqCfhGjoNRKfnMs1PoMo7M/y6f8Ac93+DnUNubFH kDwHkixtjCbF1ltgYgq1WzFJ80w845zIQTiH5UDtNVZEIb7MpuER3AtH09dRvC/G9/o7in/3WHM6 53cxAhlplXbh8ZElxrPOwal7d+iztsrcZuieytBf307evEWAmsq1ZdBA2pG7JpfBlo4eDlAaRXN1 3ixR60u8rp7Q6k+erEeJJteQwJNPnfvAUn5GyKDXvfGhZviCKZFR5fFnePgg5wm19FgO5Wd4QpXs 7inQ23xf6lD0Gqg6usXd8NYprtbhNJUsVzQqPhbxmYpMG+lncDqSYEpOTtFzQ7GzTtX34AlDTLfa p012hpmEQMQ4Xybe4H5vs6lmze9zHQdHxqVrYCYFBoO367PfBF27SYTHBADy5CgQ/12RRM1ctiCR kLe0QqnWB6NxQzzdQbRNn/O7j/AP7A2I3iP8i2Ybfrs7Tu7fH6IU009qOv9c+oxkcY7zcaak8UrF vDII9F5synaqQxwhDnOv48BoW9eA8gldRaO71y9WjwfzLLMKHhKiplQLIGBOv6FhBH/Jvf82t/D/ XdAZvlqKdoZjGlxkyiFY6TgLs2sTlvuNO9FvRIf2x6RcVHBhabmXiWJzQ0IxAKwuyj7XVdRAqrh/ GWU/GtYn7RnmYkDUcnVdcZUlYT1yh1zEyGaHF25pUKpBHp8WUC6L20mwWz8wHGg+kDcMzbAUqjVe 1giWOmwcxEUHknpkRmStFVW0sj5QFA481RO1kF12knQ3f8/yzauS84WOrfTm/F1mkuHl2k7sU0Jm DzB04fgkEGE/fYRCLvRhG24nf1QqIeSI3Ffo1vyBf0uQIXfC6tnsfYvMFpLEI7T3dnt4EbM3glbR qV9/dwU6rlOMM+fjr0kMY7evWCkAA27nt1/ZCHcg7be+LTRhFpGDkAbhul1xlwr4M/GNsI66zqo3 7j4one8NxgXPDhRjD2L/b8O9zbEQ2EzrS52MfPA7kKO7N6L6mZ18wGHW7l2meUCMdKGzUP5bIQUU 6tD7PygUK062E3MckueHvrAARSPsWDlGd01OGtTu3drwKEIe051zKAIAxZrS4WuUw+Jdj4TPdgSo 1CSHCqgvpjyS7hwLzUkydskYH4oGtTsjc94sCxzQmE+VosgmrgKThn8zqQUn1A/imz7a/B2lu5vx wgiDyZ8X3GbZoqP6tBTM/HvEG9lI7gQq27wHzJ7UeMbcEqAtkge2rgWoIBxfvA90ltldsQbdP5xv y2me8yld0LuAhkS250dUN78e5nNMEEbGvdgXOr9ivv6o/7CA4RDulDaAencxSD0QybBtb+XFlaeD p82v3OnsQrf1XSoAOfxUFhcWwdpVOVWSzedY98gPdcSlw2rUByWVxulOXEDaCIllNQslHRqIRRMg 44HVy3vK4pKiNtfvVz2RQ1uWq6lmwz/Fm+hykXrli5UcHt+6p2z4ulQQRZAuuYPmTcFtWScamp75 Hf6nz3U3ekQs6tKLqOGrSHpKVZUBqAmkZb5PnTerqUZ/chZINMO32cNWq3rFfaYKm2ljBH/j90Pn nKIhAP+0ee1gMiwfLWAW03f/WGhgn+XnD58TRBRAFoIjcI17XCeKxBjcRU+jXTUr4Khu33VGd5XZ +iEmTjUKPr2QuPSPfiTmuBOGSzuNiBPFly/yaA/4cZJ+8IAnUbdiJdWNpKOTzYdXfdeb6ZbrvedS z6V60mf0631ojE905glNVm2B9N6e/siXbOmjonDDssDPL8kNq90Qe38KXc3LA+QASH69wjS3JFCX ivls1uSr+mWhdiHvYFgGHz71ZGdIwy1DFCbIdtBC4U3k4ZJDyw+NcazA4DFJHYxzNIZ5k/4NfG1o WO/3y2F5ACOpLDU2UbQhzZfMdqIujDiaH1AaKGLjGB1CSFeRpsyzTQXIkFILy1hdoypHp22i4zvJ wlA5UEkYjhjZ6r0Q1CoYW+QcMUoB+gF3kC42QIi/EfFwGLg+bkwW1qzviLC02rqeCCJJ+f5eA+5O 6qfgOHERKRPFug8ILHeEUvtRbXxfNI26UVhVB7hAUwXQszyOeXtE2ouz2jaI0a3tLO7Vr0ormMk8 PHsp+bYNzEBn/0XBi2zNRyTalcKJbhA5q3U++Jw2iJxIFV9Eu9aXO+IAdnjU6Iec0zsguHV41t4d NB1i1ZsgtkEZVGDeTWtr1+YEn3SGbw9BhxS+iYW3yXOMlfDR6YEbVaLMiBnf+DJQG0kCDIXkF+JN Lo+sTbzekHD337uMjsBzwrimP6eCifi6EqfXWnKS8fc8cvDfLd3jtUxLUGmtii8H9GhInMxZGWIW SCLbsvPniKlZ/yVzzUhjAouDZEgYU6k7+dNLSsnJ2B8veIJf2HgrBOMZAEAZR89ma7/8xWYv3UXa 4DDloqetV3cuoGW+P/2BISqJzKJ3D48+azLmzpb37vUZ/+NiJeV8LdyY5OftRxR+wjkNcsWiM+fq KbfaVDSihi6CRqogDlAmje4BtRQIj5JYiyCVsIaEJV7MiqkHA2t+RUzHatVPb0nQFBXeCIRkKky4 /RVPA+Tv42weuaXUcC3gSfMrnOqcZ6ZxHWv/08J04Of7euvGcsV4blyB1LvaFPcP+MJYdibNobfP c1JJtQZVuCRALrfdNqyPesLbrcQfQVqwsNbB3TnxORn4K+HsfVpzL9sXFStUxz+S9znGG+PAhEwX emHpGfIj6eS3+gNF66SeKPAUR86JbDA8u7OeZuvZPyjmLaj5mBVetUTu/zknxOQaI6CG/3qFhhpk pKbzCXhgzQ4lpuIqoE4Xrh7PzofsgU4AxJAz6GbDf2tC8wfVFhR6wrUNPm7jYsV7CW7nHoyR+8rZ uNmSQ/DypRa9o0kUhWqcoqSbTSHdB59AslN8IXQJB1UDh6lYRsdKfTrOcosBkk19XyarpPDSrMbk gmecDKGEAQuZf7oJW3IZdwhwEcgzlWmnGGhjJ6wFxWwZbWB/bWayogBBKkEYKdW1wFNuKtSC7ru+ Wdu4LUUcEUfXNoKbJJHZrWs75dnGJ08YQHjNBYOh9o4v4zDfnP4evXhDiV6d78+hOcEtMbVr+WE8 HhRv8Lifd7VB8Va3pQZQTRb5258tmHVKG0FnFyujrN9Zdl7kTGrzTGzb5tTMrlEe+XF+CE/ETtNk B4Ig1PNfh12rTr8Q6Nq8VhJAf3fPGpgBlQ7IZG4mgyqmmB9lIpVxtWoV8FmNeYJFEw0xPLtqXNrx Ms9m8WOMEsVbH1c3LgsECAwhb5XbncQktHfvemDs/tjHxPZgVofz2ulLfsEQ08Ltbc+fCp3ORfDD VCaM7qm1QkG/Zi+0CJ3ZNVenueNgvRtUJREJTiiPHbR7g6BrfcJa3QikLi9EBkts2qMEol5SB7KN /IeXJCXBtTku738aM8e6JJ44cAAeKHGDHGZbnudrhIyML/v31taTX9RJg02LkJBgh+zH9VM17BwG x9XSwbMw5vm5LrdJe00RZzdvPGknFRp1gYkVgbD3dWW+zww8Df8fetH3t42I7aW9+jXPYvqNeqb0 AeD/n5Toqi3OzxN9hy9kFS1ziKGFO3mq3iihwElX7DRslXrrcjOJtQp81SAOuFgF147kFFgbNPbt 7HyP7GZSfcVIUN+QDZ69OQRWOLLDx25QTW7R7XoA083SiWurgxN/fJNZaJ28Ahr2V8j5Q1KOit2C gqBskOnCvOz7pZ6/URTBykriwGBw/sqR6EXn28/AhOO5H1AvAUjd1thvpRcOyHIqg6Qxe9kQSlAM 1ZVRd+sPVXt5Me9UW7S00jFrwXHb2Fd7ORG4pWPxL2RW/Gk7j4YYzPzH82ZD/XdU6/BjuT3hLYnI PdV9icK7+WzthHUgVJMwzNgGEGnuS5YkBbiQEfTQvclRGZIBLo2CPA9clNaGXE/gVPvqe2MGzqGl 1FApoQOyQLxGoyVEMV+4jGXlv3GjQxy88O4BE3osz8k+IzUJgdvGeO8u/3m48FNv+kCuy58DZVSi y+jRVIZAVHAImiBqNPC3DRMl7AzCXecaTxF9f3PXsbxYgvdKTdFPJzE8+eSDI/RpvN9G9oIFp4/+ pszhv4Mr7XNW3TXNVeGisyERxsjRfeUIu19mbl//cy0YxCRWNUuyEOPLPqMduzC3auTnuK8+6kod Z4Gsjkj9+4Bq6708Us4lO2TC2Hi5Kve3R6MvE1R5BJU9eMMCCuwc3wxHk5ZIcZriC3ySEX+RymgL VttQc7WY16ibujL3CS4ZAMZrVr3BTBUZPKiCHXMWtmgmgm02OggSrmp8LKi04w0oFbtiqxagOYPW hbie6dGGZeYp3iFE33M/tuuSxHzhOqjHzWNJOAhvzGjAbDBQRmDheDSE67QqNN4Danh/V4Pfbdf5 qg4ulBWn4HWFFobs3lWoTNN3y02iH6yKzvmG+DvvL3ZPY6Suwqq+OMd/f0kUn2Rdx58eW29q9seX 7u1JjEO3REHSfOTwXkku1gYIi6C/U5rBGTF0ltPCFW7hMuGCr7ysq1LSYB9TlXAd1P7/v5Y6XnX5 2J/l41mhYXe25f5jrK6yitwrAS5feotfJSCkBZf/bzdg8S+k0kGDmMtMr3exWCgYHihEs2e5KRGD TrMAvA2gQ0arvvq3MQT+DyBWJlFxDghXngx7YTmf99cggxCanuj/phJZcr5c3uAe/L7l2lfC/bB4 Wtrsgpw0Idmrl8vpX+mbm1e9R/DtI/W9u3LhZuXkiebOo/AHOJl76qcV+sWltNGHYs6AxzawRXDB 1z5jxil9dsN07bX3XT6vzWUCi5i+280OpzKKNF9hlfrjPMIUhasqfXaTXxCwATgF2QhfUWUTRHug Po45VkECpbEBGlwlZXeQTcXQ7oDmhJKbePI2j0QAigJonqnC82TPEyatJBxEDz2uAtJIMhpUSIZB /fpbiIn3zCLNs7uH8W+qqn1ijo+CedbeTg56v32tRtShd8Wl6nRwD8c9BkSUR91C9MJSdkZiyNDo uDX8DV/E5qj7CYLBt22SNrgDHkE6a8hWlEdjAWzXxH2zgYbYI5g5HFhVm5c6I4GoyXmdUhPL9Zgl TjlNyL0XNoVMrwu32x/efukjnQgzBYGV4+DXj3V/DnCy2/0w8z82OakgJcN7lfXZJy/kiKHBgfZG EL8nFhb6rQ6N7NlyxUAmVt1PwiL0MaDLxNXTigHa3LKDiNLxl2kuZF5xoIn4iEcnBWB8Ym0po6uy gzy+pw6+6J1Dl08ESngPhSrPTZhxAYA6PI9O9kAVomEOssshd6DKqh2Ddw9CtaZ4CVJBLyopxeGX 1dml1fgM5rHXkX2SixXW6u1YyxQ74hHKbiyzMUUB9fCZeVgpDxf0ETvWdT7Bgb+yWqAQyx78091k gpZ+RQ2RpAfXiyfAuHisron4DjogfRL7DLamQjOcz8kTffUGq0/44IhKtXqzlKIMEjpIhGC2uFFn KZkPFk6tvyBLO6Ko2yljImlCLBXqMYg+TyURnHwBu7zG/KCGIvGkeiyaKc0BfOTU6bfF+GN7bGcS oOfhc/Ip16Yib0VzN5GgP1XKYR87rb7pc0Cp0tWfmE1qilNJhkFP/eKswUAsWyknAhNh4OJnYCdV WVPzdzuCyk2cOFSrpPuGhlXOPd0YPL2yj7t8LqW0Z4zxG1qTpmIiEI4HyPHaTK3lLgPLt1uuCWY2 Q8UztsXc2iARZVv7UvMwsyIskF2Xc/o3ZBwRz7ZhzlBIX7ciTupS+mQCmCLGb3oot68yAw5c6Oye qFSw9RmOICzl0In/2PCORBtFn5o2Bqv5k+1YV0dl6py3YNojjXn8BnUH2hAHZIsnAMb+ONcIYXA0 JGN7vkJYmWX2MB6OdRAHny1ssq75cOhCKXIE4p/k7/9eFr/onNTj3Dwcqn4t+NIALA5uUpeSaJje /au/egc46KKjXSOCXAiCUE23omK+223xL0RddHQmqBduvgs8/hezDHhunfBrJzQ0PZC7Um3pDUvO xjWvQGhkEARlfeYC7l0ZEyfjyMKNzhRAsdgsjYnFsWYNESTzulhC4G2NRgEG6FJPQMqp0IVTCf+h ip3Ckye2RfQSDlpHuOsG+nylXdLyKMeFnMdMCw8cghH+vDhL1j5BedXJhTKdbfLqJCTNE0QMT/3F hC/5v8Z3WOUudhBa7zM9cOoEob+gYs5sjosTdYu4XHauyc1cRTUkVYBSffZT+eKa1NE4tq0TEwrB FmOzyIUAup+pPr/Fe2IiBeoT6tv93045U0XEt5VPiUOqLpRLfaBrPqU1ubOFI+ljegaDuMUPRRBl VBJUMBcOQX/HxsAnaTq8A4ZQjQhaNI48SAqPCN6XizjhVa6Z+EA0Jh77LfDZgrbTD4UYkTtHW0s0 yAjdmHWumXYBpmewTE2iRVQaIXO5Qm8SIHXAno43AGqTeh8kNjmxtwlrBd/43Xonra8pQVC7/zVy 2sV6OX/h3brN5DElZBpVg7kCRt3Q4mgEsggf0VrZZMirtCefux0h///mayR/CUNJd6kRBBE1Mwmd TKFmsyMY03ilkiOeY2C9zoCaL442PpHRH5yp+S658IrbJ96+8QWl8J+RAoNAPPPGFbCTHrZpLkJE LrbmZLE3Uur4Nb04hMY1FMZcqTzDzxG5Zy1DaOPkRHv5M0U80qJ/Dw/0Y5ZJSoA4JILBlTKbdjxq HETgm9H2C+pitp2e1fSJIwNG6bJGAmO5DTDL85EiAtVTeXq84uMs0vTsNDsTlRdXgPwGAfmPB7E/ HEB3DtP2N+SYVg+cXpjsPdqTl1agMZpKJOZxCHpKrtedHWxBLw83mJgOsa9s1Zs4Ntxi6VEzvaYI jzDD1XoH8Sbq02JToO0+fZBxI4Gzekg0ORj+sv677W4f5dywnT7gj4MBEDRpm6IsK+vmXS+7TDhs oy2rmuEd83JvSU8cpUIDlhJIBmkuJfQEXPd61H+N3iBdl2OZac9v96Fw+8En3Oray50U3zf0fajb r5eQfX235uqEC7uvG+wvE72AF6vYDUsNj0FhdQzoazix5vAyXK6xHThaoKxsIOAsWcemj3g3n9p4 Nyr44mIKH+kR+eLOmaz1vp0GxGzUtd2aqCJu1oz0cDaE35ZjtVSwwg4FHMEndKFlOLFuHGrVaAf8 oV1yv35TmFApjur1U18cxTLsJ5gWyj/6e3AzJahSx2bkObFXoOBEaHZ2hF/EE7iQRD96vjA0pOLS T0xtS+jvWHC/IV56W9ZH2QDNe1TA6LGMx8NbxjK4cR5slcdv6adEYDzKzeu/OGH+0lYp9JPFTSsw l+up7eAt4h4ScLK/G9hlyRzsYwCNZnWzOEPIUi/QzvmDu78f1khq0tWEOV7rmAyZBJhawFMxv9jZ 2j9b2V9ECjwkbGZAX5SwRugxVkuzg9Y52Tx+RB9QMtQ51DSOSvzcjz6www6C9P4+rw9WE7YAyeVe mSHe4YA6k/EyPrv+rhMfYsSzVciib1OjbBjYd3mXfc2VshpJA2qunxdOq2aENsdSrhCmRIpM+m/6 urVTOvVRj8LQdI3j+aNESLhQ65exBguBkalWtVULkZRGOJxvZhpcQhWcen1vuLGVO2kqX+Pp/THh Poqc+M4ZzlrDneGkDG18xC+dUBn9UFRxXZmFZaYynp5+Dmx6hSziHtqku+ej17sfHQPm9EyJ8BJr eDbzEzVQ4UomDHiAGVecVjVXEg+nD1gyimjBjzUXNgjZWwNlv6lhEPfvQnxMGmuD0zC+De0FeOy+ c5OpDSxsS5yfgEussvABBXlgMplpnQABFSu5zX9/QfwiYUUfNa0SSgbLMCLIQhjSkFmn7RqWvaMc xXjup5WuhnaxZrHv6vI0w+kQpOTdBmf9Rt+srNCJp0Gd2Wz4h3QsdAhWvd1kW+RfXytFG1pjQEJr RR9i79KKcysueKs9vfxMFxX3s4Ghs26o1BwCv9HRx8yL2UfoxZjdg2Puv34KIPOzXcOcB97IPVIU R6doR9Am8xTTigACJ0eN0BXJZSvukH31QutIelkiBT3MWNsJ1UsWVUcZVbHqtoij2d/SFyQ3m4jh DVq8Ts8O4QuIkCNBPY2lf/s6VapHHUbjcEb2GjNyrnLsn44wy60tIONowNwmyLneaV2+sTtdefXI ZVPg/e99RZWh0iEdDkAbVASLgmGrl0b6u5f/sJLiXiYU9eNjfwx95Urrz+I0gh8loRN0vNPnLQvE aGM2I0GS0Y2ksGOjTMuOpcRLl0SdHlQUSc9VW1JsIa86PzzTqxEyAphErBbYwW9co4eSiFKSF6uZ tV7xV/zBwqLMeAfCmxP37DZoZ0FZ0FIiyuQIDhIgVCampsgJl6p2qvswrKyQhj9wiw6Ggp5kw7Yt kZVNV9xp2DBFeZuu0NSDMaz6Bx1UxnCqWpPAcNRAD0DDM4A2vTxVksKh5Vor8wIiitHP0nG8k1GX VLmyw9VxvO8vyh687A1VnjRHwjhucTs/TgMbGDwg4VKjJCCqPt9wIV+cyXACAmCsUOYGOpLI7xN9 fsji4Yuz+g8ZvgZbZCQXItn/4S2lh4/WaEGfQ6R2XSr5NrU/CMeMuGz6R9oMK1IrnE+OFDZTuBsP eJR5+LrA0vNAeanHhbz/pbYL4Ju7mC5DNNNrDPglb+QI18O+OOb9ddNFe6ffO6fW7pZ2bOXNF71h b9/sin0RP1ewt2il3vwoabJvdMJLJUwBNfxyyenxkyA/MOLI79BofXMyFfQB/g0l/S9ujxqRaLqK NLQjsocVOvRIPely0KItW2it5FnJTuiRz6e76dJc8PQjzHHvKzN5HhcbXiZtHwXPdntEGD3Npbou ESZmMEwAWiS7ta/rrYVhnPNqrUX2J51SeWgfZq8Exlm6nliZw9kwE8vv4052+kmU35oUd+KcTwXL kqaD9XJq/IC4g2tb7jexR1m6mYXEx+UvLe6lxGvdpUUrBvcJP2/YfROjjO8UrhqNm13BpPtOqeur pSXvC27SC9/NQGLaA4Lb5Jr9jihCaTClHbbuR14FQ/SmmLutW7M4B9LhcmDd3lSxuvIVIvr/y1EP qtuTvoJcUtaIDgqoSban5tsmFg+bNG3gsjUYrGmMR9/upch98PyNiNdAA/fjxV1ysKZ5J27EBfYp FQnVYmMMxY1FZZeIDft6tuTExK66JAw17Eu/Sq4RynB1cC7NoYaJf3w/S+x/HRXV7qtbtWME5u/4 Tn+bc/WRXur+wkXsAQWuJ7lPS6o8lN93BH8PCmWeSW/e0kZNpvI1JZCTo6mWlpy8azGFiCIdPMxF XSmNp33sZERtlIdiMB1OVIMy0zUg/HEggw3BjyPqaaTgT47j6GoZclDrBLanlODlnxBVkRNsB33L KIq64IOWGeNbpo6dm5wqDoR0OoHmJiznnzdgMR5V9XwKtSxieENYqxFNK4y5mONOu4dWEbWrLKRy tS8UEmHzehF3F5Ipl8fNKRXRvht/FKlpXAAMbq+OoFuthNYn3W2jhmcB+amnCCn6I1hbXlo4LoyA wwAxDOd+jdwNSx6mFhW1J0mXQwlxD5ufMFRSXa9G+xexyXvuVqkIoD2gtGaCDUYU3Ys0dpkI9+2Z Cuv7ICwHEO755nI+KSEaDwsb4kFsDMVIfoAGszxxZ9XRknSDg1e+aJaCCL+mWkQvm3JSKM8vHlRr 43GCLU9fQV6RdV8R9tJX7h0oum9GTVctD6TPMqmc10dwdKc9HDxKbaV2xNvA5jeKO4SYGDJlY3VV XCEFIpXSiZVn97fDt75EZX73aOwtuPZjsd3r1z73P0vuJNifWEOHDD1nT7yTmQu9yR4auPJ3RTXU LVjTHIHEySec4GZHP1jDldTapIFxw5d167vYNouLra2YzNF8Q3aBEruJhqLbr0WkFXTq3lN8NJyP wce0pex/7RW1690UHwyb8J5wHmLpH+f72rS7Bmyi/sQQwAYkfRwjlyMG8uSL2ym72WaYtZC5JvB3 cLPEPpswa2sozxvaYQzUfzBb5/3AenVi+SgTlrhnD8e5OclYLiXBrixL+LsRe6CCxSIf1SrsGyLa tFCljc4Cl01VaoRinPJkEi5K9LOJcMGg431jCduAQNS+diQwdBT1F5kf20dYKaZ+9GOh+qnEAgX3 IiHdAELB+ULE72AJKlBFNDM+k0fyUWRurfroqYXoBwpBX3kqjxH/xPlAonW7ixjdl1x5j/e5UXGt 2FjThA7mlv7SrJ2H1cKGUxrEZ+hz0Qrocpw4+vfYmJBFSLNa8QyMQ+IckTQSB55rDA3dl4c3TIPe aUtjnoWAi3YO6jy8l1BxnvPNncB/+tBlFxqHCvgmXpUjRk7he8Esah3D0jqQJwOz6y6gK9cSvzs6 ZxVWhLLZQ+UauEdiFuRwe2QOU5SmaJaWWDErUqEW+O+27wMDnRiJ/PIj8/tH/s7AXdKVOmt0mtQJ 62JI6U7ErcU4TN8z9B6IY6r81p0Dhfhi6WXk/E3klcl9066XjudsduESo4YN8yJWIYSagMQG2Dwh dPYml4jUkGYyBf/b6Bmxxin7eCzZS9SQW2r6KDaBmyXr18jGRQ4bB1CupNGo/NKxcr9jMgnMz6r5 ikEqpqECwhuKLUXnTH4MpUJwlXZOZHtA97lPUcZzDbzbolUmWXmOhhPZ8TAOzKVYqWFswU2Ewai/ CTIjJlvHnAZ9BQmQhX3IqHWvBFPKHV22/24rbsDA7XYUITeRFQ9SB9aox+UstDubShpUDrgkicrr TaxcrAOFjASkiyMqTon3hbrKq2tVdXxNqL7ux1V7kLGfY8fkhmCyTDLOP7Faxlj7z/dRteXop567 //4hmGXIxYZupASpqIWxNpmWe6aolbC04RBy2WZVJSwe2I9qD1If2V3vnWzzdT5oRA2dD71MCZcA 1XljPV63KWUfGmUtzcgH1GGvy9qo0zlEWvoGqpZyqj9UbDHhqeQG6cQiPqPbyR2BD0ZOhx19RtwR 4fpwjEvm/AuqJ1LIW2d6BOHcsoDOQ6MMuCxX61+vIfMwFi3kCmxVeUIwLNs6ob/+W3TZ5BraaN5c tKU8wh/7tF33hsn/Qf3nuyMlkBJ/5K2pygA8YIpvDFDAtQD1WM+FfTUKu26MKXAEUQR68wdrZ/uT LV1LybNQaKCCZ8hK0r2B7UB9xyLWIwAM8ZkBJDoZhGzx7ILnNf0snhAPxrJbwEV6le8bfSR6AiDE pGJrnEpTpY3f0Tj4j5VpVDgmWStoT9OHGDtyEkWOuovKuQ87R6cWIN6ozFCGcLtgmMGGOcut+GMr 3ra8o1+1g/c2CJd+rnuE8nxVOHLyhMfz9CX/7QIuEBjN9aWHKSR0rG0jXeibi2i+R1YMIut00BnN SbeaRzBE9zaTzOy4JZJc6HAm8lLu2a5h+/IHQSwzAqjkexaZmME2yzUQxGp1FYFphWomlJ9lOpct v/v5hF4xIzLzVhc1fxEnuqMj620wV1HVLzOKpwXdPTnuZy3pLW7s+T7fiYjdQvCVbvbXn1OgvynW n+TW49gkdbuKMsNu1h9G73eKkxDPAH5qQntAfLsRVIm4OkwG4nqBv6RG3VGnC0C8r6GltH3IfSns G7gLnBuPrKXl5dUbO3Yc/jNqECDJpHlv8F/Zy90oSiFXmoADoO/IgKSWYq1pItWnUxV2MnIw1ZA7 r2myuA+NWA02dGlz/dyEVY41E6UTLURmgCUzGYrtThiD55d+bhwYBdXdYsNvbpIVf4IAV5rouYOZ iaWM2KWcWBH5fVsf+h8opManAg0F9uakTh+nn6RhH9IunvhUz3UnbqbBv4iOr3j0/VjuGOYLP3sT 6P8YlhInCWvyWIKr8It0bcNKT076Wi0uHysKAlS4FyCBASXTBz5xiYe/uFEnrDSXOn6+c7kwD0lw woL0FvSLa9jEWUcpu5iRO+53ktxCYnR91/6zzajRJq+49VK32V9cwtp+p/Qee7OHCpih3nar3sF5 QphbuVWpkqjHy6hMHCV2oQYCpvhYXV87MaBc0kTTpd2QNeQdRJRoiNuq6FHreES8LDgnAf4YJ4yU Q7T75Wwpa1TOxaIVPJ0dRMeBKcrRWhYc2PPHc8Llbo88EFthX9D3SCBMtIrWjzjG00FYV4+BtZ+b ut/DRL5U9KJpYEhX3a0jguG9IZK2AOFyNmZf101ggqYTlCo3DQDYmFQM4ycp/5zSssPCMF4hy1Ga gHnQiNMoIVrDzS4JB8rEjsnH50ua66njn3C8nxjhe4S8km3ttRQIAjM85I1+XXubSK/60rNvBvbL uCQfAKvJhAP/vFMMW9Dt+egq4Ey/MlEif0GSFW6gS8Mv3R31N2D+sqD8cgJ8UKsUEDfZ/WDqbECt f+nCLpr2ZmxWnTbvHlV5GE6zuP25oRHGAsoLNZhpIPuqzLY/14q9JhndYda6r5pL/i643eMg+4f9 NQsNFYcrBtXHAHTVy/7sw/00CyjunA8Dx9P5dlB80tdZY6OZ4yderZn95+LM5rU1WhSVb/OKTWwD Y44McSXzks5K6Mfc6q8F6eAugf4SyOeAnC7Xq90l0DW9iZqdVjxnNOp13mvq6mmCPiO9VTu0mo/G J2xE2S/x0PxOaLBPURRxyTVxSehqNK3VqYnnOKZRDRty9XcMtO15cpuXBwDFiPWirdEP3vgFjPrb 4Si/FWwdWFt7zvzJ9f0bZWjryUOSpxk/Iwvfsrx5lt1c3Wvp+6fE0VA0yo3HXbHPoXDA1fLk7Qme 52/5YKsGCkra9IM65/cRxKX7NYDDVE+vzJn4mwsZG2l7v8TNKAzZ7xbQXGVD4+jsq9sflZKMth/D CUcpBITD0sj1aAGkcE/WS3xcPZqeFRop/VpJ6GQgEZKM9eQscvK2s0yj2RPhaUs5ssieXtndIeo1 HXioQUubDeQGguimdA6w6OjFUGoaOiuudEsL/YYpQwJIBLW06GI4I2ZAf83xy19ZrxPKxFbrWYLy xGKvGPeAr4oGwSVlrKkqW/vyws+pRjy2eox+KJmgob16NvbtrTCuIX9FqakdNJ5ePi8yTXXh7P80 im+5NfA9MGJuTXZ+v6YpERSr51zZutv5yiFhXxDGxQYfwxBuEjgdG1knZcwz641Sih3tUgk0etg3 +wxgsURi05Hg9224TcReZY+bGyiqWAX6yv2LRH89LlESAv8zqDj99VM2vrtbLextL14ze2QXnBv5 Yn9iieV4F8FAPsUpArDhJNc+QMmOEoopqNr9lXkvp3P0vHhOX3rWBOhawrcvgu4RaY9I1encwvoQ sK0OZZs+LddOm5cAm+OiAMMjXl+sOnAvgrgORjWnA+rFr9G1FGpkEoaPmqGzwpza/RpCJZ6j1+Ks GNVCKzLlBxrszWDGIuGukEkC9cYI/JpbyO3XJ5nT12Gka4TbgOh+v2sE3j1IA+47CadCnb1YEKzJ ZLgHAEh3+oq8W/sxENnXB7ByHPGGW1q9qRyoyYxvu1PO4ViEK1aFYtZ0/9Kfw9816dowKv+nEAnm B6M3nKFVzhM4q4B5l/tu/2W1biGgCts5uBQm9Z4V7dgpPQIIxFG6l7nXXnI0jQfAe6d0oFEHm48+ zW73upeSBfdWeX9J1CfJnlYthcZxDf6rkqlqdaU3/b4a1wRaQGoicIsYRfAPs54elcPQnSyor4qM Fx/Fp/GBb1KrbrQYVtgOeke2ocPhT7Ph9FbcZqtbAXAIThikVz0xp0qyJiwQBcmfjg5B2UDi7eJH E7tUrRWY5fxlL/NmGb4TAk5pfLpOUIVcoefVK7D9u0YHFKH/yLCsIQRoFZWWfXg0JjSgUC6cAYLt gYfyX0PtxswzTvg/Eb2Ri4jCUlptRGTTO8RaNBwpV7C4unH3HB9GlsCn8Df1lKvIwIA3msLbslX1 59+muNBGnk7pzL3A57i7Ym3SUCYd3ssYCJyyldETg+3DCW465ob37RdM1uA00w/MDkve97bncbCs VGxkNygfjJFxNzEZ81gEBsMh/rveOfrir0NggVancBl0RWpnuTVho0CigxpszaMEFyxy5U9ZH8Fa SWf8ZNCNL+20oXgFAsRoOaS8Re7mO1DX/pINj4FrjiZPVdqbuYXaL1cIVJ/MJpcU9f7RKLNUb0AC NdaILGyRjE4p7/NJ14dPUwgrKn483FXNhQceBuDbQ1o+s47QEm+4TX8Hm42FaMYcSRqDKRqlpDOk 25Y634VpzLee5oZUlyNAlq5jv8TnL+Hbs6A+iBWqFVEmDrisAVn/THxcKNPEO2MIMqLKF8u0sBGj AqEyMIyQuk3Ha8kw0fsnXicwCVX71ie4CXXg2Ne/4LYPvXtb/UrzhI4ivSl9kwmhBV8psgVCzrue bG63TbhM7+ckyyMWTjZ9dzR0VZAGdZhqJkSqOEyT6oXbYsxnn8VqcuUrp/Jewps+QJdQkIJNeNk3 PDz4qdhiSJkQb75ylix/vWFHK7OG4a8MonFjbYnEtXvfabIKXHYQnLHOCrg53ZzywLfHfthywYif /VagfmsA8Gi6VtkJvHw1yGjmdD/f64OY6ebQ9sE5wtdf7AOD8XKFJIgJWXkoGXcyWBEZnanPmMN7 G2L20g9XaNt67ZulbjQJx3pPbXjxQZzCwA/kNqc6Ej2+CtUaCTPRgAUf6Cjf1wU57gSYVr0eSRS1 GcRGD3054LLXGMgUAQrf8SeHttH4XqD1eZiphXgbzKnk/6sAjxrgY+Rz6+TJ+F+/yAXXtcIctNGL +HOGmw/dyxYmHE4eCiCzjrQXKnhWsAtNsn/4hyyOreDEZWn4e9biD6eE+mshHdDchcI73bpMEj56 2KGc2PI0wla3XmY5TKbQIRn74clRRDBK8lCETO1T+w8uF3dSsc3Nj29AsI6P6n8WcYCHfUIt5XxV 61bWcOR/iVY+FmSVYvCvxghvt/bFTqakp70AAX/CpXgYNtLEIDAZY2AQVMlh8qokHEtUMgG1zWLA rQy3tocL9izRaKVdHNGr0hhyjDwljmuJ7dTII74xLPQ7QnPJQyPVvOrY1jyfOXMUQvveSNCl1aO3 sCnvCJCtmySddNmxCwX/7/M2iDoeYoP6hQkr+Uzh/MDxiNNkZ1nhKG5IbOneEpsMujLc8Ki3eOpe UauPELm2QucEX3oNqe0ZrlQFZCF5szgYZjjByOm0DdUOor5Aqfv26DM+TmFSYD3uHiGjMVpynQFk wqEBW+bzT6e5yb3NaXxZvc8UWIhCJ9OqmJmtmkeo21Tc5nPY1XQVDrMs+eySdBELn56oIFL4vlnw Ctv/N8poHDBLCJG+h8eVVWnoY11Q5nR3Om5ucCWBjdVxJe/zF6zOI9rY3WlJCuEGXrx1b57G3bAH fKB+H0aUjNIdlmsrADjKrHwHHT9+CEuF4kTZ9l0MfklmkGmb0DfD/aCoNcozBCR9sZ4kF5nLudeO SmGDw0w6FNE5b3FSsQ5HQl2fhuAu1Scw7visBgCoviIi7H1CW2KTPhG2HSLg1MnZlZWsL8Zp8hNg OUbJI8RceUVtHmXIiVbmK0DAqyP3WHD22Q/0tHsDmFZkt/abbQ1MyWINZp6LuRi3Pepc193arjX7 2odkhPYwItHpYapP3+31TBUAJBUMi4IAyZoQ9N0SxV+wc32uOADPHKysudnT3m138nLauQD+OsVB lbSw+fyt56W9VTcjDMzl9GdPvV2VLDq9ISCbv6BRgWZmkWU8HXJ9ii9P7ju3OpcThDwPDXd7LMl8 3tZfQIodb47//vWJwpZDiOw78vzgmwL9omgHUJUWlt/0J1U2cp84N3KM+24/1PJRYIeZAdAsldQd mWWB/knDUxvBXxyZr5B65AQaFI/anueLEU05wy8csC7n1EgQP8QZrY6IFv/Qt04HJbYcdq/WvFCZ PgI3A+MK4XPrjAKthun405jRy8gbmcabhXln2wPDYHfzCCMNrGsegBZcXJ4tdPiTP5VUeo8vPz0J PF4b10qfRV1U9FvdlgQ/TE1cc1EjlVZcsP2gli8ua8m1zVy+hd72FEq9/Kb9LuSYFypP/daGf87L aayBxBWv6dbeRJcHuJKqsSJfWz01fN+c3LAZOtDq8f5YKvNqGa8fdJGfLV0CDAex0I/VhpTWHxdx RQVfI6/+ub9fMfJxhE8mWrAn0Fm5Fv6VckbPw40/BerXzJImtddB8dQLUoR/Rheenuk6nAvGWFbK sqkqDmXsO6iI8hL1/31IobfOtGEbgVygqV6ngcHG4k+gliReln8aM4NdDb2Z8iVZ1kJc10q4yBvC OvjI2aBYJMij45mP8SKMSI/JNe+6X+1mIckyb/Ms/60Tf0sXyYGx6LFHTksr/p1+66OaIkl8tgDa v8HJEPWk/DqwH9iztLbdB0KtLTBSMhsA+uZh4IjFE3Uukn14YTbg4dkS6vVj6DJvBxM1QpJILAyV jEKcBGgT/aetHuUW64jVUJf8wzm8MK4opx7erGoUbrLwvXTNYa1L3milES60++fGpZ1hUWdsvv8T HC10XMS5G00iVKkjVydiU+MkrQ+FeHQNU5NurWGqPt8piWplEgfQoorBT564ewogjzg3P5BLBMQX X1yjLnWKg9GrIOhdoX8FQd5bpOsn3hIwmz905Kw73m4jaHU80fNIyrsbpkyrO4+1cRgbtPBTvImm 5WMbFaIJuCo8U5UkLFfTo6c1SmiCqPwhPNbT3fHFuROd0pgyuRy0OGZTRL+nhLwf6ZtzSR5ZoRBX Trr21Crp0V1rpptlLoll+39/ldYd5OvZIyO0hODdZMlrUMKmhWQ0O0CcvCBYuoSrwXT+olSYmPfg 4CsIkWrOiKqJDnxzP1d/VVGJHHbpZm4S4dvfEZQIWm4yJt87jVdb0XKGcnhhqF/p9wT5LhOXjnim Y76zpnTLMKa6JOHCpMb3jq/og69C1snU47dd03KO9QySIUvPeLn/Bmfu5mp7WCoqKQpU2EVYzzQo 3KkFMhFnwjM8aBEuY6Hgu9p246l8RufC7KEzR1DrMgdtbzHsnXWWxlE+8qL6oeDb8yH18evviQMj buKtoE230MDcarnWnhJzP6Sxkuxh3gVgnG4Vwfi7gmHxe70+pMpBPZgCr3PqM3LDKpPCmJaWPlr7 CZ+0zYGnmnmD3j7XFcsYl8q0cLuurDBEEjOymfj41Us1hBhVCEkJbC5mq/9iOz6xDvxhRJU5/Moh FqKC/kCwORecnkZvs36HCt5B9EizMaTDi14xy/lTzMkR5v/tC6Bz762lT0MQw+VJHuPO6nt5bvIH u4bBNHm97yu8N0gt3DUpgLmp3wOfP1ZBiF1IGknb6jaj+Mqd1SdeP5v4Dmy7sQsaHS3PEMKkfwLd 4c2NJk4mBNSl5ZjcHZfjbQ5tFIXW3NYXCRI8sBodLhVU2tz3DfWpgDqma81BHqLgvrP8HYxXFQu+ 74nbdtNmVcXA6hWdJF2w2K+QuhWFM0FqTqWCF6j+Tlgrhg6YRzJzvGtjfBmBk4NDiBYww5DWSamS pI/p+LSUK+rWFYzhC5PTCbD3flWfsS6Tbhnigf5f7SPXPnsZBmsFtFI81jX+Fq7hIqlh0Hwm5boQ nxhKJ2tc/jzPDq25Kd9zNmmH7M4x7tlTDZc8zmFWHlPmXhMB31IUp3uJdE9KgltIOrocWGG0AnLY OiNDtL/sES8kRcT/sc+27n/r3/W1xgorMLzBsVM9p5oOvLuPedC0hxq/sDJXe161cyU1MfItyXr/ tp8A39jf7xSvQeasSx+ifrG911JbSCe3rSIr7uROf/p8secxtyAYSlEgOVc30fQWB0GO4rg1p//6 yNFKSLdCHOlEpvn+AAMbwFhhWLgNgHR7d+7ByC2mH86kdMpQNPQ2/xgTZ6tInEs71M6Am2JHoRnm tCApdDXdkujD1+rj8hXKdbSs6KxMYvLdL4uVSlgvrUUxe3KnbeCzL0wsnKOnle87x0QgQ5Oo1Ck7 rZoA0CRfoEIWR67BvsIi+eXehhMRzOeq9osZ1aT1Lo5+LjXIwOhW+JROZ1ArCoh2Ne7EPVk4Zfqy +yBjNOMgJ6hB8AjwBMSDDotgIwugACoL648hQtDiEqDt+W8AV0X6sQ+hF39L8cWhWIG/6JQJRTU2 fF8kEF2jnPXMu1Jlq1hxzwOt2SzPYFvUnV9cNQ41mMuM82Bsd/lWeUXtwaAWmBPMMoJxRaI7b5Fl dob/0+6aVwiYAD5F2oA5jce0NuiSQl8eMO1fuz14z0qmcwIDN5BIl/PC/q3V1VoUhe+hvWrHaCT4 1k7JI2FRWkQ8Lg1pXJYq6LaIA/2UkWONi4A5udvXns9dVkz9PrXMg9WtBByG1cuHvFvdm5YBWV3h Fq0kV6w9ZF8p1Xmg9LZupbKHveLmQfrQLx8KYKlZNewQA1kU398EE4h5no8dzPryRIBUf3ZXI4PK FALn4Lmn0HBhJ+dJWZ8AjuyaDfqqjftTDkwmkt7XTY/ON8lhFa5awSglHKE3On/5fM3OiQbWdVv2 aRxGu15gvEzNHm7qqGn8NtiWpXlqAvvXJZF8CkeODaHkVXp/pWN33h8a64VjupB0CbzIcKhrxMep yU6G2ainaNAiBHujav1ly5cVRlAYB8kkRTDcyqTSrAF47lkTCZEqpFDne4A3ZFfpGBmgyZahTQ4W c1fGQ5IeDFaXn+ScNU8OiW0g0Aq1iWYEc+/jutkoU8iRTaoAcMk0BOWU24Q/rOIcCRGDnXXg8+CA 67KLMEC3nK3lUIKgY3JtYXcNxqiuquAQrbp7HLPyZHZwrlxFVuuOAUBQS6q6Ji0WsDC0IvCwoiPn 9am3YFJeVXNNrJDfLqNGgb/Ar+Jy+Q29/H9ZHAaBGIPvNEYi3Z8AR0UV0vDBTluYdEBT84hYD24T 71XZsT/rqg3Xfiq7WckUWIw5AFrtZmQUEiHF2nM/u4tghTeUT/g8w+Szx+DnarbgwO4OGjoThggl bbBQ/3eHg4oPWF+dc46aBlGxg4wEjESYBimofZSx+DHBsqm0UuNfsKQxS2zN0YxKvaanObMTR16b pGK9bVWgHgjMD5H3u6PIg1Wd6b85DY3nMJ1SDhjbH/fCuwxP3FKhxbMfYcLq9d4palqeHoDjlwDm Jd1kumfL223aE5czyKAosWu+vKy0DYRrhzpFTzvKnidm8AEmBQcNVitKCUuzkI8HHfEhNRjAgT7s VbFBdA2DEBPOZg/gGgJDGQ5HgN4OHCcYU5ECvIyEvn6jnWG/A789XMPWudee2SRZ2YuQIRd6knAr WzRbaM3kdZKiHcDj7J73o+662+42KToAYUghNRqLGp1VMSB73mzfuf/D7RqxVmi3jgiFIFuj1UU4 WzSsjxg6J7UfIL0TU26+RHac/3c0CEbUPckzmHcWVNmQdBrPkUwxWQ2wu3nK3pc+cYf9up62Wyqo MYhrB0m9WMmQrO4zanMaEqExzhdf3rfSHhNQBnmqllBHI/DXquj268ETyMUk9yo4aQ3Rs9OrszZC zih1Z7ORHfmjJlAZNrABJYf1RLZBcWxFTF1MH3HyY+Gdr2iHPlXdqNR5ASxB15D4z6IYUvJ5fE75 R409W5jeCiXU7FkarAvW1A8csE9pKkz8TqdKKb9QmwQ/kZbzPGAOeArpqLK7fLhRGCdGdldcUnWy DJZkBYEBgHxC0KmvsxbHYr9SlyELMBUrwj+ykWHMD9fLw+ArcxZNFCoCkdn6mCQvVaRfvOPAetja 17KMT7RkKfz183YXUJLHVgLbz0IMG9I2TWJACtEpN9yTI6+SWJvt4X9KnI/fFQzGEboNukIRDk69 VCQyV+DOSg2pEWvJLYd91nD235RZJCNCzM/VLLMQx6laQ73XUAMlLedBbwhdX8Gz7nQWvyIJbvQc P9l5dyQ+R+r1boORXktr1Q925CYdn7Q4pVDWUu72zgCLFY18iVu8L6721eBy4I/k/IqUpaieIpQp MHr5Pxw+UkKOG9WfQ7KGIHUlm72XcvkKa3SOlMI+ITs/YVEa7WgyQRi0uBHduT1Pztu13PF+bC9D Gfn28OMgDkc195BXA2kxXguqvVTEv5WQPAF3lS3wff5UiBYXIMjrSy01w59CcQyCm8eSvMx/BJTp 3VkCmOZKxkFbcf9U/ACEYfYvy2sCmP+nRYDthCOvMqNyWEx6rza3SQv4m/UaSVaw0i5RLtxqQAzi 0cKl9+iFPv954bsFLqJ97ewSVDtQxWZx1YKxpSzExepf47OfnnRR6zxPpuaBnINhmYV7gPh0jIZD kMwpuWciXP8UXMcTB35ksEIYnA30/3hJt3dPx03bYyeLqUJSZRJCzRQ8RcndX3CImqkLchTAmzz3 vtg54AamrCgbVbTI/wHXEU/1WxjBsjqewE1IfxVa9dV3PXUg3t4rvTRygGSwyR2Hs8P9FqREGldp ZFt0EcuxuFJLGHX7dQ3mBrf0XIeqL/z+O1PM5AbMrBVqG50t9ab/k2ezl2h5paMty8AKJUftU4ks lDMjvY6TTnDTzyLISCDn1ecxk3IWgZ4Y2KR0oo6m0p+hKZJ1AYxZ/5bcjyjnFivxqBff+SxYcGOO PMUI3xcGZAKlFkrgTw7/+TQUDNUSzZxPdjbFlqkkNqM1qHsconTT+82FVNhWOSb7+n/tVO2NWegd ePxJVwmnAlQf1+gKR/fvxHluoyyH+YoGktBTcBREBY1p131pASmzvFYUyYwCAzAk+oD6fEjUofUN sJ9qU/0jtq2OrcYuuzgKniHO33q0J6wNGgUGt7XlaunOPLg6le8hJ2TmDXQh/lxAM9x40u+Ai+pR Rbusm/phgtIFxZq0/Ow5wYOTItkbRfvTJhzsLsD+fMtP0gHLtk7E13gCkLjnA9lOUwR1yARrez78 FJHUNrA5Sdbq9+gmF7kYFL5aVQNrtNVZDDkXzoKgeiJgjcXljwiGw0GBzwpgL84MknoyyObLuCet uk461WxsNqCldKw/OzE8oEdLia38hEo22ttF/BDU48kR241yd7xwuc6/jW8gTcpsEGZlcOOtN9t4 EZvB/NuTv/D91GSaSuhK9MxKS0pLQyNO5Y3jbbqQbgvl9m6m+q0DsG0fgl+9HjkDqSExzmPWcYOq K1shiQ0v+a2sdSlETOUthaXaS93TfdQoP/XyMJoXaQCjqkLi+pE7u25VG2TWe5qnaPYuStRk7GA6 Xsb2t8kmiP1QRrnCdbZR09eGiI05MEkIr1J2PxRP2mO+4V/YBgp/ucar5B+zsOOGy0uZGyM418ZA BLHdXVXA/0APx3Gb+2fVzDilbpJjs15YanhE3bukEKz3KvfY6HYvDToF7uNUTYW54shzUXfT4Fem 8P6rFpFdCT+Rfu2itoiGaks3G4fWWgZ4MFgLdvyV2YkU3W7LxrCXOJs2nfbVZblOomSKLsf3YC4M N3cIFgHkBdH3VdWwzNO3Ibna4I+jmzIcER0AuMEBOdV5cbyBYaA5BP7Uuz4FrGG+5owJ2jSSMho0 8yByilXe33O77EodtwYHcidZvzSsM6+FW77MCs5vDq8mqLfoRnhdvGJ8ixWKBqWnCU4go8QGAGbI F3w2gpvnKlpihwRbNP9bIFUNMoTAMgIqXcoYP25+13lTHXVDfg67hgyQAGffEhoxX7EBCwozu9M/ k/Dz78bGRNZn7j1kqKpNh2yhT6pC9H8CKfYgNVfc/ji0yvvJo+twQvUfULlgwPPer7ahrOQJztzr nueAsmrnmUTWsrVzGxijziDVLGeRYpRojRhiZ3HgjFNr9Wj8cTCbfpO1F1fUyUYx9IW+FjOHvzwK Wsy6EgpBfyoTaxU9TD9Dak1UVTrUC4QVgC3kG85bRJcT0mKPi0W3vQKruqLDOJp+nAH5u8Ex3j5K uEyVIwwk4CzH7jCRKy3V8n3ZOmhjeCfRMqwLcZP66LPOZ67VtPHtOkNl9DzefX3QenPA+tz862Xg 6ytYz7T6312Vw1kCBbXexEqHuOj55l9Vn0dHJduDm+jXzsH0mMjKHmwLl4TW0agINLC59RUrw3kX XQgaPQey8AgfE9mVCGnesegiYcY0+eS2GVzp7GeffSpnYpjZ+Dsn059238sgUmbPK1tBcVJ5vqs2 CrI1YeCNkBeqRfcz8tAhUfco+iDf1z9lLLsFgJetUfUMje+rzvFrVO0BIuO2WQCv2WVKw5oorM6c sX6v21QuK+/w55poTfgg12jDTrjPcPtVXPfGN/hqcMKm1Iutmv4LBiR3JY9+jGLajVdXhPfpcnNQ 8uirwI9FPWNjYJ7QhKsl0rgrTbcHE3yviDZxqi9yzqnGU7VJ9o82IV/tpXBVFqJuDEbunSRWf83E 0BLdLVj+ozPCecvmzFWmoPPQAhW6CFJABUSxNnhRaSMA1S6zvHRtnP/YESwKBmkLD2IbUnohMzJa n+oGo1rCKRFfu0rIzAVYPPwfzMzkkuOHke2o+OY46icV7XzTzqIVboM25PIDDBwYK9TWNyVvaCBb 2Zhpywntl+7FAzgvao97/9KMq2vfY2BLsoPL4ZoRra+TajuTBo+1t+dX/XOomLGx9toq0VJc7+ly 681u4w9Lu+zrgrvUQqSJqefWuCTv60dG97gDU08MVAQEXCZEnoEm90qMdJkDPjoDp86ViDfPm0t5 K9h+a3JZnjNC0dyiNPsnvDppuj7gueeVTc0zSRlLlB/wv+ddvrAisaRUtTEruALRtWG/VtGmgH7S 3FjyL6epp16QFilptByMlRsexwLvaLzFPokId1pA+masQN3zhDzC/zMBpwp30/sAucWfsSXA1i4H yezw845l8gwWqqT1NWw3N93h/TGBgnTGIikHWiWhEJ4gDyWdRQ1msEcmsDh2df+0DGy5VJDgSgXW VTFuKvcTPP4GekHpqHTr3hWm/rcpCzt84MU3VCPPfUEuxRPP4K7DoElka+VUyZ0XZ/sT9AIf12Hb kx5pRuyWSrQXxj4tYWPDQVA+WwW9bfOG0ZGkCqst0bUAOXHp6FwZnRcNJhJ+GgirHDZ/0wmpt73O oBU1WBWJ/6pOd7B8psGkCNP23zsoe9MDo1EL+O2eibCExX7SQqZewt4osYZEk8CMdTBC9WlpZ6a7 Dh5f378P94Iqt85oyMWMqWFFKKzA8fasRzA20JanUdsVLUWSyvj4wazo9gIf9g6TjEY2kvA8Bqyl N0OKFXU7JJtjSmlLF/w0gNCZlZ9+rtGKBf4uFnp/2lgRHQsMRjhDHZy4F1J6hPO8UuAoo63uQFon 8ma7fsTCrnHx0/nS7mfBTz2PHhazZtS+GG8AzrPEMW5YQd9I2Wr3UeZbfFg2yXX3hZFsibFPnYGF UncwhlaokmOX/OoUAskS3f6flV5Ik2ykqQgzMgyfEC7p0/4L/05wepORPrO3CIX+iugpulp3KiXZ JCZoKbOV4BYvd2blFdJvbJ7KAl1wgzqpAAd7cD4/HxyY07VejiBq3R8Yml4pSasSwyaqQyjkGNgu qYT1TAeI+JqGQUFrCTa/VQExmKyT46fglHiX157Dj1AZ77tT4LkIcZSuTO9LGgae0i5S/bO8gA+V qHohtr+OFDDijaLCWA/b8XHnE7Go+Q+sn1Tyjk7BHkW8mNjA/Yjwhv0tYsO2nDPdENni7bYX14+/ Re4L/JB2hdi1RB2+PjuRKgatTV1WQXx4zDUQdaELdlMjimgp1bqps+A9YMe4SsPmTpVWS2w8tw6G F6Kl0mEk/xHivfOUOGG9+sIhh/vF6y2RqO1rLH55Rx5S7D3iTgDTzaVUIWA0NafUTbatb7MfjMGk yLsrEjGsZE9RgKqg7B0aEJsfqCIltZnvcNWSH7TsAdf8K9xyqXLpwbW4DKTJvb3Bsv2uwj3fZ1Hm TXuXQfDmDBR+B2952bBGY7ESh3EuVVhdGITZpmd20UejtKpj4lj0X/wX8sMD6gSTu5MTwZKpIFb4 lGOaSlLgABh5YM5bHf4YK7n8VAhHyndm2DM+d5Txx+79Ie/MYJIO1aiK+fU45yyx3FhuVs0mCwnw apNfsTxnBpOC3un/EwqGYhhieD65yUQCi02yzc5oWXtEXR4gMW0x1uoMOrVoiFvWv5QjIrEkIbsL NX6T60oNo3sDPffzruoGfkn28A42uv1h1vvP1zjDdRuy1DELJFoC4/Dmd6+pvaFa2vUQEleCNW7C FGNVDm0QDHYkJD29oI8nL74iaBfgtYG9q9wfiN7eevovikqFLBR4E8MkeVVBrvQh1mfBBi3aPK/9 FOjGyx4p6UphOvpUnFkfuT7FdpOTQ9wapef7Do3uG7UGinYJoZTQYDqwjVuMIgxHSJI35vbhz9d0 IAm/AUKbgCAv9ZWqMV4zDxW+5WjwAo706QWLEFdt0LJkAffw2RM2dTfBWnRoYd6eWyHvmUcaUgRh N5OMFbBsHl+eC7ghor9WMZCMzqLTjurx8vo1tolN+DPcliwBUJE+csbhiODI4igZn17rKIb2wYIs Q7gDRFHXXPOSuq1FxCygckN+uGf5O9cFgi6ZE+alTtG+xgFCW35kpVuKcpe91OWfktG4LyP6WPE1 xCSSyo+lccDawln5DTS72HTXPCOadwMydDBJNwBVPxMEU4NbfaoXPRrsmszLvqaLym27OPT3qTF/ XK0pBbCYF4pSoZjvxNt/HWU8613hA1lEqt5FL1t6Nrt+/QALv0AYQBlcCNt+nGFfCA631LIm5A0O zY1S6/vGkNrNjifKMHpnrpAhhTqww8dwH86HoqfDH9J8kYYeZb4GBRWnC0OE1u1kGXbHgM73+JDQ v73cIoZMa0BHDzKqacd2pi3qSHcMYB0bru3TR227DF3uJxvYbM4CEpLXSgORPNNebaERrb35ud+M ZdfIC3ynSiEOLK1vZoo1shyhqHLkXSTy/RiLcMo2Geei9ifCtEyUHkAXk6HGuIcGcusDdLuU68Wf mwUaZ5JuqERtxN7TeK84odL7LZbaTa8dpn8iKf8Qpjgx/6rahQX1RQ3fXlm2QBfCC7Zzdc2jjFxD VTKl8iebzQdtezc4u0zNjMMb+P7fFUvFzYsW02Vj5cRXh3ts+IWq+Y+175wYpwzrNiUV9qJ/G/pR FikZau696ckPTjwDEJ8VLdjWE0yla5259dBJ0f5DjVHdEYomVn7ZdEG+GsTi6BMjeyKpRuQC439H ZKfwzW+nrvrob0v7hKeg6secvsIjZdylYbmg/YLr/gKm18bZZV+ph2I5dKKEk1vWQIl/5cFBZgim 0ZJBUEvT/3xZPu424R0Sv5mUk0cakciBVGmH6Y8vdWgZG8oHtxHJyPdMBBZacs1SY6BCxLtjgS8Q 4SgJ3LrEV0BH43Vj3/oDRAk9wVrZUiNsP7s7lk9f3wnUiGJ/J6tPN8YspSEwQjdfEpbm6Wr+Nl9s hPoIQWjT6okFY9lkbKjBM/+KIyE4RVJl+oxa2A7ZHT4s1JLcPKTOgp+DP7ENTUnbnuzJDcTgVSYX p9mQP2selerS8yif9sg0D0fdqOulCaIooaNT0RXlqh8HmfVu4xeAl15YrWL0s4JtfhfkbPM4KV+S TpxUZWsnIMU/sa9mp7cxwjZ9vDNGPScEpTQ171DqMgu9rif/YGnbUhTlDIL0EwB1UKAmNI4wnRM3 9J5C/i0813uyf+FKio/0r3fX/j9oSSo34+Gw8g4Wqs7G4o4/cYZEWI4ZsVV/7fOq/bOzuiK4/wR0 H8oME4CMZiQQexCJM4hL7S/UEVRwY568yZ4zTS17/f9rzb0B0LuPp8FYe+Z5PemiXQF8Kgxh+vix gSaAR9rQd6e2ffyjUpe0FonDdMmgrE2Jzb+srpkoa/B4EDhcGDQV/MNjyFwvQbi4kAab/LG3qkQM RgLv2kHK0L+seHZ9ez0hcwH6U0Rlj+wTv1RnMjUw7s3hR9F6GkTQq1+b59kW/Ra62UZIUTNLVlY2 AVuJyVXNLyP2FdTdbkPZMZvEOw1cUASAzq+VluQ9w6uKKfbz9ENZHGZmv6MN4UxLy4aTqGzYQ/gd 9BzrpbH4l3TI/5jgwW8ZJ0xSevWzIn1x2DlcfTS0Rg9IEnYlF7JGYNHE2Qe82orPA5qtEjNIV0EY dv1PLfGsSSQAxAzJuqhzQKZHReGy+Hj7ZIjXJqFuxjO2Ruf4ZiVEhGFOym5lh4c9gvDIhoRW5qAG m4MryZ9VYqF731BM4uDN+UwqYAANtkXmQwwesA5/Z1OEyFX5Suh1a6RRtDZWC+vLM2fV2NuC1HBA GiJBp7j+5F8doI81qhVmVpd8nWymlBh3vkktCFfeSazhjRU5UTbUpCQq1hg0c/8Awdagip/zO1fM uZBDZDY8DlklHH7WnSo+NMKwf+Gj+0uPhD+UlqoX1R7R+kq9iYiPLwdl0fZ38Z/7XPcJdxb4KOAv lyvkkYLvYzVMGdur/ILPlrY9f+E3a0HpKZWZrfhXfIpeKddZxGpLmwHy3UAoI+h5jc5ZA8LicAA4 67zfCg7f9jsSR26Te/daLOJsXf71Oh3+MMciWj4vPHCYaGjd+OD+Ocaa8UqBR+WaSyMu0yq4dNU2 uvsB9JDfMfZFpEpuYsZ3+ofx5J7wgf+NfyQGDtK/eg6HOWy+YLoyJZakrfLZgm+fvw5RqGNezRky Zy0/F99FyzjyXRfhDLdCPtRA6xB1Ga8tP38asODBvmtwND09elKuQOAT8j3I0lk/PPDlzGmwspNq 2D0FBmLKOy0fs0F4vB+xsBa9/f7/HcqvBHA6VP4saC9HeIsGIfqmz6aMXejzqDKuuqIRU0inDqrs XHA1F+bqB0Antg1+CNxl5sFYivBeS/LGqgH5Ac+8xUxCXYi5EA99bsHjpy5AH4qOwkWnKAxy+pAB AuRQ238erSNakxwHIuKR7xDYVVLskdqwD01SciOhdbTtyjFPKCFHlxq+l9yQDpoRVoJdEna159Xf WQm/GGbp7cYIwuRsjUhAwM3pfyGCxZy8ZLusLww3eCg8914Xv5Llj1sQrUhb4jRpgU177IRZaLiL RMc0HnLsRRqjvTDxkoE2etPgDhrXbpD9hE26XUoSVghW6pvOHha5TFb0dV0i0CL5uPNITT8OaAmM RL++CMv4iIyFFOMOIis4+302n7aWt+JG1yhC8Ay+X9FIgiM07wHRCtCXSMfWwdUWUs0p+h5I2x/1 oDba9B/l6Kc3iKIvH8GFdHd6r/06tIJrKo2J9dOBAuRUsH1D01PesdlDmo8s1ivOk4QgG8uZtM5r QcphJKzzoDXeq7IqpLxBUE6WX0ZEiuP2jWrR13nR51/R1MJzZy5ObuN4wXKy4/O9eLoqYfRAJmZe AV+MNPRRv11IpmWHtZhnSy/LCG6OUvQn3KkDnOaWBFkw6jZk3HZrCDmsg/biOPnaO5JDZ5ZZMcoD KRn4yoY4y/FDa/IV4sYp3wZ7zqPTs5+g9eHghzNVAsKaJaAo0hDQ/0pJ6HeJL5H+CmK7zcHPwHjY DR38kxCUrviYK6KW8pZSelrUQ/75mxghfvb6b2kxEem/talzT84hNWFzdU876vQbND4qAhW7aoPl rZeo49n6/GXCrvQDerfagVGtMZH6oAJ2NLQDp1RmnT/48fcsKj2s+5eTofl/3lTL6i8YztNX/c5n nE9GMa3gRP78ntuYuEB6T/dCfcCpLFd3MpfZ5N0cnBaw3aeB+uXZnU58Fyv9RIToSirlvlbgCS2+ RvzqUy7qSf9OlYjgclZRMGnqBoaQmy1Xo2ShE/T5y02N8pbgNbHYDXmFGbBVVfH/ZYXmbLtCyfLg jIN7dXHLtrMKOyhU2oQoPhOF7xAgDK0cTAh6Mctt1zG7mLplTBtuPyQA6y51405vLdyD7UF01vGn OUALERCk2gpYFbLUsxq0rtpr3vM2iB3+As2G6frUGPgPnUVstVJ/+F5VuXQygs8PB/O+npbQPQQf 6X1+aC7HxruDAzUnuCskSr9zdgha/8jKxtazKFp2awNKzn3bh76LnDdUn0ns/K7/3oMSM83H7ptZ cOgdRETVKoF2q1au2DpjTgVbXV3JhMEeed/nUYmTtmZEoVzi9RdXXuGCicY/pjmqVDkIEBoRSri/ AqM4pxjwU+GidpFSaKu/79TZs9ZE9X2dPPwPWxA0yTcHMTwWEJBlN/W306ZVbjmYVj1VhXlyJASB NG3YUpzIrZ9nWaishv/on5w9uREZg8vy1eveWTdAhAOIdefl3Cbj+EBD2SKwKRU4qsVBZOruohN3 msGTuuATXI0ZkkWLedpxstm7V5Eris0FSC9lcpGiCUogHbV+Ma3aPrYCY4bhmGLONoCAYlDwbqA6 zltMhSNxmtf/xaUdmR+yXmecmy5DEQFAeUsLG6KHumDQKnpBMSO63j6k9UOj0xzujUOsQ0mxV2gx S7uP7BGu1hX1Q2f40BfL8urYRMfku3fF16+A8cvCahSoR9UARus7YzQWFLT12rL6hLn+JDnzuI9n RYZrzbpQjQjU+o8gFBPfnC8q6mQ98MKmqVvEmVR8rTp380jOlL0i3DUR8U9hxjcU94ired+Vc7MC BMN5UgRluTljKxeBvdUesYnDMAy6Qiuj5jf8oEJGMMN3llUPmDsPdEoqUS0DuUjzGPgqZepXmRF5 +awjULDKpLVL1qSNNiTuMr87MenPqgRTO9q5unMs0Cl29m4Xshv2bN17iBTltCWPak1+Oysfeouw sojN1sgAEbR381hSTqhMhrj1sCFVkOEtQn3ZBPhaGnK4634W6bqpwJ5YO5B88vNEzfYR9JQiWoG/ RhxfChQGHZIvFycC25YE6zrRWVVRxW6GsHJOVbiCuKkgmfHi9O+ETWkNVxYDjECNnpDJf9b77UqH 9+CRVgJovPLeC1MHoWRv4ern6wU44m4azHnnQdTd4ATR2vBCtIhfcPH2hDNeLAT4c6V5/6s/iMWd kVPt0QdQDHBltbwrNFbYZDr1b+79DBmBTHUgoIXvqPrMaA/frdmFfefKCxGC516nDa4Y4ePeBORk hYjhUeWVaz+ktOyL4yfAthQPYcZAygQKjJMZ5VI9qHA+epnB0XEQDAfu6l/SA3Gm1aRpP8pdK7oC lpU43zlffrKPs1/qqksTp8/t6QyeJ9ldeJU1lj7lhdf89sxHotKuxNjHCFAmHmzcodGgok2dsLkq yqJCTSz7ZmjISGP1kHNHs9tz/AeyaT3I+eFYxjlWG7/JM2T9ePlr5d7D+2tDed2z0HskzMVffx36 1+snTWpaDEWTgDUr6cQitn3aD5U1c2IvVBJ8VYiPXuk0jYp5VOgK5vXFL3hPXPpuIYzxOqeDdt0N MqA8VnlgU1F1cHpMcg+GSJzxuBL28v7KokIVdvcagSrj0gSb4p8O3XYfdTyqG4QuCgW19p2baanC Rv1vTU0rWjRhDHIzknYZkjPnEhlC+CngTFuGktlnbxL7s/TUMKrxrHZb5ZN2JmqYgHrn+29wVbXj r84sOfYvi8eXoQ9eZ6HNISCBG82NuS06Nd2dk/DurJ+Pvq6xaqkIKva6x1UpVz4HpQ2kqwpl7CeJ rvhbujY8kKPfprBJ4pTTxlzBq9fHBdV7gZfL8xTdWJDAoWBNi7/UrC0g3Ckvq3UP+ZDOq4nhA8uj 22tYOrIPuGJF+y1vMZfwjewrMcMxKtK/5FCm4XCuuS86yJ7WfIIdmNad/sJcVfz3a6NYqcH+Cc6B 1iUY54U+4l/1Ryx9MO9+OK5urKg54Gn1LJaZJ3rWkcNY8I49MmPozQrnUydYr0illYwkwwVqGrRJ Z0DimOuTS8Hj7+rM8tHJS/zEenlJ3NkRUpOAFNQ80QnUNmjRp3tu5HfNYYwBGFo5xe3Txc+nsCkK JMxaB+AtXhod9zCpUurLqVKAuKpOCFwZKJvlMguTnQmnt8c3/UgxA/KEh2rpXeRaczUDYgbK8UJr /4BNMTeCKWw60A9Bk9LcFnCFEoql0O0l5KEVC89+MO3DGody4SfyagqLwy9w+Xm5v5pyhJqruaVo YKdS0+bXUrfB5MG3eWZxfbnAyXKtfOUjVaiQWEN8m7xGIuVPTf0w220v4i/FjecGc6PgKgR04Nli dX29EDtF+DlikE0OOfHWMT9j6orKI6boiVLVQKCQ3xA/LS8Y5kO6p41k/KtdE5Ry/vP3A57+/BvU RGi9ZNQHj4mouDLXY0jjvkQDbmdDjv2IOwV9gmk64RGfUEUVH4H0L2MfzgYw8/hcv34Nc2opjhBw HdF6xmEOGD5inoOLhv9OQcweemEs79+Sjy5bFvDAlIzAcfwzy7/78x0SxNC4qMjzPGyIbxvEPDnd Ej43oIq8KTgHQX9K1nyar3PoIp/TPh7yYokqudyzm+dPbNhGNAtMPz7iVs4o/OFjczg5c4TG39o/ 07LieHEjX8te9xRANJC2SxHyxT0EGALba82QNuxUwG+dHiisJkHdGSZeUA16ktA358u5tpqtMbqn ZOh996SAPR+dTFOxI1bKG3bYKKMStNNKRjU+DNLOz4PaKANhhiIGS8JCh0a2dsTPGKgNhiU6bv5n 9oQ2PIrbWMz20CgsLdBOZ28GJT5hZUHLYDlMgQTmo2PaPq7Cpng+RPJkulmE3pPqN+u+jsuCpLBq OGedqZi9FfLuJa2huw1EawC+hUE4efjomj3YbKWxibAvGf6v1E6FJ9OvLx4VX6+wtHEqin9Mjfic 71g3uPYT0GjaMnyIhQ8wHy7ftArOP6QfR0AYarcSnQGJ0sCj+h+Et6om9YPW0onUcAAnRyIgMG/+ /keWOqdOvS/XnPoM6JRobJtt95adOpYh9/uVANHJIpjc4HyoA8HCEKhdOj+EejzIUAE/oyljYc4o i9m+zY912o1ovrWrIAWyokWEWrfZt0NzBpCdt+jqBvSP5NAU9KVVmUvy7KEtz0LrLFA65OIdlEHf ocFnL+B1fj05PMfuUwyx8tshceTI0yFL5A8guAxrC6SLdSMBdFWmkyyDMGiXvz32dBBkH86t9Wck GvzBrdrnN9jtFjcDxyGkfEgfWG/3sxEica6ZAX1LsLV8j49NYT5yZ1t1T1/jEgoovu03SYqamPqb YwVhQBK0ihXPHbMK/I4SzVXGTpACuBUyRicY7F4JJT+GwBEwiKpPZg2/j1eVL316BBy1pKBNXj38 MUacYzPuRub/InrHuRy6s7jDmMsE5yy1hyXd633gc9TMLCakFEwABzUB9VPKvP7UVrDl5040TULu xxMSKRlat/sspnvb4md0RuIk0x4RKuN4AIYZnqNrLFL4GsGFcenCIoWbY8c/pj8G2iMCLcgs31Mt YuLx674Dv9eD7hTiI6br2rnmMDL/sSNi7/smjxJIwjiRiqLuWfMuMWczPsBhojT40IRe/Tmwc6ds EhFApX5Bog0mpx6kB+02cC8A/VIv/m5xxpKzec0aZqef50dB9+olspyNpjqLEuF/Q5zTUYT4xtXu /yp8i+QOYZL8oMuMAnlaEs1BgJTOmINyuUd3ZG1QM8Nn7R+Rss7cGCiHQ0l897uIAq6DOj/9VB+W U17IOoErlHAAsSrNqG2g/MHFWW/tGrF70sqwBQK2wqQpXoAfQsu+lsIW8oiMis4iTnMUNDxWlC8f 0KUTQB1kNA9odN/x73X7OG4o1XJ8dnb16+lyo68h9f6xxa0w8YWpVba5Fjg/rj5h+SY0HSoXnr/C rZckNOKQv4lRWJljz4aNpq+bp5a2uvoE8107Sk2P6unD1+VeQGnODgy2xzM+nABo0yxAJkfxIS8E OO8foCIvGOIwddW08nue/o8yP0HNdGJrOvc5EYCy6xmRLTfxia+4oc4jhlWPc03dS6UfaoRPAgSI BYWbFRVYZIoBhhSJ9aUaLYKOifZqORb2cCxdzHq8mZjN8gTI7Qb73CQJaJ8XHNqEGMMDxK5UKR4q PxQNfIGGGUFBO9jQDbxQgt+7lvhYSbZ6zj5zg/Lz+a+JHZ7HmRy+q5LOWC0ccGsoGbThtxPTsUDU NWLQN/zUtYFFdRxKvKm1WLF7wL5Cl3Orl7vttTsLPE0OuV5TX0InQrQolPTe54zlvimgAh6XbK0m tz87dTGRzl6roL6aGkOaM31VA25vLAdKCHuOQB//L3PugJPuLt9LnevUKoHRwZUJuaE8LoHO135G 9qPswDEw0Yvw7l2gJFvJpxGCexZIA1voC55xpd0wmorUUweIRncln7Ycgfjz7tcpNeFn7jzOPtji Rc3PkbmtXpyEqVlP9yhvgVnVfadT/POz0JjvUqM+Y0G1j0y9MxIMNCSCWVNT/7sLHFpNaMeBaLs/ fKNyggzTzfni1Nqnc9WkXiWrSb1E9reVxIosnXdjkMKHl7HwgaNhRSFi0SQcmVLAjiCyVH6wXnee jVqzKpDHkPiAuLJfpmKB4r/fhRcjx3kUei/pEGY3YePgbB4PwWsn498A24uTM9SSrQG24ART4j86 0IkB79If00DoTwK00B9TzQ89NWKWb9dJ0/IGB8RxYIPc1g6iDYq/yPhOZa1shUOOkdpihY4p0rbk qVmgzSFNj/XP48ZnqvwbcalsCK5JLmSe5bfCPSSisQtDaTdwsAxrIDbYUAchDKg3W9sy3PadFP2m Krt8OlK3tuCAuiZ8fAFUdG86jZCYH3i1swCQEgBKJtwA32ozi0x4iHZxVbhj7qWYVMebPKAMRe7Y UjdIMXWO6Z0rDkYLYmwpBC6dsH1pFzk5NiXV3tIe9iYq7W66iVhjtdSsS6VH7VBPvhhcWWguC5Ym CKGrIZ+HAt1oWZSeJ4JlFITLEyx43/zgSydtVNj/bqW97EgArIA4/4u9EBdN4zd1OeWwHFF2XyGZ NIVmK2ZG58M+87KpuSPzFvoSKS4Tpf131c5nEHMpmA6AzzpHeCLbXvaFfSo+Gbjeaa8CEKX2ps32 D5Du0KMWpcRQ914az5v3SIjKHg9uOpYJfzdB8qJqwSo//zDiemvPBHXanhMosOG0xQIhe3piq94E t1KjfbESuNrND5C+VvuRB0RKmOCjuR7utUwkrdPl1JwI7IBVy5HlEKZBMxFLbDHQDrp0iHm73UjQ 3/wV2+Ulm2AXdffSO1v/n64w51QaO3hMweILjJVYYOxVO49k+0KERVUgj5NYS0AjDVbKH6gwBBp+ z1lK6dFF9o9mrlnX4EUhxC4YGh/j+hIeYqOkMEghxz69Cf/cQEMEi0zKK5J28Lx372NIqsaFIobf 2DO5xL7cMN2dluLXKEHlvbmH8/aD4PF465FBmb9hXdy8aWUC70N2YQTzDeVzU4eKESGrxG/Q+laG ljrfCk/Np7netk6eJYMEWrWnAyMBdtoV8ch6gbkRehIPJgTIE92pHPouba7mY2R/KairtqRmX17X B2MHK0NEusqCX5V7JcTeovlV3pLqOuv6DWYu7x92Q7d45JldMyvSEZOIsw/wc5nBoLLMJFNSEjfI GAKjVNl0k95hJxvKvGZokOpVIvkuK71ei3OTlXpWALmFlihhuAI1BHFIqMBpr+eBCaVE0ihdnZab rFvxqjtrTM5+kbHbMiKPRgu1w9XMr/P9cmcK8GPhnNby9JPDaNtfCdSEF1261ypyu8hPkw+QpzAf QLSWpIQai6rqI3uZbAWHOHtpkQetRnH2/093FoMVI1T74pjIHlrfKAM8ZWUf85Ssslg/1eIveN9b OpHlZBa0bTGLKyf5qoNJLYr1DqY5RSfDG7N6/MSwftTP2pTRuDUdJeJPwK84wJBiNaLTC2Sbxqzj RYIPo5FZ21qDCjDCzP5Lns9cX4YMLXJFCXLHZ+KQPJaN+b9vsEYu2DLdJhZMaWD0ClGoLHOIPz/4 Gc9UDazeLoYlFGDkP6I71WufRVNnDS6M5r5tiCKQt8901BQpyuYoJSYqPurQWKa8tbcVWxjMotZM Kdj6bJNcdHdEnKnvFjYSUILtyPs5F2i6M+A2v01cZbDaWGw9DR11GrbPpwE4ydV2c6BVE0LVi5Hu wYmn0iqTLbdlV5JNH4vNvghtKpcaCyh+ZnXTVHVwEyxpy05T1wS5lCocdswz6ikkfY5J9qlQKsNI CntHd1li3XVxxGtTyA7a0FTVuOs/kGfWrA/6JGcQHizOCmSSPsANCa5Q+6dksNNBxeu6NDLsGTeZ 2kOgJrokFEQ2LgumDjN8vU6kgW8ir8luE/k6ptoZKpQDo2sEVaARZMX/oG2wTmSstrw7lkoM2Qqk yqCasSrfk5PGoXG4zOSWNhDhZ2QiyiXLtflq9XnT6CzBiWbFYH61sc6uEyeAoCJTuJyAzrqZz8Vi Sx3Ai9nrhFffXnHIrv8xXlUUJaxBFi2T7urcwwEC/kmr7xsF/0iGdcPxJoLFffIgNndnfWH+5Vlg Qh8/Rw13LVSVnKhdAyJedBwmvV2W8pW7yUqY6q97Ml3LuOQCBN6PMIKBLx9IbpHA+Rp+JHR0oPXL 2Fqk//Dj/oT2hUz+OsuxwjjqIC1znCpoLtqm6V0QH2IcQOtULgRBn5kDLlY32sbWcHRCj+OMmHIw ar/XXzgoFuY/NF0nOl9pkI1Gr7I4Im5IaoPCFac9s1v3rK2aimjofG1tbM5fZvQjBvxIIB0zLXgt PpZLWdcvRmHLZB1sMK7Xk7E+0LFurOFmKtVZoqAr+4uCB6SIHGLz7qgJP0Zv05O42tiP4k5tbkwZ I0/W+cvdDgykHGSf9bXJD9Us4WqJJy1bdGLHXrmyytaRqriirFPGYaFYRi6I4c9expmuHDjF8WXe ctlPga70A9sGG1DGbOcOY0fUSy5vkwEh8kJ/XSC6fsbSNwuKBoKDF0C6cLbeGIK22JxHsMY31z3C zxNjpjEYQpL4YWz2TbngMlQnJKIa8LVChwzBx/uXMAeLCnHfTB2Ugxd3Oxsi/tjYjbww6sm+Q3gx BiZm8bQVP5PD7zKppZaN8OqqbIorsl53kCPM71XBmmFQSuOOWjZibp0SmOoKvemA0TE1hSz6Aj4h 4dxhj6SJYI9iQr+7hrp2EX7P+91H9YyqaRMKGVud8CezvpP4T808AD/qC6wcSQ2mfZyidNOG/KpD ZRYQL9jWQ+Br7d4qxZswGkILHBBOQkWxJ+lftgArC6u743yOuWlHcuD8U0RsredfYUMG4sqjrWGy pOBRr/Me/irGAv0DFk7ihlOHm5NZ6U/VTwIP+hoQUzm15DNHRpT1wxtHc/ual5xLoHuzpYaDaPzK 1FfQZqugRu/3Y9KE5AljoNS/1G1fdz3XQ0/9HDm6GybIvkHp4CVR8iqJoZuiNbRUl0DuEb2ESUYb c/WwPdeZ235v71IQqfVgKLLjc3lH175E3ej48XCSiCTKAF4BgEOtBtu/zVNRn7hhJAqsLQt1NVic SNWg/vakk0O4C28fau0zi0E3k34kCZacN08rJZFhzhXMLW74CnE1ror9fxNJvwmNJO5NRv5qgAdo wtC18Tw1BV7m4L71hSU1AFJ52131lbFNoxBHfJtS+hNS9874IlQ8GhJwLyftQ66Hynxs8/r6lrE8 zCd7PIrA4zmsNwIar9FabLsiH3oSfLEvtEAqI5F0HMiFFaPDU3Fq1b1Rez0j5pLyUGqifze4xN6b 13d1grVh+N+qy/k5tHwS5MDvzfpLZ6H/5Uf7IWOceVDbQLcQRTV8er/85/ySpSGZbmPeheJbJkOp 4R8esUkXyp14dlUAOpkJdA5hWZMq5ouamVKDdH6rI/t5ReHZLdMG2zP1cL4D36tSRYJ7BsMl58I+ /u9cqaFCvyshnwpo2JBq1tmmp8YOzhCsCrJQV2hsSkHp+ebbtTZgNzFxwpnsY0zJtrUbHvhMeQDs sjizj8xI3yoTh4jju7xtRyzrIB6OwrjUWC5HIptyNTCNFbv6w6KPVNkCew/WycizyhMJNDMPsx7Y faCtbI9RbR8l3ELa0mKStxgFaBRnoB3M9KXQW3vaqAiiHv6dLoJkb8kxdM8oNzi4cFsj5+xokzZx SzD9HOJCMIIc63ZIPAbEfg7jOPhe9BXCRm8SAgWH0ErQ7o7phH6MWu0wUerDRmU9celQti58E/Qz 5P3IwsNzyOc5/gHCZ5BjyzS+e5tN8VeMo8oXCInH77n9zrvMGPlPyAgWFoiLoQFx2aSlmRR5wl9J Xvp1pBukxPelWDFCgEv8WtRsd//ZuRM7Rs1yeFWu66yJPYInz4Wz1+ktKj6QAr8U30JCHkk7xZ/b MNI/PhlvSIpYA3a8kl+GYgeVP/Na7hauzbEn2SnVfAKGeOONN5A88CYCcrHw5TgQmEc5SB7/PqmL L8O4TnEWt283V1EEFUU2NhoPH77tID2raKPFtX1Lpa2PKB0jPZAaajtrwkohDG1XDQaGJkPSC9UX W1trg7Z4fLgu0QY97yR3lmhWICvTKGQzaevAOdnuvgusUFgSDx2xUw7vBdvPfhkKgs5+VZODe7al f4SrhKlDThWnqBZf5m75//mqqoguhmzUpJp97zznLLbbvXEfQMj7JLvY07mnNlwzJbMe/UOMiNlW dikYB3G5ILdCWrXFzmDyxCw+2ftH27gHwyvTeC/Z4LmO17Z861M4+dNH6CsHSTfcOeBn366/7u2N DXpenLP8sJEP3SNoKwjPujYGBl0UHEniQojGb+s1QsgXsaOek+Sff7lTlN87gYUYh3GHHhMzuI++ 91fVkRC2X/ZlmmRbyVluUiKohhMTuACDLlAPy3ST5ZCu/YRIbSvHYgqXvv8YMOQi5xvL1VV2y8PU NJOI7hC9edaKtBTH7Ob0iqGu1vvNSgIH2wwJ+TQ4gZbBLwibxQETz8R9T158xBAeixgkKscqXQYV IDosrLAHCtdQGeVBzLDi4a8hYpG9QEqup3cXtDLn7HjoxgNM9KVIvfyJUdTjO7Q9KCobsmL8sVR9 dKWpXGyihxk98yXVkHfghtfTHjaUmiwTtRW9b+bFgeyccpru/6Px6uwo/hd5m2fLkJobxin0AgXH Enald5CwwTWcKOF0PqmDeMcc63ibADmBaJU8wUHQ+EL6BlmUg0ozIzzREhNCH8Adgd33NDkOUOQu 7p4VnlqY0a1SHs437yJfe43km896GbzYI05U46Ekv7zK1R2d/PrL4DeTdo6LUPlg6dF+VuQq5B4W 2Mlt2kihfKJRxhlh/UdyGhchB20PCCzSTM6soi/5KBoaHW6IRaRYfId1DWXr8XWYbYOKsCAfvYp3 Ykqek6sVQtEJjdHy7LpyoGvK0wnsZa1VTaKNBVBsHnXSB7jGCgnU5U1AloodWanUCos6RIX1Aru8 lmU3R0bNK/fQov0IZN8N7DizEzYxIFyY/sza2vmU0hBWfgTukwbLIj/l9Z93VrWWlNF2MSwk7hfx jHihJbfB7k3Iqc0Q8XakjF/2iim1RHnNS3c0xDvA+6AoOd2raivgtNdI4kwGImnI1uoPvooCJtlQ XBV4GuU4n0iI7YgKdQjnNG8TAFkgUKHeUUuO4gh9yoXmaCTrydG+6AgJmvlJZ2p3nRVeI+OAkc4s /bPrOJOS8d57VSur4WRl1c5o+hOUHYP8JyIOFMd98vv+6UV9VbI6JHxwoSdb77+OtbbiNhrdvxLL PA8YGVorz3SXvGZRbHG3vVlKlpnnyUQnnEmjX67flZu6pYxoDD27ynxTb1d8QMoar4g/ZOmaapsY DCsY7zkfMcuxQfvlO2p0md87kanliu1XqTvPeCXTiyIhcKBGirK8s/4YjaolDjTbFZXMQhWlcK3S jLFRhP1YYFkODXPooFS7GNMeKUSTVfC5J9KJXHpHagBDoTUiJYdyKiOCgm8oEYODvJmeridMQc9f 7YhgDtxh+fg0s3uwfqzcAEAPs90Bf+9s4YlIV52dEGpkIOwMel8tOMLwb03hKiYk3RGO1QxiQvof Ax2KKCM/RDwtQ9vz9Vj3ZyMQgbsrnsOV9QV5PdHiNFtlQtJxOidvJAER1U+SoWeXs1yqpfqWLTyo kxXrAXyTQqQ1Jg+uTUeTGxHr2dEprAc1wWz9GyM8JCQCEG0hPkPpydY40hAYYQgUuEQqk4Zwka7F fy4zBAunnWMQgpNQRXWThJodRxyyT1vJP0IYH5JAEBxrjSd1DppZJ/M31QdJXA41eev8XP9qDlQ2 eUj6q+uJviMVG1SvR8JnT6JxNY6aiGe9Tzr10lGxzAK4ncQrPDpeYMHcm4c9375JMY2QRGi7pxiu IlO7O52ulnZGkjRf7dG45Wc+S9b8SeZsCb17ZgppUf+zVgcLffci05qqxZDjAm+6qYJ/esnC0Wti spn5wNLx/n0y3iCAtdziM9EfUnIXCiCfXxHug6fGzu15pk+StxDrVrm2RulcrK8Z2N8fyjE9ewdb iDrz2HhVa9JpG+mZlPufS2jWTAfYzOKwIUXzxijOceAilscK6+jM1vKIA12/2JtXL9T3m9+r49Dk QIRFKtXrAYpL3PUanUxzoJLaoTjQW1BnwJB/2lFlDRoLWfGiGaSNvZU5x04qOP27JMd+zlqinAZ9 gx9E7r+yPnN1wq9IavtTtt7zIk5SMLcUB784tofDL7a6YLmgU4XiZ1og6PbaqjzCSZ9f1+MQzNpM WlAZ46a2btCRlUC9xklFC8ZAtqAQdcn1hXH11lg7iG1877wo3HWu3Vc2haU8CB2Ct8Al6T+XSi5x E8Cxxt1sRSiqpzIgmGx5VbcLrbuk04xCHqrW/c02U4gBuQW/WfpqupJ3ZGSrv/JVwXoCccoeGnUB urhrskOEZXWQN/Z1SxwSHbwcjzW14QzcBpXBFWdVVahCxsKToKra5O1sVyAzDpJ6MAjsYoa5ZL2a TlE841q3kX2yGZYQiOnB/59qK10lIZ81mw1X9n1RKKqQH805fa/wwXbrpuGMowmXEuZkDL6/yCRu pj16O7abEtxg+oKChOFWvZFdorYMP2EV6ZMtz47zskOf/ldodtLamaZItfXuijfESnjhqGidtsxg ZPIgEi2gV75+nLBr6pygZuJFeQx4gQzVmeUaMkDhOGU3PCzdk8dDkXOs6XnxVY1CnhnJX5wybL9A GA0Fww0O38rZ3220pt52RG8jDmx4Bim6cMgM2ELi4zYJLVI5Y+XFWf0Qpfh3T6pDJjPZZtnbRu9v R7HnKr6ZhfL5etRhnWKFr3knltxRQ9lhI4M0z0JaaNCLQpXfP9oUwSOyludqe3T/3SQWj6QrUMXR RS8PXqKG7XSTPV/vf2ZsgaIKxiJqSTY6jSAXFRVhNS/OgeER0W/eNngYnyfnjissJJbsJA93Trq1 IA8kGi0vzEyP+bqsrY9DIOkugU3ZBcqQgDGNvFzcpoSJtBMt9+tjh+10orCnm6DbtDtlL/CpQZgz XDZMFFba7fDcOa00grmfG2sFvuehf9uzeiWNiCt1MevZobJ10ou8198hhR75RjRK5cWNpvoNTGCm w73cd0Vi4DqObkEqZmgibapyt5PKv6MKxdXt2nMjAIIvQbiylPMFRTG5vgvZL/NvbY4RZR8C26X+ Ssu07Cr7Q04J3vVJro0LpSXVFQrleqaZf1nkOX2DEv0gHss8NyN58SmnwCoGpW6EpI9D1D5sQugG a3Qc4rHeQLwYEBQWdGC+OiPIKlJGI1dwCAnTpReL4tDQmcFdmmpZLCUewcYTTg1Y58wzyr2ABTOk cVlZ0VxnFvXAk8KO7Vy4iRxyYLCfZOOxA6duMGDM6TcSFtmq/wYFfM99OmtKkW++6NXg3SC0Usbz KwY6jddqV0fUpn1A+BJL3EhVjVNFvY8xgSgEKXasDXHqAPpSsi6S3I91WfEQogFGau2zzZ57LaWe nxsQc3D4GA22aH41xt4TayzO/GOIRObOzgxYCrYr9WKH5qSDrK10DVt890obY2PgnI0j5nyMMFIV /1P9jDU2PXhOXmiVcCQVsPM9F6P89s+fLRYy3LmdCdHM5AefXTNMa4fFF2i+fKdrYQr4KIFSE64l lUsBu5ZzUbwBUaWiggfs+42O2a7gsz0lRkvfNPozWwaUW/pB32aCN7GA4KeSgLiIGGdI52OboF40 rDH4ttH6WHDS5iu7WI75x/LlOR0ANRCeNZzsLmAgqlUB0lrk7Nx5xgMjUasfzXWMmkuB2hDpzUCD P4ytxruNblyElOcGxUvZLsdzgAmNjEHWNxbTDPmZ+ATv+Ikb0HQ1Sh9V6z2WnHwWKbSmnAbVhpFv ueM/q7glpxJjrCpdr3SpA9U97ZNvZIPtZXtGZ1J+UTSTT2ZEpIEgQLCT/g+yN0d9QA71yFmNl/lH 1oChlW8/WKcLrGE/1wpyJIcyJcGM1kSNTJOCJCL+zcd/GVAAkuW6MCed1ldChT21XMdaE52bq6P5 rCHt3xft+QIfGw/9REbeRanzIMSnXGjaTTDM4FsroJ4GjbFDCle/wmjpWLSJGs5OkZYhNxTg340l J+1qIRINye2sLmIXi8grWM8SZlTC7R2OiEvmTp2WHccRIYSP+9maUfIKznqtDuZB+z2vdAgExPNv Y+Bmg/eI8yQnhsBunkM1jh1bhba39h0h4uF6Mu1HL7y08C3B1WDQ23Sep7eXTejjPgwgQvh0ELLO CkTQ11x+eZyZ1v3fpJGA1IRYnPY360+FxwG9EA1xQ1KXPp9qGHqip7haOKysrWFZryP1jrIjab/F wfqaATbqh/YIE8LA9hMQdLZQAIJY18CXWkAPRsdqX1BOFB7V/d73SuOAh9X4NZolhnxf6oeoMl6q T4D/yBB5ulL3cshpkigE31qY9Oukj6IsHn6tmBFfRx2jrYfkSJReo2H+n3+NoDdb62SnZeVRTnet dRVJ+437wuKcIrirCADEOH17LyVQzvufVbyEqheJAQwihohDt+Me7tgOqQqkyUtc+LWVzJxkVK/X GibX5LVm1vYxuEUHNCHt12VW3VO9Qb2jfBpRpdlDXxdRcsRopfYVmAJ0+vJn/z5DHAZNzpNwslsH Ns30GESP+ZcpT/7DqJ17RHYuRls8/siKKCy/x9mVkwiMNSMSdf5sQ+ry4SKlT1lVy/m8e3xksbCk wpgzhFG3XbRn2TkvEOYnzSbVAn7GMcPMD/kiALT3dSQn5M3a5adsVN+MKV5uOla4TdMqvjDoKYzl gnqdVmV+BZMFlaoen9z14CFAhnFt1BnKCNGeTlLKVzq3Ds0DlkEozsZMQ7vTUx2B87HA9Hi0tHpJ tanXOoeR6womCo+Rj1kycmT1kFSYJUc3WgsQCk8WGw2SxUvHkhnTwT5JdWFMH2msf700deYxpFlG St0d8IVF0E2GvpbZVHqSoMyaH/WpHS6frnmcZs1faBvPv7PV1yZ5pnekNtE4ErrjCPXUFX6MPy92 jeW9mxphARKDzDJt2I1T5XDM0KwrlCszWhQPJx75cIpBa6+455BzyWms/w98TG7zPYEaVVxCdRXC UhA2wOOy4EZKSiHvzwi3oHeOlKx3gg9CzBBQr6DEiDULugAGgkHyzydxIPuW66w3hW62tCReKq/F dI6zW6WyCxo3bujOPQZ/tZ25fX1JPf6lcGYJ63TPPaE64CJg9kcgSKiOlIjSTK0b+cp1zXXTnoR/ xrOjeFWs4CEycwS8zFJapcLaIg6xAZTRzHwGvTALX5PTWUrCPpr0k5OMWkOhVLxapm3zXqxOmXs5 1R2QHtDmG/TJ6pqX/gDP94BcFf117zzdnZ7sdMcEg/yXkCYw6DrXK4hQXKSRsQOTXdCF5E2d1rWZ P2w1thvyY/oi4aAkJY7Ywer8we88GuCUXPSvBvXqNb93FX/fHupAJPje8JtGcH8/gbfWRdxeaTvS NdMuosjc2ALrNi9/wbLo+NXjvJQIvxJgB1HWXvcYu77LoS1JLhCxjgXN6INxI6o93509qaVU7qLO Nkukzzq03g8jRBEk7tvCpb+uLe35KxZHSiZtvMQ4IDGfP89sDwhbaSSn0mq0uyN1Xb162dOTdWVz MUtLMxTQEBctGY7XcwdKGEr2zGvgO4zu12CpOB7DBr31go1L/9nJuV7ZkNpYLy8mKp9ybV6tMb9m OH1Xj5DMjmOcSZcShW8BgpvmGqLF5n2hJZbUBPGC9BWL6sPjrFXsmG07/u9TbFD0yfMn7RPSKbcn dhVpWCXIHTYHt7S5OJYLSoRP/IIXTgk0SK3qw0lUh0UaDbhcJ92gMsG+3zXqPfvFcJsP9F7tO4gn /tIn4bMnyXa1Bn/k8bRWpWSNOpKa17YdKhXDOcPrnCZH1eX2wCOHUc7xDkrOJQYM4fHyE9VQwaKo 3ecF5t9696R4YH/9qmdwZOjCYZ9zS7RiULYQU/E5x8wkojpMIKp9Q+KYLyFvPVqDR+o2IvMGQy0k KbMutZiJrNlV0zrFjXvnrNzrL5Ga7Q1DFcCnzDv10JRdesxvwV2VYeIpI3AAINnCLccqYXGyXwRI fiD7+QlQ19FfKPBOb2GCFe+ohxFQuWom1GtgOL5f8aXXuDcCbmZNj+Y9Ekc9vF6VBTWh0wnD50UN DBEy7fhNWnPQJLWoCr9nxdXp6TXkqwj0iuuA0drUVIDvXY7JvLt41Ob5jWUGqwAkDjeYRbn2QjYO HDN1OBTLSQ+CZ3NjbzpNEnxZrUvR0tsZHmQpfrb05z2haXRrYg8dwuH9k9IV3Urs5JdroTgw0ST5 N2OBCBj472Zs/xX1+gigwWaXovV3RP9eusggMwdQ57UsW7ZAHan+rCTY27sUi5N7Ir3Xds8CXZfF vsUmvJdW0NcKaG+DNgC1/+yZgNRc/XYxj9XdYeCVMkYq8PEgSmrpAPRhj8RjrzZQpGm/+tL9KFtt 8fr2UqAfSMkehuIMnHRxXVhrsFskkfOq76EOA17wTCSd54kzRnGnbSHvqI+uzz3Rcvla1K5kekEt oXKmP/HSsq5e26qjHExnDE0h8uuOnQr1sm3sztool4JRlbC1kyy5OIBB03fcZeUR3n1jj/iS31+8 fSSe5M+OgczVliYGRIfT0N6f9Y4fQKwbIGAMNFJizQ/TRd5H745nwc/uo22IccljDeKjo/5t0l5z nmpC+E0lqPty+nakTSChDetz8sd6YQ3d1Ho+VwsJKL4WNKRpac1GwXpflm0s6fF8F2SC6ug4pcSQ xOZ2azMSOD8SR2CiqN8hcKJBB6M+XxcW0IrvF842CSrfR5HIoahCX1+hXXsXlOADlpA3DNQSE98S SXhLlVAQiS4Oi0ru593v1rNvzmxl6hEsjXU7D6A78UjEODenVsciiZkN3XV4wJrkJg6uwD0c8A66 K6pTuk7lgJK7YV7Tr8GcmeynLnfIpwob+mX9gzCKplJVkwT9TjUP6V36AWbvWR6CLUsSX0Ja1SCo sCk1FFqK1aYaDmDewqhSsCEf6b6ZvqKMuLni8SdrW/jqUT2AEo/LAz5CmGr7xxHFMgnDo8AeIkHT lnaN8dO0ztvbE81/Kavr/eh3WVgtMDlhG+jO4YDV+I+fMfvkLLdRPtw/fLIqHzOo8JxebwuWfoez mgY7FC07yxzOGqDjASYpi0N8k8tZhwQjaLVxjNgR+tNSpqL7tBhIcXpZuzrkYZvfj8ZXnoz7/fsG sHceBE1UIU8izJL6iojm+u/cmV+WRTBSEJ7nvXKTazHJDJU1/Z5G7btnutlDUu7mQPEVK8gKEqh/ GjLtKhzr9tXmsq/uqFthhmiir2Tk0SeL0PC0dmdxaW8VBjWWTvWmsuCdd7wB0oFncZeExfvwfJqY xcvs8pXyTfyVNJbDvcsBrbNkf9+qiarFBklzrztCfH9QEeU9hHn+taRSJuQSBr6IAtEXIKcu4fJt a57re19RhBiQMwRZllqKXYjGj/fesTRHmhLIkJ/jEAW3+6N7c+npRUBeJkFODGQ9SsKx8oe0zPus +ZdB1WmUbhc9EH+GQgqSk7Weoa2iMFXivuSebajL2e1n46OgAGpUgmO8Bc0jdVB3D+bejHqTzCKj Bmkg3waAUAM89XPaxdGpM3dsF1XntpqrbK/VtgBeXKJvSPj1swfYSkjDJz6C/JP5n6/Vqp1iIYuo gESSwAjAFh/wjbqjj/7pwqg+FezikjiS7ZmWg1/tCakcUK2OSqEEIHIJ42dyFnHmDe4i++KScE0b EEH0+RmcA4JEA2Xeh4Mdev5tUdWZSeQSiRJMR37NWr8Gl1eoDyjTu3dmnbcXvbv1OLWgMKJIfQMD 41g7nJ66WV7U7n2EESk247O6/zKNzyvevGq7mOytNrdsKa87R1x8S94eI9zILFj3MKi3dI0Tt39u 2IbrkeJl7HDoICyoB5NkkWKd18vXidyit6qx+h+fz2gL5XFTFE5dw0Cy75FcXZser9bOYcQ55LVH 8gBs8OYY9D6crxFqiwYhWFq6KMjeRjhVoZOkOi4l72OM5mT556nOMwORMVAl93nXBKXNPSgb/yR+ PPkEfgmsAe6bCtzHFPGThSSjj7ZbaqR5xhG6yzg3QZIKz+LVSSMIqc14AAVUe6WAArvef9MPXDM1 XWkQ1B+uOcs1s3PoRivyb7qCq5fRufWcxZdDjg85BCz0e1rdLUQAvDZyOQMuo30eJRl4eE+5ICZp XVMECSHN6A2PYIIfF+i/g1tPXz2CZc0m4w8P1YCjoHXEv46ndcDsxgwh17zxTskvK6wwjZgZuBTT lb0LMfiVivTato6HqipLab0CYcrnVlBFMDCwxQVs9ZlBsRonrN37Q87odHs+jXoCGy0MNXubyYon 0jw6/cgy3b/0sffIP6nL4aFkOUMJSoWVnPKnR0SoV5Qu6nTAlUxPvUoeVjIFat9PzbfWfCZTFfxv qtEOR3FRzleGxrQ9wnGS4Jvi5lPhSGiYKIpg2/CE0Jmg+hAfcfDh52+/Ug1oS4GFuT6ZzKjeTvLQ VR6TviqoUH9YrHfZnlsTUAkRw6HI8AaXDzUedHLf+K+oZLSPFXfMOQ3IWSr+T7SCYzlQWJOoANO1 3aV1VY2jTdGa9Xvtmis9McglwDg2TPyfZUj+ANQ9ylYhHO6FrII6YbKaWNZ39HM70qdVZ1jw/BSO 3yrIOiQ5diZ+x6uA+sdoIrXUJHr6m6fKrwj8tZ9dE/hfmloSWqkWP2Yg9AR1m3ZU0WOULcoYZAJ3 7vfCQUpDpv7tekwioj5qax3QscvTaR5PW/wv7tAwwskfbzrEfkDIoGqGHP2xk41oL0aXhJ5XlXNF jNHE4b+mozJyrAsrboyxHEoFX/pSIfrTiI/gzFf+bYwLKcIDMXU0akiqkvHVeJSfV/BvDnxKd8+b 7Ci1KfadZOAWpX698vzM9ncFIQeDP7bjZ7C19M2XjmJgF2HyAJXZdwbwh6sLbnjbRGLY6UPId/iS yhlCK9Ep66w9e6NyW0QzVNYrg8ozOi0kLipZCxy6sEBgJc3lQLb5ZeU67AMppAdTbfjd0a01HqD4 FijAPV71BMU6XeM+t4nwCRq+JrVCCxuKq8Y8LGA1l7zujV6tssQLXAs/R9+lzUu8HTKWCIV1P/sY hDBlf4zC88v0ZFPYhAjH1u992JB5CJPpurKvol2Ya6fWyFhxqaFw1mdkkPsz1tRXlA7NwyrOEwkI Zodll8FS5GsfYKSzefDOyraYUHlvC+WsMeAryn8gTrpP2C8FW7XRcx6Aoi9zVPU7PNKRnCwHX1yz ht6R9QXPdVC9dFFJRCge6GIE0g7Y9Wepd8NeA3mdeMcc/u3GXqqDGfWeb5AS0HY8NBcCElnvHYTs QPo2ytdNtI1qXl6iy6SMpE90jpk9Dm8RnSLTZ0w0G+22V/52WEZepQlEqcnrMccYaI+i8hiH6L4H bJuIemq1dWrY6CiGimdzhRAWo7URsltxkqKf0hA2tA7pp+I0M38Lo1hSisriZ5o+0d1rnmSQNbwg nedki/antob+gH71tfKZHpHmyZgz4k00z+mjNuVZlNT/vECq6HRgQqxJKUxw7UVhLwTYRYzTIHiG JGMrD9OHLfzUjg7KpyZakud8f+n1P9fKmjDnQEeUayHyEJ6FCgpimI9zpf4SI5Le96OfjHTcGx3K jqhCVgFKHcYctIFea2v6j/oxJ0GnpKgBWvICfXWX8khYPB69d15E8P7hzZq6D9WGPXfdpEjK8juk GXoINn0vQJzKtbDRMI7w4smkPE2h48zYp8YtX3r4oTIjyoj2TfiGYdGoJ8uEmzL99OVuRnidhrvQ uX1wQBBkgP8PBVCyPXaaPZRmbaoEd0wxohdyxanwwed822lmY30xBsnoqPHYaQHWjeS9dlrVo7/v U5JdZYQuCVMElMsskn6JMwghS/R8uprzOl18yYU1gFkIMF0b0BjFospUV+3ZX6Luv5L+GoFs+LdH alwEpJ1ah5YCoY3bDe8tDxmipj0TbeB7w7+utv6IBmyy/0mMsynvk46A9oM5nrK3qzFumLVwGJSN VxXC7P5srbx8mqKxlEGUtkDEe9AUBYriZPu9E2KzKmyTaNaI4bv4JO/R01JqfWwCZira2iopgXuN yJbgfL5c2BCii57JXC6JK6MMzlp32gHDyfYJbCdEc2A+AwKhEQfHPOpglWByoCcbxjmG1sQuC4nk 4u4D587mq9qaQ8CtL+2dSZ7Z4Z/Pkw8jXm89OwaRmffS+SL8JAQ63yjfQpXxKzzcfx5WA8pyURjv zMM4ZSgLDGw2BhRTifVvRBGRA/JYR+rKs/Gho5l1Etvqrs271o6rsCPvsR1hoC1syjgcw9oox/+Z az4jarm4W6ix/3+uLnJVCU1PNtFq2nvDdRa5BJrI/T+7kz2o63fxcnxvLSosQmTeYVnhv9VurvkD FIeEBeotqgCDNL6CtxzUVyZNdNNZlKRmBx/9kYcaKiwIGYoIhGZ3FZqxGCwv1zFuhMLGME/b/s+X CR01ckaYlzKbzCap6nOfLkuj0u5wwAzCQ4dbvHIrYhJ7zyrvhwMasLzYLRf+qAUKtQU2ON4ggXK0 txPC2HqGPaQHKcCPWRJXw0aGTD7Wo0QhzEeaogsiPB73BLx5EwVJRzWJ0/v/gpDMEAd4XHCEGE19 yI4tPVrskWE5N0+wnp9y1cEbw3SfPUIm3/KkXstSziD0p0v5/qNNQTIs6IUbwhvYgKyjpcBaNnD7 I10C5G8OMfrjDPCbomXqsqaJeAxn7ApfPU9VRxA8DI8hhpkfcOzGfIvhL/Demym73hi5EcH9ojDJ MokxHnk9cxX17HgIZMyEpKnWVciiM0N7lryKnpxTJDs0HmUMIZD1F1njAEmc11Qov2HAOjyYjSzJ 8ZG9fJNbzp9jUg5WWbGkpiA59+kyRPiBuCozGvi54T/QZKmqxTLVqe/Cecc9dh4bze/hAYES1Ecu 1Ja/qgORQ9aVNDQXrVG/vyMyneLPhN3SHmWlVniW8+y/Q7+eNoEObTFU0kyp0OtxueT1Ope1FQbh e07HKQbJXuf1EOdaQFv9kMfNxThlttYjHMZPZNKOwtNm/6mqDZ2l/Q5SzKuq5Za1B2ZYzWQjzwt8 IWH5yPC46+t/vca5LKMi5QnmVJ5yi2m/5zobPPjZksNCOnZ6D5sdZp+CI3QiRWCQ51tKIhvU5718 D/NmtS37FWU8XAbq3Dl2hwC9alhvxnDOe2UQMIQgmXb7+CWdCZOsHVUq+anK2m7fFpBPjafDo1V6 IKD/z5qHKgkKlS8xd3T1dqoPT/X6H1beS3lAsQoxm7RIcpUSNp6hT9PvuyCSYOK1ts+VxmiFf30V SLzVlCb7uvWQ/jf/WibPmFViz9VCOuA2AktFzV6yeEvp+P84ibmpoFzkU9dumuIHkJjuh46qDAMn CWIskLWUvyZO4pf9lfPTtU/98hcSLGIhCPsTAnWhkqf+hR6HIO57M8lqgQ5vP0213rIltfySSBRw EU9JF5zGWGOu4zHIDY8wHdQRv5eA5S68nRy+7HamEtlVywF6gzujYVG8/lCVk6a0IyStAlw5i6+M vKLIp64+9OgAGtwOAcVBwPChhCIeoNcVIpUOpfOu0HNCuGFbuIY7FZiD9dpt7LCU3TyBaamkmuBN FXYv71j4bXtu2aA7TV+9vunWDfY5SUNNRrqUjcaAbJUNziJHVdHIoG/FMoLScSH5LCgwbi8cBlDI s3pYR3g9MJh/PlNUlpJp55jvzt5tUZcBoXJGE1k5t8XFlw+62FhKBFf93UAZ3D01IykvpEXLh5q/ 0lPikHzc7c+YFRrRLW8nlhIzrFeb7Uo5AzPdzyR8Ad/G9DGzZ3SOxxW8vPAvnpdIJI9Njhbj1vLQ /le3+OKylCVmsVNADhiu2+dwSMwKHfYR8Sagk1dv2PzCQQgfF7CdtyzsTqDLl/1CSl1dpX5lhd+n S+mB1bsaI/ZqRQCL7YmEzkszMsjeNcaMZGP5MZ9sDUdOJNb0HJSBOsIwP8MLlqRAN0/r3tQV2AuW B2fJstyeadGyOSseiTkXsBqSnrEw4QL7RuD4k7Ubmr/+T74ivEKcpoBNO5wEejIvGkGpfVXmmgbr Jqof4tzRrEperNZ7z2iU86qJXxFdpY/uubL12bysQDWaV+pI933UjCi6jCfa2lJjORQpltdnIIrd 0EnPX6KthAkGm25sVJUgBSuJkuY+PMVtmIRErE7FloaVH+AbMuDgLKo4hV1Bw1YHpO58J3MaAcYl mJt9v/bOJy3B5K8+l1OKcyHsaRG/SyajvLgnb+FStsYLTDn/Gr8d2jqn+aUL1qUh0AQmbQgqsxMF jWhNnScODD7b0wGU0KflrGU/rY8AgiAej5tgxJln66frN3c4bHlbZf57FEmS1RNhZaMeLHm4P9/A wvfw9uRgtjzhfGLyfI1ob4ZCuvZG0mkMASIz3WeLqfReukBf3/ddzqcgwATnUnVz9K8Per6oBP3K /NEBNdxFloXiHjL0bMwiHnoGsxdJNFzZN9W0c1U4Qfby1Q2HjbWGbU+NwKxOjWzDRQbK0/qpqRIE yYWTF2mKLh3oJzdk5746MG7K4CkSEGcbJhmhAKxFij5N0KjUmemi3gt7CPyMHZbLHZg6OR63W2Mj 6kKCw2c/uuvb1cdltQWCjCjBHUy5ymzZ8f1In2vEGA9OsWpw/O3h5kEOcWx45LEQQtoc3r4TKi0O q5xDZKfkeOXX52R9sAMCpUMxcwitn1TNSrVZ+jzn88UeK6OKCI89zWuCnAfSAK6jX4TeBExVkD/k 0tyK6OjCvHaUW3Q928oGJJgyKj8g+LHv3WrbxXYQd4MAXHp4Dfe6Ff8JI2PFAmZenP3W8hTu11p+ 7+L25BmVHxGObZwoJwQv0BgFlIIIPX6a/QPYE48oUd+bX4BtZ5yWFTnqvX5ObdygMvYd2jLkH2xJ sfvzeDm3GSvlUJBCOUc55Cb5qFslBvSfTzSWERQDGC6pENr/OChkfSZGQCcL0fiyBnT28CT1qzvX VicBHkRH6DhMDlGLURLmUPZSLgfIHyfgvJ9ACdHykDMcNlSqw5yeVKg0UvJ2rn5Z8GJL7gJoOsup WBI62Qtfj15NdzIy35UYfDH2UfUfLlIWnn1sIyCqF7eOpbH65r4m8qr198AI217Cz3PtmjQjsXRU FuGlCtXQ1iPHNmjBvM2Mrc8K0GSkbia9EEkRICkfK9+odQokwivqtqmS/dq6tjs4Bjf9JZwe5oOo gBFdYR20OQAhBYB4WJmq7Bu6EuGzSiqO2iW903jtnmxhDi84FuU38STfKdghn8NADtAze3lAKVE/ /d8WhMQ9ZY7eg4XX7ZTs4iIMmoqq0HnuAMgcT24aAsKPTTf9P/BVVc2wxEtxxXEtQxB1OF6KfU+t 5LDDQ1w0I4C40ujun5U8ATwVjPzzoMQkXQW7UXvVPXwbUCYZ5fxEiLIpV91GQoVZB2wG/DAn7NAV 3b2aXxwJZpKF6L/udaqVYSUc3Wav7eng3sJYQEfu+4zi4tzxb9pAXATViupJyms1QMceEIZxCTdx j9tz/2ih2FxgkDAsNJ4PyVdxa/HIycjBtacD05paIimZJ2MOdXRoSHqQ2zzTGI0FAibdX+X5Qfip 3FsXH2uaqtJwrHIOfQfqSxF6a+sN55THDL7Gj9Eu4uUX7aFTDuTARh/TzBwceSY+yqmIsn5YV2s6 uGK/aXsz80z58jg55GQ6QvMRbdJsz3RH3JMCqrcfYWUKe+cN32g2lWITpywxF18537IzKiAJRSQg N1tHHI1niM/Yr67KzAYNPEtmB6Qg9KwAObcAhClYPH/iYgAGYBQEx4fr945chP1Rz9rFJUIqYyTy AZpxFJbb6EpSXcIiVEXJy/ylu2STAyEgarsPMT/sQOM7KNAA1fVY6bcBSOkltvVGhqIoeMgL1WcX k++DZHKMxIrjnGxlL1J3eXRR+1I+lyinubzYgXFeTchGYy2ddZ6A/aYZkU+LSK2U608dPh+kh8mt HEs4tjNhOXluEG3kQFW9VNET5SHtYbXM5jGvrdbR5wQo5gRGglQqhMTTnrqR4pyO7/6Wz7+5xNlg Peo1PpnL2kw2HnBhwl/Q/QlqoXAopzwdUn9DbWuQIpukRVjMrgMibCsewYBgy9aqzYMRqzX6u5dA medFWo66Tgaw8N5UNeetLuEGldAjiz8zBIM0cicY7o20Zsc1dJaTY/ZtPl4C2Q8Bapvz0uQbEbxE eRqE6TVBW/loRJr82/Jo/8JGk6D3Z7OyNOvmhoSGqcYqP9cUeAD1tpEngm90M3PSRieB627w+xYL A41HrD/xMaj0NHUNnx2u9q+kLmOFbpGHIfbzlC4ter9I2O3I0O4E4BMy9Twy5hALpcS/RbJrQXRQ RWP65EJcbvAOCXsiJiZ6iauYw16c9yaVyqbLRQPvBv6n5gP9tk1UJHKjP77Jmv7YpEmcfyvmCGoF E8tNJdMznhJfEYytw961ji5KGTPehPwZSoiLc/4sr2HTtg7fJVphrro5v2aSzNr7ecBHNV8Av6rT pzFP6sRhymLz3rxaIgt1ZVCva0RFWrK97UVA5BDPI+g4a9k2IhKpPRakLExyavCB9zihIujVsSnf ZWVG/eF+1K+ZQkrs8+qqisttHUU6xhtNiaim7WtTB/H5Ks/4IoFR5wyaPj+bUFhdaS6OzEgE5Dnu B5+g1k6SQND+MDi+Lm8C5En8+rQSZCTCNjYb3ZK8lP4ZH35W/j02GK+pjZYe+K8klCP/GU6v2N/H H1k4MfGRUaO3+wkh1BbloKteSAAWSvanIJ7+30A2XoPt1ZrtNYcY8piqAtyd5N/v/zRpJkkCFcnd /QWUEV4z5/tUwWcX29kqUT2tryytZYsFU0ejCGEPpUG9lBBtQzda9oFlTMIbwCaw2cHKjgJTycI4 PoL2n1nDOqPIqaM3PDqqZ1SRCV7qGSHMeq3llPtYS9YyY8HlXnbs18CERmBdut7DmEOkRqbY+QSw 1LhaUxoyePLJLss3P4EeT0pYzBvc+WQleBm62WoskPJTbxEpKlqEV2nOSL3ubXUAyAC4/Mx2l0OX exAPPXS1BnltlXX0PxduQFRwQseOGLfKqTPFKZvMQ/ogoMtvi17ZY8xZ2iEuK7UkX9MpgcZRDYuv y2rSKJpWln/8kxUSKF0kBA61u3H+pzH/zinjjocuDbePn1Gfjuud2s8xaRSvQJ65Uj7Au8DuNULA EM9ep9JZhQYIPBMRMmN01xwgdMUnL/9wyjuMoJki+MejzaHlv6j7VmGWFpzH5KJeoOgOlc/6La0s 39hKNEsIwTd7J12smlFnH9pjlQbCEsn5YWux4AznmBGM1O9zZSr0gTQowXPqJh+o3xlEF8e3oIeH LFDXdDGgHvf07xlIRE9PQ0DPumtFrGyJncxeV/xdN/TDAyUS5d4n946zGRmUCoTFBBOUv6AMBGEj zFnMFuaZS1A7mfwp/W2evkbrDc9/bT+bLTGAnAYWnY5lF697cOaO2kzXOdDPg5M6JA251JxNBGBb tZRml8rAW8anbU+monGP5S59X9snQ4HZWGIg8D2NwGrAPtfL86CciljoFwXpy/RRhlTh8z5Ot7N0 nOqcEJtRHerRmmYXnCNxtElMm/BK4zuam6xfiHLDR271B/Jw1+jBe7S9tqkJCO8a7RDN7ocmDsRX gxSDmJ9yhO71V0xIRdGLSqXpfVjhuKIB/33wV05F875tGkZoXvCxt4BO3C9PLrCommQbjjYKnGIG E52Hs8ouFgoEOLnaclz1ZrMzkuZHR0ggrdnWbM5FlGsq9pjWDtkfkn2bAvFP880GO78AXqKkREax Tr+AmmKsU4JxedfzfD3xDE0QBdzJHplNGITsVYWYNbqj00vslqZL7WhninNBmKajkMMKgeZ30fq8 GTKE1J31XXThvtU8XYBc1kfkdzM/4oTtbZri+gDw9IcqPBkegXZBmIXwA7I/ZRmwWnquuPo7kxWk 9rhYHEu0FoXyQK6kWyj2BVtrwJ2/wJPtXCZePnWVV4GIOYHXwX04N+WtHCo1V0q5bznGsheO3aRT chVa59J6O7XEUkZLLIQzndpgmc+ClaJeaZx7yBIrdtXQQnnvygXxYwmZg5eFtYN/qiwz/y9iwJYX AqyhaJm3APIlz91Amm8rqMD3iBJ58YxMg1XAb9X0JHzYNDEFTPyQOLrKkQ8RVH8uo9QXcO4n1n+T vCm6lEK3U/T37cmpinRMWcMNIS76BuKhNqVnO8KXMHAw13KmKJnL+bFGKDi71Opo20V6UMdcD76p n4qP58qp+jFQ6lYmIBaV3JHwtHIq6u/8XbeGCsy14Smgjo49pY/SO4S0Moo4wglapfDNaa8oj0FA NVQ29JypN+kPIhJ8vtGOBZEmGO3xYxYr8CHF4KDWFSlMWES31QQ6fiNwpCiwWOFVeW6r/JlG5Fw5 G3BZJRQbKtBC4QtBciYBDv34wL+cEH6FZD4ED5MlhN1yPhdsg5F1ryyAP3T+9Xh8JnpP0c8USD6H vbyrvbBPxxghCnvGftzVnzhyy0PbsEc6OjYX7qFqZVNDd7O4T/9V6tXqEfi7HXYQvjFhGaSvgBM1 hgwQOIYFy48pd+gZW7lL1YJqsL2UQpDVYVTPCoG85WStrWQZA4GFpuFRgpGl/XejDZm1SbvjH7ZU KbZ801TsctJxpC1mf8V7cUJKxG07KzCq2QsS0h91IdbTuKZCkkqGwsVnewnH7PBdpmtlAvsnk5Tv W+gGZRxdYVbw4wu0ltEeRjmQFnuBM5ESZNk/MyacCR5wXVIXxM1RtK+fynKjNhBya55AsMVZCpAi JX2a538JZyOgRFokBPLHcpJmwkhz1yEj5uTLeH70QGiWbjL60TDlemps+MZ4GugG7PYTw8vH8Od2 iMlBH0MJhkUqnfpI15O+YYqP0YTZt6sZUgcsZ4UqsHmthulx+rhl88scHQES0u/uVaarZISZ+AQk chC0QzWi1Jhs1QCug+d+UfLYQTLeOkX/I2QdUvEmODhAMtV6mM3yYb+d3JmbQ8zP5mEjjWu1aqu8 VHke0JlMwoMl9arhwhXzNtk3iMgkXNPa2mOn0XrhyctqAXd0JiamCQCdVHmHPpY4Pb5O+ZhZ+THI 9vW92lAWvn9Ci5ECznUKzDbJr4WJFJkGrVLUhZP17pf3fzhxxAdWkwClV/sVfntlAbtyGEqTT44A 3z7zcLBIiWwpOrIZfVtCGG8PWCb4GP3JMPy3yfYiucdVwVrRVj2ksoa6Zq9j7Y1foSq1NRRnZh8D tSSTEWyMKRsT74XnfS2ltr7OPxg0Yk1B5b0ZQ5jhoBqU4El1UYFC0jqR0cGR+DZiekjHACxNVNuP Y5yEp9TGrU2NnvB3a7bZiUTmeAakjZcLMZPpD5CPivu6mrA9Lwns0M/WvMLiI8ATGR6f0RmjelAj jEl43fh3rJslaeTzXcyo1D81BpaMnDmD0pgzSe7z+1DoKQOZk7ZWZo8VBL9b3XiuIyW0dSNztu8b 4RaYR8+m+5CRbDQtyGCVY3MZC2LaRCs0ik7DKsCxr1OwB9rz7nWWHK2xFkT5GHOoW8JI3MQhLFTZ FWtwgF3tRdKyBnPuyhE3aH8SvAgpOLLwoT3oDFgjFQkYiFFtoXDCxB7PCX7+HV7W20L1fydyVO2L nodyLceKGMtqsoMdv+x34D0XE4Lg5CyEUHBH0ASmE2fYxteLl66Lqi6GEy7CdgViVFgYu+3v7Be+ T8iLxZDjzen/Z4gLPyc29mZuhrwJYDutsr5C8tJjVMaL4+fOPADYxLQ9g0/GyKZbrKCzKEtpU1VS hqLqxWmxZElcxjs2t/50bfSTTqtpOsx1+d/5LU61sJ6feRiT71GUUZSJOcK2KDjeJKNCtCspK/sw di6aeyN1KGF05fUjAykX6lDBzMuh5sVbn8YSRl9/kWPMAF641nSoMFbx/J5F27ELQtjMW5Na0abC g1QaWAoyzOwDanc1LladIq9O2vp7RuNWx3m6gC3Uh1rxWiU9mE9lOL8mG8gHLIwCqLR58juOddil wxCfjf7+FE7TDzs0L56vwuttm2/lGWWyhkvlxb1fVR1wCnjPcce689p36MuDoX5GHODk1EX4c3el TOvFCl5IMnglrsO6bxnqOfbBTq+WJQ/fVblscSRgBABAV5yCVbe81pHGszJoklmZZYcmVOPrld45 wJZpa2ClcBiSH2UjgonAGOS3xLJlXYq3WSF0k6VUO7+2tMHGPBqvP5dd4p+G1wVK5UAR7/l7rgLL ENwpunYzonb9KVfFhNC5bicaIcbNd8/m+K9hor1G+MinFRe0jbrGrKnC/iPnwn+nFSpBOidaG8Pu JN6O1iyXOGpYssT+9dp674TchIlogDeOWxIobzN8AX9b/McvkecVsx1sOVxJrimsUNGzVyhCGHaP vzq3wPj8Gwz1t5SsLFDnJGnSRVTmyaQuj+soKl3EOpec3mkWJq9/fOx8wRF3CTFSpfS4dAAwcJmA 7ElqOK5dIawId6gJCE1cARQ8J+SuHHFPCMjF9dOHxucEnUxGHRwYu/fl/dMaQCMVePWRMLnUzLxP Hk6pRqqGuFdgby88LSHefAw7RdE5TdiT3bU+W0dO6949ciVEDgw3VZIyqFSP4AiyEV6iX2ahSxDk Wicgb5jD+k1Wt+XbhBaARDO4oFVF9qBViROFcKwOACaWrbdCjKflO1gcbVClM2I3MzqNjfTmwTdD qMX35wDLnLd0edL4CgQSLEKjYx+dEPlLbGiOy+4MDCJBERJ4TuxNEqGRRz+jqWaBxPsJmEetRTgd OoZpz65WtBUQ3NvTDK39RQVV2U1PoywtwvbnT4ehW5TqC4aNIrHWPbkOmDbMKFZYFCjWStsNwNA4 EuGc5kTmVZmxRlCqtLs86BuyP31FOfQUFoPWeO5xtqC85DrHNykTqLyzqUh1nLAZNip83jhLWL0i hW5pvY+/5QyllIr5yjrNyqDAnOxrRNXdqmk6Q4Qf1Uof8HdfmOnhBEzsiaJq4bg8PWyw+uUUtjm4 5JA/UrZYWaH62Zi0LhUGIZ9RhAsf2LL3I15wvvLzFcbIhBTf7cWEIutNH0i3HgBYny/s4ZMYHiDZ mHATA2KIhGibygJtlG0rarwkSwbuMw2F5944wyoTeKmtz4hGCntsQT2YrUCYzN71TNq4393OWSYS R+xkw07t1bdBAr4G0apxIPKYkomJtgAvK6IunBoVmoHC1zUGHYsJ7ksgtoYPIH+Bhreudhe6Reab 59nUdeoesrZj9Rbfuz/ddDFU1ncVmNQCjqQRBUoO301T8TZWmm3BcXBXlOqTL5GiTsmDX1eTVtk1 +79q6ZYk82CfpSPyxfotWhfEZGDqb+xt5/gXC7C2X9J9NyOooB96TkjQ5JUZTWQZlZYK+X9kgPxB mk0EzSRBGj9aJNggNQ2NUmBGxMsZYj1vo/gFXGf3yNTV2sW0P9igE8M37jmnYjiaML0obNy9PosK HsJjT72I21ROnByckQ3PiUv42IvAxZ83YzSnFL6kwT0u2XDo9zXfCIQKuVJaW5RjpAEKLFXaJzcJ 4ZC2Zt03Ae/tVxnan9IC2/5trAqkDeyxaKmlO93EThES99+opXjvvdswVyQPnHJXHXGSO4vqcqUm OfUFF02sOSxNMviiPHxI81z9VgEOr8voIIqutZSa45bnBzsKWCKZHwnDUNd6cNq5PgRhUjWVjl3a Ppt0qUGzxo71JGhr1vCncwMQ0wgOB5pBsN0blk+Z3PeKZksQuIdObR1ZnXxWKKrHZSMvXEMBTkZp d0hNuE3Xe+sy+cKcUeJbKZwbBMS3nsQhuHvieyJhbfwGduiwKhMQX6MdMBYaJmwmYNEuFMIUIGeL ycN+fKTEZ3ujnicxgsqTpe1CecGO/pANGbm8AlIooGIS5niar9oatVyJlQATB10jXlTCJXNeN4mE mm9z9KuPDHJ7b3KipHNNIS9ufCgGkc6OExF0qO4Z+2NJ70SgYfhTykXdTk7mryRYmar5L3bnwNSI v7ubaf8s+VVog6yiSQUCeiilkjSe67AvTNTRHY5X+2THJMif6lTAj3j1bV/4UBX4y9EloJiHKDwO w9DIaQiDm2vBluPks6vHLtfOTZIQa8evHm+BLq37IIgYbC22tc15lV4vLuSHmFKw/n5pHMvxc2xs DSzjnJJRTVuLoqGqc3xSZX/Km/vwe1fCNEB5+EpYnnf4x6Uc9QR01eSd46k947F1s/7YxXuPFDjh 3MScsYNIF7aWempq0UFzrUNw4TCXCmmMdMn6CH3MIo5XLlv55kQ0Zo979gMGCIrHFf4Tt83+b6Jt KwaQRk8fIJtymeZcHoK68QMoV8ynaDLhVpEmq3KhsAo7zcCXkCzscjpfoYmmPe7EbaxpeKcrKg4o UbHYcCbxxC9766CGQ2gCvY4o47QSf8nUYuoLEYfcQTXWijU5dddNLDrLLWFz3uLWh1Jl9/vY7l8n LEoOqfm15GdJNJHGlQ4n7xOABbgdxKNPMCqzvKAtS0TKRTCHUSMO+BnfYOyg34x/Nh8sDOHkmo/W kefkiYvLpCTv8jLHvQe6WNAs8y9bwvWpi9iIG/5tJrXgeBKzfebE4jL0R4C4t9hJswoSnACWbduP gznpEX+9o7Ar5GbXcg1080yXvGckRsZQVyWYGzGgHuVzDwwm1nM7ouVMRWNbH1Y6Uh5lLiYCfAqO GcRy9eiRP0G0YZX1El5QnKZFFBy4i4wCVnIfa6nq4DKnhYJiIJkob6wwkzFbjeMsC0wZjMoNnqbC VzirQlAmoOklGNLQPaHEwFJSlTn/tiuMkrCBmfBuuRpfN4mHHQ3wHU53O/PAbZH3gH9mThHXYc+R /EzmyJqO6vwkV4VLTqdFTg2V6OAhl9LSfA9NlL01yfsEPHFlxITM2U11lhi5FGtvKYyw4U53d0zi AH/snAiyhf/PiUuZpQwCoN2uIjZijuaAeQ6v3l/gRxfqLyj6+wgsZNHqmx0ISa05o/HxHZyzXW/c Z7w3IRqKVqto8NfxzBcttjtg3ZTOfvUdd7+rcSVX06Wlj4EGrj1lsQYQmkg0sMbbBDRXHgV3pCP/ 95HTvGT+MqHV9o+WGi/DLer+5g5gdiMVuWoK19lWDtppwXK28oPzcaKuz48PxpvR1OvP6OqAyp8H LWmEWSppaWVJCOBJV/vMfywI614ryL8W/tZK9tm1+uZcMsMi+NpaCWD44LLn2KhX3CPlQ+th3LkS qjgib3mnEfA9x8ALbkzoZnzwQ4zFVack5ii+umTZunHisODs4eBqcP22HW8pjl7+9pv4shezZNV0 klMw9rKSOZ8h2IirlcX3z2n/mZ3A502+aYRAGfOdbwBDURq4M6PWTxS0n1MIf5FfBD7KV6fJYs9G dN8r7DhGadHSFVjIia4Wo0BEamtOLS8AtrsuekJtbJNE3vTWqcOBn9cadRNs5qhvzZ55dwU99jcw 0noXxZH9PukSsWoPklHlMwbypy235vWfdJEYD7rtbuT+Lumo6jjdtqZn6lvhdiX/Yz7YvXilIxzB raW4PSjETT5hMxsf/oe2ZqIfUsdT0JbNddNsTNO15smFC0qN/wDDAuiqurgt02DysiZAcNavhpCq m+WOUwYVvXTEoy+iPF212hdmX5KscweEiolqbGzgt3L80/U4wxH9Bwj8xrhHH3BoqEQYUhgbF4if ujJMTdRUYjiidTMdGWLj+S6TZQKQbhXi/sJopFap8e47rSxfyV6VIOF8cb6WWEV1UCBPgr+cQO96 zP5FeE0DCaivC1CfJfjns4U92BIsjMg+rMPw7byiBuey2UqZ6Y/iYNB4p202Nr4ahQB3ZfmxfVtN kZJXUYg58b8et4D6prRasNxe+W01x5lqIKjo5qwwn8j/2FmoA+xlTGRBswPAqB77e9umD8qiPkYk o+t3KhBiVAKWBmb3Z/jAhfENmzx9zomhX9otqc+xNj5ZHJyGLbc9bm0+UiFDlnoE12pD4iyft8GZ s2M/UNXCXFvkab4XIXoDNSvcW9pC7+/5qhF36Jz/Alry1KITsh8BkDTznkvcOk0VJFcfzfM8i3rB mOlNZCTDtfSqBajtDQsNurVcjyXWb/SediITZ/DXnE75nvhVUd67lI6ew6HsWrMvxYyXiSCnqqK1 FfmMejxN+K3/hwmjXnT8D63vfbOPYGklVaAv9A2wXY8qo/guzFPwKagLazg/bVckQCCVSwDg6JM9 O1WSYZw7zbDgVrn8GgF6qyR/4CA3c65zZAt+kg/rx0ttr1HvzbMmR5jKZvILUA9kZJ84ahj0/V0B jqy/g2aQ5SGlWKzoxaRE3+QOMYG/5NGvSB6HlaZOKaSM3ig8X4D+lvnhkN7uBT8tXNqrVEU1Ub9a lzT/aqtWOyHtmReGg72vsHzv2qAXll254mOljCmJ+4bOZJyWF75RwfuHxW1Ri27k3KRjfFgh6Z30 6WdTFBHvCgWgGWYmMAiqknfAZ4Uu8Tnp+seIadRLCw65e2laRSC1uUbHqBLGgPQShXj5nMTcA5Pt 3+6KqMOqkqS22qxgGRKSsnCCpw3l7ygmZcCid/EmEMz9Lcb8pAEvsbACKbqlX+AG6CSi6qez3/+J kKCuDGmxHNxhD6L1kS2OdZqB5/0vKhIaqs+usYM7hZ0pIFNgYBlMfPVnrjptKVjOv5EauNTL4w6x ZJ+YZgmcWKxLOTOSuwKzGSTqwAMk7PKgP96LaiwgyRWvofk29/2uB/pZZkHwAkgIt2ZD46RbZrco dNOX0ChHn16idAKcCwIqwLADyXZwjnLEFi6x4DU/Cvsy5kfVZtnwSBuIYiIrCiVIsYCjry5pN6+2 TbsrZnfbmy9No/ZpMeu3O1Hi1OYeldLA7ou7x8w9112MmXpuEssOret9OV1jh4JbWdo6DmzVmcQY biSZkBtU+f3ZEw8Jhv1fb84UUvoJQk0chzzETbUibxnHpOObGaE2zoTJKpLYzXGbMXy7mruVuan7 GDlboES/ALqMdh9cl0H174bs/ctE0gvQ8bNsyyzhKS6q6PZzj3sMRjdKNBMK3SQ0QdUpjqC/ueYF HZC8UDwQ269a+T2yBRnjQbmLQMXBpiVR93Ht/EqMtuDsMg7kVKaHTYe83dpsFaUFktj9eL5oAjDk FJt3iT0/XAwgv6X+nqMJ+CJxBetI0D63jSEPykn18xCiXGmhqSB05wdUqSG7MA0C16ObtrLnxNw0 FPnqfFue52/45El8PRfgTxZN7jFa/wpUEZYfLBUIHNi/Ya9kpSUDl6TdArZpo1/69+hUMrQCfdJ0 ta1aa8jodgA8xLuh+CF5KyCaxbhWL1qAQv6m6UU/8gREH3oJ+z7C3X1hQr0mtG56QKirj+U3YtHB 7WbgR5cAvvTpDMhQ77P+tJStY+ceEZORiMpot9FfS17IRvbVIOEs8Yx8TnLempi4oqFE4x+yKrPz K/xeNp9OXbl9RjyHxhF1frS60qiSRwq87VidM2b2iSmiWNcfJBR8uE3SSHzBTi/Ur5e/Sb4iRcUQ cI+HjQvfRmTe9S01OvrdNDflXGRajYCV9P18YO/7+ylYUOPGZ4Rfdmn3qyPsxD1KEE46sVfjdvp7 0xFuiKpgZm7oK7z/EVYKD0XtLKm9OhTRfJpcniHFoE4pY8iSSWLJMNockGn+AGy5hQ0lwtUQY/9C JZkYrU91shhgEfB4rhLdEj/23wJwKHMdoYfzO300EqsiV7ycNNPz9QQ54MjHn+6iqcPD13JvLJep aE3xQsEsys4Y95q0qEPSJUaXVanW/o3DdVMfJ2fYHqtez2+YBNS4LlSmW+l81NbvBcGmBkx93cjI ikD5hEp9edTjhLSKQ+Q5533ka3OoVbUPZyMClU1pmUqitpVNUxru5W8JUObWN5MJqywvjTyegBkz x3g/JJyJJRs+/CtNN113CcjkQmeHLLTJ+t9UKXOSpm4r5Idn/k5GwL3cy+1o5RlnNnqcLpa4c663 IekwNHVSGwKEIY9EH3a9GT42w5g36u/+FThuocgvsVzgYUerS+huuLJaUEXZW/eF6jTWJ4LTZucf b8ugZrQiHdbIq8KwM9I8XZ2OPF0tnf/jWm/cFpjLoEU22Sp79hdt4FwHhLAgFH+TV87aMDUODIkS JC4ZYguchK84DOVdi56BlNp/OYPRlwcWOL+iswTRnEvMOyQumDSKtA0DXGHHvos14xuKlYPovyEF MBaHep4lkdZMiXN5cKZTAmR1qn3w2jtopUbCUgnMF0JS0SI8jU87D/e6dDICePfYeAdilp59O+2s wgucQPGsizN4heaRmW6f5l5fN6nTTrkDR/OleX+BAJTXoiIy3n5dv9JWoMT+qUysAqI3pJsZj3Xr LECdUj2aXg8/s2SMofSQBd+1H1ecIIgzu+zVSUCj19Tu0jEED7r1tZxyr4g/vvTSD/6zmED0gRTo f05MXHSGH8FrJX5QJsoW6HYbzvZhdNIy9PX6fcxYbmRfIhDW+nlfFR3EDo9VRnQdr5AgtZQH4YAc 7MJa2olRUShtoHihsLYK1rw77h6Bigf39M82UrjDLu+WZyO1/vjxGUECv3/sA9MSiQPaNygp4yz2 HvPexHNQIoJi82H0deWIHFw5LTqhnOzAIjG0jfHn2zBcth+asr0qjacaRc7yFnSBgpnwSLVPI6lW yFQ3UJz7r5aycXdi5Q88kFY5TLisDz2gWa1XB3XSitHuP44G107lDE1/k/044nqkrEeA8t/DcVFx ZMM83ojisTG4L4cKyIqS1rfUuQ1+n7+EalIPV4EqfObdrX4XE9WOWVLOCHFHe6VMHQozA0x05SEr +LGEDt834lKOy2XbpUqjhMmWF0lf4wDKpymNUZd9slgZAgjhh8rxVGvpQOybkWPEoqOemuxNjWWB vUX20JLObn+SdNvI0olwPN4Orsd/T9uqdFNrUuBKN5eFX3S0riTxv8AAt0DJfRn+9HodLBb7lzge Akl1Dp00rQDqC+skkpGEz3Bh1DwQ9uFHa1P+dzMaYkT+cjJTWp6z38zT/wuek5jBvLsTpq8qtuwy 9IdHksVkIRn48k5QjEmQ8CqSQxW0RUZOJI1B+pH5EYKyl1x+TQRTTmK756KOoCuGtZmcA2TjPYa9 wfuUWsnrwm1aG3sSXpS4bpWgYFQvE00bgLYMNe0cTOG964qBqTRNJkxHuQYbt/4ZSnB0PH0GeZcb rURBMsDVX9cjEcPP2gW6zkr29/djlWh9VUS3crsakZnXFUzk7TusVLNch8JZTZH49CrpweE/QKOX 86AuVefo2/GEDEVm5Ub2NlVubvITkxoLBRKRHMtdqTLVkeFp6BXQqA9jnyicLD/zaOzrg6x6+O3m s3OgcjFELYUrUhmsPiHyDmyXh43NhLv9NTNR58AA/Qr2DsK7GIxrpu7GV5sDa19AoUyBy+SPN95+ xIcz/n7NlLOvonNlf29DHCixWpGQ3Y2yWSCxtFgOyDUqfXAGidnSvPpyvkF1C+f4Pa+4+J+YlEW/ qieQRt8oM5+5ywYn03SNB/BDJjyLnPvT/AoBch8na4WYOBlfUpyt/jhKOH9OqxNndIqAE1Zik6IS vmw4Jp0HUY2s91S+8JBI1av2QywVxlizU8FslT5Bvn+WSQPnEyDu8iQqlopgz/asn+dVQkr6UBKD /g02ucAjaq26eo7QDDGThH0oFRXu7ye7VduYPtLzLsRERRUYGM91DaJ4WSXPdAF7SpsxNLo85GdG 1pkXcxW00kwjRFWBObqFpQPiXznsIZg+AYwe3aI7S6zGWxjA4qKoE2pTs6L7bmfiry+pMAnSqRYP 4tJoP2DX2QKCTWrIoutlp/bkCZpXY7O7TNWTcYK+hGYSbw7xFBl3odgc3wLHYMLer4u8cQayrL0r wq2Ter28J2pTTRs0S59XnxZWkKn331Bno2cR5pOGc7FbsxHJPQV3rMPKOUyTfg16SleNNwninwGT /O9q2Eejodu/ap6yrlMYp5wGgyTs8jIjKyQakjTvX1y3+8sFcu3dWXure4dgXnrBzay6sJJ49R1P XQ4UAROAAaTmxJPAByTqFUwhaq4i2it3GjSAv3LGqM+P9ubiRFc0rM4JLFd5OpTXKVW871hmkItl 29AaLdz49ZqgLtMUTd0Y0ANmtaaX0S9FDnbGQ+ZSUFBV/dAD52aAtIdapjWMAQkqEwdU+EmWTxqF tYwHgpTx8ixqjCjA9EHzNt/LmRfXZH0jdKdmDRZGi/dxRwS1LONC6/lnWHDidcvobdTK9aixxCmh kGD76veFRHxmyc/SiU5cB/JvyGsqn+AovWH1ViZfzNEgsBSaMAJ1OzE+EMAIC7+6IXbLsAxLhZgD ZBRYtT+6csgg0JhWEoDBeSN44bMv/fynGC7pH10JIHp9GKY01cQIyw0g+VqKYNJto2sQUPD4rSnp AOjR/kLykTrxUbjHc71q33aDo2+zCHppmByq/0wju5n+5fexFZvozJQOdKe9RpSmWMdvtoR+UXLD e6SkuNd4mW69FU/5sUkfsVD90dg8S2O1rhiYAny5dSqazZg8ZkDACTmsaAhEMo16RyRPJpfVetcr vtjAO/o77WKHrYavhsisPflZChiifP12SWAP2zIgtoyMN5UK0XEnATBovLZ1hbYdl9wragIihxvX 4wAg0CS8uj0aQ5DK8qMabEX3qhqhznd2VYXpFOYogb1dy/N+XZo7GXMgNOCH8Tb4ggNO3L2Pke6h 9g3KyykBxAfIqTQ4JzIlBKRqBtMgPF9eNRWFloPl200qN0VYh4Dzezqli7ttOzQtBZ3jGMqKZd6A zr9zXG8G7tnUz3NHuAXkSRIu4T9aQJJOtD921SwbgHdzVzjyM4VjkQ6OOvYfNlIYBDQeLqfz/pqm B1ChMPh3n5F0yGyMKcl6E/05oiAMMQnn6drXJz3RX3A1TEgDigdDoWAQfMQBSdIrcT/FzxQVDXeh HYd3+fjsIbu28DbsVpUPTnRPfvr7z7sscZgXzYtgOCnlDZHl75/4WfgYI8VL46rSXY3OUVuc0zIb 11hEkq+f7TevsLFMwxDL20UdJkLmUkeCEos3gAhTP3fOHKwEp+2ubE/grRxppPug1RtFgAIqyKS1 WpY4cnxLw3Dsn4CgmbwiSDthgF3sMhgrN3Fr/uloxnnh3A3ODerM9UrswkIdJGuIdp2WQ3l9xrCb GMNn+PnpN/45xihV5Gm6S+euks3y+HfuwGcrP5lKEq8tBMuSnQ993BB0aAh0nfs0t5hiIkr+vCf1 zr803QKpAstPNekdI0dc9prZupUvnS2BEdzH2mdqzz09pZ1xTitc3vXn3icUtmT0mJQ1VbVqDFJ/ 9qYtBZtXKU89+XjihsbbaSbuTrgxRRbjWV22QoMHLsfQr3CrfQ7zPS1A4uSC1bHVJiH6ZUKKXSi/ UDXjKEalwUkBVFkiUYhuLLlX/77QH1ATlhd7wnZo74IkBzlKiQWKl+77W+tWBFocUVr2DVV4P6DR 5oL1LDqVxfbaukx3XxiJybKcxjb7F+NCOsSUkQGs641AFs8GHl3uT2BnzGbgYl1mj8pvUzMhzJRR /Ydg3Puwkvqy25ooQR11dYuvccffMfOCHJKXOTclLUl8NPuo8d6hCuaMNxLAUHELfuVPULauI3rz 3xyVbuKDgXkZh/Jq+pzokefeYE/nD/+4IBCtOZMX8IVBMIuIPz1IYNf6abWWIPB4Ixiio/YipdOa t4cQpUOrM+0ai5AHZK6o1Q5S07kOgtrLp2rdTLnfMTviDkppbhDV4anAHWnOuMwI0dwjPizu047e SiDw1FTDKmdVAAGCCU6CvwyJwaAVa/5bvNbvwIltr8mWUaQMkeOxiv4R9AQxrhSk9z+lF8vx5vKl qB1yhR4TRDdrsDiqVwGIncK3ynfWe9h0GTIWcjg7PNZMAjy6tjNb4lPdKC0fZ4w5PGnn2WybF1xH R7XgN3RW1qJD28mTRaUQ4gkavGZd/H3expR+/4kYf41kVTTZVP+XyWj+3662LUavcM9CiyRDTF0i XpixBeastprZOKrpFcNWNRW1AxEo/aGUt5snDu7chirOFbxU9ED01twF1/HwSTFQNDqakbfw/Iz2 A+xBod4oPoIXPoOJzoBF2IXaKyZDGIHB/7cfChTXVJ3dIXe7xPcqo1mwdQc8FGEFWwFRXCxuZ5l4 SUVxjPSxDqJ83gQeF09aCm5xXYR2XDflau+V0irf0Bux6edKrHqh4LtbtGI5CN67h821kjuMEkMd DZrK2BLQy2oW78eMKYlwJPrPGMe3GsFn/YqQrrFI+Br5K24o1Z3UgVNmSC0SBwhBT8u7Efg1UUgd MEVIVlrnJagZ7X5QooX/lfrAhvqzZaOG3m0Pfo0xpcur9WwaoOhqouZIfdqdFLLYa4Pxmu/mKL6T NSGl786Z80sNwF4jJm0v4LFkbzPCwwaleh78nbmbM5JDFsjhERxLPVGMqt6tbuWh1hlCw0IrXAOx rTZgQYkQCVGDH9ZGoYB1wSeNR33RhBqdZ5aB1VBKdkiP+PsuYMUPiJuYHcKXe3aQDrQcNG4z7+yM 90pssUOZ8/JsmNVS4Z9lMSayK/96tAAPXPm78QhRtO4TCUsk9b4c9P6byyCSoUgg94IUdlqpU9PV GuzuAMx/ugW5QnGyHj5V3f+RR0gxOp0qgVRd0G270rJeWmUl0Rsl9EJwMXguaZ4uByIVSYqjLqRi cNhIrFq8X7hfysFD8NX80ZfnB2UTozKnaSiK+j02P0C8DZxx69HSnxrHZN6WZWakVYZJBeIdRulG G/eXtq9wQCadvxl9/seyN+hSOGpf2nUy0IzV4SR+X6QCC+7nsVRFI5o5yozdNKmUuR+5ITMenVzS JqeGYyVqMEo7pfPqIC4lGRFJ3zNCyimfEtgr61V86rIgL79lCUAVH72rspT34nHO1cU6cBwn1ijR lKdbydtkik8LQO+4Jgxbepp644QNKEBmY+3+RRru8KaipNkbw8Z/9JNmpk1H6nECVs/DBMPhqzMO oquIvfvWkx/ngL/WSZGL6lO2wwW/x9rE2xJaEKzefVcXUa16yAujlTGw45oyjd/8h6wMGJg/YC+b XREDXG5fwjUqnVFu2akuEIAZIGjmOyK0NRDwzICB/OjQ95PyKO8lp2d/76z+w0JakKB/3tROarHd FdJG+EALnWTpD0qJ4NKBwKZ5nNXTeKrSh4tNmqT4Y3wEzizINQ3yFuBeYarZgAs33iDq9VMXDi9Y 2lqpYDelH2juyJCfjX90KU1wmwOCo9M28VxNUKSkUcZrkYYE5rtm9a9mw3UKX5ZpHmgsLDbrqwG0 SArVSUztVEzH8xXmuaM4DciMgjGnEEB+ZHVZj3dgD8/dIe+QsukhIUssZMqIDb+TvaGl+HNehUhv bNX8La7QzMugk44YCP43iofv80JNYBmKQCRKlzCrgl1Od5OQu3Yj2agoJw/qXSsFZa6FTcb/IKJM FPW7FjQjN89K6R0k63PWVfl076u9pj4Banl9cIK6NfehgBfQ+zfKkbofavWRI2i7AN2bsJq4IOc0 8t7y0F+8kskFmrTw4evdJnUkOGfhYdYLHtFUY//RIYCiV5hRb4FIbJP8YhxURJlGqEm23boqbXiu LODjk69BW9GTeX1saP0cGyOcT52ENm1ztN+AktIMCOQry2u2nOTqxElKN//lYv91i68tsjTetGKG ZrXneKQAUwPHa6UW3D6J+qxLottF5Wv8npx4YR/XHOEZYtkRvwCiSFf/TlaUhC+4lUFSU6hUz6Tr Qo5Rz8W6802rsimacKzSXaqBshkzDfSnGkp2p80iJe9NoXUMKKMqXQnQH6fKNf15sy2IudAzZUz/ P8blD9gFBVbNNAWN5UR4cVqW+LE+/BtRX5WSdv4FlibytFrAfwOu3td1TsOSGYBCqbnn76GqoULp MT8Q1bxSu3m0yl+9v5TBwvbPmP1wxqoY2SxzHSgZXV9Z4eunWW7jdR2u+j3UcPY5b2kaS0v6RCS5 bsSOH1TfMoOssLCwq1mhgpOEKUF3wrRMq++68BYwikuqE24fzaY0xy/9s152FW7Oax8cPmb/EYTl CKsqpFp54Zd8nUo7CTwENxrRxfMRi/XCyKfdcbhr3Z9s5dpY6fh1lIA9PEePkhTZ/+caioeSzXTU rg/epfuvzSTNPtMKtkwTxI8R/g6vuuF+WheWX2PXhaxhfhChHxKLZRh3ZFnCzejXTT36/DGZyXHF VvTjS+FAYsfvg336ZjPdyhsJaf2ww/XQrTPD5G7tM6CgC+/56bqIxpzon+VhvC7gVUnWDQux2Fkk 9hkfjvIY/5YQNZPHQrthFOWGd1Hx88BPeyXJOxOIcmnKQAD2EjgmGsqrBRncxFpryqtkfvhYLWao 04Tqx097qdvVJzV9ThnYvP6NfaRBvAzl/JhnUrP2WHTEjP9H3KoKzrTafLZBx8zk+d1q2ZR9MoTs A66jyoGuyvZWcoyNeQ8pJYdRRsm3D/VMVVi7LHXED5BLmPG54/PV95xw4ni9EmtyMY+g6jYuwRuF yRqPglAcR2TqkgfByh5tX37PTHQKCNaMNA8IzG6Z7QSM3WP+8znqJU5pDygSb0j/Ml8io+5UXsbP O8qNpkcvoM3ZlC2U41FMeowePkD6ORlJqoSgecLDiqxQdIEw16zrb1DwV7Wv+pIhyLptO766PYRd VKP1QxueJb/W7TNnug3MFjdG39mHsc3ow5n1gp12pcP+ao/BpKBG1HWAdKTWRaQvdIC1z7yQewqp YZ6S+45NwMADRmDd2shh5AtB6+YMyzqdiSLibFQUbgEc0+ZoLSsD2SBz2ZHwKqNCyH9jWZceqKaS cbGsyKpOPlgi2Lq3ZlhcxPRaDmclXAU1Hk+eNsCMwqziwFotEonFA8tpVdG/2oDo6NsnnaRJE/zc bSlakJCVh7UpjWbcH+znX6/lioZ2cApF12RP8T9Dsy0xtpDN2ZBnT3rmXxP+0Fds48ptnMxEXckp QKY5h2/A0HM2KuFbGth1TJMTPNQFxtK3tteY1Fhu1bO1xXh/a/JoD2nvq9vzLLGAGstT7bfHcQNi UBI57Zg/QF8+9sfSvjCFdx27d/v6zCMfhsl+s3eEqL6D8Z4/F9o3MVCMKIdpZ5Td3RR0/yjMB+2h cSMpZE29njhaf0SlbkBJJw5lEGU/AZFZW6eF5iJeHFnT3BFBg9rEPBbQt/iZ67AsgGSmHuGmUAuR gEBJPrcMT1js7vXWTSy0oOixMeHaQ5cYo3d7+dA3CPqWqdta64f4hwXUNzaHL7uuinWl2ZhmfO27 7HdK0z4lwtztHOp9A1jybDzMAgzu28w1AIuVgv73zsnZGwCVIpv3tiexQYU3iEQzEDKltSqmcX0N 4aU9Ft6gRC653GE0BKMnwUNlWoOUM3tl/i68e2ZLmwaG97ZaCiIvy8m8HmAKmprRIC8MKSV2wN3N VxV15qXOHQMI4Q1GdhYw0HDigvU0t8TnETzn/Wq1MBB/NqGY8hVuEGTaJaOvjJNHmlTLahxTVhQq /GeqLmtCR/FrCq91Q5EXq1DjdWscBCvqViMwlX8umfthfzW6zkrHFjQfIt40ijUq5MS8a976zD+u zQkeOIXG0HOLg1lpekX7E45ovEH2KroTC/Zpn6JFYwwuKuJc4KykB5oisL6V3w+pud3HDhWdVUda Y5ymbnsDQiuSTky+miIvO9Ovy7t8LfMRbUEwIil+5xf0djqO1gePQEnzUATGHW+Vn0enl8iaGGEq cKtcMjnif84suCJbhINdgN1I4sX64iuisNhwwsD6Rsc8o7bLfpjudvvCYGvdM8VEA4L2HwSYjpdY TNxg/LJHvASrECuTW83Ekq9QGZnIfAb6tq4XIgzQd9F4b8CWVavi6nK/UhzYvOphv+V1BiYI2TLU s/Rl5nhW0hYdxMu0JFDVqmhZNYSvT+YkCpK9/QrdNwbp5p9AJb0M/tTpCn4VkuXnYGhCALgfdu62 mS6KZVEaxOYxkmLbs4e+Kawm9hfPTBDAqUZgtyZigdgcMxZh2FSkTYT+anGGqdjyKNbGMKg/xCw/ yj9/Fe3+W6hzvVze5k9ysSscN94e7/yrTvMdOvtU3/4A8nUuZdc+6MsYHj0eCztsRh80As4qCKqm kxO1ERfKy6u4LJkmdkay8vDqPWKLbr6LfZ5Xfy39kvvpPWbht6ZVWlbFIdsO4A6r3c7y8OxIGgVN iLFuxgIDA/ynWMYpWJJoKwfaub0mbewGUTypAaimrCJhLE8+CL9+l91BmmioId8Z4LRk8Aa/HrZ3 sKPWL0tgZdg3eD/72xanPTNFpE21K1EQs92mk319EXR/jeWg5Z9dh/mMhrRMoPYY2gsMwsvKMh/R lddcFc1odbeaMbc2wcCd1QqcwEm1NH4wLm0qE7b7HgIaOQpnGK4rHe+UZO5mXleFEyBCfNIW/NMZ QG2Ex9l58pWcQa1aG8yuZ4xIGLoORe+WGxP+n5VqK+no8Dk9nQk4OC0jTctD62LyJsLVCZtSsQiz EqVjZxJ1vWXRtwtf1dkgALeNkm+HFFCRcyH5gYXiiAydy72wlw9ROf91DvbuX3N34lHLspVxoXCl XqFbVn1edPpyTX4btI6EDzduJseOtEk+pYfQnfFXcTSRWfciiJbaM1m5PEAVUe7hEgetTbfpyBdF gv6dLU3mPHjhTy9Tg2v6cnpeGjD7yIOCDTxtuy+qpm93ziXnoOaQ/EIn0GCWrHkLQhkIQ4/wI/UH 8bFjuUZkL43hBahC/wMDK6Nmj1iLilgzG5qneNtzAp1MYCEUO5qnK2kqibvfSuyPm8fC73MtKvWC rgfDsGttDM3cdun4Po8wHay5l9/od96/9sK38fogKLSiAVpkYDXRm3qOKh9dy1e4NveISNi3g+Ea EI/G+Tr1Ed9XEO/vLpMjQ5dnBmKyMx41Q/Jk4tYmpLAPHW9c1ysMNsM8B4FA3AxPvM/82MCdXz7B XoFq0/EyJ8T48Wd2PP2NeiF29/4h18QmbZySiDopsGBkj+Ra3Q5eVgKDN8N4yxTnzo8eTad102JD ief7KHIvBtul7xERgxpAH5tdk2P8LMRZq3vDxdqXLervsE698JO3Yb2LKFSsJfPDKSja7Jn5aylS aDqRMlccQUAoWo/f2KIugS8Bz+N308fh7L9hvxCK4lrsnFluoCTa/DgdtYM5BX07Bl+b8fAgXZT2 YsHQ/vbyeZq793c4runnJ6dencv1PEq2qteuhWERYBAIjM3pNOctbAZJxtC7QeBFFrRgj8mVLg4H CAGnYLEw4AxXudrL0v1sFHoiVsmK2QCYQ+8Pm3ayMbmXlwgDA0xLoTiDs/LTSRFsoQSpw2Xp+t2d 3AsYrygW0vDwzrvi6h1rb440pUpSX5cXgLP/C+vSGY5xpABjN7cW9n9yVqrpLzC6O7d50Jk9GXUz 9m8KfsE9k/5G+y/GyKkYMipF0K1uzexSmJgNGQOBPKl9U7ilZWNAA6O+a9vYBb/YG23BdQQp9qC9 Zc+yt7A0vt9+YUF5gTTQ6hGsOFADxLVPutb4kqiM/oSYM56BcWztEYZ4nWbA9zyzeFdEr01hc/M1 QTpwoN8of9XKrGf9bZhAWxqGXYIMw0glKEE2F70VxpfDJUOCa3yOvdEqmebzR82/HvkV5VGkSZZU T641DhAtpRoJkO05QBvLeo76KH3yw65lJewdC+2C4l/kzHPisoHZ17jdA4/puKO5YGri6xYpUI9N noDY628N+WbXA/0FatCCoC/DllxtjSP2ExLDS3WtEECbaqXh72lV95+zXLAqZLWGHE+JA3omi7/7 s08NhgoD9dX1z2+ForMyi0FGNhVVQml9DSR1oW3E49qyTrnY3QNLe5jWZN1dplW3MA4HmjzSb4Id U7y1TtbanFAm/WcO+LVZQ7bIOlGomx3UA/IkeU4EykY6hQoSU2ejfnOZyLT5cu00wHtPCwh/7GBs XL+fwTZtxz/lDZbhwn7J46+9VWn+IvKXpWtFp10Rjj5Y9jtoUBUtG9V0Ynu3g+zbJmBpMMDNCMmO EPCUNJi4OjfEaqWhuJqeXBBwwH+jfqoNtzP73eJHhOz/tyUXWl6eVSavFUamfS9b4BNg04gwMFjs 8KclCdKt/yDtEMMFU95WnDxnelq8+xvm2d6WBlStJQs0BX8wvukcGyeAoHwgN60yH1SsQGfnHY7z Yt7dz0nwPIv8s8ytTVJbIrh8mi98fYfQZzrWNacn/01yL2m9ySDFpxeoxotKho6oENIjJ9XLRGm2 yflixToXcWB4N8jvkOEfLL3NJMJl0Q8Z6lTIBCX78veG2alQJXnMF5OvLd5mjIuHpVdYw9HE6RWm LzJJCFgIzcNUdE1KY3wsB3ywIxuwOCout1Hl405qsv+qeSWiWToX8R4zZbWnzbxJiEJbcup+OfkZ GH8PWp+kL/hbVjSbaCWe8BImv3zQSFtIzmQvqskIgMRV1Lpq7/FX0lycyqjja7W7a8QnP24FpM9k T1Ho+P/AwoxYuH0yztirY/vT99KTeduhc6oklkVO1PtabqgIySY6ERsVbIsb5OrrTTdH7uBTSUS2 WEx2QpbBvYbVv0gCYbo4v089tvvKh1EW8DdSYdvuZb5MwvalKzE2kEPwE0RoJNM/3MrJoi2Vif9A wCvpLI1Pr/yn6e6eCs0tnv99ON+siDisaVsKy0wUNfDc16jLqju7EnF6jtJNQ2LY09X0zjdl3foO utJxnuHvF6/i1IHDY4rpP4vq2Q2hrjAmK9TD3Xas6pETzVGxxyUVGRhGiQEKsYPdJJ1V9VKqb7oh PcN9N60fVGTgMT9t3lWl48foljuWkWGFJdyOif23zdC3g1jhyfhFoucVzv2sbJasMwji9+sv8WdK Zx2hZqKxjRjCHLAzJmiSNVMWl0OTxK17l4NILeUqCJVz/OZ3alobEfR1V+Y+DL+sqUEYCVrGM+qh VfJArDngpPI5ERLjQ85ua42pWWn+Ze1DSpDTxvD2Ky4cKsTzaX7a/DIQGx8HC4Q7CzyLQ9ACEhtV ix8sXMqfITDTWUj5Aqrc7XTctCsr/vtoU6TxwCtJKa2tnrAVC30Jw9ylHApeWQYdRBRZzHn0N/4g JQvLVle52eryirk0D4QJPRWbnd/ZiljA8/13LNr7R7Vw0s59KQcyOgZyaLUZ6bbYzabMLLdJts1O WFySF/JQoyZ3Bhq4lyhrzq8JYVsCcI/ULhQBqSRtkcqc3qapvnqIg4ZOXASTZcCcPOXD+e0MPOBa wLMrOb6XrOFqDQKtGgjYqUjlM+tGg4TFONNUjdZf+crUg3fbXOoP7rsfhrc8de24LNaPFE22A/G9 2waHhXG5mxx1tQbk1mlo1/Vo1sbISa/d4x1raCYxBu6uEyY9xJdPeg7VwyiGXvZHYV3BGq7yOGlg 4Jmo4f4A6G+xZpFE0RVc3c4WBBCbOx5u/fQ5I1xvv82+dPa8HMl1Q7C9EzB+Duv1A/Gl38sjkJjy 1hrPayCK60C+mjQxUsSgFEkNxXx2Zg70OB3a8OcFNLctLLYZD5/CwyVYIW/YGRFzRPeXdMRGsOeX TrlrKicHtyVvi3MQBO1eJW0MRVHCZoL0X1/PjlkytbKrcTh4NN58Q907bzPpx3EP0ee2zKlid/U7 XbC2zie+1lER24Olv3keIg+5tfNHnDgd1+TNRBYMUHuW1joFYKeis2lPBXEfwYoPQ4iFmE6DtejY OCYdNWwNH7Rji4ThLoBLWqgaLHU3uI/FIt5pnPQFb7iYGMPB73QutETk/0koTwB8PZmaN0AxMk0q nJTwPL2RkbBeK4+V2AaDeTV/Wy/54aZU9ORAPVYTnwXmRIyzpHmiG+lG4DO3WAb8sG/2bDcg8jl/ of+UzFe7ftBAAy1wOZWXKIPDT2qM/Vbe/IygJOi8bnlqZTNFecPVj1NHscCXQR2lrn6SFxBZYPN4 9K7lS1llIMM/AfYQ3GVsEDfh1qcrCDKBjlOgPRlI540VShwdM6Qz+0Qta0vmwIf9o2pSYV/EWdDP 3LEs/boWtVymx/uCLWAuGQrLfG7nYAlAPoTF2oMZfaQSFi6Fz7omQiInnyu+xwiiP8ttpzQdR8zR Tn/PMjWbEwU9EI64m0QGIjgs76tqsKwF2W8yvSwuGEZ8Da8WcQDyvR6d3YE+VYcqhi46bg5eBlBz Y3bZs8crG+xfacAdMNowwD9wYupvwRRgMSBAzVIi0om59NJUSlpF6gbPcZl7OcD/MpQjTxXGwTUH LSLgHHlb/+vdWmxEMjleoANuxH/E49FnbHa4tqpPMkMRek2QmA8hvaRUTW+MXAdyWWKLV7o5hKpd wNGj3Dj0ZS7Q3hzwXqnobMqgVhE+U1lKcfEioj0CkBy4hQGx1V4mI3Wvs2i1BB1iurd43LrEZjon 5Pm6P4ylczbVeEIQH9y8L5LzquB+qL+hEOtW2A2iiFl+hbX5w/xASKIYt1aG2wJrfXcMRKH7biWh flOtF6Y8gQ/Eyzqyrjkt29xQW8cj/xCMr7Mt0v8Ew6hIKVe4WtR4q3RN3syGxzTUUvsW4HFWmRbz buUM2uZjMdmHIGWTLXknNb1LGlZp0qKHlwjhTNdNsw0zUMtkFWBEf+lPUAhzwBA6IyjQVSCf05vk 3Ky/fMGviXSG1/t/2pNiizRjw7h3OsWyYKNKIIc2XmTfFBSPtqFGZLDA3W96QUMVGpUZZk6wvQ5R ET/ExyC+hUC+v5Cx6dh8X8U5ucZFbwtio3GAEM6Xt+ZDa2rsCmszOzql0AlZD5O8qUS8U4irWy7h wvSLBQnwvQCCaLKkM1jm6mIeAKRjRZDwYX7T0pvYHO9GESMKr7lbBo7lBmnA/NN+xH4Bl06E2KBp s4W4mPHHJ/kx5yE4QKVm5ppUqf53L5sMwoXgjW+FBjguFBDAxAn0qpXaxuIAoQLcuK/IqPgImzCM c5TC/YLCxpKBY2K8PYeKQfq+82QAk4lhI0mLLdV2vUjhBZmuk6TNkhFi58lRkasPZyTbKKsEPdcz 5BCTqzFleQcnQ2sD9hBOwjBS6maJKI5mtZ9M6MrZHVv6asdw3HkaBXHYP2mdXyeTKaZnxlQk3W2+ fmxniP6L2wqeKNtyzDnDpSHJQ5BHCSM2ODj4dyaVdvjXe8NOwIRSou6m3FAnMLxLY2fuCP98q3Lg 16KrUlBklxN4BY2++hLwnCH8iMnENSCS7P/nSDbbFw91yHgvMXkvTLkzRGUQzbnO9WFPUsabeKwC 7cUXwd/k8G7R3jKYnI2w9dHs77nmzODz8kxvJKsKaSOKQ06x4QMTd6E1lFtj7oh07xVywASiaGn0 nTPNqUBMomat1acV/i3SBbAsP3J6TPS9elsENJAlEFMxaWwswvAg/9SjdB9oo+TjzBj+HWJDsrn0 emBMpbMZbQsrfEh3QbnkUX285TUR0o0di6uJdMVw3M/kwDtwW/dGjDopJ37tKx78oy+eDBoWyW/d dlYBnBTYSajUT4zErheXJAer4mMJsRrZaqtw/wnJDt8LBWZocivC7xPa3LgbF4svUeivru90Q3LS v8WYoCKLA3zNtzT8jsJOFnw6nZLUtOnvGM+0iOpOtNvWxSj/zWnASuTH7htT8l4KiRy0e1Ed4CRt ZmsG8pLT8pKLw+OBEfei0Cew9vh5UtQSSdumqNQFKysb9odNn9dws2tcNdmI17t5aF8b6Y0gJYJc 8MFY+3BaWyHwuwI/ON5a2dLw9mS1ob5kpG1bI2qy1oKlQZm/snemHsH+F5cwUuXILCq2i+r1bFDp cjOLrecfKUngTcJ/rM+V+6SFPpREcHHv4BOPU6tHMUUojr680+DGthnVLblEZBDMhGgaAjzvTy4p M6aR3XGUT4ZzBqzk7e/RWNmgny7w3RxngJgz9CcnGRQjA5jFrKU54ZQfEM8HFtj1lnMD/WuUov0b NHTLUqKmhlaSTY4aIyIorHv7L1pnJ2AoKYXI7LF13sruwoWOOds+LUht7y4DRt9KYfdvU1L2Gd9t gxOnLAeBDUOgUPe90WEc/cL5fP4G7X+XXpZJAtmE4xUEObR2o6pfj0if2vC+xBxiM2Cl38dWa1w1 MSRaevNHnzIQERf3J8+4xxJTkuzQ7K+fA7nanmnr/2IdM2g0gA2njCNXyU/gUIro3aVW8oC2XhdN jjG66l99TAn3BEtVsVqn9Ey99fpG7Mbya7cZjsch8I/Kicc5Fh+ssTmiXo2JdWjuHie1YNZmMJo8 awPXo+by4MAxb6+x6wxdhzwIJBnC3ua/0mBoxB52abL47lfsOkKtUSM1OkfsBy/zQPNHzWXOCefZ 5FQbMqqMN6nm8uIYCa7gInRBzFgIXSJ5d+93n6/edz9hkBIeFs862zHrJGYUvPUbUTCT9sFTdbw1 5n344i7GggQqdYQBc5eFmGNntAHMdhK5RFthuC2gbVmhsCzu57i3p7dJ3haZiT8U5Z8PYTfsLhrw rm9+dU9XdtI6y8LARkbOIaNdXu6MsQJgm3c2z0eAf4aZC3SOFvu4MehlmEs291YaXK74feG0ZZDZ 7sXrwvT+/BrxPR5I8HhJvBr43SJWGgaIEcOtRkkhEerHyC5x4KfyldNctm9eDneILDwU0aR8LKKl hQQl08Fnp0BzCCtlBwAQdp7IQuqLpHsTqYEZtZomF3CeuccENh1/MAqWwEEgiSc0FijKyiIM/1cX rWysuKmPmpYZOVGzTThlLveP+ywLW6aXzm49+jjq6RraIErfOGRGx+Jkbnf6yxZsQmCvO4WW6dzy F1h8qvJV8i4NaTersLx3P2/MBg2Vf71U7otgTTkFx/AjFQl8njh4U28RCoDadeqO7AQlC/szJafS w1vAMRy6bTt8hbgRzEp71wrILPxp9F2SArYfbw5biW//ZkJeopyJ6PmS+4fnBEbwtJYJ2R8J4zDu QRmlIMsmPxxLfFjW4T48ZRcXr/uFCPr5+jNy/fv+xVuuo9b33ZWQNMaGLJ8TcXzZLPTI4blsubcv oGFKKj+Ah4+/LJIW+uVHCBrEp1n61TDmzznkDuNflpAj2JA15s9xsSeouUdC7f234pkURvnDnjxV a1EjM+mMl9uu9vtUCAIsVJhhzkiEE8lLqZ4shxqJYaV+pHPnXPEuld/ccNaunQd6XEa6Ordn+SM2 0ayAyuirpFM8DlPlzcdM3iL5zjfch1Q3qXcplsDZQ0seHUFsmKEXXPo07DTdwM5Hbf1rgHciKjQF Be+L8UaMN/IDzTDK92ObKH0TwlHbFzlgNuB8BWhYRUKCZoVXbCoCTnxyXfZhc6BK+W5z0BXK6U5i 8NJWoEW1vOSA61DIvXahpjDDmkLgtktca4Wmlt7nxISv6MHo9Rws403qQEJ8EPAIZX680YAbdu8Z XAGXRLnSvirL/vhAjbqJhcC4vp5vJw+k/Y4Ek/mX6W6wA84rBMAzYO7xfkwMrsrZICyb24j5VZFw eacFuJrxgK0Dh/7xlYbGS4rPfYhh5bNc5AIVq2ZCXEZLQX6WxlNqvzsrCrHhSRApfZL9S7w7wXMu eC3WP8Qb7PlMJ8mAlHnp3lRI5u86j7li2/iCUoGNHr/8J6odN+Lsnkbk210gPuf9LHCrFl1Hl8Uq 4lgycTLrLfQQHaMS0tjwiHEexcyKgtK6AxlmQHIzvfnEYwv+eHGckC7gBDjXnFlShARmap87LLlh D8XA2pCPyJepXNfoOjPigr21vjdiJxouGuFe0K88fJ0CwStlBoceJfVxI6xfCXFjX3NAOywwxyzs We6h3N0RCyzahXlv1dlw9V47DQyTAUidYZXX5z6/MBcGK+/lzUAwdJqJwudHSw0T4ON1IX6lwIvx cl5/3GhfSuzn2wNly78l9s/VxocWP5aJmHk2/bfWPYUuuP6inSiuT+8cJswxtqR4KXoCHAf57MP3 fCtgV4HEZ3l5ST9MRMCvIYvCovzjruUgGAVKStg8PdUjGThw2YZnvaQh29w7xsqb0XCwUbOGm5Jp S3yKWWWvGNTetxmnOWaIhjPMqaWN7eXWJvqftaZS6uRthBOtj/m7vg8B14L+nqFi+3yqeLf2lpXe y8XupnV5PSmK+DtRUgrVhd/Z67jJDWFd+yZhOEq8nSJRZHFzr/04BwSaqhHbGBm58BZ4EGaW8u2u 7A5UkKL2g/sufy+nvm26aLxPc8KlpTiqTqVvoSb13qcWM1phzhNtsH2eIhrqnh7x2I0dmHJsksC/ hxY7r7g7wfz2TLEnLmj2BFz6uYxAp/98v2paPcPvMTcG9LefW9aZFqhk3Ww3LnKf9HtmsOoqNOM0 ZmXTCI8H5Smu2zQQHiHIRMCamIuqwf/nzyJWkRxeTX+uYGWWP8QU9qltKO6MKpG4zVXNPOJjG9bN XYs6M9uaFWvZmWL8YHko2Ovb4RmMqi7TsAI6DMoeVi/3Ca2joH6W4aD3CwYz/7qcXzlrD9E/glTs iwBASG48raRmi4o09dylTedZ+VDrFlGVUA/YQ6/baW9iuj6lKy+IkChXw6jDwg8A79UHlNY2JNZ9 eGLepjBOrJg7Hr1oG56bjwOFRsjj7edERK4J9xLbt1859uTO6sEwGyOpGo6FQ/K5N9bTRKu8BFVl reulIAB0Hwp0UtkiDhkSJ0Rm3wR9gIA+T97N13AsdSpMp+qekznA521yCB2zUXeOKY8D98x5bpMZ mbHeQ938q1/DABamPIcUVmnzemILHC+k3sdvc9HiF7OwmHFv7E3DgySRLfkqdjLbqn/sgwX4Qsgd Y2Nr2HpJeHAGxVHy3XKSegj/PORXSMCpF/a5Z3zDV6JKb+kJKZmDAQ/r0eTcIM0P9U+YdwD4YVXM NJk5yEHykGaEXQ1DDapZZn8Kh5k4iy+e+MvPeEsbscpuksvOs5LzauHhxpA38/lreNlWZFHJiLRf ZqVTjti9lGugStZJf3dGN6+0tKuCxhsXwcwxCj/Cd3r/U1ivx2W1H4G8UjmCPYQjfsbtRj62AwMF dZ1cX8l1FTy8Ug1eFEDJbDPi09lObOxmieEEX6SinaZN1ZY/9BdfeqzdjJoHGQ4cNmXB4AR2mehR nyOyj2zn57r17AbnKwaMWYZLUE4Z9pfM3r+NnU7XL7hGo1nidokuJ1kFxy3PMKLeBFdlOTpUyeuz VLyl/9jAsok9EX84E0ZWi0NzBFeZZYCmNT/NU8A4Fq7le+d15vNknwzT8dCq8C99XN3XVUTf7NdZ LUIYAtn/SPNN9lx1828B7BVktH6D6Y+0AVzyqZLTNyESdwD+/jXL+3mmTMFyOSBw/u2ksuJpuMwR k+nrt95T6++EcApXpi7ifaxMrUURvtYy3XPJgfAiVZ9bcQ9kGnhD7EVnGPFa8ccbL2P5UM2lgduw 3R3q/velZTUan7kmnR+e+xp0QHG/JpAlT8Rb607xnRMFTglCUgKowrfuTbg4ENDk2nDt2+XUwbbs u4cj/lT7uDVBdjev7Xoeo4kXjqPg5VDtY3X31uEgbzqu/OWiJfHSfQDCK1cHhiYfQQbghFAqMrB7 PCfuFBtKsGeQi/vFm1pfFg0F7nHF/7/otx1cKlZ586hCmQRtcHoQKFXOyod18R9NVOOlJkcu1k2I VKPJ8eKlwJvwypXSRWpOWgf+EAWhf0ZYv+JmE0udF79867hOGsPwZHqrfr1HvGs9+wTaXrkDxF8c i6GiUym4M2vvMmryH3ZvtgU+dGn1Q7bDeUq9LmlAPulHKHegJsKEyo4tgXE1mz3W99riEnZceejR xdmkKu1j6zddoevZzerzP4yOyT2C6r6U2pFYpNbJCs1MKGJRFIvs/zdmR+0CHTzU6WgacFFE96hl iSx4U2NBvE7JsGOFc1ubjSngJLHGndCLzH7Y6ekX19T8U/AEmH8+75FHqY7dw5eyuzYFjiY7J9uW wFemBlXNV+cGFNxRhiM8D17nzmSpLsA7o4Avu+7AUuWPtvBPn/xfJbyNdbzilxxwErIoznoiRKZ/ dJm7qGjORQxQ6mb4XrzLCNSwV+2LH6r3Y0hr1JrhDflt9C5cyH9gdYKJnAcx43+o/co6wQ86pb/b 7d0QHE2zp7xKteFM4PsNjRWwrXbKNaQhefbSiu7dIdLiNOuAXGPr/Ja3u0gXFRG4bJxhg7gXx0bJ LXJvXFZxzKjk6Iasl0wf6LRQsfpdxn777f3KZP+gTJeLPtmhtmManryX5aNXNt7b90mAYiFNkUf7 pSeDikjrtVUD8IMMNjulG7xTUcddA/ocZMFT/WW/H3BQ60rK1gII7rR0UZMAIog/JrMrgEOANB+t fdIKEW+/0bEbJXzWuFtjB/s/pVVBmmic/SUMk51nTwBNmqVHClpj+7Myj/2QfcN1xatbHe2R9mHj NVfwgq9uomTreQGJ0hRLP4OKw47wt6CxlSHkBZuHKsmnfGHVCIcb/uSLPP4TKMqDq3gMwcNeHkOa EP++aPR0SRCA96kH/BVpyLwak8370WHiq5aNxXwFntUsLv50s6j3D4cAmnMx6C4uah8njVhzO4T1 NIncxCMAea74Jabw57i4mAwr4FBUq12eBxGMt0Kyn+8E50fKv+Ksxmljfffim+J1zz3BLPncfH9p Mhjz2DC6wRexVN9JOTa66icpLSO6a3/i5kvA1Jzqh0t37baQhRAgsh8VcilLCYfdqyhQn4Dh2ak9 aPI9jvxxeqt74Sc0tFdagwGP0lnvcduSMF0eZyaLvxEjmNJOA/oz79jAszrVWkVSjIKgmY6uV2tH 6XJJNTZvnqgmqajt9SAtJvUOmmuGE0l3Ck0s6QUItlPbuJcApXL3JeQpQVa8tuhUFZuBiA7HNA7E KIe75zybIYwZgc27jCKDdIN3XmAaSF/SVdW+UYK9v0mBtyrO2gupPrAZdgmx8goyZLh6hESDD52T lPbFzMYQFWwtrhfc9A9MWKPpNuEgHf/Zj7ADX6iRsg3DT6pCO0vIby4Hexncmz5igFiOj5MPBgXp hyQJYoas0mjAI8tnOUOivWNnof7KgyhdjblYJi1qYnUm5dWiJr6BaXNcxa6ulJ6TsBRg9I3aZ4uF dzeRJn9dtVzFISx80zEy82hffXh3fBlHBB43Ak4v8sSLftM5XzFybVBhgfGh8K5c6FJoChsiUt7T EvpUOLjgl1WIwy/Hc/mxmEk6F3OROlq5s7wNx2kOpgPQvvW6RYh6sSqErqORTa67e8xM3MLqggsF xQfTvr4oJaJxyCd6mtnits3cJoEyriQzfr0+rpAhh9BwdxRy6o3INBTeVqf6kKyDFPaPiQbyJNGY GjXefNKhEc1ha61d2d7xJJ/s0das7n8ExfNqu6+mHDaBcFTgGiM1LfOaur8UNtzGEXYloA4HS+qt 73V21b/4Uy5vct8bQoGRGYJ3hUm/xuij1OZTDCdIsOgOoWG79/nB4a9FIlSV6n2FKjNwzXm7RFHN x09D5eS0RIBXhyUrm0zCxTu0XKR4hT5ifcAhnNyRWLF/Hswh29ZyNBleIKUzhEdyKiPiVamK5jp+ 7OjKXG9fW/IqmfqhjITBPUg3uPhvyY1HVYIcH70O2Oo9GkXvoD75V1Tq1ULlLGvAe3T9ILgamqxb O2UGWqSgtHXL4fTROTWZ/CQ1fpxFwGwe1jEyigdXa6P6MRHhuEoXzr3XKHk4I0VveZ9jDQNyoy2L kVUJvHa6ZLlGK/bSTNMGk1TKwPUgYPDXXCcRsSHr8cLPlJhiJhWMsl/T2+W4cJueIHkViNG8JmPz OAbySvI6eMOUbvCo+LBb4lvz0RvAxxNqRlaCAhTy7sldTNJpB0zXMzIsa3Ing52EOmXsc9/Gs0cd ZF71B06uX2IpgREBi5vsG5JZUC7LIiM3k0/k2sjKME8ob+pAVqoRWBfXPpov/eF4wV4snuXotiYr ZWcBkDVtutJceTnESyOVbIAnaYScvjV4DAOZHZXatccLCkvRUmdWFUAJiWmQwWtfxc9Z8bPCZZi6 Xqzc6v23QdVRBZ9zKxQVLRKNEj+lJWxPpxm5PuuNex3o/1d3ANnzojNBVwrmrfGPY0cNOsmafX2h pLto7J8ASlq9c9EmVx0YVDBwFxeepBw5gi7QCXyuKYfCXigLkKMdrIhLk/POQXWV20eUYVbM0E9k VHAdXTlSv+6ifLGjj9ISX0SDZH8B9bawYQRB3jp6nZgkuSnHaJqUTCTAibKIb0BtdGt7vFJQmtyh WVlZ2GNdDpKEjOAO1eM/VAy3swirmovREKUCocqOOpA8UUzlOiebjqGtmsZQPgxYu2Zu4i1wAsfc nqwFdNzTF1dWhwCLAH45g8ANwgn7NfrObPhzTqsOvD7Poxxo9ILBQpmL1fnNvMp3Xe9MNNvUcAXt oeBwRGVaIiB6riJG5wfkHipAnqFEwEoUIt9kq7e2demwTXF1zdFS/nGOM/MQN58N686jLJKZT8UR k3V0Q9OG4CPIOPjtaYu0G7oewCIe7XS+4Apvs2xkS+ww8LiU8AwM45AHlt6M6eufcRUv5tb5sKET SmTWaeVoYvzTr6KpL73EL6qL1SZCNBrfeQ1qMSbJ/+mzZ/FL7qILG6QWr0HHWe3CTFNMeSbeA5Ud WrDPuztrXteIAhCnrG4uT3rCRLYWmUdaAkMeKQr7PWj4EdquEsof97IMlj31gXq0Qea4fNENrbAR hPynlwHpxG5jt/a+7gAQdQknueaJ71ulBvDlQ9xKzAu6YTszAWMBQUp2VH0qisX0zzvSIE4u+XM7 6TsZWnCxjpHq3Qv0hW3t17JVHSFT99ojsAkr/wsinMuxrQ8uQsJJtqmtjBYwDByjW/fe708sWZYk mS1YYrVbvN+Sg4esg40LqLO+MfoEwUjeiKStQAtV8FlZOz8Dwl2gsRpwedDIEIWn10yhnw9TjlEL dfdTqaf8eVcl8TqZX73EHxID0bF9P/Sqcd2oV7/DsWbcYyh6rml7OdpJAOfmHy4HVREC7w5h148y DDCKDvXns/2nZvmu1u97MyJEL2jxAFzLUBVq1n1rvL45w7P0dBgIKVa03Rru1ZVD2r7GYRy4WYns nSmh/us4y5ZAulKkFA5d1cva2C4RAFfsu4aOap75IDPTvqeubHrZHzfc8Z7MyBAi3DYzwU+HXW+/ XKQ7jfFPQzVMkQTyySdeOyJPJ2q7iP3ThZ4BScSrAybiL90NYrhAshtH7cms73USXx4R69FtmeQv qv9ngUnwtZapur0YiWY4RfliJy3dRYbF+Kv2b2tmhpO2vijGBqWkvCf5lV02XMJYOQ00Q64gYUWC QR5WAFAw3gpChODxgSpDz745UMd0tme/eaWEihICPctXuubePeJHuRleuVaUnh6mW9ECt4sAfR1k DjoZkIcONBi5lQ+DByMB1GQbayLi1pjlfk+/tBDfXFD2zn0FoUAEIJUQV2bUmrLiYY5r2uhm+qCi XZkQoHrwFCRSfmZoP+WBDtNJ2b5y9rkOtUBcprNwkeet9+mCZXTeHcVdjtvcpCKVZ4UK3knFyovs lEFKrE85mMJeH2iSJ2RczA0bzykpQnWGm0SMhUpTKVgIxCfNYo3S4hZcMORpouDRvyfnQYcPjqVA cYSSZF07nJBZ3GtclSMIcU1W67tiQyM+CjpCoRtQocW52JNFENJ3QfINETfxFBdblRYK8j05jWJ6 tZi7+WgXxNl8pXDNr+skNc6KzCKral7suhP0odPmJtA3fGliLEldTvB50PHryG0Y1nhs4F7kHURn 3Nu2jjdONlItQqFuPWBH1JWulkBAA3GKhnirm+KAS7fPgcADCherGsQLgPu7Qle5P4wCKahi47yo W0Z4cv5I2T7eYARp1VqcjBkiDOnq1vj0Nq1lz2JxDGfgwd4mi8fTEl/Z5riPYH+GZz5PmfBlLVki RaoP7JMYxtzyDKRbKglab79M8ezB5fGuEb2tWGubI7Z3rAT2QmgU3yZwUXJ+xPFyahFo/8YiXtRj I4T5PGroaiOZLE/Jfpb4glKnFxlOssy7AFcBVg2RXrZN8jQDkj5+ZIMPOpm4N0iiKZZ3PtaZaoP4 uMOCoJt+Vk/kx4cC/0gvbi0L59XEWom6LE1L2p+XMN6Vd6luop/qs33JL8aXbjHFi1NQ43vu+P4q w4sMw2d8ENbhVpk2leDj0fS26Y8vWTRnWC6Es150MM1tgynazvzwBo7mCwtfqzt5E4IFSnYuz5/+ 74l9T7jZFvaV7n4F+RdpqnLtr2X2GQrrssv6PPnZ6sSlnc9LUhIBwqtV5NPPqZIWX5Naoke99+U8 O+hazgTn3fe6w2lBUtFyMzO4lvnVwRlagYTfSwnqF309FIVEWNaX795a0MX+FdSAtujafc9QBAUV /2W820A7nmdExJgXJiUXbUxIGJv5OmXbnD7pY8DwhQTJ6miwnxdCfQ8Vhi0OrXUeeXbj1gcjHNpR s+k7deaUnGyB1dRXvtBbItuXop8cHVn+U1BSkeRZLU7k18S2K78WludhE19ByeXAux5WH8xNlIaM w37xPd0zKvo6pF1Be4fJ6IQAllBA9YVuv1ehty+PAHUjSoDtFgYPHsJYX7NeAKGsrLciOWbPrsHr D0crqv3+8bRcGjxMDU12PBLQ/gjlHTQNj0yieFV/5kdIgWu6wyrrfpnrFI2QPqdDdCLkrXTl2+TR cD7PsiIKjhPz/jqzX++OJO5CqG7fbiOzK47k5log623OqiEhZlPCw+GmeJ1tJkpmEVuaGRap5d0H 1lPGNMdLcXXPYo0rzhg9BuoKqhJDrqcIpAqtR8iS6Cl9k4B43EnSN35qUeKUnqXFcIfJSHWhMDTX +tbQ64vzHeVDfHl3IhXLPjZN4xeTEKOIiyb/ySG/ZOIbbWX8NoEfxS0uTSQdkSFyCPPoTNjfEV7Z 9M9iTJB3D6U1IbOq/qsQOt/E9joxxRsQa0KCfiCBUalxfWbFSGSLYBrrFoQPHcZGtY0pneezyKid SeuRMTEdtMXcifx0Q9nkiUIAIMIeGeePWUonZ8VMPV0JuFiASDBUh6nB/C9ypoVnBrmM3XaYwGPH NSTc0/dnQuPz96xzm0w9LCQlE/M0k7voEfEm6G10DMH44HEImoQ4Q1LCpNnP2tGprARV1CVNrVel OeVMD2m8KgfJn/+ZHl84p+i1KwK/6UiJFBZElwS13s/rh3qRbi6FjY7JsR2QX0Sw4jCE5rBMThtK hgtfmi7cqQgT8OcwQEF/HWAwSup54QxSBFV39/wZ9l4Ou2FXX9ULdMtQI3QW645ZxGM3PTSZ1zgV xuPx82tYp+QlW2KT4xVJDhze4L+Pz3ZAwpUDEbC8kYzsR3x53FXa+Qntt3fFtA+xq0D5Mymwjxay ovHYEvbH8deM3lVPI/KcJcj69ircQmL5nHhgQe/kbBqQxWXpGYIn7471kiUOOM3ZoxSn36abKEbo VfnhRk+xnfekOhq61u570OfAwP79hvLFA0fMiudTpFVxkRW2aqbhGMiSdyRgiyfeab1pDYA4yI9B AYgzXDILT0okoMqijqux2TWCGz/haT9f6ZAXEgXVGOEhLBs3jSCR+/s5cGIvIe4Ivw/C1LcZLWCp Q7KZj5H5fzxwSpx8DtFEObMl68voJX3a4KyNDCOzDDI4oH8I3KhYm7jV1xRY7MCDdvLH3CSdo6Xa mg/+2aqM4JoE4j0p5FrS52cEuREE+C33Qo4hlYN/S3JDHOu4qLk4wmLnE/Fbpnnyme6dZFwtmm4H 1P6bCuuxgfT+MSdAFllvO0trw3NE7emTlFr85GpVi1Iatt92oZ5kHJR30NQsIkkkRiDICdhF+/cI 70WRvsmXXli6gBVkHtN4oxZZft3lt7YpGl/x6vapoUsknd87pB4rzewEmmVWrTjDdmnH/TX/1WwQ /xUfZkD3QtDd3CNIeHe9jAUkIds9nhkvzZDvZvjwCoEcklrDkA7HVXDXDCORtSTJq3XCFIdWXO/b OY+A5J+qc1sgoeBeBWAXp0vlfeIWIof1noG7mFwA3rX4jJmxsntVJbc/UxMI1eg+Z/iqErLXVApl SAmkSEdjs0hW9aaMUwYkxule3sfRwIOHYCOnQMb1S0b8MLacO0hIF7Nh4UOSb6gz1Yvgu7dXlVLW lWr3eSvtZ29c2eysCO4tPB1W6oNXwrc+s+HVddt0D9lVJLfUki1WWPU7Wb51XDEnTzwT+YVbdQmU bn4cPjae7NiUgA4VKg4Uecy+O5r8sVVVsOKHVutM5Tt4e6kzaxEDf2mvU67bmabaBPFzUV12PTfm omkVK2js75F//JQx+TRvbw/d8ieNNEAVoKRxOhWyDrCSeAttjXGDSVAMeqz2xdSWBo9YFpcaQf0u J8C8in4ZwgqZM73ymCRWwa4HddPKnB2Fd6cAW13J30weqVRIzfFgnP2fc02CwpTOuXE4lPhsy2+y Z9UgObFHYDMn2xlfdBfdwhQq65c0ku8lQWdfHLHTz1XWvZ8pNbwUDxcXgGkeW6vahwWFuivWv5ri W/v//30Sv3IahaVamFdFrJ9gcWYGzx9SgpHUFueef3nR8hXZ+KAhfgwtx4U7NWTygth+Ra6yly8w ESiELXaodc7fW0lu46bcwYbYKdDhd4WyR2C1Bd1K21Mpq8IfxeVgra83mXN2yW51ukN/whKamwrM yRn29Zzp7+RWcd9LI+UczdanAfiXou8SJMwKjlFzckI3+i7DVtd6U93XIDLDlXt+oNePiY4uHmOF FpmOWWF2KxXaZBokyfUu0ebNDBkOnvirrC/jvML95iMJV5rgrtZbm2bkwmH0mb8lbNMQ7NjHcayh Z7DUad8pZ9s0FaEmqYJFYo+bMNbFpGetSe/l81/nJgEnBewPqCFOok2v+fBqv4d7Mpn2AlAohgmd 6sSbQhtTaUNacKDQP1s8BayInXBBH0lWRxflIwJqSDEGzQFsyRB+0mH8mFf25LGsC89fugVSQ+O9 p9qz3EFv+OKGCfG/c2FTUCqYhUYG1BOL4tQRR774ls9ECb0UW/yBPeRLPVxERtp4Qu88CowMVTf6 jKs2mAqJJxRL2AqRPvffk7IFVFggB66b4btd5YCnp1fEs5qMJuKpyOON+7FqaY7wKiT0NXPjRi9N c65yYzP5a6kby2LvB6H/6TwDdgHakFcvVdF6w1j3fCxDTpN0yy4tHobHxbB6cWZXYYCfqiUMkaWX Z5J+srUXInSVFqFyH5QKEK5vXHx3GtitF66NXECkH+Z/NFQjjDTka1GbVOFunW3rcwysRzX7hGzd i73QazuegnKhE9ru27kvP9mQWlcSITUJmuuyEtaEAw2+N6YnH+HOP2PYRIq7qhtMtXCZqy33+NMo 9RMKxtHTAOC5sG2hvK7a13kk9TQoWIF+u9VanRiRKcIooZUeiw8hK32L8c3tg9ISYFMrjQp1EAUe PDpw0ymhfybuFSyiMkO7W/nb/lIIC5XmJDVG4IpeYbufNgXjCPgO+ZUruw55lVRC5+DaWBoEigFP 7rwTg6if3+/b5P+lU0Dy3dm6OnnmGO8jBilLaMdFXt7Y4bRzmdm6nZFBBfOwBIqSW996oqP8vTpb XLHj0cDGzonXYcvPwDyShei15XETtdk3axnslX2V9ZXY0Wbkd151RVXVrmU13JBpNVFnMgxBVEYw ny2nukTm/HOtM4t4nYyVbxX39domEeGmARLxMzpbOAIudF7tNEAiBMZos1fNP8siT2hpB9cvh3pa I1NzZjxN9oZxGb809loOGrNnvPkFNsOzMD2HD4A1EsnBAiLDG/9xiz0q5adH86ZqQZCKMIWnk604 w7zRPQhg/KfSo33S/9d533+87vi5jy0P8YHjAvHgwuwZsvHUEXbaTQpwPHn2cQZuiPVXoqDnLDS0 voeZDjGpG50qmr70iQkmVosNMS/4vM4/uK7KGZyXL0OWfZU53YOMja++lZzlPiIH7hgKsnGGHeOs A+ur5R3k1AfRwYgrQUZ1rFURk4P64Cc6Wxsuvak/3Lj+kGyYP5+Ht5WHwu1wbfp/+mSZwIJBq9Gd Rb5cg/ajiPUwbn+nsZvF6O7T33JcxYKmmKypM4VBnIjzrDpoznYy7JF1/Tu6mEhuh3gZcUeyYSa/ u4GQXni5AidAPHaNjLz0RMy7YvnOdjxuOPAjYhgcEp/FymtqtRBFL8/Xjv2yW8tXKV6SNkjJdCH2 phwP5Tr2OoYuzAUdhpL9oIaoQTMbMttKWb3hMZqEaVIkmi3CQ1QdCtOSo9ZxFfJ/Bbktcd/xEXb5 DbJ8UiOMRytJmdBm9dXFSIDML7AU3R84IUwsNuxQMPPWN4Ss5ACywHnVA9hdEkZlzL+PfB/xsNRW eG9Nv/TTGwTj7aNu6IdmPXH/3CGHJcg0aOkvDtpcI3MNlulwoYpd+iP/rWJnxxNuU9D/haGaScFr iL2zrTismhHHIAs53m7fe4eb/2CDPjqVb5iOerQ00KCVRAi16Puz9FANHqaCybw+w/ozimgWow1C gd+vlQiixvJkKG23jkhv65Aurde3kLoLtdCYaZhFGp1/5xGXbXHVCNDayOMrOpZ9Mu8l45L9DWCA OZd2zriePkrCtqHuMRShG7VRjs3PzQJ6Edcxv2J9vxdy7/VN//kqHWm7e4ARtJhzM3k44ACA+8Sl avsObDC2plbSP1LBaj814AUHSUbwy1uGl1CFLnJw8IQTfgPsRchBoGh6kzLuoiO0ebOLA9nybvDX M8CEFfKkEruJtQeXN7PblJJ1pXGf/a61jvQqrQ8groARgYDf1RM+wPFKTec3oh4AygYMUVbOwVN1 0I3+QzBlAO0+0aEn/bbJhGndSZvQ7oWG/AU78tf5PvtZJxQefDk0ovopjrg67S4kIxdVbpoUWLvM tpa7nWnraTJ6/QGKmcka/t39r3wYayFZWx3w2vHaAW7dS6UZ69NfgExqPLym90HfnJhM4gizpQsC esQcIphu6A9suD5HzcHV/y4hu7OgHFkcMwk2r/v6oFtkT7r4DbXzbPXfr1GtIIR4ESkFxVGbAeRt CkEr2r3xfyIM5D3hZzWRbYsSOKAxrqUgJ1n706b74Y22PnuDX/4SLG6w/nm4k6zUacpJ+V1I9w/p 61Q2b3sTUS9uy97ullr0vY8dNOQrHuR2fPmi10c4Aauc+OrBb/A5amhOiw87TKOH76zwZtY6GHjp x29e2E1DO/Bjd+5Xx+zpJSX4gXxN6Pm6puAD3gYZuXmup+B/1ZaM9cPNFIlBzSVM3FuBT2QgVYiS gjJp5ulLS+adMV3QJ2LqCwqH/PZbsq/xn+oK5CBMYCdruTZmGuSGdb7xpGDyADrpghAPpK/FTCuf +vIn1DOhegOQZKTPcp8m0oUbGWEIjZFrOiWSbGMG4fxorSqxRhsayP7WILZrf5S1g2fUc7qeWnrX 4hyRA7k1AViKV+V+AAbum9aVEJOmc/UoJNOMZlYN6Wh7AvVnzcP1WhDCIbUqLjvRwp61mJ8tmDWc +bB7Ma5ehIQzybf9wuPv17bqiSx1nAT035+mB6bZampu9xLSlLRczn2RsPjA2rYePa8OUSzmHS8d 8WpmfLybw9J9+woMlFbu+FuypzgfrmEDY3mMuGSHWfhQccR5RWT0E1A6EhN4pjmk6xzewo+sIQXx JEHNgn7drGoCPLMMLNI2NAMrJ6Ej28TOT/LD4Xi5eORfrKl+fOLfttgNU2PKZ5JvgwgyGkQBwnKX AdbE3JD6GDtYdZ3hPyDUx0qxGwnyQ2knf3umk92h6PQdQ4IkRMUsO3k98A5BB++UqoHzvwHc2G58 D7wAIccd1YS/CrUQo57rkDHQlMHp6O+YbvehINCI3W0VPRu2pLlf/sRuDdqIr4JKj1/jN+aT0qAt TppgkGnuDshIEYI6ELPHOE7hm0iaE8GfzYwoeniOfaJO+amwEwT5SVN7X8PPt8shfsHTsVE6CTOk 7sEv5qznz+xtj59aHJAFTLsuQ/ceh/SJcQNAqhm0BpeaVAtDLp8a5jVAtdQ3uLzXCi0ldrifqL7z fg/xdNBfYWqQH21h4VJWdnH2ewZx8mJb04so+TmMlBTg19bMtbHCtYcVX/A/CShRUlhK3YAfA08m Io6TcKXont2g98goKjT/toypsvEJDfYTjRBONVZxGhk3Fb0V5IPAz/+a88yCWxwkAY0c9y/E5NwZ soKOkznSrS4Lf+2JE0VSgGr0UzJ9LTba+Tq61OMY7iudr/ATKtZmW293ml88AcujCFNJF23oVHzj DNDehfQYx5mvmIhpuLaLXuzNCAnDj1CohekQhhPzdo3mmrZKNOZSbO9ItWt7BY3rb52FPTIor5QH cl+aMgqs6afArhoa83BeHQSe40cHV0EkIlztTumYbCU1c1QSEglaBl0QcSWRXAkhREJXrh6XIvnG DjRsNycFvwGYEGcSWWPqWIiWdzsElxXoCZ2FNpf2Xa3d6u7nOWauQo7xpJIu2zbC55DQrt8Jzdpz 0h9DCAqJ3tMi63vFx6vltYpKqRYTWLDofRJXQV0SqAEVowTMfNbGLh8vXxe421DuFYX/3HQfSJiB b4NhZrOe52IlF/EbQwPh1mZBcx+OKY368JeHOTNDnXiFDZHf00k+StxvsUVMU8LRGAYmg/ObH2QI BFumGoHBvdvA8UXNFcTwos8EWHU4yI8KY2YdPgvLqSrxfCu104k3ZNsmWQ5l/TEkjYbL9UX3tpOy LNt26iizI3t8mdULUXW9g36Ld87zz6qTu1RZAP0NTCFW7+YQlRErh8BYB+d+vU3RFG9bR04a+Jfc YdCMyWY+j/+zFkwnbqOQjSl/FpUm40A/y1WLYeefzarluzFwK1bv8YmD45DQwASBlRhhrmDCrHiX iRac4dknQ5id66Iv/T5LitZYfo4KURrFeJ4ehl2LXQzwUS36xD1pwZo7oJLmRXRAwiutHOvA0U93 kGB4VPh5OKOZdgq0P305Cx4DSrl8whYa3FhV7VrrqGAOOI6GWhlIiRumJYcXme0WXccoN1E35RqB igf22n17U0XTEifK697cXYlPA+n+gPsUHyqxdwEjgB89t29FJHh5K2XRoERvsM6AWsDbw8LISBTW b67WnIpjemiq/O8t6lfh5nBG3vi6GKo6GdPycwR4h2HErAGq7cQeedQ6/W37sZyKnfHUSMaq4sJq DM+lCNvwCV7mAxwuBRSFqU9MZwGj5ymGTtqz0iRavoLUzb29vi4ZIkFHqXCyjZsCItH7Uuxwig4t mP4jjIoib7MtE6uUlWWwtVmWHcsecqzfBdl18NIL5PvqVZOKZuiHBmO5BEbCWuNA4PHjMVoJC0c3 JTT3Go7E8EQjZSgX+/Nf1TAOYzUSuGMbY8l+zO+X1tjuOsOkPI98NCnRXfDpEEu9aqX6CM5vMKTX +k7stXnVdsez4AmrL9gfT41o3r2S47T5P9rHhGqXX+LiGM1zfhLno0goZgBeN0CramBCFTpzroFD dTTg0xSat+XIBOgWd8THg0nVC2j08RUbIWwmIvwAZ6eERV6vbTIQR+Kd2Z+8uS6HnNtDXtOaGHcM BTu6oNxQYqo8ZxHf5jc8pUZlUK2ZjzTQtmHkNZgzLIxy0I5tFRqTRbGukh1eiOna2nzne94QEMXh +iSMfCOvaLLxLbGOZlsT0Rjj/Gezg7EZnjGzi2lK1Kzh9PF4CICylFRXeWoiZhEoa/+FOG239BIw rqyvOq7/8FTfh+s1KcJFR+Vg7PUIi+K4H0HJEL4t7qR6+mJk2vqfaMcezxzxYSx2V7RQv0rYlC7H OzWNYsUoirCTLFrVG3efeSPpdmiLXRduqRY/Rc2HfvChfUKq9Fk2lMLoKPtwxYo43/WvJ4J5pf7x cRiTJkRqFvAAMaSAuaDWchJMD9JlT0BXeuJI33VKEn6K2kQ21TLOZ+zzys/mwyq6HlWBGyXjdMPj MegCaiTjFqe/66V+VRBS4YZiuktEerp64oADQC3eh+0VvpdzoQ0xDoi7FAO5z/9bmFO9/0hC66IF yooUREpLPEhBp/D1Tq4B/gHyUta8lVbMYrrPhsFtZ3WeYRcbPCenhrKLLL54fq5Df0+7i/mT+ilY 2+MYCt66WVbs3jFc2aMSPW9Uy1wuCUHUnQNJOKk7tJhZt5H/nQeVkpMd/dHNiZetqtqI9BHQcvct /Dij94kIp6S6WQfv8uvwJyj76EHsSkYL6hc8TbnLGmEsm62NRBlYfCZX1QIw8VowkRZ8s7rQsEDj JKYXcVCxABdvC2Sa3eDk2HuKSosqucjz3nL+LQ3dr4J5+GTzXOpdD9IOzE3L+SWRkPxmDxCznN9h UoBipz9TKTYWZa3t8bbISP8UQc+o82ViOR9so5uIjCvv0HwzPkCUX318pW3LQ5sDtuF1XkcxI+uh RDjlaXIJ5l+OCv3CJec6+hRXiACmmihaLGdUHczAJhch+csXsUlsDzmtD8ow0vNyPjG8SsBwCth5 GYBoQd9to/42Ya88ySxGAQkuCYkFJHFGXEdYcKPcLqpJzCCyZxjwXoG08S5u5A9O+KI5Q/i+70lY TpOm27cIFPl10z2Qry7bsaIXthIXDKLfWUcnfV2yAE8JnK9AUKXhi/qnWaHoCshIjsjk7NVAEqDE JT/t19Wv7gUe03ViKEqt0BAovkfY9oPXDuLz/O4ym4KQeNm8ODmVZ3VYhP43TsI9JM3tZLP4BqlI gMCKLGZ+TncZTl5R6G5zx297sQl16/1Vp+O/9aZNY9Uja9vrvcBjeiQdXDfsow+7u7Cajnr6/VRX fJICgub9bw29C3UJnXoeDhxqwQyeIR5GJZaixeXKxyB/etcEU+xyY3wRHJLBAer7Y8/kFvfCU8H4 F94kHqhCKlyA9kM5CNZCpUbUDij4q9mWkr4udqJqw1gbbN9y+ZpjyH5Tm/IfhkW6FxFlmcNPbeJA 7Ver58w+1HsfoLnmZ2Dz3kbleFNY99vto/Hjglfhdr6BclYIHPgxrNpBYUVu8NDfCOyF3i2vZSbq RFRROXBejB9Snc8GL+KxHBi0MLsvn965mEJQngkFaqq1iPZlZeA1XYYNcPzmCQelRVlfurTV5AKB R7P+Xb9IwANiQV6Qz3s+t7X2/TBdqEU0H5ku8IkZdLVTN/jkk5QMKfz1y+00DBBidMIaBulwIjtl ct4bKTQVvBVxBrPwjSnkgVpi1MWNvjJegddoaTvz9Pz0mKe3mfNGCjX1BZpx/INTt/5hiHcXfDVZ UXbDGSbnbo1y1ArxuTX5xMtrSbExHpkTKp0lS+MuZcadfYZ+wAH4XRm9VlmYLwe/GMM1GcF4AZtn mchnKoMhNmUsdnWfkf9k8MKPp3dKyBZmC29yGCGdha2LDUrvO5t/+Ek9OXbCO8ZHm5Tew3Ok/h7b nL0CoiAPlSlnJ6Iydn9mUdnxhkdcHDGSTiaz6RU9V2ChaufUUbAMbmNM5jhOhDgVmmRqjvy2rkRf 4pC7qfBNphRhAeRVGE6JxijkHkzr3oQc3Z9gPNYLnwlcD9PDBv7Cx+BF8okllINyb3tjcIpl4UIS ev/GTsCpjI0UGrDtsMi99YQ2kuT7wczjSx6Qb6jl9NK+jpCMUK7kkejhycad1kOLhOvaTUoLsNhC NLoBjq3PR1jqFICar1++97Z5TkLRqONoKkHEmQOpMQUJ/0bFSt1VrYJhggvJGvIftrtwxoxTYmg8 hjrTboPLWheVMyFkmTEqtXp+zrlXxT7KJbfO0tOMIxujhND4G9pdnMuaVpEQct5ITTLByIFMTMwF knAMAyejAv/Ts0meXTAmUa/FmZ6EnYfDeoCBJedVxImLNsyDLbvxUsNobkFee19e+JzllMcZCRSx su9t0+8J0DWx/UhhsakJeROBd149LEOFqiVw766dG1C9r3BX/iUpBVyZdfYp7hRKc5j+szfNtqOm Uiw4bYwh7OU5e/RrYl5Nphs8/neJzQGBSLDMWhqg7x5FOjPdtf7X2kMQzLhB3xSqCV5a6tXSrFlQ CS3cDj6zLZgbRCX79UPzyeVXjuW6e9dhbfaT2cVnKCQonsNxMmbXjTwG9uhIxAmH+LPjcYl4Nt6G +t/x9drgUYyvoSi3eRiwzWNos4JqFYrCAKjkkAhqP/lyNdMHGQ4I8humlVRITPkLT5f7mJt6NMnV iaag0Yt63xNCfhL0dylU94KaSHT+q5QRDdnVSSH7I8n3UvcLjNkDsSdhIw9xd4mhqF+MHAx5x2Ap HhBah1ERIFZeTKeokTNVA28Iv7LXMmiVu+Xqsb4YxFrGp7SPw+/Kjg8lA95S8vq2lN77I+Dt+eq3 fL1H3HgDWCwULu2Q69BBaQdkFKt5qjof7353wYhVVXgqgIMjqQcRQ5tIL2CXUPOgNJLnxzu8lOLn 4+y5plorOgVh4EzbM84I2EjkutUIyQeHxkv07PE10V2ERogYWMZXbKB4uTx8QILb5Xf2brgN4qMF +oeuFrMRT4L4AZJBFHFZ1qUjdJuTVOvJLtgCS3sY1mom6qgVUbtVX8DOqnX84307pVGrCDgx1o7W I2e75ENeXo8fmSjEgSJgdZPAZmdxjijKelSGmAfQDxY8OTeMFS9OAJNFwDUrkq0EVVEFNFRFXZTG aO05Uy6dz8WpPLwZHN094N8/dtV7iP6bPYbHPDFPJIl4SbWuIVLjZAXtTB6vXcyTj5TCopTf5IhK hVIw4NejEjr1YXAYxUrOkk5fr7uifEQ0PyF2k6e89zaGCek8OOpJk3oXuydWnSpFxf3oQxXGw6WI G8iOzyu6uMJl9QNK0Epf8FvmZRciDhLrGtHLvu2+84erJgbdi8kfR5+n/nDKLTPi4quUVOJbs3yu ua1LjZDNe7m7Rz3gBa8G7PMkhfKsbx16pPp3dlNZ5CWn9u/nogRagXF3R3a6WlkBlSfUgSFRVntf L7JIO8jMuEJLuf4fiFnUPU4a50nkc4V8IPdCcpOJJ+0jgFDEch9UCcuwTO08CsqXdefiEBv12J5Z NRh8FI85SvJj6t7PnkfXGox7vnCe/1w2XCrH49CNA3uV6BVKoJ9nSSpp+CraT/KtbQi/pW0yqoXA u5i8zhHRD00bUOaltLMIx7g5Uh18fwpio9Omu/HKnZM31RJLF7uR5oiJa6YV+4hq0QExC+T7Pr85 T0EcCT3GhIR0lEWdyhd+FOYSyXTLcVdCs/z/Az4c2D9IT95+2Z5gYxgcSWv8sQOOJnIPPx1OE3/r UIuX4hpGOGF7DTGa+ROoT2Ikhzn7+jfJp1nATDTj/gRlvVt2Ozxof5niSTz0n5q+8O8g84uOt4ib gmaXt8SHJd4F7sWsrfn5AmIhONUANAxYpOAbfj9r3J9sttZ/y30U0rLmn56kq2p2Nar5UklaC/9u 3RH5rxYZwwb81RXjLGw+n4skci6D5ABPdpUhubRjQt3nihBbiCE1GmqgeZ+fA1MQl4JFR2tDmjiV GJ1djiIkPdlAIBqVoSr+pNCWSKcK04e9u8nJnx6W24vICXgzLhuKKv+AbyiN/V3RJAt79LtbwHTd GzZpB0vegQHF0T7ha8Ij33hWZ+67VX4EdqReTjgvd8vyf2znMtQjQoRVPws0Wi/0tJFhdzFoWtcN Cke5DKogGe/vzckZ3rwhEDkdeCW3j6EA3tPNppPuNBL/NxuBNjsVpKp3nqyFRrQsrfclIqrfFvad nkWpvm2oZZ88Sl6Y7YZQJMmeIA/vv6N8AZbkFYz+D6ZYW51X7ZcMQ+9yhvKu0ypdu3k2onLVZQnd kRqT6gU1XY7aZSGWsqiKPf6ERZqqoquEKJ3n4eE5qsMcejEYv//2Ryzf9hRnZPvNMpP0lnnii96N gURtI/J+8RP5t2J718030JdwblSTsMuR9t4bMvM3MoZWIIvIJvdSIOJwyVehV6RNLi1SUuS0bQ11 j7jqz+d+2Y2ttd3iHnl3qwlKWhqeQQTyTAvzUKbnT5m6hmbXG8J9u7LH8QdwWPq/DB9c8BcfYWIm ZL8lOs1hK0jWKh4LVfMWTPPehn8kw8PXZg8rqQWeuLLf2dP8R2BRKQWBh7b0hqlQkN4uzoQDttSE vtXVNkq7fLT2pC4JZv4NvOAAkSod3QU3VzZ65g03VpU33ZFoF8OPzZEyF6DqmiC8D8UzIQYkNfAa fSyWMdnG3+ztkrYLIXJ5fNr8KEsdpZoOMePG9yzqIlB1O8PijLDerEcyGhNMAx1XXYhuuILZSLpB YKmd6e7s7s3isTmHvnrf/XFj8JBlx0dDyRBxeegwddFKvvVgxkcGk2jMzxj1nJ3/vUjPTpTGqQDS rN3REKoO8e2INVgr3ErNmZUCrkhs1tE/3Tse5E5LreMzktYvxbFJg09bZ56H5s0IzgwmF/DvPHG0 i7Ckvkg8KbwVLKFf3G64YpvhjXCNL2oFuv02PK4XxkWkPtzbH8DYKHHPIRD7A5kBgUnFt+i1OsU/ PDddjddNFlCnUPNf0exStmjGDo96UP5LbWFx41hrbaR8QhVtaUmj3/EkBlJWhig4DwTH04g4p+yw CUddb9/hWH63qYNGfYhXUt/mXXMdEeJEicv/pzJGmeiJPtJMgqGnwS40OcqxXCiBeJAcHT4QBrd0 We5XDIN5gNd7aEzAo2U/MVRAK1tt+05yVf2TNSLxuJPTTrdIEmb96iALxCqPsjBwUxGdKAD77suQ iXkvGf3wbsKQ1kjs/bcXZtecJw2fKBe02fgTadZmtVtpYOC83Pc9NeZc0AM7o3DN8Pb9kOmsI8b1 4sXOnocml6brJ3AhEHV31qyo71mcTPg5Z8LkNb4bcsr3xZuaCWSKYPMFds11XN26tVzRF/9GWZM2 uVLmuviVOazQ0wp/DvqW36ASGoGp12fLjP45keraxEojet5V3kQkSqk0rUqSjdRc/ZHm/4lbG8IK 6WdmtZY778+p0sQQXQxJrL3DXmPRE43dvEsXOb30BqWG2Nc4oqnEbXF73L155lAb1xcxl7sYPvb9 n0boVJNaV8TeQSYP1Zm2UQtd3bjPRVOC6QRXQomdqCFOUPWA6uj06XFcrghT7PEOg7U2E6QhD91N MZYw2fdvcACsqNuBmPCPqPoBjeftSUDO9Yv6DTL5ZO/BUk74Y+3AHyjMPLNIbKh5yPtHpEN2c2R5 lcSnhJm1y83JAOLxEPGYOt96o5eA+Jq2+L3cgsssqmzriQX6oxBLWKon/zkFvJcDRHBWW5Yx8znH MskXt/3FZLczvnw6XZyLBi/F2kXUQTOyv36M/16grm4dMhj67UwNc3h4OekNsMrvOEaHA+zGJFIq y4pVa2r1ob+syMJzeyMrh/xFd2dPXc3ow+H5PukZU4rjAHXkhoJzn/EWhI+ID9m1pN7S/2390HfL GCyXLtZnQR2dxcZlkIWoxBaTb6sh7D+mQn3wEf8S4a71O4QlV+NULeVaE9f/U9g7kXFLBLqGMl8Q z2RVzd/tep16mQnkpLmDbGqFySJ8TK+EYcF7VZ9azGcgF0e0HTzt4zb2JhhbIkpITk4cKl1+PLqw hxm3frcyCN8SLQAaNgc26eCPT1yZ8qJvBxr7c+bJ9WMLU4tWyp3+VDmeOwf/tigV2aofT8EClpzm iyywB80UekcoQrWG8C4TOmUXvFgoSo42jt/TyWCGnH531LtWSnxYC2gFOnm5h/kOOI6YZhzu9ifN VlfQjtlyR503clof5VqqyyjFbcZGLEeM0IAJYDdFjR9GTiVpPJ8BvGCbyJuESex46fux4N0YzMOj 2C3/Gu7xxdPgv3cTAO+5IrdYQy7TE0kDsS4S+efRFmOu3Bl5648B4lZZahM3UrBlmJ9EoaoZBMsI HEM0rkl/a9vt37sIn8dBWzYgCGVittIcrknE9EEZHWbm7Tjpl+f/7O8NO948eHMb3SvqfRPHlV/D QatSA6dLa+/eItIGWTq+rgx3np1geB7+mhQGO5V39mlcPZbMng7qODCsjc4Gauv9ouUrj20Tx1zK v0THr+rGDqjb2ocRcC7xHR3+U1+ZmUJp/83FmGtC87hLFY0AndfIeunhALrgmkxZ4LOQEu3og2sw HvBwCZ8cYKiM8gRgQdFGCKN5vKUXv7k7Lln5d8yQJa2vO/axycei/dwgHAXNXvBaFj2HRXxq6tTz gP8a3RhQjGG+FQDC6ODCWby+32RsRP2RL8bVObsvPtqmWnjGgT4Kb3Tl1oWZjI8jh4UDnBNVCTnF vUxNILwtFUXAZX4UbKqcFRV1RHPoZKlCjdekTarrpsdSiV64FL4fxaKomeNG37aXhTpvdeo2EpuF Tnk1maHVFntcyl1yuHlgw95HJPvbZ8wxFXl8SCCS8BkJw5hlJK8slpZBwZUsxjQvU+pwBvdBbKsr 5QJAFmP/fihM47kJgQvi/NTPSvDifRPiTQn3Nzcwa6F4OAGsfotr2Le++wsHYPTJCLx9wWKIVe6d FFvsl6Ve+Zmq8ntcDhVcfHD+df1Do1Y1Bnyu6RrnM9JBuG/YEu30rRN9Ms0JxhCM3L89B/hhsyNV Uo+qpNF7UOOds8M6fOrLk3XYO1GQB8PX4kcgv3y6UMsN1csODa67HM/8YwdjgA47HK8+3bVAJns6 1nEzI98CWoKQdqWYD4PEffGD/AvxHos9kP3AQHPHJHJPXuFca6IPO8Cysqs/HwaIRx98dYMWxePt CCoXDJpLuPlX0Bdpbwk9IE2aqI1EOEa4b5tSq1CTkeJMIgT3cbIClTYGFSF2cLxL74vRKTLDnbHK LjhMNJyQWlbNRy5gqkxN98BDUoFrYy+GtkRf+gbBxkEzyAqVZVvfJQZmvqYUOioq5gjPaTzGV7kk XAjhEFCqBEqFkRnF+F/RpVvGGIObKY1HUuPbxskMkCS7lyA4pPwEx0WGHg83MXGXjsq9iZCT1q0B SJLOq1TdOkrk2b7bDQLBepERNf0MEkWko3Fy/BczJyY/APTsYyJLNR4CEXkgZmWPZMNce1WmRCiI 2daSOJKNbJfmZVdxkkrn7b/rwS9J+JzAVpuwMzkBaJN/1fLSHQJyJ7a0/X9F+hyaNwENLNmXMiB+ TKJMbe1IjLU3aQfQQorl4m6QJF2PRILiA19a+1TY/orOZjON6ylWgXQv0cWVefK0h8PYWhgNxgy6 yHVA61RPLLjwrZmBIBC/RZH01FkPYEuPbG9YvgXktt+LC6c8UbM5LMU1kfviboVVWUzpJP7sW/jD xMaApyaSPTeregUTOxgII2XLJsUYRoNnk9vZ2qO9LA0Tq9kaGLbnuaQaTqWCFMPSBRi6jgN5k6dm ktZkESzcxKcQz8j1dh+UgbwUbHY9YMfSf9kJs7LHEtZzjlECCTnROPsuf6UZNtaEy5uxTltOeHjM +1m9hNUqmKsN4Lr0WBvke+2qb6tuUqJyav6AkxgOsHz51X3xSViF3/yDFQtrr4Htn1l+wKhmgf6R 7Z503wJmaCsFK4L6k/8l45kJ7QW8yCdseRf8CrcByt/Z9nedgmDaC1nKQ8ADGtlUQrvir3erlHBn t+RFm9806xqPQzNrKa7RrKxmWaFt8OUeTA92nHbSh47pOnKxCKU0BrDdTKcajktX3L+iVm44ZzJt JqaNvBFUl7p6Dd411yErVbNywFYcsKFYWqQ0AD+HZYn3BD8I5AHARtJAzZrgJTLzZPiBV0vpjHBX wiE/fvYA53YkzUOn93pJS4aXyB0TVY8NPiTzSpMb4NCAkUmzQvPPtzBEqx0WOVtlcitT+WkGoGoN q8lEed4VpzoqBADA5NOysM67JshpnHgpRF0zkvUq40RNCy9u0gEKPUbcy0faDvM+au7Pqo52t0dq DoYRdzv3o+Hx3OXd4jb0xR7TicIVJWJvD3x/k314KZRwKTu+FAJBZ3oTDi8nR6uq09OwpiaBTXlk TH8xvGBaFEhFerrwmejzUUNdqcuE+x9Uw0goJbAr91zlHqYtUsEWRsmwtHX9n2wQ6xjKNGSay8pj GSG+GhH99IzpwmKDgK2BBwQPESQVTnEoVW290GSLvHeODlcM1Qz9EEvtiZjon0ETasWHJUs4X6Mj GQrFg3NHylRKS731jCwSxY0PK8/pMM8kxc6KTINhaWUUHhbE9htxiTGWay2skSAWhtIkDLyX1qlp Xju2zR4dS5lxvM9nsxZc3EKw9w87sx0UcpLxz9OyLQjOartLh/bJV3r2DytcfJKGzfHerpkQq2Sk 6Ic29Lv3cS/PvyP0jrIpbdQRunqP+eGQ6E9nKUcQXAGhZ+wSWADHxTZTWI3DVuRJtPgKOnxbjgQ5 PR4buttpeHMG+WZ/skZLJbdb1C2qFv8lihgWkflhQCVFGpzIMcxqcTJd6+kxWPv3oUxl6HBw3eiu CNiyqf36fuUJNelkxOas8hRxdbcoHmPFifAEquVdksGi92JMHSS5LRG1cLrYBnX4cZmwD+34aB8I WK/MJQGD3OlvWcvmLlCpUvn7sfm2o2/wvr3tQkZLazDTWs885muLn96DFuwjJzzUe7k3gikgC/OJ kgCK+xvChA1fnT46+BFwe8A1a5AMVbz8I01hZLMvoqSYmyy/iIlFPKIBi9rN5/UxENfQ41EXD5rU xD/Wp0GW43DWhEJhWswzvT7ybIYgglLqIiCBeANkp3xSvRsXpNg41rnYb8wd86R4zUYgBNU+H3TE dzK8gneXVMjhfOQ41UwKg6AixcDsxOc+5OduAqGgHb/SVFEyjOM+Tl2EA5a/Gf4cwPOSYT6ZvEbA t/kEHCoep7rAAvcr2kwLksHJ7wUjV7vxAKsTsic1+YQpwhZE8R0XDkbvJeVUnFYpu4yy2XOVqh43 ZDqTYmuYy9G2+keAPE2xMxiE4OyCb6K3Bs3XkkM5Tqbg3VhagNLMkNUfcbpdQwdCV8iBk46v8eG8 KycvLQu2TMdrFrvF7BXFvDciq+aP9WvRqfqOEXo+ZSyIwKK7gobk5i2QNoJB4SqekfakJUReBaos lFwI4k+GAwDBjmSHO/NgIucK7BDTOdGhpjO+X7X5TFx2BY67VkFCw1DLErETODu++zQ4c9vVNZRh 36SQVH3sZzzObstkIwuFJAaxD2wCODgSEDzdSIEQN8si2JlJ6Obc3Awk440XWD1KSV+Jxkmb4Nwl 6lkB7gmhZ546X/KFB/kXQSqDx9iemL3Olaar/l3sif5rGF3WP3LILKWO4DL6Vsxtbks6em4hpfTr z9oJuoKRSMRkFQGr1gmNQxcodjphpJqrPeeuW7zzjrYNanw8ymKuP5sZxHp+ZiuwuFhm9Js0VoIh Ppfy2mIdoZvGlrz+RTogIgd89bvgCdYdT3R7u6Czi9TzZ75PJpZ8VOAmWQcPcSB7gLPghz5E37QH KJnjSluWsFrJlpSanOARufXjSlJiBn8DPvvQcZ3iynJLVBgMYTscc7ea6wdSsQw4sqF0R3v+5Iex pbGCIeg2OPAIW3EOmFO4cGFiObwSAmWEQ4+JrcczPB2LI8FGWuwPQSf7nQfWhxynOW5ZP3ee8VXh eQzlDSml8Vc7cnpvzwda6iqCgXFLr13Jr7cW5kj6Ft44bcXN/mnJ7s6/Y4GWIaIBNUyJLngdG9Ym tsjVgrNVwGJoYkPFNSS8ynv6jKdtkRF3xbRPP9TnZkFjicXGddtzEpXRos3RpIpf1lkJFZZZJjSL EefJToVinQzp70gZRPVPlVR0+VoaPrGjXVnsC/llL8ENL5DlWoGYKeG9ASqp1fFIUH800U6ugmlp QJaqBxrvvAit3rtyLwnwVuo8C2wtO7uEK+bp9lG7vlE9KFHbm5wByZvWppNFV9puxJdgJ6apdga+ MRr9VNBIzgN9qjwKTDwsZ4QKtTGSqihmvDkA4ln0Bb0NL6eg/bMjcyH9KeaC+Ewy/qoGcPbKE1dS gVBZ0szHn6xFa34aCQ14zf6E5QpwmENsDKPKknxYuTgYi1F5EeztwIfo/QbiAOZf2C+YEXDo4mPq MdGGGayyEI7ADCYP2D1Jrl2cDurErknz7bPMQDi8VZqxqI8Aof8qmzattFLfOJjl5jZpfE1aMcE9 e6qhSVmcyPVA+qNZKqS2jaUAOaXvgiaBIK/XYb4NkxsZ2EyGHv8KF4aj4t/pFpyZCG5Yt3AVtdad SDpM+bbdNnOtaDJMXL5jr2uzZZDA7xuExDIFLKP7eXXgIvfAwrigpYUDKk4AWrp/ogavt8sobFzW yOYYpT8PeW/kX0qYuibRUkSt0Y+z5XVlRZYKL8dH39JsuqEExLAgGs5kWno7SjpfJx+08bY5jUPn 5bNV8jsUBtY8UMhKBnbVHD4Ui5xFTuB6iOIwL3NmcdB5AZYxkrU+GdRt2khhiCr2JPgwFGTzwEIf XgTsk/zyfMeV6XCeo1lWPku5J30UOYNxCL723hJyR91RyAvkIh53nnBx00SEHXuTD0SeA/i4e9Vn 80JXFnbL7ElTVIOF8EHYWJOeHGNMg5qpRSFd8VniR9izitVhgnogmXrF3Qd1mZbVPkZRYXiko1c3 lPxKiuyGiVRw6EYklDjiNt3wPNO1zv2eU3SDsAPSSMn0x12yYWEg89tSShqTNbLx58beSmqjK2+Y YgXn5gm3H3r5MGCMyP17Sitj63xQ+upIKsH8t2ecS6rBaOXuarxckb3PPSkE1EJul9UvU+Emj3l7 Io+66k2ysPrmyDJI1w6WEss2LGEN06pMkKJdkmvKu2OuzSjtAt46JiqPXwbkzwyl/2mThxJwbZvc 1kZ3l4LQjatVq/48yMveeA7KEHgf2M3TJCEIR6Zcj1fzCQ+jd2BuEWdDiLp6BTOjBun8DxVYwSv4 RfN4QMHrAqqp5HbEtfyA2WONYPDWUS3O4+Zv3n+qBRHU6MKwXBFJSq3HDXAxEQQIxYBFY3axqiL4 oD8uMN/7BvjzY3+nLQiOi5kh+c7ERoSNz/CnSwjcN341a9xYjCkbLI+BHk9kK+crRHR/VNSKs7Jh USXR+Da6C3YllH49gh6zuXjhqHejB88JSR6Myzmwu8Zm31GEpyqO1qo8ASWBdCeUaV3a+scuFibE JTGjPcBvAboyDdQlG2gy5ZyEJNBTXaywOLlu3Em9R92YnUP+HBnoPMPkXbg6VIXlSU9+iKW92UU2 YkfuDZNLmGiZGkDboP3VwuTMhP41zcBop5JahkKbQWJ3TysNQTK0mpDHfdJvPUdegDX43neVAirb wctVlSk6/+oVx1vxiiRKKMgain0u6MtW/Uv2MpNYm5AIdmRmNePSj4HLbi+1vi19SDHHS+ot3W20 X7BDqqF2wEgSCEHy3ZQXTxp9QIWYEa/X4sw2O1ctnEZULaQxEjl08P/7xTbne25H+qTSzKMZofoj oE5jP96XimGhJTg71u7QkfPTTCUTNseU+O7t2VZzFVR6A6ORzetv9g7MCOGGr3RzIae+00njS4On a0Lz3jfJMDGhgJJQKxTv/8R6C0yRrTrlcnrwsEwtuK4Xe4JTDH8WvNZShzcHnZ8wVKkPGB2tkDRV oVAa5zzutQ538BFNln4wdWYgB0ONj4ZjD0VWTk25DSdnIyFikUqPAoPyzjO/xMABteNA6hFQJlKU NJ7OlltnBH790qaxSwfcBMafx1QjZ4sxi29RNXBsceqNfOfKhLfA9kXupCFDvlcYqFD6k8Z/ZW88 SoxRp3G0nBz0PSTJKLeb3i0Y3bnFi6hIa1caXzgCEXjBx9NkT2jJbllpHBbW3oii6aIhNdXRbqG8 GSfAkUoCfIxhVHrFmHhlCuqBceBEauEwgpg0tvD+mrML4BmGAIl3BsVftfFXdMk7caBJdN4OymbO gXfE4abewKsZPZmi4ca2VF24mFZyckBB4cjaNg6UfSWsSiqE/fi/6zZaqarL7+BF16gQ1LPwbx0A e/HnOtKQHZJz/VQvgS2UUQVTPj0Ot0jl+E/sw/JRZzK5Kk0idK8v78lzsEy3bS/1MvjjlyHfSG4I ZsdQjuffyPeTafvQRwvCEfe/NqqvQycAPY3Y1mRx6AcHNCd2LsPzL8NxSY6sdeA0DTR4hDJFzxAo KEjHwXUoF+qDpBk0UX3Rqt5uG8WMud24oAKgR4S9CKGx30e/uV6M0EiffUN1bqexzig9Gfkvj72J e4YBQeKJBJsTHu79wVAue4P/NO8oP9EKqyMfxLtogEqJQ1cDuCtjB/Kv4kIRkQaocV8aFf5NV8y2 eKvOsvZsUv6qCa+tpTFKz98L83RXWooFrmJ3eHotPlQzOTRRjljSkyZH+blBqLtTYFcfGwIvoTFh Yc/tg9C2JN32zfUEjc6wrHPQoMPOqdPi7WQezA4ZJOT3QCZ7GsTtoaksZlqgnnQcZqv3IDt4EpJb c6DwKIP2uIBrHi9K3L7yB5b6sATuDbKsXVdu8I+92vMwYbZwCS3bjZjjtjhGj6fLT5jlrH+8P195 eMz9Wydie7cfPjOCyM7qldzfqU7ShC2vOLgHynd47EKfZ24G3AQJghCDixvbC3nRgmf7GYuqHkX0 Am4vxfqB1/JfSmPpMXUa2cJ0yoKToqdyqQKLxx2edBUhuy8k6wTTJvKGOEoQlXFV2Hgl2o+MJxkH lhf1JCT2Czlntcqe5LAsulS0mfcOueAZ16VhCnERI52cDx7aAiBoYe/8eRWhvUmJLRKKeVEe64tc upBOtm/F3ytHYiBuOfuFYZ0NDj7/pgEOfEnHQSpfjWzyC5DBcxsJi2heqL74DVvzNMJKwM35Pb1D NM4rONgRtupgsj9CcaxrP2iea5pQMUX8VzEib8ox0wFmgGvcZcyY1S3IOi3JgbHZkhsSX0XpMqmF BnQ0nATPUxnB15nuy+M8k3Qll/FvUVhyFYE/fQZ/XNWEJhcOziL4S9s8g0gsxrmraxTsITYfc8ou jZDRCDX1TXbAcOFTp2gI3Sjyish3WMLP5nsAAanC1zp1kneB/VaA7GS6zfCOpugtyQCyamTFvca3 swMu9RljsBCo+c8MzLLelySop+Y89YH8iRbdCSAlutvO5czY9RPtxaKRIKZL7/dzqhDIXqvmyFcH 7d1ucPiEV8ZUetDlVllFrV0PjQgmGQmu8hwMK4v9GWeFijikTl2I4v+C2DnGkbY7lhkfubuQRPhb mv1Hts2OqzjqxPOfGoChM5oaTArnPXJF6v1xtHt03ErCmKZuANTRcntWMoMBqwZvUEiuMBaLIsdL y3b1bEzhsKNnqlIfp4nL3LY5kU3CVSodfZgY2cbeo7J8+qwnS9ewu4DW+6JpQk/IpqNPLhozLH5J Bf16MabOYy/h4Ckp2PkEX/eQVxFMC4U4RAfAuWyhcd+tFTZUxqf934KMJbaBaIo4FdYjEZ7dW3T0 ejZYrDa99Y9m3eTm/KG89tbCbM1pUajU4ZDt8kU5MC0P9FYybeOHoCXPM9RKaWWKKe8R3+mK7mXq rzw+tzuTjaU1z3+5jdqcGHtj4m5g2MuZcLS/E5Eb6wSuFMXtHoKfJazCB7Fokw3pcakFomT81teS UdEyXoSpf2DD2YdTaNGOdOTo9GgfRKksCO2D9vqnwwr0ersNE2j0XueUXmBjrvEVM/INm75TdzAv 7ajdzdc9Udyan24iPqdZzHgblG3P3LGTjL85izXQnVFS7UKiMEVF2StINdIUCGrppPjA/asJEeux aolCETkxAdgv7Mm2K4Sz3uD2ajtF7nCF7/kl6F0YjCn2ZMRUFlK9lRHJ+3pJWEbo/dkQ3QHEofNH ABc4Onz33Ekqf+z2Wm7Q7FmCtXSfy75Yf4KZQbkqdYB1EjX3sbD2/vennzYRot0bgKeGazRPFh+v ZjHcsf7p9Tz0yD45jIFouFZ+/T4OJRnsP/FO6FYiopcpeo0k7rXCTMb60C3aY5Gz+44UToVJSSi/ p3MLh5DxSlPYQlOoW/sQ3b+xWHTzakVFCH+30sqzLLIOiaFackSYVmTj/f3ecxAxfK+wAZvgVJ+/ 2mwUHCh8rfmysEIp2lc5sAn++nqKlecwakrPvp9KYUDMCiz3yXWU80F9lWWkRYMAg4Q1EUP9f7yR X3n6E9CLMDTKYTJyRe9lqIFTcrAOya/iepRm3x2uHN6JFsL8xKSW4It2hV4XCPZYZYsPB96Mr9Xh AzFz19DNmodwrq4oLPZeajEjaNuEHHI1GK+IsECJEIWvccaeRLarMPPI8erRCHk71PGCdoDSpmg6 hTXli8absjaPFUUnyOtYuzxskztt8IcXYBDOO2zvchgaGnCGNTtYxStHe4NG+b0d40IYba/fy/iW aBTrzPlOzUIH6kRATJcesPJJNx5FrAWNxeNXyPT3YJqeFDlEOTauPFVJCs/SJTOSAOwKyEh6E5ac Bht2wb29iQnQDuVtkBjbSacwjipfn8vFVMd+OaO71ImZpAx20WnGVbKlP6hsWuPJ8lVuwTTZkWEf SsWEOAEmz+2xKdfwQ8zAAGMV2CZrh6tsVeu6wjYx+o6g73zmtp0XiZTEIewKimsKY8RtbGUhE2BL c7IQt5L50bh1+9OASxiRE9lgstxRFMbBbrznjt06fMpxN60tacXtFFWRW38fA21t+/Jy3Q7Q7Mmq 5oVW2cX7q3QpWNKJxx7iLrXeJHo3z4sRBkb85mhrprG5zGzJF3FhhM6v4RkwKoj76T+get/5tCiW nmtEN4nAEeFMGAWWW8I9vdxKOyaOSzdhxW3/ScEMKv950zchas5wcvIakvasiPSeRhBEqsp3XRo2 H4bKqrFzcvuRqADMnzTN0H/SBqaMBDdr8SY5IxLmotFxmOp6/wr49I4oi4UmvahzMiL0awAknCyl 4a1+LFH0ygYiTV1jnCn+YLP4hRc1nGFIzApQhoAnHnCzETcIz4MtYJHF4HEcVPMTkYE2UaFvwpTs jK4iM3h8UudclLQwWBYQYonLdNmKiNFpXEIz2QhxkyUhx9mas2ZRllvvpcCbe82GiVt1NJCyMm5+ FTU3unDANgwclnhuyaPkWAyynbRfPxVz9PZSv+Zur0RnGzHJk/6RKXElIjJ3/G+wj3tJVYveDnpR xpOFHJpwQtEe7+OlU25rAhbSgf7Lmlup3qIVWovLc5aIm0/0ixgTF+tmZj/uWgKbJAychlNSxNDK rq0bXfF4YPxZXOJ9PoPaER1vT4fKuLHVK5//lgwmEYNoTN8ogXW0lw6bzLv0KydkV+QRQUWjveBj 6BWk/jFJs3RAy+HpgLHkg3uxHBNuzI9Ik5Iiv/GR7uumz2gK08RDpgobbwxIvc4osN3nbpSkPY7W BUGfRH5qJUGAeH0OoRHR671ykl1BHhxWmfPKZakD0P4dGIFWEaoJG5I0R5ME92vabQd0Pw30oxsA JvgxwUiECmb4NGUnZ/lnoRls97n43aI27AKWB7kIoSpcB5E+IopBgnR+ykNDzZ6XOlge0nd8JG0N pDQgGHIccyIV6d7b1ASjRGVM5GWNmzE4xYmhy/tShKyLW/tEdUcgWYCYpf0ttVzNcvOD4Rs2ZAiN TzIoBZTx6q37sJD0xj6uus9ThT9oiQZ3Y2YEbi87kaKXKp55iJtbFt+gkdbRO4miaFxlLNi0JcFd XOLRe3Xh3XBJw/uPSSGFmdXZNrFL3J5XasdG0ehA3mEENRTjFom7mMAn3ccbG7CrfUESZ620GhHL YHYjYIhuxkA3ayc1lq7j17eEtpu8yCDyBJ8Db+yZXoyLdVt9srJjXduEc1OYQ/2+yJ6lxBv+VpJN Cw+wJXgQFtWz21Yj1Oh3HrNM+Wb8cK7JlGTeOCMR8CUGUcSliFVKzyasFRnbU4u1KBWo5/2sZ63H jph6935ZLnac5XapLn/VYFySTqzDrZKhJ5/up1UdeGqOyDgjmw2xnIgjZ3QV0ObrzvHmaRbQz/xn kxgab1m6vooJURvAwX757a7V3nKSajAfHzt8rH3br5ceOGI89lXoriFmLkxCAUESSbPrAOcVwumn WNVrEHUTWmlTxGA2efgnvXSf6d3qtzCL53KpjYV4LQDQv+4ZgRY1TQuOJ4PEjX3FGE1b76FzJ+BQ glqnyTv0co634P/k63kNQ/bYmb8e74Fz+b+0UYMKizSNBz74U8w4oSqn2W4PIQVmm6MPIzMpt/vs 3tnIr2C3L7PxCKaq/oZcDN980MdoZlZRXB6cii3nrI9fg8gPrzhpd3WX6cnaBVdt0XBqBdWTAjH8 U9wNXex2Q1nlvIdhIClC/qhG/ep0JeZTV7/4J+fAwOz1vGh7wQk2xo0YNwoZjKXAn1SBRmTPDUDW /TiXaJDysi5oPYqwYRswJXhePxsBlMtpsYa+LCX8auDPOj2rBBbx5qY5x5qKQo8r9qVC3y5MKv2W OxrsQWBzREEBb8NVjVypiIMVGMfCsIJhL7Cl95XWJu6osAn1/A8TC+1gHZPbNCbbvJ8bwX14UPNc nlwrFe1zAGc1oPWemMZqWMHbf7ZTSQrYdWeZcLpRaqRWdftytnIJp1RexFI4Lnq1weblsjoDChlH iLRkZ10kitXOSKAN9D5oSE9xtIASUHv3sW8G+Dgf+S+lzOzpQ77Rg6LTNCpn+asS2jWaB2nEmk7y wSzaZLhSGQOdTZf9iL+Y+4HX9MsfDadUsgfjIjXaxgSt6nSJ+1paVZlg4WhQel+edYo75xZuOGgE RcbF0qguehRSN7Ha/+syEkn8SrxV5C/gXFrSaEJdK1BJGktYzDZjG/43lcuy/XP26y7HbwZtl7hN QFv2ysBJ8bb6Q/FuvwKDLcoY2NM4qRSEAnfpziVTh0z/hM95Fan78sDU9Pu0iRN91lArruO4mW5K 8oV8Ensc5P14kvnJrD+6FOND0zLmtrfYg/zZb5NBhVTh+8Hm5RI/hjwNCN2zyZCaKOnHim1eDQoG 1hrONNyd6Z9xCD473GYqo088aA4iA86V8gz6vH2iapVLUNlT2de0JVglS9EJHdLKZMhtS8pTsB6H /5BE5azgreJZCzx0SbrOp4rjkLm4TDPSPETJizNJrFLnwdCI8jcOdcdHLCZJkkjGtnqw9Nz+Wvpm OdmTGhLAVqOaqKXM8IOrA8n4BXrVy9NSvHkBGWiLnz9Hrv7UTFNIWT0memiW+p54UNWabunwdkYR JTtsDfGMFV43qhBD5YeC1vQkInNTqX7H34irSo0AGA/1/5v3E9IzttH2oDlbXBH9WfpgOLcuXcgk IqHfKxPnw0akXbJStM6FkcXI/T7dKU8ocbC2y0EvSAyjZqljwRjiXDpmScvMO+tmH9RFSsRqfE2f rkcbE8wYU/iOMAvsfYyFxBODRgqD2+3jFdtjHopBmQDKU0C6xGbXfjN8GKav8xket+AsK3NTZfm/ /ctmnh/MFZrsAPZw8rlTdQi8VYaGYs4uo/6iYMLBP7gyP1a/+Rj7tj687t8CP9vCElt7hzGcmjan n9IY1EXR00rDhzBshBnUi5O0sxSUVs32bSBQO4rfwcLXAEYIK/E6pe8yvZHRYC7i2ghIgebCXaCS WO+hiRs74xUeR1PlfopTE/uw3s0gH4MbsPhNVMnj0JwEeERLgBUtL1otUa8FsIyTMkoF6io1jMt5 bviGc2mQNr7u7pD7KlpjOqL3BN9j2Qp8hSsB1PIyMVOVhTOfLNWSe3iK/ms3S/YjO+fwKA5V+VRs npis6IpkKrOZpAWRa13CPmFeDCvS1xaAp1vzjlluOH10o1AiPONIieTaF1j/v2+p8JgooEcVr72L HjjtXulDH6hhnB+v6Hb+THo33CknMYr9N2BaRlQ+k0tHf7kic0F3dd/OV+c2fOIw83T48SurEcRs vWo/QXNqQyNObFRMRoVTFy3ivH4cYFyH/prdR9Ah11Rog7GjF6EmQRM2no1df+7bz9ylhramQk0K IL8KbIJJOYb7z/olZIzTE33iDuRmBfjxYnjWtUfFO8HijZpr1ImlKCgCd3mZ48bhrW0PDAW2EvQb lFbU9yilBM/gKVTDJP8pghO86NiWqU6ITsHg2rvhROr3fzW8zsD6vfTgT4YI2M5FrCHlQyvopEG/ 66UNxk0CFBnRel+B8B7ziaHPqW4DSsVBviYbc4McvHirH0T+9l91UDxVhvKeDo1//2UPHymGKbKC VwGG8TG814pIFdvUy3gvI2x1JB/cH0ufqAV8Vs8rlmHyKBJBhY9yTbnWiCdzzLHTygz5jvSVUhB0 Xpe4phj6v4GfE/hcIRudeTtNpLFFonf3a7qOQyBsZjhGMXmK1t4FeQ5lZFwQQIBR2bVbxyIbkIu8 x4yK1TGYm54rYrn7t0zM6amsoWRiy5esvk6dEGIuneP8xFUxZRSYMqzI4dBzcSjKBgg0DDf5NWlG sXVZvCaIMG3/3BEQlcaTJ9bdGvdv0CiH9rQbD9gU0Iu2dnwV74KjuXO7bB8IOxzUL1ckAAFQeojG ieuFOmDVgJBuYjv5XSlVzbEtlZHaquj5oh3V9BqMC0Mg5Tzh562Ed3w/nWnF5WaFype0AE9FbX8C toB9tPdzyHuiJPtLTbE7C1YYQiFA5FVqjBfYFcpU4DcQWI9fcBRPELJvYPGNSXyXUKILhGqWxO5M 0OpnyH/bFWHh6C+KKP1O+Yy+NmL+ycaGAaQ017pPU712K4rLtiPBUHJDb+9vJVHMbwIgUChL9Ka/ SlPcB4DPIzAPfTwB0M+7OZDSQug/5sS03pdqtipY92J6wEIDHOCUJN5mxjx7zxsm5+tzfpFOD2If sD2AgWnNkI5L1WDVCwYCt8Q+b8oiJV0elJPh499mhHIeRt49ItCFN0SOBAYEpIOLRQrYbv27pAnk qAi5YD+UEou0EDDIqLpMtzedYb7JfjZu4qlAn3CqNOR0rO6C/38QvJuOe30igf6xUuk3K29mX98N ey9Bp+YcxiCDWpl2nDJtYmrH17cRVA12maiSoPf1KJyxk8/IXtHGhWFGUgL5mrH9Syeq9ZORh7LU HwiVUa8g1lfHpexITXHvGqBSKgTdAfhDMiONRibYihtTK7Pty/gwHCO/AjIO3GjLiHyLIlMVWMUS JXEBkM2RHzeU4ipDmDxdP/GmK/58N22NnSYVy37Di5VhlRP9GYSpCghkjCp8zwu59zOxKjDvObBi kYNrBQI0gUdp6PXqSjoPsYdGTnNUQDXioBpjXrWrtifiFMmtgjOXTOwGDhSfKBojClVVpvOAivTb 15XpfWF6MZtTyzE0I2sqj0Mx3tWcW6MUpeXIo8he/OVIFLclU5QJEPyn94SDOxIn0uvzhhLZLgvZ WEHaUsQG2UZHdV1282QXD58tDa4gJfywvaQoNPKbOJqR//HavUycilB7a/4lXJnzL7UqhG5J7Sby 7T+myJ9c4kN/Jvi/pVEatg8sj/CpuOIqs0LyHaw7JQM5lEf1ZZOtzK0hZVz3UMBKA+cbY5InVaPq 6vNiAOLvMGqcLN/jZj7km3iAv6qlzcsXcjJK35BRqKnyYJTsleRunsDWP9V6WbWIyHX2z+1nuIME +Q2HJXprkfdSGBg1e+YxxzgrSLl4haUjh5+YevbW5nqjdc4b43xE5uNovmeXR5wtkV75W8r1mQVa MlXM0i7CNjj5kjMAGFIptQMB5Gg41H1FK516eHcVj2nCvRX2pa9Cg7pMCUvRX7dz4ZMLWvVhTjbf 3W/ybrrZ27gg8k95dFZ5ATZauVWPfXDfi7l2qkdxhbAfuICCILdpDNPet23tkD4RKtVjp0b6BJ45 uVxJNW6fkR8vBPSxJrboDwMICuHbkfoKakUYt0CV5DZhupKxXH+JaT4J7epiHxz9lmrdWjYJnOd3 d2f1qCMbes5tRsUogL92L9AShawJ/snROZoBlE2K9VkrGzNeyTLOx/oypOCh86i1Mj1ttS+v6jgr tdBaY6zC/qNSaWFcfFTk7oUxrAPKCkDMwk/4G60KHb58vbodTulk5Nhpk5i1YnpaGEjU4KuMjo3z /vGKBZfBqVMxhrewlJNrQSdxp/Cp5EbRRHAzWk95PkVZd7UMm9O8R4HLVip/CbasmMOGGCMYD9+h mDcXZAXG/lSsY37ZWTbbblysJ1KBFQYiXvYFFafhhvR9ORkem8x6CiKdhlwi8drJsHAmBAAEimJ+ GmLzd0dVokXECsJo4P+r8JBaToQr2uTpMNIP+a8S/5Kj0/UCinasTvLQWs/PDun+WU4WxmgEWJ6o 763nwTTFjh+JTnEOtjnxSB0115o5sMdZq8d2vQHOy1kOCH6Cdc9lK4rmQbkmgztgbfRyESZSL60i OmNWtywXgFQGAQczR/1bw/jACwGRbfWIOcDN10RgHssAY7dvsRAylAN/JhU0Iiu+nwQFyIJJosjw VzK6RiGL8BRfbuCHmtRGCer6hASJ9yi8QVwdbjhUrnBOQR+x7R2o41juSuxonDM83R2L4WZ3L5OW mRlq1krnYmiru4nfiKLFsx4vOFDEOhYMIEnTIVVC7ArCrHEhHdXiiiHCYAbyZx+Nrsz3RJFx+tad xsEeefcu8Awx0v9ShRA4TU/e0/3Ga88/axttaThaexSVy05srmP3U/Bf/I5wG6aSaqZjmcAmWdMP +YeamNOkIMlJLN9wvC7mBhjlxMTuBtnnyaE4B55vg1tPyuDyofCo6fU5k4kKrOhU4/f6GtJeEnNY eJGJU9gqakKflLMd3d2GiZse8pUkvuNyWJ+kdv7M/AgliyjUKV1MV9+YOty6I8r0KVCFOO97tjGl JWOYyc602t1G8oOXkCugAJWqNkWuCDbem9z35MNOdtGeB9wLbyuk0okkX02j8PbYOY+WeaGV6kra ej4hBuGZvp9MSWjiO3MyWqHoNT8xyK88hijc9TZIeF1ikuvXIQ8PsFUW1tegjlTv6NKsDPrJxKH0 13ZeGbLb0vP1c4fmIQ46E5WFcvDuKFTZE8qYC83NP47/+vBQ+DLNrxfprJao/VQtag38wFKKfd8r Whn6kJv+q41DpyzxOJTcTlzj2EGk4o+UVheehctmgQlGyzkTVByTaMyg488FBHpo2GAZ3GJWC1yF Y5SrB8UArI2YbrUpFApoqrqHW80sDB9NF/SOvUfNilcGlhULRZg7XnQjOvlWNKXblyY46emGzgTl cF7XBdHyPOyUQQP0Huzbi3R6U+T4PAeRlOdHSL/s1ePtkdHBhn62wzmG8L+OnrtbuDKHEQRXb+0k RTNnHMy9GohbzbXAOV9bBWB8hjuQgLwuugID83hyRnqdqES7oT4QkPQnniLNkkhm0xCqvZUX7kTo U5MyfAd65Z6banDv3hj5lUaT/dPrwFEFTosiN/2t0kbIdJW5L/28AxVTl5OucZMql6fkUdsF2/dE 4hh5cnFvlopJOnnH3t1D6TWN3Hqu4kjoku1yTe8yD3tWUV/AMUIVMxBPsWHZ9ifcVXZx9/4JULLi 5q1cwegdRSgRHdswevp0KuL5GSKZdAl8pXDFKfxxm/z/G3JEM/4TQkKufq0bTJE+70Vt7Ic/S284 ji3R1ic3jJdLPXLmvRHQBGmQCLVAyBunPLgFcdQHJ/ymynM0FB/VfjlkNeicJpfPcnXPjvY9uupx SjaaoH6OayPLQGJem/F+FvyyQqqJ4VDjbMiTXwWYMUANzHYTs1BjUEDBzmnds9fBijoyki4Kc80z InTFICRSbxtGOglWDGaAVb1JTXumfXOJVyM9zRo25xPKaRP5Jjn9idVq4GC90WsZcAK7VN7yL5Js OiF8yrBVOOy4EuyE5CFNFhlJSAxLvjbk09BZF2UMZj6Wo6t2GSLM85KjjD3m25XhFwuZ5lnsUptj CnKx0zZCmS5GmV5v7/pjmFIwkUnmsIs1ebKsSOd8mhn77rM2pOHVLw0b31k/tvYBUM9wIB0zjGTk Wg5VnmBkKAYiKc5WCxjenNsgdSaMFjFCdgvr0nvxTd/p/BRV3OOhhQAa5Ux5PZ4EHJDaVaTtuufm NdK0U7/Sx+GAN7kG01jUDqVfvqEPjLTaGr8hB5mnurF8LpaREVg5Xe7TNuX43RsYf//hH02mqSA3 TiCyJ+CLa+pEBrTAMSomWBsDku17fSeVYf43oOFsllfR/w9Bc+FmaXpsQ0LzVbAheovgA1mjItcL z9M2ocnbZp5MYMWvLTDbpGYwTFcr8MdBauKvLzQAKFjqtGE4skVHoDcacLVnDiR/q1pCyrfGwTHF g/MAD/FA71OSM3CYAWKiZW6Fr8Jp+L8mTJnadPApSlrINbKzJCRcpjqDh+gAGXUXhblCUauUt4kH 6cmql/VER4p/YCuyN9FeS6mtYpsZhTqZJWLLppAm+k3oKi2uYLEoSr3aQ1JKPEd0U65Qw82c+AL0 yps0Pn7e1YRchHlgftvh63WsSCbkyGNyUFGhT4AHZK5nm/vXDBg5aV7ORdEH87xBp9TqSsJzx6hA I8GBy5wl0vIFfMNE3FKwJqhHn+Htdi17oafhINSvwJFmv2wUW22DWe9PCers5UIDHd3IGp43A5rh ZxH2K6X5vZ4GRd8KnwckqzcJNP/AiQRBweX27SeJfLUDxuEUEYEdlBoAPC/j0Bm9cXGt+H0fW/Pr pWgTKIj/+TJH/n34+AgMZ7HjWntJxJ8WOsmm9U+ADyXXYrRC1mSELIXvDnZI6TlU4OkvtR6irqeN cdr0kCMcMQQNXQ9cpeYWD1CMz19oYqn8X6MGOQ1/zPnH9UZGbzeu3/F/9FpJQ8HdZ31mMdHMvf9q ZzMTPSbAIMsM7cEvADGutVgkpiq4hb/Crj1JZa3qqSNPtK27P+jpKPwr+aL/7bNEMFHXASIqWART 14VdKPZDM5Qp2CxriITRsN8GclNpuZppSMXVfxBoCTM357+SSC+6DNsNa1jnBsvlKFIq63l5zlSf QZbRbNEKxtYntgUcuQV3/xgIAy2bVlxzULf5tiFZTTw0Gv1do4W8AcUt6mmaNM0HlxgNn7Q1YmXk R1fjtbYvic1hxwZMJ2kqfnR91lZLxI6BR5fKMBtPZzsHUri3C5aNni5+4cyfCoxY7q7ZwgmAkwDZ Zk1lSar82ytKnYOBty/qui3ECuMHe65tyLnJDcwaAmwzv105J5XVNaPogPq9FGzOwXh5tZbP8aF6 vsUptBAbvDdKK9dhycp609/d15xlTsWuPmzHUT+5JcgKcXNBD8Qhvi6f4kYwbj8oCkOJLkCNibXT dZq+FSMWyjQkUGaDa03kbWV581l0Swd+MqwCkxIEu/4SNHMB3J+6TjVp85EXCdObR8lfIniJFVMa RnSjkDZ9MsR+uDUTj4EwWkdrTPGzjNE2i187bd/1oPuFiJiXnTdVHeYKc5op9+SHMdSYFdJZS7/7 ghYfRc4T6dW+FFPhatbY2YIfTyOOIFglbkpPKYZq7has0+pjRv0rpvoE02a59bCvl3xszI0cIATv 9Zv9PWlIXq+Mqn4XmxvisoVvIUKLnBEYHQGZLXrrvKMcOmd2HJ96GUgqvzmoEDIglIr26ejFbioT dkkxHBD+YuXgjQeBQ2Kf66bsvkCF0OuXeH0BidrU4GVEoNXvZx48ODL5YU3eH3/kH3k1F5plaGLL tNoY2PKZ7jvwPXPzWmXIR+MeyInbCO9ILlNRMV8ntMpnXEreQikw7YKIiS/Qk/YZWw8Cp7eFcs2e Ex6P3LJQ97pTq8rDCyxpRbwkl+C3DJoACWbBkKET75gCGOJQ2djUbY93cWCwieBg/oyxVb2eg0Zo aHwmU+uSj+iq3Wh2ru5lbl+NkX9xAX2pYbn4ofHYji9Uk8JKdj2AlyV9XSSFJjXT0/xJWivlnPzn a+nef+F95qAsdp0o33zp+RXDqbTnKqUWgrTyBSPw37vxUkOU6aukaOGHS1gtKVijGUMyiJaQHp9Z as4uI55dY6IvoF0CVzjN/iEO+Pp36TUech0JYGL9qfJCag3+JWOCT1pd98v9Haji9fZE2JBy8urJ 4BDAkN7gCszddWdj5zVfGwWFJNLtBOiUx/olpCpN5T0bZy5vjn0uuv7QnG+4Vk7duG1Ml0uf8Ic0 tXVzyIWESNgB8sfxSMbTlB4CVdjBGifL3LJVpeV+5TsJxMi7VurI465Oo2FTdP7RHQlMF9ohFEPB Ah3yTPPofV8qAnRMB9UezfI3Ur9ADpK2YBPtiL6OW8Nzf+xQOcwuz9pxCWsYVdMVdAb4ZVy94ATd 7+h7LxzIlpvyavVjttGjWwl+Uc45CCKiY7qtH5ztDNkWsxumtEOON1UpWTDlfd+QKkDPStDDDKGP Ik0anscIw4y4t2Imb40l19/msG/Aeyxg8T4JnjdwjFIHvRCppMBwZq3WfxsKPzrbq6jYSWUcNRIl NzwbA4OvmDU0prH5JVhvcoACbYddHAEYmwUnZ+wT9+N5D40WNIiMcQPluawC+SksYuzxzKguSPR6 Wf+EzCPz3RYLrt9wzAjRcW0zsDBbpo4jvDbE4nnNSDp4fGTziISilKc7xazYDU/myRnjyNo1sIMg vwvggUdA5wZmj3XZ7kxkJXg2jBuk4cLLxNln4LQUPXnnUsdPLu7VBHXZ0ZwqiXbQ3rOcnx7orRLi hlwvFOr9HeTNrYM6GqC/dFp64uY/qM5GQlmKz2plHnjSizSgYhLBU1upAL4/HO9BimWV+p0e6p/K PX0quy3JMDRKGgzWGoNmaCMKPrdVXLjfYw1wq+c9Z+Ng7fsXzmP+1FlfUcWv5473nU0uRH7Ng9Yd nMBZQNBcB0I6MWYfIEf+wTE3i8b6YgP++x9xPXmyRGAMkzpgghjqxvxOQas+BiZVvaJkh1bywh4g d0oP8YZ03JHaLrruYMODaDyMxrKrxB9GFpG2rFdrSAUO6LqNH1se5JEgjzXVU9S6xePCbrTSmglA /4kVGMJB8rKVsBgT0ZKGIy1O2gP1H9rYiuJlWGyacrxoDXbH/PrEV7fbxziW9BUTCZHz7JlCXBKy c29VUl6Ahvh1ngjgCl9aOTtsRMAd0tiP9tOfvgLmvLkZx4agmKNKP7Wt3eEGE+AdCOVV9LUAHQZw jPE0HIb+ovgunc72vI8XSeVxwJ6kWmqd3OEntx8b2jz9GspcS5MeD8emVlHm0X/1Z5l0gtZ2c9a9 lrKIKBNE1Qa8xDkN1bgSyhAbyzrv/dp4tJDZGg44JV4cz8S1lBMlTkQFiVbre/aSP+nsPC3lE/re HEAGCjF7/TXvwKcJaASI4GxR1S61e0y7WqlKHr3OVZbRAC8FDywWr7coh+YcOx77zxM+leppOO4W gWoSOex854UzJpTkMTSlI0FKvtul4aIzKzdZLSgewTPHcU3PACKFO6JCcu+Ffu5PCi9AtMK45NhC 1wAOV7Y1LBp9nP/2ejdxOhnw/9ofJBHf4cOGHfgBTFcXeK+SGr8nGk9NM8KYETm3p5iFp0ywIyZk 6zddVZPg059nl5vqjtw42SbAU8gsFxK6OTevup64VDnQHvL9Wnkmk/VbyRbxyocNXq5qHJfIVs+7 i54k/BOlnzkDP2sX2RmPfIzVUHhDD9enS0hXYuj9G0DtGQD0ZtcXsSUCpsAHHpp24lLvHiCU7qVI ZCgR8ueHdqisEC3joeXAyVq0lVyoBjcMhEAGy4gA7DU48FHwy1VZ0Si9s7eTZSe9jy+40IUQUhDe V0XjeeMqzGWEmcTXes07uXTcXqbwTLQ2Z6Ehe647FcXjFPPX8XiANoYdRv7ZGttpGTpjK1gkDc9T w4LVK3ol1nVr7qk70k9Idvn1070Q6He4GWtJNLvs1i2npvxZHoHJdZajUab1tCcPz/hpAQkLpkx1 TVCAAKcqP7JT1tSZ2WSifCioCvSfg6PkOzacD3PhVbRHSGeCyNj4O5oBWKwQu8QCdevEdPMSXv02 vdlf+m5tRJaPnFptAqDQBCvHq+fJznCtUVQB79ceSS+dBpWn2xv0aF62FHIV5HlU+cyN+jWYq7FM DQuS0gkR/4a8W/lKZi0iw933iadfpEl7qFTcsnQrdANM69cHczbDPORvuKxh55jvidybY4BkfWNd XUY1y9R42WVAX9jleycB9UiUHnDsJ5Z8RW8xUrD/DELTECEiHipsM/lCJS3BaZPi0fFT3LQyLK52 7OGTZAuycH5bHNZ25FsnXM/Ha+uE9zp+c03amvVyy0CbH3nmZoGyMxfcz/fXSM/2bD1Gdg+NUH+8 wi0fgwDtXofJhMZgb+s1Q1FA9cAe6CwrjB2PCY5bTLG++QyBYX5AqzGxrWq8Dha+JlqqZbx4JPWY 5XNaafzqPL/bndg1QI+INQwt1iCgf0bgjZ3tEvT2DsOQzoHOtwAOGRzEYVKuRmPuYFCFT0e1RNzM JZmET7g2DjaLraxMLDSwdFJH8k9UyDGbF6pQKdHzwlBIbTvpubu7tzx20y3OMsJ3R2Dse81rTr9p SeeKWaMGrVwDdw6ROhk6Bvi7hWfTHRJZlCzmPF3hOEmllMmrOqfywPPRWtcAobjm4XZGnVPDb0NW qaTQmg6hHai1qnTu3JtS2hlmCcrvRxW4xQMjsb7yVft0PIoEu6kpqCS7hHu0jEcQ8MYG+Exe+/Fd cad8dm9YFQjZdiFe0+Z37ZOKQ1Q9rFKo1ktvxYfRURO2Dhwn+/6NNdU9u51HJGSC7NeWO4B5Sf6h vswqydw076JePxk3GwdjxOoSjyj6WREtLwEWlUTzHWYDY2dT4FqhT5nMmw1mIVBGJcraNbRSJui9 gUHOFEMrQtn/PzLz6zYfAkATwjCubCR+OzfKa2Sf60T7KsNmd5y89Juf+Vc4YSsGjOT631W0nT2n YM9CueDSKCXwVJsJXHRqLQrQN0871TrA/l/VK1vzG95lbT8MFNDwscq6SY1/cDqLFC0I7zjwB7nr BWAIXaIXLaft6mRHPeQGq5ljwpxR1EI41dTFe/ChHG/778RMHu7cTAEvJfCjjckx9LRUoyo7RukM UDuigpxzKKs8cYgW5WRBgLz+84hiRH5DMX0s5EIvyuoQAUspNydZEIJ6IrCrBfQ+RExwgOn29RzV 3cruC8NJMCmihu1nbCo2pkuBW59B0buuk9ENT33QpRuaRNThkLLp/75MUq9VR/orhFvqE5tsfSsV cUP0Gb6niV1mPHJFw+WkddDVtl8B2NaU5QHf6Qir3Oe7LYatkYY0H96ipHnk/Q8bt3hFPYAbgYbn i9KiGNJ0PLBsdKPcOHGUdylnKu5dwNoFOPLgAC62Qlr9OrNVZHaiG8B151EQ+pMDgRlvK/EErZ2r 1wVU447ac80Mf2napxASjzvF17jER7L+ud7r0zVhnxGOPxnX0p5hbBqPORWd2PHjjRvdjW4572Nk v7j4/RX8sidijPRUDcv9yJlc884zIvUoJcuERyDDPX9Qgi8FEODlVeWHSsBHhJ9lyTXj0SkTnAO9 6ZXjXT6EaOtqHn3WHDcs/S8AHwzk4QCVPndTx6afSBvTx8XAWnsnFnEXaxdpnhp3X34jC8JHt6Ul ZHjU5DuQfvvKiaOZnXvf4a3uP0NIqVefm5M7yHiAwQ6/24B0bkracMVo4bL6DM/+x90+akIH7PzG BbNwBA60qasxEU4jJzpzBBHlDhH5mwEM/DVjZ9FVFpgG9klstABwKvGv10chJP16Zo0mb7v3kobm Z4mQuI5SbmPG0bFB1/CHn4voG5YUrxzoLeN49EuqZ4fg+4nSyFVh+DmXfZOqO6Ds9ACD1iN4QY2G r+iaxg/jUbAYLAlO8hDUOeb1VpFJfnJg38EK4k+1p3afU2++tT1KPL3B2ruAJB6rpPLrbrZZnXfT vL3o3l2mA4WQ3UlDN4cgPieCkR8jXF4+f5JQBhNYNi7xZ70Q7N4Kdt87gro886ctoz2Uh17p/7e8 hLWhQ8jgzPI0Y9hlMu9Jyt1hDB1ujKASsNUmz+QrVC8o1Vf5V8i5T7Icwf4zWctXYpyyySEJ1yFG GuWp1jqCKgkmR5GcuDKxwPUXTbE6z6UOar6rAaIqwEts9Y8JOqvUfhdVk7MzwJ0qTohy2bto6LYl tp4LktFPbW55/upqS5pt7MeLlBQF2qwYCj4tUTr/Hm8nJ3pNjr7LsVwEKVE6D6bQ/vwkjbqe54FR /wZcqWL5yeRKBRASr1ksjeyg1lwnPnQmiCorccQodZO97W4f92IXSnqHoTHtwdw1LgXymQaa+qp0 CR4ZcZNXTIo/dCZmPyi5huh7h4h9vlPkoQXVW6iwsAF7hPPCxO4Jlv1LantSGSoOLh5jVXYpDfFK Ql4OuFxFaoA/cm1yyvJthbz7Fcsjf8+c3ISwgV5BaPqfyE0dBvYT8SjNMB8CCxf9UJPQIWhPV68h QyC8QmcPzHhkMZOweRpKz3QNZ/eZ33erGIEdsCAMlFALu5DAXwLI6SAOlTDpbtS6jlCh4iHQH44T W0bTQr+i9jbKfmxCzRaYDBHQNgymvY05LosSXkcTDh7Q9l8WmFcLL/dRxhuuHVYdBaS/0qyPRdcK hSSzQGd0obZ+dswwKNjivJwoCEO+PZUQh+uaEnEja1NEr7ilwpEJSu4RvqVjjF/ewz/iy/F1rUkN iQ2dGCbLqD/pgWACh3imSXcMa7Y7+a9RfAtgEiHwMABG+2rkKWUaaH2p41ayW7JtcPxebFsrfwwf gWk3W5F3AmygKx3s5PpXDAneDu15DdHYDliw/6zpWQuStBYWiwevFH4q9ZsqSt7VBRue4xNgkyKa j7PTIk1GMJ+ON9kB44C4CrcCmyJSH+VrkO8KHwWnHaepOv14o6jsnME74bmehCjB67tIGCchi06d UUTxVBz4uFGZrfD4aKjoM6pKaefwjT3dPqCyl/q+nMFbtrInJPL1t4ieUfao8qzrPWK31VoAZP1t EJfxP0w1m0rZb+D+k1YUQjxSgaX+8Xb8anHQ4cxkQ6Nrf/Z6G5sl/3+fpo3He1DlNctzyEEaAnKy 2bndXI54Jp/Yibu/CLJMQBwSJ2oIn09fZW66KG+c1tLlQE0cuQLOA2HV7Dub6ovw0E4mDXheqST4 gFrEbWPwsByruNhKNYv+Nev6fzkqUoAPOKxZvd7OelHTtRB2skpjvj55YWeXH9ZSzw4EzP8La7wE boi2bmQG3qVpWLudFQRq8N6EvOIj9dXou2S/7mec+RPV4Nm0NU5MzLwyXuE/vP7DvqbTFHMykbHe EMUC+0DNdTer/cTrSIqOCeiI7poyAIPfVkzbRIueaLF2DXGzc5b1v17nqQYnhXEr+f3pcm4EkHT9 Z23+cxQ0Q71EfpxvGMTXcXn0rzAYGY00UVHGiiZDYqacVanO+V/eLRUGWpux3jrh8LqxaBILY1eT zlOCPCWPqzh7DMJZFcih2XbILoNf4b56UeY4z7RQXpbWeMkzSfLeRJZQkRl04gzHYPNgfS1Ja7W1 VDKBpbwiw79bi6EjyeTFz3hk7m904IXoTVh7k87f0SQ7AxOLbV6nkRCUUZkytv9XMf4FyuntobYh Ppfq+xEYeF2tC+jNfJTi7KWVoKZJHHzOTw8s4a0sBlT13MkI9iRiAXetsBS79cXDjKfuqDBI9uuv yE49Sw4S8otzrnczvetwsB8s5IwJp6m3+F0raPbLDz9+ehOMUTd+QCmzNwB28Vje0dfBgGXWhd8G Iv9SwoFIFD3SQbXJO7MhnpZrszehzXspOjcoWYx1GUpiW2qGxa7lNnj9N2YXoDWL1z1qJbKVi8SI 0QVYI3t5wpOFVPsZwdeBKzCvo6fNBa7Ea/01+bwya68PSbfXW3MBgiLXvqLS30iEH0UQZTMy6HIq oZt2vfPKC4ephNf0ICR1yhl9MARoXr7F2dSVzZhv8rXFYGePIOCg/urncKM8JEmBZHjlF806Gmtf golzRkYFxTPPwT5nPdWrF5+X9NXjCaYjoeMxXr+cb/wQdqNG6/9p+HuKlgwC6lHJ6vcRBxixulM1 TQsziNb//6/IM0iE84y88rpzM3fqcfice1Q1KwPko26CdRaG5dS8GjbqYYszUOyyxKh8LWtgDwDL tCeo49hoRVNRN8PptOkOdOhVGNg2ygT1tdowwYQYY5BbP3ZSOLf6onsWsjKZYKL6ngeFsV9MLhjC ns9u5leqAlBSILyZNHbqG4Uw7T4xy5ghcmOKLbzhYxgDR+rp2/DK5XfhEyw2aE+GTMNmbgY42He0 b2hBNOoyfwkL7ajIo+dqmXxSkIU07c9F/cPRWLSfu0cr/z8N6zU2mlSaK1WdnkH+ugm+cTtJl97x C0zKH9pSEr/n2eCXayQClQ95ubZyf2xy1BGH4RviFmIw418T9ZvQ8H1I75QjoiXQFcKn2IwnVEM4 Rs2g8lEEzBbcDtTZk97Fyg1oJgV+omywFPm4OIS5MRU0J3282halIu6UJCCHbYTJTSnIL4sFYzKU INB2aHis8VQgl0B4TCe8yMGQXJJC1Cpwwqj7tR8omkElzWRWtbXojpwjR7QdurYnwLc+LVbD+xS9 dhsfTvIUeszQCET00btl7POh+04RbYqXWFiAq0fG73idOwlRDILXbxE5fefMHc1WiWmj0omq+L38 tbpbxQOerKUXma9hhd10sF/iaMQ5mHXAQu+4NA86ATrY1yRhePl0XVApZ4tNn4pbg3LDGqm4Huke KABWlqigXOjNT2Z6j+s8D9RHjYnh9yy7lUxCqliMjTAZfAQMlXfFfH4QSrCOC9YCNdq8H5lnaIh0 Xwend9ESplbirbkTifhnbPi3SlVrDVHne16s/blo/IfuEkiul9Hb3uElDp8CqqdwMaVO6qyIsaA9 YiuBRP5HuOL8IGNZ4cCEAwDC6cWzRroHpKPMcZke4EP2VbBIlkX0h2OOTQz7ypOzO85icjFcKBuf nrnoqXZNNjo3g1oguSldb7VCw1ESnkSAdGNda3Xl1USUjzFsAJpvwcn8uTBE/VXI6ufPVcPIJGnH dGTdNQT/zF4o14gjaUSVWtEk/3ex+AczKUwMRnadXWR0MiUu9UoSXBPeiX7DcYHr+c8m+lUBOtwW 4nGZ/AHyWv58uJh+u7vnAXkqIdOEJa9KH+iGUHIxqE31Hg5fN/SBpL+jqtFftrZd/at3zOcUNEFH 5zgIbCjsHNbxbu/sFF0VrKVgvDRYY8JEQeLRx88C2UCFVJSiDC6kOCL5YALTB4coCiVCBMr0Y/70 NG7BICtRm8klTRfl+H3B2zuJGXLdOcHdmgqny6Vg/wOFhGe826Jb3EBfzT1pwch9yUbQTG5jcn8g z8Rx+ZkAZn1hKcRsHlgbsp5Q0Ss13q7+iAP+P2gr0O1Kk3iHB+oGoPhKlE6ZfcH4yr5DkaPmRoPk +9v/JnLnQKOc4NhEtyHX+8nIIx84M71NIvOzPbTTr9Kd+0UPOHVsTyrwpZpwswsndKyoedRyfDY0 nYHcqVLSNWqpqcN8WOZ+W1qi1JxX3Ou4UXzMmHWv9Y2T8kwJmI50uyU2l7oCr07wrXy7Y/ZdviyV ZnlC2z7ceuhYypHr2LSA8tfcywB6qIxiP1C4UfCr8r+07hBGjQBxDBAwLjzML0a1o98GakdRoGhW hfC7Ae461MwCuRkTMK5psYRgaZMLgtIzX7+H18sUkDWUnGysPHRf9bgBOusBBtFB7i4CNrW4l7ix 0zSu0fHcY56NQWv9pRIY20DxZrAMjKT8j3WMbW2ErrAzP8n/1W6h87nrMUwDtNLDTFGLdoERUs1F CMjWcTGFJ1l4xlek9Y+Nc6q87lmwzF9URsO76GzBJGCbkLvR4SVRu3q77Nf8uUMmGeMySlFip9m/ PdQyc1NeEteJhuDx5EzOqJKg3WczvePebS3yNJRuHIHNeKGAMJRwZyFiYAiSWzzUphQjh0l0w32w ZErHWeIrEFsMliyJmT0kiDNhhKeyoLxt8j2ucF/uJtJHIOKxh8Us3JHm1QEXJNNlqjnfxdCXWqHz vYd+vdYmfA4DVaxiZXiFLh1rMqlovvEpTx32qnfTxSn73lIF3/C4pMTrHu42voSR+3vfffhkqHZe 6k7FYN24NtVDcKaDKwtyKkulEAhaVZU6TbnEcStBx51NTiNwJHak3tjnesAkKT3Mb+QM3TEG/lNO hZ2neWUu4a+toHNARTeTfS5lVl/4q2x66BcH8LGKIDzvh/pUxd6KXM/bpaASAdmP8jIxy2fJh2g8 l/PDfyCzU/+ZgZ4TQMtHYEsTmV1YYxoYA+MhV+gxEM48W1Bul+KaO/YD0l+3hMXb5usinsYYcfiC ONXQ37s4RP4fjB6VbYVjad/RLjcCX94BGKIcGTJRj95syOvAPYRrnqoJ4jR+lXW4iE69p46hX+GJ OzTAHhR8pBwd6Jij9t69o0Ci8c+AYoxkfX7MQn8GGiCX7gvgIPyU+Ja+9Lcf5drFmekxLMfUM7xp EU7cIy2lQbMf9oJy669/DWhZk6Q1fzYqAVluzPLu/Wvf+1VJsor74JU/QAYgFEMRp6cck3JADmgc sKeh5YvGdljtbCbWZkT/3gONQ/kl41QvOWdxUfks9DlJ9XxQafkQmNPR5dWS48S4X/NFik0m7Ixw OOvNnMwAWGH6VtRmGrwViY6DnIxOMCkDn4u9T9CJSfH5/CIVxtW/5iyzTgzyqqiSSVbRUhiiSThd srgbJ/FnPKgYTZApRwIHv+fXUu7gTRIGtLPyjZ3A0prg5AJGW/RzgF5pr40pTUq+0bKA2sq87sgv 2es9KCmpDFjXNyqyH9AcC+m7yzJ8tx+mFfT/zz97gRpZSPJJkfOcA8dWKSLm/d7NqbKlVL0SImCP LAfvy4Rd488T8uXG0ENRZ7eOcXkwpOEDsyMw9KgCGet7mWRgYEaja16wv/2D7KMo+HxnVPW7YdOD GYrz0MLpyFZ1PMC0ms/sozVanGI+9yLlxyjEFH5WhMbQkwdvzL6OGxSkXXqwDSxogTObpCKBSZv3 o2QMZ4N3VY6qZ5jMGKLHjIQPYZ1aatUUdX02tifqg3dAUBdkt9PZEYRcFC8ApvQmeE4d0mKv/ngQ HxQSJ146iweKTlZMBeoRW4tsq1diCuInBJYy++L0/K/KeDzN2MRjaGQUNQHTgKPCLtAWMA7FiPFy muMLuX6LIFWF2MAVuqnIKGiaE5PV35y2FK8DpwWJy/5WkRO7ONO2EArvG9s34S1/BAyydxxYiPSy UTyUhL7so+eyMja9By8O0mu9+Jt1zf9QNH50nt/KMxpbqR2pluQCBOmbCVn6cMJei+Huwoyepz5J gj2i5lnn3ssJ4YZjRO1otMb01wH0ituNcRnvesf5NcK12qI1H6JdK+Yq6WI7a6uWpskJM0VthXvt i9B+fHcxZeOqROfCkS0ABrXuLsi1rxfzC6j7eYipmkFNs5KCTWF9hHqaBmsfzUJrFWiqb8JxaGVw euR0mjuT2xpoMgVzzpyq+qwsgZ1T2N4Gcl2Qt7x/Gq0eA+EXkHzxSEfXSsEuGbpa0aJSCBcI+QNB alm3NE90uRTouJXgi3+nAdrM2awYhf4DfYbTb4y7QihNXskkUfqHKgiVcKS7y6uxCqQEbDRHYGtm VBig0ujTH5GbQPDW7/kinc6Pm10WdcCqizwILnn49kKK37i/kcg/Kusdj26eizecm0CcBDYwzyoT S+K/4pNsEFJ+uhbAxzpuqELmDvnQkb8amq0NSb34BXk6btTA96OXVofUG0pGFv4tpjn0ug8iutUt s/HtwyfRk2A4fX3u549+lYvLu6oVnI2fszW+Ol0IGLPKJ6l0r+8rgyZNO5/X/rCQwalhMwMV7lia YG4yoAkefiCkBGWCOxBvpzYwuwevSx3cfb1ULUes6f/QTQlCGuRxx82zBEics0VbqzbnOkxg2Dws I6R6GEtC455Q0SkGk0jhLg1lrl2ZFizunIUA0lvsj0fsv2kyM/fWNPsa5tQ1vpY8Mo4nXXXB5yn4 3uqHz4h7K88cDVONXRcorA3MMWoAhZ7XyYHT6zbUgY8hJVMEk9q4wXGItSjMveFd3Lm2E8jisI/A 0CMcaB1vzcDfluHf48Anqit566rxoI+eBgucG5d8gjjWVFbSxHZQvstq0f0cnYiC8ivW2a4GRAwB ZVuziX7YVCBvz5A8yKxEuS4saOK9X/u56dbL54BuZhtQcjQjj+QDrFfd2Odqgrf7ZWXh9BvScxC1 RtspBqYWsHndBH4OHpLt60n0wu/CR7FAfthgEFVN/Aw3tRlIvg1BFgVZ8LbnGDB/sAovU7ONd0e3 plh4ricnZLwmgeWnloVSRP/2kWM4BEqpt5WCaa3Po4950D3De2P2r24YInQYEHsHUFtP34JJwZss eLgsywWdn9QsSTTyidEnAACwS936kxqmLPakSKI1GfvyP7G/68rUWR6AIPZFb6/WlwgZFVgVOLyH dAiHs00k9AFeUybCQbyvdfZF2LmHxct4MXASS+A3DNw7hW2HDYSeyDhFgMGyUVOQaU/UvchvSfEZ gOomdQsyHB9cZdFZY/8tVoAOucUamDuZEMM7J4/JamPe7aak5G5FaXsOG1epOLaWbJp3+wrRIV1h YVcVtP/u5hH0kfWzV2p/1Y0nCOb55W0OFrEvrlINuqNj4g1umGhfe83a/xoITd3bE463tQYtwKtO DsITqKgc+6s0+H80AVn0LmR7/gIgS/uePhpG8DE9hsfkWQ5laSSBEL55EiLEfOz8x7rZ2DMV2Q9o xEH0aaq+kvovj0xEfKUXrVyNATndOfW5x+reAggbTk6DQdQhGBhwKAyp9yAUpmXmXRPEmKCla7lS HVPSZOoPoHOkiNMj+YSboSjx7lqOcTxZrM1TW0nCzlcI8E0aOadYF1OYKI1SoTjom9uJhVVqc/lh p/TdY4EmT/9r2ZsdInRYaydYK59wC60TUcBvKbFcibmr1yVUItjZ+YaNtElWbab6EroIvqSqaQ7B pQxaBSXtLR8DLKewJh2GZn8JYLCr5IoIRAP+rNyY+vv2pz/Z0cLB4rtSQMeRsXrFVoK/ByDwH/IN d9DmVcNaKKjZc3B0IcaXYlv5j96+iv9RlcxHpyIl82QChMXgy6dOZjI1Ryc0PyM1KZefs0XdTy3A GP+CZlhNXmcMQFHBHV0K9zWtkzcHZD40kfpSnKkz1Bi7gzcXjdKEikd3eBX5uY5Jxe0HDAYPF7ax YW7YXBJcO/2Vmv+Dfu0p5w9NRs9yftGfDzx9KezXmIrqNohTXO2VWsa1OA8PraZ3wgtJEQnxtwe2 vztTunVOuHdA5TLa7gW8PjjF2Qq0vsxNv5YgEwB3ocdpfl5YlMiPP5WytZVCAtPice6MTB3Ww1Ep MHqmBrMc4WQexApYT+unEtDkgc2ctT1xPIdxCIjccGYxLVASnJwePYt6xIL4pgW+3umcjbrtkVFL ezq4blwRRFb6p9oc0hcCQ1cs+bdkvnnQ0kf8k2mECs5agM/ND+RYaUqjOjuSTnpxs4ItQjnOW6Sp 7yBFMc5KjtrQZ6qyiiyLFhJHctc26zB+lpAH/5zeJXBlSq0gqNhIbJyVAizIkBtgCgvSrtQV/GTn sLva2kmT046o2xzTA5DITe7DKCGkkTZ5HZqhXOJnAc09TxS2g+ChD2SO6MsPCuGjEXBquwmLwJ1Y TjwAWubSEaZLRoPd+/r/nA5is37GdTey6mWq8vgjh5sdma49OQCERpWIhNbpMpUbJczD7+/VYFTe 8xN0y4xbmxkRwCUqX8SLJNREpYhY8EkeRr2/gql9E/iVe0QxcpScN5pOime85uNp8grrOeHqwK0e G0T0rQh6LQaYxFISewCAVKNJA5FIfR1agqT/EcZ2WG5B5obDagn8D7bDIV9l9fZUdyHm1ZTEzegu OL+Q4LZdN+MFPnh5ItTEViliV1Mq8/L7vB9CgYR8pF/m+IoSfEglUeXpO/GDGk+e6L7jRSX3TS6I Q2R66uz+wx1cVGTAXltv8KhJ921xGL/HzCEUFpklBd8pgSdSpDa6NtWMkekK26JbvZ+He5Gbn4BJ +T697ar3DHlfVnCW/6JWCZvhT/AXgvePOn/3vUMgUJ5coQiWFxYP+Clsq7rxyeG4O64qoPrlcr5E m0V4qJcJigHA12RRfUo5lNUPD1IwU4n1/FlbQR/77ekskyH7/V8HMJ5fTpYSccGWXrc/cPI7eufQ 2ez63EQJYh1JEPN81PoIEto5XI0r8utz/bvA2I39s5QdgBu8RNaxO14jXYjACHv6ng0DuoE8Y6Rh 0pE9qt73svsnvuNsUc6vLPU/69GtsWhGN1Ef7Q8RBLZoc6SOy8JKjE2OUBq7xD50rq4al3KI7ulS 7AcCwe4F87Zy/PTdJsWGiYdS7Wy5ormkISq+04yoV44gF0uJlvE1r9MyNPoRcfDabE03msanFpno 9KDxKNLZIrQ7CSq/CSFSTV80Z8Nu1b2om00uwESaLhENAGqr95NR6/O5LS3e7M2SISAMcl9u3idH Q6ds+XChDwVn6rsfJ77OsPw6V4+VEZVTjcI2MkoMV10QJ3wY5W8iOPmF9M1qFXt9oojTTLklT/H3 nWjff8owGRxgY/qYlzdUTrdPFNzhiHp5AA7m43+YagU5BJnruYARCV/kUvaTJAUTEre8ykYiai9F By6Wqp4OTbFesBDUODuywmskjGPEQbwpkx0/+Jblr7pzYAYvHy0/Pd/UkDp8tjS1jSGV1tOC0cti s3u+lCvdKzgL7EEz98ZNAtp67P/QOjMSDndge62Ffij/Em6ylRAmyEZNoC36QuK5VaNwlfb1ZgDv 2la4VmUML4p3BrDLUS/hz1KLb1TTCt/O3/KZVi2yroL5nkNHbqx1lMIdTaWVy1SNznY4fCGqwe4H kgcTtb/f8aeOTGcJmA/fGA9SzGQXYacR/1Sx4G+TYSbkl4ia+ER5ODlHjgUVdneJAZJ0JjpkO1VH I9CsamiHMqIwgJBo5I60oG36pUOyb7nwTZHLudMrCrNYa/PNGmjYkiK7Z2CHtf5J86kluLYhjfrL rdRZX7/En1LEvQQWlhD45UdTxvPqtpyw59rBON6FhahbF2I6LVgWrwWSEFkViEP1XL5W1RiKeQBH R0Z/OE8CzhOLEafdI9oz/ArRSw1sH0tGA7fDySKsdUmAn7fjzuy9sD08fUoFif6jbL94vCzyOEFz 52FSqJ414v8UsO8PHTgKkrM9PT2OglpSue5RabluOH+WlfWS/IZePxfpofcNOd+VaUjgS3h/xffG sPhyW9QWegdpinjxdP7osF08ARdIA2KOIouTXEXMhdeoffOi2m2EFv4fNA+bYx4mnJAtePIzKBTJ Ln6RivJn8THvQHt/VQTPlMeyQ9Sl2DZCQQybPBmXJWp3eEWdNeID2p6VZtIMbN0I4NXD5gdOKpgY Fqw0NtVqmQMxqgNvyNCwmTv4uZ7hgoPeMKKhj6nIy1aDWo4SuRqJGfmiYwai36EHVY6ahUWZ1/Xo f36T2ZiPU2oKkqKbbsDkhH9Su1QokDgqOoHjP1GGDbEhCbvWPN91Baq23WQWcYn6Z3VH3gGEciTf 0aAplUJ0t6u0iZfgug/dT80fCYN+6KoEssrxL1RxsU6/E6aVLiFyOCyqzuDPULrD70G82WrDYCrO kNgaJAvHxdcdmWod4INc2yNbKdxXlB3y6p2NkE9eV1MciLxczWzAIg7OFP2qSjzucaQpY+wyaS0J UjyyYwg5fRpWIRf0uPyUbp+Y1etb8p+m0xWlrUuUfCO3LwG4KhxeNceSmWw5iDwQbOlOLGt45LrO muv9q7I9KKKnrrRboaWzIc9jPqedJBBOW56MZuEw31xLuGXLAOGykgbJHa5bPR70AmBLbmjw/zNJ wjc/oD5IT7KY525agu2SN/ILHEQUdqHv+GWyGCCAN2TulC9iVWbBdeQkzv7bAP0iysGzl0UdgZHn WUw/azFO+LA3F9//RY/6Hd5PHBi9zgSv9rOggKqL22NFn8Zt2ZoT8zGFyv+VMT9MbQXVLJuazXgn O4ExMWc8+3JWCIAOK333/G1JmJ2PlakrgPReLABFlLrju1fxAkKugKTlTjHxzls1r24CC9vg7XIY RqS889bKODqGp4zi7O8g76CsG9EiLCDUi8DHkipUgra/DhfmO6rAXNs34oVroBsItTAuVcPzBKwt XrTtxm+tNuaWjHIwR3nr2P0FM2H0tD2MEhpn7ACaWnqpvoZTvpdIM5+DYXMgctz+SLEXLbdIjApG Kf6WfK/9VwvBJXTSa9daedsghz2P0Ytj0AOlEZVvNOotFxgyAwWAfK5wcR/hzxyaIEvEwb7cud+e EcKBnfJE5uSrKWzNlJ8wIi8Ea8rumGmNxbqT/hKZ6pHmvPMWewj73f5kdderh+obx02XvztDMK2T A9nnpYcJyNywB325LEcVc7SCPWia2tL3sfw98sIBqMn8oLFyAj+13v9UmPoM99wzUNhw+z/i0Fa+ ITECTFIGZIQfoI8wnvbvc71tuoKdaYSnkxlwF7Vw/kDqMlgHEMPyuhmNTJ7jgHuc7N+vK/YVdwvW d31tZcaCje042X8pmm31EjUMFagGk6cg35qfwnRIdlpXKKqBhIe4APN42u8K2WbewZJhRE8Lx6xk Yjsg5bvZSk0FDV2xrHIsGNbxy56cXM32PdSyjM1fjg2zul/lqbH3OV4YagkZJu2+54A9py3H0vLg Y9pbmXZw4F7FbeYB/nPcJ7KIQF4Akzd7c2RgrssK6JnZHb1OJ2aOnBo4U7gitx1zha7+YzmRDiWP JnH+nTSWKtWZjleodluMGi6wPj2E8emHgB9i9UYFHBiSYfZnd9Nv4kDkIKSop2yRoqs0JtRL+nH4 U8TwO8D4/urglBklB/3KES5Ylyfi3uL1D217Odtcp2MyYjCKcVwdSbAzqXyCdHUNYvJFiXhKZ847 xFdMjOBI7xFf3nCg4RYfotjWKtSvA+9HxDV2OJvIJf6QHQt3ixnd5v5ZtsUr3KNT881+rXzJotuQ 0TmQ6D3cvWBF93eK9Z90M1TYfz6xDGq+7wHTwHh2WMES3H8WDi0hLf52HxM4TogyB7OhGl5WJ06V vdJEqG07Ib4YmnqI1HSlpBCiWNJE1KHuPksohaWvlm/xT+8gpd+2Hy+pdhFjdGHqxoehlcVVCMga gCC/0sHwGX1d8384Nhkw/F9cLUsHRBhN4m+UkoO8PxMsJrQIoqsKpdbDpnIlRh08sexjERBIjN1k LDJnRvqZcrgFsg3RMVmWgDOd0iu4SyKjIIKvsbefHhQlNw6bTmNoYAKR9lbP5viUDBDcqTwnFqye Aab/HvPs0V3MUcLtAaSTsWsUgm8d9gszVJPNKRCJ61VoIy3ryG/j0s0Mkkz24sZUCmVOrb62B6kt ckEPOaZzYbslmHoUElSWkHXbeXdyIh+MMTlj2aGTUsdpcl93zOo4G5wQTJlP3wLICws+IepVBT2F mL/WPy/tBIW19OZtp+2sa6G9aQK+Zwpyq2ZrzHr23tUINQbK8i3VKhCu7f+YSqOhiMyDNHN5WrEy LyafuAG43BfzGUimuryK0QdDYq4gdzJXyR4Ah0geTFASCWXKhikwW389Pufn29JGHPvM+yV5ZLwa 4bzrxBUK0ukmr9eZWsQl2+f8JlX9oqssA16luvNNeE3vzHeOPm5FI/rIgw0LIDGqnm4aEkqzjGvJ 4qHraYgp5c/DVpA8cHn1CNylZdwpzTOSb+Jt+whxk6PpK0pnytgjqKFFA1o8vETFIT1Vh587tjyt 6nxfu4jNXb8NuhTGtDbEfsE+ygqftd4POAjsQaR7pA1FniDZWvXJRq/YMAxicikNKCuyxVY+nLlI fCEKrkqTXek7/W9kIj3gmM4ojAjHZlzaWf53hU1IMtVULJS01jhy/ffS6jgHVnjqhRPNsIJHiA43 p3pqq0bUyW3pNmjEFg4a/k4nxI74SW/MQubQDDeEwQBY3DiJgkd1DwL/k7iQReHkGoF9zoaNxvlV EPAOTfrGWbyTzh7IQnsL1VbY6XtJ1pym/h4IO0rMW6UIEHc3S4cc2MY6BoCEX8ucSciWyrJU9SwH dC9oeExhVe6bIviAeybB5Du1REzEecBFREVJxCgm5Gq5pqEGWCjCzXa3RFvQRD7rwOGIQtwRO5DT 2zGaw7XfQZi0UoqhGBKdeqnIzlb+ao9lt41TRMdaZ4Hb2uCZ21e0Kxs4aHwlb/nI88t+tYBi6dLj 3AiwV2kmCHvqt+NdvYpNYVOPyT6PH7VTX3mIyhGR9WTQ78ud5Qf5iZoQmRIR33eAkNvl7XcuUmw+ bLOv8UwaidI0oD8oXt1MIGsKfl0mtqUumUMfUt7y/2mU6uVfxLxduCZ13/7+hM6kYTI+RHfNCW8q CmxnV0EDrd9lS5vLUKoNT7nrgtGluBFa3MGqitJ989pztVnomeHdFPzrgJDeTBH1VoBxV0/myTVa KArnArYBAB1nNRQJIhJjjMu7b1jC3abyIjRgjfDGrXuieNpjkHwSVd20CixuJiATnUoYUJPMUHSD qpuAf1Wn+XOCba9jekuZnN9ocWepS+jk677fzvuWL2Vf4XIkIeTgQ86i/4erdyPvZiNz0bTJZS/k h9CdY2ZxVseCbaAjcbzHpXtRrI2rRLfDvP+PTkgmslzHWetwtlDJlw1ZGIebvIBIbFknxubC22kQ ly9fyu6Kpy+b+ofJvPLPmC+6/TWtQKeYvbRReAra6bwOwL2quEPCVxd55RCW+erdbV54DP3R9Cxb CyXAUsTu6c4p656255RZJ5k8QOnocyqhQ5nhscbKK78VPDrCn61KzZXWL83/ubqmblNNKLM/0uhs uNid9vo7wfvGCvCRZjuEaVlYgd1T91qxjnauhbhY+s0ZEOeXvOiytWeVP2D4O2Yil0+drSeuCa2x EJDFh0TikwksyYkNwq5Tu3c23qIad597dfNKIwNnmlC152d9k0fEgvKG3+1/DOxEViEyYUV7HajW mHqZUIGGM3BffnbYNvP/x7v27WDwtbPN4yWWlQZOLpd5uNtixRr8nbd0O1ek0qYN9/80kdrdWr1V LNmEuJe2H4249Gh+m+tMvltZZ0FQGXV/m9QMxYWQGfZScXsiPw4xVlTJ84rhhg9PEwY3lIrFKvJI gz3rDRMToPejBp4/pWzCeeAgN9SGTiUcE9fPWuOMdwx1LJxCZ2gWm1MeWXz4GagK7YYbFGr2Lryo obb3ikoWU2KGcd0pXT9xvbad0fnjk8fdyJFydqARfC3RTjMeKKYn7zTchNxy8lO542qzkO8zSis/ rNLTG8cRzVUMXhdIaicnNDeLO1Lod9JUqCYMpycJ8JfD8OxvLODBAlZgIcXkmTciqMvQvIbaN1xt E8RqkgBL6tRhzdAxyAWsAtjNBKgG6gRESMLHq1q2vDQIw6r2zVvk6++QAQOb5oM+fs0WKIU6X986 AnjasHaJl6/u0TxhVr+a74tjkQz1LrhNrrmSdRSGrMWFeT6gV8KaQO197JWLqtaZfG72LOrAXFJv nJJyxUwsLfm7BXmo8WGYi8Vblr0FbtpS8edhJwPwNSid/SL9KhKvAdu9lBVckaqcCcH+oc3XBe5V KNHchsDNywyKJo2CizFd3cvjXrvZjYlKQGMxngPv9ttWKu/tZoDOObBeXUH5ng7V/Aq1AkhA2Mc2 V3i6eOhst7Xmb3kES1b0sJmm24Oc+BSP1cLibxXb7h1lG5x+0ZUm/KqJ08z8Q1y2bNxjvZ4Oj/s9 Q41ZMbtD5d4s+ryf6VNuU2bVVTo6qYM8JJzpkTIGbKJo+ySditjQVtTtzyRGQx4ozjEmCP4NZM1T AlPL8uDNMsklu5ql4NC/5PtbpCbTVyIVU+T1ixsLUtZpPUjd3coMaKo8f1y7PzzFwhf0LD2Lk1n/ Z9ozxJ1X3SlarIhEMMLk4eS1eGtlZ5jePJExwDlWezl0Pd1/QX9r8rJMxKyJUyl7X6LmUC0ted6D wkA4q1Sy77+9CoAV1/QwXvvZYOQJR+vup6y9iF9YyLRNWa1uh8iOvrWOa9koLxLlJ5T6yX5pnx57 8ijV+sKdSe4c4iZz0zff19VuLg1vdNC/c1NOTxyt0cRtL8XVPoSJ327S8aJ4cKyAgoh5xo7t8IKV 6cmZsK06MTJbm52enqN/I/WUWyWadL6UMoVPje4ng4geSqP+dw9mU4v5LRWPerPPcTfPr8YEAHzP IS8+//zTeY2PZOLy+NjRaNTHHFMO1khvTmGZKpyOr9P+S0xmJ0iUsHemHVuuX3Xw+Yjmt7asppm/ MOCPxIQUmfQK6DQfeC7VkWphfxK1tG29KwSdik6hAwY+i52Dx+f5fYlGYG6Z7igjxq9Iv0g8jS9o 5d/6iXWDeIKopmUp005XCZRVwtBfXcCQY/j+F4txZ1fNrnC0GwuRNyYLrg5QrJn7+zTLOGj0I04R ZvMRYCwk13PTmeXpsJCR+5hXw/oV0FXWQE0kFBmWnVBZWC4m5P2tP7IFhwqlSZXxH7mF21orUDuX qEHfFhUylAGK3TL/xlf3ojo9NZmty0HvIgK5TYEhX5wb1tXucnPbQ9qoNP/2yS4O+i/8uhRL9i5P 3HH99k3CUG16c6VFXhPr5GEuu+JKVXC0cRjhiaIKvpxUPGkG6Dlp/NVqjzYBXc/uxQt9k1hU1bx6 dMf3k51sg6Xlyx2T+tdg08p13YNfCrKAlfjKYEBRyO00TekjE3qhly47ks9gvQgwav9QZQ61b+k6 rVBVh+fQCk3dsIRSDh8+Iusiyp6m2vMqxmGu1oDxcy8qkDT40K8GboFsvOz+tFlAKSQC8izaDVLN ltOR+TY81nSsnsQoQLMtPUvftkJ/yM83uGB3YhVKPvnE4eelQCxnKMSIx6/ovRGXM/QKD1k39QqE 2wSVI5ZwdNNZ8T+lBKDrzbKviVPEBgeIGY4Z0ZuBOdv9oZAjWKpJCbNtPHvIjiYgYY+GGa9yQ832 NuA9GM1pSksl3O25/26rQpE0YdKsm+1MSCNYUqgc5QQ3BJTb06Ew9XXP2EBpfj1UTeWXTAIJ7hxi /0NYhUMTavZi2BfqRpuGPFROiU2KQSnr0nLMRi6vn/pHckm30WCMzzygb/RwCKUkf+CbOwrk1kgW MzC2d7CUhdjE7Wcu90HIZy3Cb/iuAA2Q/op9ldwnnArtXDx949D1aZGASSmRpG2eYH0+tw4J2FHB aJjkrR0QZxyYySa7+NeA+XP1C3L/1inOVdIHSe//g+csrAcBSWR0bjcjo6dJehvnB1SI5nHHe6Bl JVrWQ4SXG4HZViEgaWCcM7aCUI/IvN7Os9zhGHD9R1SD7Bd/R+gwUDeX+OR3HI8FtNoNDvFCAR83 bJvJstcTT0QPJhmpvcf14p1G5+4jCJhHqU17kZ2O5yGa04RmUXydwlmjzdImDiQ/9gPXt0UBH7GB YZtitlxrwxOAX6yOdF5C7Guja1SKh1qyRrJdO7MUIFenhJKe+6JNFNqWKPRK6jh4gugfcbCULIo0 cK0UjoG1BBZfak+IOrztoE4KDYllQ10eKUDO2ZAhuSIM4tIlWpk+HzLaOoZOLaw6JecWGsDynNOg HS1+/4WQR0i61+a3VQGlfjkU6cT9GPxyEMH7iINKSTUnBc6cZvcBnYBUYxnJt+ASWDzTsvTeYuzK 6rgPeFYJiVes/6w2Y4Sx1oVBkxZwLlf5DjsBbUMxb/YMdpM6iLZK1+ydWiCIB3vrhkAg4nmiWGF0 1kAlOQKSeATV13F3jIKEv6trxamCoE8ktzBlCB/C7wwZMwN/q9LQP4GFv/dOuGWbfmKhmURZLfkU GdLg5dzzdWBWRyVnEBjVRcR/IAYy7SbnjGLE5BCNEC0LnEJBTh3eNBtNdmnddmLpIGW2pIwXEDan Mw+oewY4XV+a1qMppdjK3A48rfF70EIK3x33yTXhsuAyr8sawc/55bfj+bH5xaozQ7nW6G0/fAWl oRQXtHDVF3vLEGi4GOuZRTC5iXgNnd9lE/ldhgG7aB8hWrhSIBpaICPl6pykKU/cPHss317MFB63 oDghZEALZ+7y1JhTQcvcgw50UA4tfVQo+N5Bvl0NwouIFsyHQNbNUwsUJyra1xJRTdWfMOhY4UGw 0cPWTUOygm0koKX8QzZMVbGsO9EYjBm9oCB7qH8v9ZsGJ50a6YLQxRjBfAYCA2XTOXpWPAtalTZb ihBwQASzKqwNXCUW+7Ijl4j1s6uIXj556/IfZxhdhhEvYvlv83+4XCtDZ0qch9y9biSy+vP02r17 7tgxAabma60i46cIuU8IT83vBoDO/c9reourMRQYOO79CMTXFBbM8uIhvvlLeqEfkO19R33sURmo iR6+JSyhFVKo/k4rFHbEBLwKE5E+qXe3sBJupXlrkrQt1qRbkcBuuaVFeZSmm3jyEd+QUxaa88mv HWSuSy354eddgigz/8m+OVbRbJg/zueLJ72GydYkG/NDChP8Th/ZrLvGIeaHzMpeu185pCThzthv YKAghBM6fBvED2+OLviDulsNks1LS88+Lvy+ziAOyWkbSSdw3VcMFY2zIs5hwCV9CmXFkK0MinS/ X0sgkRYZkmr1fUW6w8EzPiHfNtwIJDuSzzE9I8rmPFiglQnjFLMK6z1wsU3cC3w8MJQPtUuSxDFu L/U1/+EPZpCH8vOXTuy1lL01yikyWl53XrZgR+CVPoiT9i6WrW0N314x8OwQlV+cTx5dy27V+q7p tlDhGoJpRovFNV+blPP0/Xvq9wH+Lbl8vd2cELYsaIiQU/uX4219CwVH7TjzGdYZDx6DOUlXNWA8 kb4PAeVNIZlLk9/wfI3lt8OzgDslnuG0vnUz5TkfQ8IJsfAXeihDhgDH/zwuJh8xZSx1k4lAoQmd ZSNrfdq0TRk9GrZ2dUStx7uegk5hVZ4atVQU6Y5PjPHJBy2nu782YyG7ezXFB+brg3n6FPqh7YQ+ qQbPTtW8SOO7hiC1lf6OgzBL0q/x+fJy69ZLKb7rFxv4BR710CGv9jw6XtNzQEzt9VOaDW+iHRIj l18qkpaHP5VLHknrGKNQpiu/RXmnxZ7oi5vsa3BzgZnz++mMbM7BFkIme8nfy2DPaJgb4fG4Y5UE ZO7Cb6OBFP548d6QrX7TqUaSniNKwBNOH5/bOEduW2SK+rovasXFsxtbJL9xTv9ay1wquW/7h8Zw wMkQLutcFyi3xEwgsAmdHz7yx3uQRrqFXcxjLf8L5meI6Ug0D/M1NJiF4HICyCnNPlMT8T8JeX9W KIXt5zRNdfaO9YnQB1Ou+eTkRCfU8xuJvAYUA/sAjw1xuDRNRN25rRvhQJkYTrLEhNvEAuiEMwL0 YdIOBHY0vBHbHPfVwNL9bduHXPZhzD9vTzQhchWBuChEeCUFgBadysk8vXhMF25BXwaOTycQ6eCo /DuOLzVOvtXF6f9bGkPFy83t37beZye0BZG9ahXfptxYcIQHERSDuCsz7r6vy/IdbBpaBhoxYOSs WxsQ0wLx0SVel/UoPQx2+H2b90Y2s+bAv3fImlxjEMB/Sx+xi7NaGkNbmLHn+j6JhKURwSw96vFg UZmhB39nHZZCCYefkyYJ8aE+beL10RlcZcDGeTO0dg1HkISeZtwiLC0g+xVJ9S0dr/MmhFTDdTk8 N5BpTLYZIyYIB37m8sxaBUn8CtdSoaFTZWdF1rXynO5p1+tg2FbqlpSMNbbqZPZwOuSlq293FjxV ghJkq8nQtHXaUoeSdtMk1/DZC4n6XCiwUZ3AFO8cMpRZZ8XY8MBpONTuHPkoxSwPK35x75+8kvKj vb9MIi796s4XWSQPe4+dHP1KLb4mH38fTUDyrclptRLQ+MxaBn1F4/qMt7ihN2BdXCwLVABvS/Bz ++iCnCeB2hyAjpOlTFwMdeOlNMc+JXvod+N2CtG4MK2vIrWC4FimUVz80UrfElmyMeAI6Ex78su9 rW1lDLO9GYAE7mZFIgA0Xx4P9BvaV+2iYSBLrRqNBtYZIcMwLc8qqMMUQ3qhb0hWqmJ6U14uFmLo 2KqLzGdcGe4BvCYLB0xORA52lwUv3uqOcXt7xafSXiqQz8vd7UFNBcCW5zuYuULirPGrlWZaeJk4 OCqTcBH0vcL+xoE4sar7HKgE+NwtIZaqKi1Tyo2r9w0pxsW0w0WWcmFNaP/byLySInXe1nQR+Q5q v7G5Pda+SFfyC5Lf21pKhEnl8UcJGF9Dx1AE88RNHmMqcigng/yVx9OII3RlBtjmq4kOgozBDFdj 6RtoiILV8gwbCi6QPPUAEM/YD0PO0OLyS7vPG/a/pZSxk+nzC49rip06xXQeqAz5nAwyAaI9T3++ IKNutFx5VqQ0T2IM6181TbQc2fbxWKiqAQ8Mas2AKLPTffaw8Ttrf/pCrvG6JI/Og8to/afPIkwp A2raQYk06UT2VYCgvMcHYjloqsYJEbXM/b3H9/5NExQsdh93bArLq1VCANiTeMD+tMZZPLCrBdXf nzp5w7+FLo2sFIhalghQTQRuDiZLk8o5ndBR7qrKqPh4S9K7bX7Lb7WhzHZYBIA4PsV9bdKrZQwR Q0ISq6/R6oI5GOi2xFzj6AZM9eciZhfu9kDfHwXFqqemFPQKFCfCkUwxvK9udisOjxSnJHEfsJai 3+vR4K81WYTDV2rGbTlXob2CAraZcPbca4k+zlfr20TgZuaGHwKTIP7iom8jn+XkfyWHXANrATi+ elXwwzcWA6excvzXYDDrilxAN9v8/37Yj6Fxt3cvwVL+BUrTXM8WJ2gmfowETNmpkysDjDSJjFwF olcEsGiGhJUE+q9x1AtPSvUAZ/nH56OxQNQEQ2mYsLPw4LRp/E0SJ9FWgnGdor9DDLFLQng4Dqio +IVIdIBOMMpMsQy2/En1NYxl5dSD9yZdO98tA74MfS42oUkrTmPTOt0XkVg52uOYjjhl+P8jnzLM o6h8R/f/kHmQuTM5TTVCLXYLtj8wnqWcKRX9qkzkgMA5PDXTD+XufijKBdAo1B5hxHMoEhQLqBb3 06caXtqVAjadXvQMvw4jxPL1+/nXozTD6aWAzKR6wZB0T60+tAAI2k1JoAQL6iGo0i5faTYdOc9l +35wFDVJPODi4dfZpOZ9YmY25K1enHx4HQnv04VmNYnvw6zNw7t2R6ZZl0ALEiKWmSLAQUawBbAv vS0E55PWaZ1LMwjx5Y53yqWo4llar665/mXOXwrEPFW9vHOPBHOMHVR3rv2dQ9+Trhj9EPNT3K7E SACeIP5HSfy/imTW70SiX11EC0kIeLSRSl66bSz3SLq11VQATzzVgWvYhY73tujTo/OAfkG4+G4u VdpaZNLBkjI1tFDwRY6nZftm/4PHTOSEXjRI4N0RgH5rgpH1HhB7CQ7iYEUMUJTHUsL2uUpm2KaG Ko0ArELjAaVBuJDILJF/2eGKyE6x6/gpfTIgjtCn1jS6lSasVAMANQKfnIwRDSIJErwaepCf5SUy 5DTMkoTnVY6VzylOJjvZlftLfIi5kvx5RkfWyI7g5Y1ETeJh5Eq5QNzvYggr7dM+DLbuxpKdEzq+ 3xe9wNFIbEwis+jqDGwtkAkkf5ARoFuqWWF6nX03eXjqUrXPztieWvZO891i3vK52viFay8QSmlB VjvZKa5ncyqN6m7Jn2fsQui2zw/FRoq7TXVZnwsFO85FP1zKmDOSV8fV9mg1l9fo632SXUx7c/Wl 90ERkHAttmr/wgueqEmxeZK+YIlVrDvgkECW0qs5SiGe2Zk2FMIVz2P4+C23h4DqqvD7HSh+NxnT AJT/gHf/Oh+hlDBaPae5R6ccPgqLLje1vAycmgM1UnLa78SHzWhjn8639XM8u6IepeakgztskjW4 PrvEKlXXunFjMSj5WRC4LNTJwKKIRa3O82kcwuL/U0ROtpDNHY/om8r4Kiumk6JJyZQ3ZlNNeB3d tiT3NNYp1oWRhViOXu/DVfhk1cSiqlSADNxqAe4vC4emLxOUfen2HOrwK77hRzSgNm9mxE/FptQ3 jspqnA3eibVj6C/QzmL8n8tt0svbirBV31tEkAvrAHhtiN3q64ykFPHc92VQRppETiiwhHUepYwf 7hkeZACo80sivghtr8HhxflMimVIEnitwf2Ui5epgTBjc9pTGeChlN/kM8pDT7MgeqkJgzrL681F 3zWGopy7Fkln9oN261aem4lGgMSId+Seg3OUTh16o6yi2xk94hpHC3T7mWIk4FUVmEvO80tTxYVo yxTzoyZDlxJXG6YBdDWSS56eMivsmk5MLEO6/50qSCS4cq0sKskmS3wq68S9Jwvp7J3oNiUyNx8h 699f8rJgJq8m7SB0Va1B/JAj+CaEEgEMfGs7+b9hPebg948FCmSAWeqjQk2HPGRNp6Bq6Kw8RLpK lQxe0dyaKr23BVxY0ZfQ4bYBK1faNXgPi3YbrS/o22bF61b1CQWvvugnius25AUChuuK8eMp+L0f 3p7TKtp7NibBu6DIxKOLZy/Tp9Unk4MR/qPmK64GmOiJJD32HNo2OA/SN4+NjczL/PUh2+kWC6YE 8fOLPwo0mauykNnLoUwDDKJFU6BnbYN4GwAO0BZ7gubt7Bx7c0umrHm4Gz5X23CR3YRrGR8FO9X+ MN0j0R6jHmW2GGQFU3EAu78447IC1VmmP3j1856VcYbfhI4PpI+pAbp6hlJVXVMm24Th82RYIgtW pjO7RrxEtVwrMi2xt2L2HMIlhv8L1qhQfJSvD3opGPzV3AB3FDOtqsZ2jETHA5/CspV9KTmPcy15 ZP7hgvgtuO6yh7B6XYSBWagGIgJYvg42/MTgduxJkwZhxkni5tdlz+hsDXmF+Obh1f8kWUUXuI9Z CE9wHRgNVxNI2iBIQdK3kq+a3hGjMHqoBLu37mnd7FAUq4wZ77y22+MPY3dcY6osT9I//HjjVCzi PwkQ5KQMCkKFZ/18PbmqE2xII/YHxgn3ZySN2IHN1zs3SBDexTfFecmmXTelvk5FzIlyw9kP5ZFA QYbN5ZgHr1xP9+Ok2UY/S8JPCPvP1Evo+ayJudfm0Y2XvXdsu4/hRY6DorBLe9SkI+ED/Dq+7CFH XXqVgfRLtoQo76toFRcge61Yldtg/HYhk7jfnPzxoGfkZ0yvF5++146hNWsaNsR1CQxox8PtB4ZZ nn2eDsdxTtM7eXGzf6NsicdKkvbqeBqdaS9rVTt2bZpyT/FF5Mktm5PMLKeQ6yf2fardDSd3/JOj HM8+5aEHt2cNxVXv1lGkvN5KM4ekw4GaenB+gTTkbfRYrjOshNcXviJlXbKW+I0fzw2FgmkF7ef0 SeIemEUmbk7xQcfCAhnMmO8ftEXJB9M0K4j0edJK4Vb+UaZ0XrhkVueSphv96J2fCfDS4sQHb2tC 2jWzDRy3/aaRI0C3X5vLx/wmPlOHFOkx2Aa1PVp51fF1CzI/wOizkkLcBrSL39O/DF2aj3zLnDLs NNdnWlz/C2XV9Rxv8xCiOczh+Iz7kWHhJefd0EfUaxer8poav2nA56AbrjTOEjmKzSSc/+cS43uW IkWEokU77izgMLB83lPI+WmK7m9esl7uDKcy9OPUQc0jTZGyyluUKxI5k9w0kIaJ0Z8zfz7uSPLt LfFRulD9lL9IxSWq/AlpACMnLKPbPn20d4eRs/k2RpP5kKHmzBh2Y/eyZ6uhG9AQY+zpBkQNvo6b NHZRVHeGx13FuLBlK/8NxNcLn+PKOB717x54Y82UZtGMgMDRtg1tWAEYBnpA6kanMfYXc6Yx11pc L5SvxRurLvVbDiIrCRTkDHLeRT4ICtk1hcZujRVmhaP/nbiKtQoix0MAC1Uz6iABhe5uzOPuthrA ptYJm3vpYplHraI9OoO7tUDIMkDu/6765JZPH3k1rPZHGg4ZzTpbVkD042H3byz97HVZGVg/u6M7 dItu2Mzl85+B0BuNGdUaSbvfupVsduU0nOYHemU7JxjCKxWWNii0Hf/K1znBINMXEuiWQEg9fMAS cWgg0kLbCmAGC4ySSbssZu4re9+K4RKSBLm7EbEuQQ2n2rKF0IFQPnZOlUjltQWvPoYdXiSfP409 TFzJguu/4wdytZKMqj+KxihHdvRnAZQNlHiSG5QxsYU0/6NUAY6rgb6pMBOAjPi6xtzqscZhXkQ+ CY1tf5N9/dT5D5QshHVaIQbC3fHGbXn++5mFuGd37JhwmgvK1K4644nlmJCcUty/lNv7s/fb8s4k +kfvGJFj4rnszX5r+QBdyjsmDYRkRcD5FDcE28eiaC2EQn0f8g054MT/Kwv/GRXjnzEzATdl+VXW mBNIkCP3L9sNWeLGKxYkrxkLiyvdDuJsy2BBWyqDsvkrE6zorM71FWzN3Uq7TiYgbF+lvO+mrFv7 TLZNwmH/6BoTPOLDecq9jAjKRJExHJR4vhWKe/HVWENcY2OmEY6Dl41G07+96yxXn67jCG8h5RRr ppljMhDKogws39txPguWq3bCOXWCCcluJBnOgcxClBmOqT5YltPsKwStC/DJPgTXZs4KYeV/h1hK xLUKL2uDjAXR6gvbqzpGIgHLKkX50oTYYiGU/wUUge5P+TXliRxr4OVAw6se/6G9Rr5120OoUJJp qtPVknHl0WxlBSyPMmpw9cXHkx4e7MQsIiTFOcMtNN+BkvuQgebT7RRMVEsmUZ9kB3VBjPkAxEgU +V0fsOzp/SXaZ1jqpFjBv9gxIo1MUKM2C1zSdcZoGOh/aFHstDHH8B6GP/ZwmzwnXpPsycVZX8DH smmWHeDD+xIS4znAfiGphQNa5Y59z7n0++ULJ9Jrc4iiIpKM7qa+PHrlrfyalMuCJGVfDtyCZYgR IllVHsp+mk7Ct46GEm5u+1lzqVax+KKXQKPV5chuYp52IK/2zOoKSwdsnchJWg4mt3oeG15jfS/7 W3IigbUUyJ4u8TwtGavTDL4eyhTr7DzgKieq4qibl7/r47DWxYHt+6iHS6aT/gCuiSfcBJmbe7hn KpLyZOgVLBymi1K9ngq2uIUTmLfN4ln4VpXKwET2MCKm6zJmA9Y1/b7dDAKGzExTMQYXOX9vyZtw jtEdi+HfHUiImihcsJXs4wG+bCRLLDW7Mu7Qe4DyMULOoMs5d0EALAW7Cdgbpsl8sEHhkSgohd0+ SIigQhhSJolw/uvtu1X6RzL7O71BdEMIwHLQMzTIA9+sFpxKRwUy68AA0pRSzh/U7i5dqBKvP55A +lWN0/uisAVbH4vIhdLPRN/jO1kTHIa5hHEvP+cV/IzETOR98IrUOIUGCMYg+we8Sme0dKpB0Mv1 uqspvpE7ZaNABTy68ZJ4pctIUpV2KxhOhpXfMzzPTOsPfQeKIMS41JZMy3qv+8FfUy3+TUkimsfH 8rkU9696Br1dTL3RmL+4hDMzJ/xHH7uXit5xBXOsNMjwTkaWDN4kvhN1dtFXzrXrZlDTWbJQVoD5 8AGoY3iA1wty38FB2rwp1367DucUg88R7BBxTVO03y5mgf7KutKcaJtT9Bmksy4g5D6/ixjtscSY BxpYFAuX13Q7f2ELRy8ra1B13vA8XFbHcilX7Hfz2VphU+plB56xpY2RIgHbGYehkVDILwfsEU21 UdbM7hep1xeMeiP3w0/bi7Pf6l4sSf0+Fzi07DWeq9WTYXjCKRV/48yoCvv562z3pyOOvf7zZOBM LtlnfaubBtHCt3akM4RYYFRoyGOd/QwB2WyW6nV088oMS23X9jjCVh+Y4fgxw8IqkhCFo2lRhxEY YqOSdIRejPpYMIy99/Bm7AarP3jUxviQPbRRi4S4PHgiW0dXmzbU2uJu6q+ZL0YPjN3NLnCXW0O7 DZgK4sPErwKdwSOynh/hKksOmBc04W8GzyMEPfGhwTgKk3XRoGgLATc4xTXyiOrJd4i3eac3hgKZ cuZiXPiYBBfxj+N3it7wXgSjP8dvpPsEHg4f6QR2g/66UN5kOxYT+P2kBH8u+qfavN09L5BBusaw ++aVsVD/kMYFCgFRTAMXmPnG86dDAN0kdNfRQftul/GuB33bQWaLLLbiq+3YBWv9rtsmLGaXEsVe kutn0RO1Rs0/qk0/K4F7Zj0K0nHa1dKGJ4sn/j9NEfBtNi1I+vTwfv/x9jKv7bcq0+k6+oBYe0fr u1UGk5pGe+onEyK1u2nSwmH3Z8DN2SvBtR+A4KbaG5OH3bi/4OiVM2earE2DMCr8/mjs3mNIlRRq XE605z2fXMeSYa716hU0HG59HYkBkfJV19w3cHTYudYEdh6HJ1Ke4AkviBWkdDcX97/tWWtKKcdr dAFN2Wxlpa4k/vz5GnPKO6npRfkAwFo1D7QlkxwSHB8BeF8VDJfQCxGRo3sMQpShsd5CVO1iZ0w1 6l85x3/5kYP8zgSzvV0PuNU5HRMe6w3+XXfz89YWx5grjOV3Q+7IcVbBP/m0ki1u/A+i1ZHae11n /jwzZZN9pqPWIhAdGSaEGDSp0fIn0H4IBQ5W6B5HNczB6ScNhSfOTYtmT1SbIuDIqSbCL+MTzf1F 40FLkHnnU/aMZTZkl9q6Q0iNy5vyKw0e3/fMut+O0JPPps9eWUId43RlbBgK43746V7G3zv4qIJQ uq+EIBHR2olzZOZWL6M0rG4bEWAPusfBZH/bxNG1b18Y+eARCA9vKLGyVJMYuz919XrgHEz7w9pU b3PeMmkPQybQNwdfYdirA708s6Ppv66srnyq9HY9mp1SH7IK4QCLAjl+LpjP0XZBunH8qy6LFd4m nVrmquX22zlQsZ3mKcPqXQArz0OLH8JPmfokTB3Ct2YJ8h/PDWpGKLF4iAP60QV6qC4eKp1KOYdI jJf6bIq/hc1ALQRamt653PqFwxlGv5PNWT+3tGduuwSCkj/xzyGkFsAKD2ojXy50nIpa/jViVmFS YXrLBzk9+XEaqfCxPRIcBWU2tsa5wMOr86vQiZ0XuKwovwYMGxR1Jwhd2jVENj8vvyAT6DFX60tN bhnvjdVKo42+95mfr6nwdIB307F7neCHS3z/5FZgN+N7GEiVC5Wxb2sJZtv1M/snTGS5AveiCdZN QAkpsDuL9ZL0JkdIob0amuS38M9C+6HLAfXyAOR98JvCavWySJKuF1STQRnZBx0UYtcdQRVvhlOv VmzP3WXO31PIkyHNeKSr/GG1iuai2pYDNSNVoNmjMBvdIzxyIOXupeoZ1kCmRUeMG3UvIr8ytjo5 M7hUCvT3dt1WqiHWVh8FvobFaGI5GMFcghlkE0sRP37BsOfzYF4ojDcDr4+NmZlerVjNWckELemJ UsGFfe9Ospb/XUXsmI/+t6hAFO4sJHq6ha5MHhCph8ko11EyvjpnxHEjkVAXW1fFxsLQJa0TicyO IAnI9vi2eWwH9kga0mkVpVsxFkfBh+Arom/lnxSPW59ddSrisCH45McKN9mhU76MdNRDuJHep5xN Sh1BmMp8Ps0c3c6IAY1YKiIfgj4c6TOH/v2XWM55axpEGijcOtxEHx90pVzy1oo6lvmsZY5o+YEM XYTBv3Dd+gEEL6VNbHAF/B10jwaA9yZSrJaeQsumN+SbwL6w8802pekyddyuCb49C2BnQG2CZzQS ILtLPZIGhKK5pqgOd94fgjfnjxK2eet7rWLVck7msAVlYUjgpq65Rf++/sVp8JCIxioviwrdDn4T huUR57MTXQaXbqN/I88czuG38BbFHzjPT5QnSvp8QelTwvwRUfW0/PopyVYEcT390QZsREMiopVn sStLVLo91su56wa6edR36NGkVVO0unncGXjEtkIQjjlPzGwWE8OCPTa0uHpY9+idbS991HtWEQ8Y RFmU9726DTMWnq63HOVvbqfAKT3/gvwwerImdlDuVR9kmj7v2XytAJ9eE5vGhNSH/vQev/Gkqshj hwWDAVEdZu7Z3yMfTXJ055LqKscCU3egt+LwapcV7d8kHbREE4KTumsxmvdyv0hlWs9Wv06OSrpf C9ovl/h6xoEorHCKdO5zGqRdmeX4gVYt18E4UTR/TGUaUr7K46sbfP0fucqfzUy/w1klgqTkFQ99 GSuQBjXmqvU0eFnxE8ySnEWoi4XAAVEkcaVfkEB4YeXcLCX3NG5CWKBsqMHd2uoLe4JomQ9GEAdB D4Irt49zE1Xft/4C6MJ9fSN3Qy8rXZhH+iNU1HcuqHXfuJTq2eCEMKfXC4j6w5kILN4E0Euk0acq XC10WVwOAXRPWF79Jq6s4eF8MBFRBxMzyDymSoDp+cj0uekcmoer4Wdnk4l3G7lvoivBrQ5UU8Q6 9j9ozlRCh3WMiL8RH8aHW03t1nReaek6Czn1J4u7ve8/W5IbKF0h3jNLsW2VrvOPwLIrPFY621cd YtiHv7mSJksCpVjhPdko0pUgc0axhmcxR/T4+iuMQgjydqR3PJ7lPe20rWpWazYbSurLxKpgnjVz dJAaU2ZgDQF9ESP9roMj0xliYVkXyNKJkYoZQL4bmPfLwvuFoMG+YGhShu+7vTJNvrK2u58R4S4i foPutpswZ9OYCXvnGvBo0roex6+buet9RC8JTfept1s43Bn1RUXAmMhzbGMDMMiWQVh6upllZtmY FKYJCutatiiWwokBKKhr0/BPvpsTya/7U6UUvGkZoL+Dov0eZZ7eAqrejC4Tp1jCz8DE9bwvBwlV KtjsIfPLE3ao3njxq4mrPLzAc6J/ReHsxfIDbg1IVpM9Rh1e81AEI59LtP3Rt4I5Wom8nwPa9i5q tlRec90toL++nQNaVCyJJLQAfETkIYfoECvipBViSSx0fmIQ1bvVHaW4bhTU9XDLCe30kKvriEx0 PFV8Z6hS1ofEJocQsVR1JrfZ4StzLzLlFKaSqMzYeSmKR6KS/poITApmQBeou4JCptOxnx8YP6IG OTOnfhNHkHu3+jXjW3uP3qzlyLKjwLSl9VC4SHz2UkOZhvvBdrLM9/mupBEQXaqdDTrxKARB7HWQ s/pMyVW1829Xu7zDWThB1J3IGOElj1Ou40eWcAYCvuVxFZs/z5SuPh+/0ffCTi1yI3yX7o7mSKH+ Q6cndrOGYMbMW5EhLMjPawY+oCmRQqH0fMTCTiFliBVApY6nXgRS6put9M+ic0igFRmIyEVc0J3v J1n9SMQqgd89QRko1AdUFSPB/xENzu4Yp5HCOz+EKu9KYnNuYgLABJGaKDeizjVp7lElHspGe0xd /P2FcjDhcFxVxLfUMulEbRpb9j6xHJQ/mHIeGdVH4xbMRNFc05YywQWQL3tfLZOhG79zuHn571ed tp1FR5smNd62XkxklXpjNkWl3Egxv7s7OE/2PRAg97OLCSwWqIBTgvmBF3MVrqMbXWka62vwVa1r x7q8qPAYrp5CFPWclB6uxNXnO3gjlQ+M754J8hlrUfX40eTXCC0B/JFqOzrIHtrYHZbSShHnnMOu E+gt/zyFLZuXs2EoTh0fV4I+/vCKZ0CFa0eaKrxoR/FZg/09nK6HtI7Is0mYZEdXXzcOLiVnfWq2 kss9H4Z64Ee7Gax86PlA9znKgapglLkyxO53CFCC5MP0AMIqNjGwU9ilKunSAzOEKMJ+RASR5qY2 AjrxZ8J3p9cPlyrmkz+3EbueNSRdMvxMpSkG3sjVdiGyXcX7v2o9Y54yV4eFihlu4Tvzmmceswlk /GiKL9DCTdrwe+sqgzuLRUS1TjyWPmit0wcIqQMZAm/PF8518WA8q59/Risx2tyv+IqnZ0JUB+g7 DN3EmiwAj3NKlEGA14oSXSZwPjXI6kSFW4yRbmaOoJPdrTqYkNCA1qANDxy/jODDK5DvApVG56lI ACmqOXtqMOmpczqj5PBWMw2B5xW10waYsM7JCb4iGnBs55MAqiOJTvBZUE8QrScT+SUfhfQNGLY9 Zy2bizyhxKZbGwUQWZLWe1SJxJRO7T3G//bItjkkxJFDJ7n3FKHs5V3iCtzujDoKlqekQqZ8gmtM +E5rTu8Q425oXotGrv6RgTJ4PUVMIKbz9XKoIyOBhpm7LOaEnJs01ioUtRlwXTv/x98n7GmPBf1g x9ax9YohOxhKee5LWDcnmx0wdrR9XbamqBCaI0zuAoTrEAmGTXPsjXR5b3Z38DiVO9dpDyXPib6H pHwyDe6/lxcWhmBtKZWuSnnf+r0IKlH0/N6Q1s7m8ZUNgbzPk6MDAjtZQDJqSGDhTPexUXnRiTIc sj3hniK4CZd9+x5GP9AcP82nz2u9REzFVl3A0S3IOCr9bqQefapz0524OvnKQ+fPp6bkOVjFg4LT mTqO2FLOX4IsiOQjY/X+Mk+FLSSo1FF4SeUzremLLTbmmgSgIkBRytteePqcgqUXM2TKA4d1xi2f 3HP5M7HVibMmH9MqDTn4J8y3QLyantIHhY7h65DF1jGU1AqLvRm8xoVlG7NfMNM9Dao4ebR8EVvj hl4Y8j97E/erDojXnXevpq7Wp27ynfRRJ29SASa75MRPlKWtS5vE6UoH/R+M8Z0Hyae2ahret9IV Va9EbseaQaaunoFRNGHQRUIeEWb1HNrQP3UTwTj/afjacZZUHhyhOE7JccQ/Pnq633o/157stEbW rk/TySmSX2gCz4NNxB0NswvJqhzFhpeLT4fPzqy8MXCcrR/N661eOTKSFc6IP9PmyTwYwLz9SJ5c SD7wt6ipYvx47eGD12NMYXOmKCxq748oCWbyxI7A33Fesqx33Ydcn3gNCG2cfyCwSYUrlOdokH+4 tOfWDtekk6/IMfay7l/24nnE0MNloBV1Zvt+7QW6TONLI+IYZeFZlQREqt7tzfmzBqdiRJHBzwHi fn0ayiCsKo0kMRfMbqGpTK2071yGi2IdiXUkOCLvbPe8zehTa6ZLbnEECIo7lSdmYDx4zamfxsuD ClzfRj94Q04D0xhZm5lm0z9xRJnL2hZFM/jEYuLsCd+r0RowIsALZcaDoeet/iOA+EZKaHosE4PI iTi37M8equxsH4sfmX6QPo+g1AFBv6jCN4h1IbFmeEs+g3YS/piEn0cwpeZrdCnRzpsqEXebYgOx h6dvZ2eL7yV3Q8mqaRXW2MdZfU38+Qx9yGuN5MlFcFbsMovGD0awzrhVEzCoZUEJ0xNfIfYL+2dh r5Ut62ABxBLkRudzbrzEDLMSCB1jTf9DR/PUCsNLLqDQdz25Q16DEt3wbFLK43Az0VNgBQ8gyuAJ /fEpu9hQ3MmxNmabQvf5sVyQ0ktSQsnsCLUw3COF3YvNTf3imkmtumbdXso1g1B5XFMH06GmLAsD 8D8y+WJFY4OqJLLSBlIbhwBRriGA3zNYplkFQ+6xNZP3Ea7bOMu4MtcmARP2B7rOOXIs0ojmPjCY blHFtThPV+35HS+MqOhCdyzwNol6VxUWsYgTqFy+nb95iQldXYNbkf8/n1UG5yuNKWBZVyuLUVL1 /TysJiSIB/iK6GQYmk7M+2e/+J1oie5a/Ym8zxRBL71e3v2LobPEW4gLJSewCbhHw6aw4M2/wlLt f2dxUgutm31P0C5wuQWkab2gGAvsy9wQq1kgwnNgfEioQmRVmOXGjVDh7IO+04Ep2T2YJOEL/0y4 M2wNSnFpP5JtpNEkx/Av1FjGciuDr8JPrTtXu4aY0iPHPsQF4B6WWOjCLwp2CMG5ecgAPpS5RC6F W//jyxehAi+p9qhxEGaONRloglc0jEw9+6n/vXOji+0DZTgdlrYeQXWbFvW6krcpWfWb72q5zrto EN1rD3Sk+gXts9QjxV9SgshHhTBYtrHEbsiNEBr9I+B2TS1cnaNBqtFLWG7H/woU8uZc16VaB/ZQ tFUFPcLrLAKk63zzml1zEKD9RBFk4MEhYuX28BIugyiUQ4j2/Sx8Pm+rxmxRGCrsnJrDwcofpxb8 kKqVknX2B1pHFDWSQwXlyDeW2xmwMAN8WWhO9ys+mQx7Hmjle8hnBCmSNcHFYdSbmhyqjzU0SMZY JtfCYtdYGYT1nRe5N/i6ZtNilgsqOKoh9Y4kvGZYVLVHlvDGDVsuSDmPEEJNuTyq/TL/rJVVeRCN tKgTKsvmLGeLT/luXO7Ck12+veihHN15FSwJ6qlUxMfWMC9e0DIKVoLVLMsBheJMdZ/4vL2ta50g 8yOds8eZGJ5tZ5H/VAQkNSVRsBzmlv2l2s/3U45WejIZ947epX36XNxPd8sLQSyO2N+me+2vqQdB 2vxPXPrkVtHv6Z+/Zl0thTkw82UwLWim/AJsaDgjnlzWvvuc+AnYa+EQgkZogPbkLxaUyAC6E2j7 gtz1CyPtNDcchL4pDbaGl5b1ALhUM6ToOUiy5z0PBWiRfYFjT8cmAQCjlx4JospalYKach76kzGM 4gyTMgC/FzxYqMmMS3MB2UdMd9oMILRy0+BLI1eKhDqKDCcTnBVjBeZl9Kv2FUpBmdj9gFlGvbQc ipDCwUmRgvNJVgCEWdGhqvLxWzj3XF3bk9ml/xcK9gnOf3NRSl1/XScylzP2Z5ztpUn+Nd6tvCr9 SCXHeqobHMsjKBweB0df8ysGcMTTD7Y3qpJj3T/VvXFMVANDQVjq0kf6uFHfNrMP09saaWifluox /iLEqSHlhNeDonPi1nnh2YpjBnG8JiOkZe10THAGXv1ukWjO+VV56Vbf2o7DLRwa/DDp90pVBgoT PWlF9w+Sv2L2MAsS6wGAEBNHWsZxzVReDPL/kA+K5BvQnARNfbKbDinkvc4dttnTRMe+PhyNurGp 39amDRCMnS1bkfZWPvtaheC0KjMYLn6hTysb3csDaw1qbfmSYEtaPV3MXCxZukUWqu6p6wHXKPsC 0/mpYxG2u5aWtTokvYyg/CEe0x/LCaT9w+/gAIHs2xzdgPX6r5qDWP5yVzUphrpvy0F+aK1Y4kns cGcHi+CMY6QWrwJNPAl68sLyvDHhnOUskEXoheKTrpAfH3od5LTBW8HfEs7S+4NTt0tVYqPQlcBx Ay6oRhF1BqhfL+aMYFzvQAd2pePPjomCIVy0aTUf4yMmU4E1Wi7k2cS9qoG6C5tYrM5A36U8+CAl ybPmgM7e+TYVpnGHVLHw/wNd5E8USlCM/7gtM74EInaxy7RbZswnU6+2y0lWHs1qEwU4k9kYTOs7 f063TB8Djzm6a82yxmH3DC/oqqQN7VB2/p6MaNwsPwR/yRZS2lBFmyp2r9ol3VZWuX1x4N2L4Bc7 Omvh8WOSSRw8MzAsv+VK72HOh+zl+q5Ld9gVurW/w+dhfp1amo5n6DKIb2zeSaypMiaKnmNxUTk8 Mg4LZctuCkgxutC4ZtSXzAYxVdN01HbJW4yeP4j+0iBTltsnlbvapfGFZI5KzbGqL/olL3zmKc64 NQVXWrupjjeyWLXDnw84tFn8aVAXGzb6k/SFe3KWILsrSY9iCy6zVNMsB/oz/7rL37j3VoLANcQG a3+92v/GAsH8yP91YTH21BAz8GvmoZkMFTG/k2wdCO7gteuVpg5jQN+xI925s4biF+LajruneHn5 uiV8A0WJ2VeB2wRo8Jh1IeuokMBeXPqhQDeV4shZZo3HLJF/OGgjQySd3wJq7WiQqke7oDtP/bHV aJYrY8VbAeWZOjEWSV3SUhCs8jcXNuJFbr9CjYLJ6byo2eivgI249hgOiv0ihunT+9C5xFcYeq81 pF3i4Luuteugin0Nagjc1was12E4pwu0xhQKPKVjTgKCUBZE0MqVNc8mSbpxPA120KcmmsWnzU6n g/KuyVAaAniI115o9yv6Pt5wbjD1oPCSjtLM1eDcs0KtXRlwt4Vx9Uk/XBK/oiFkUxiWXas+cC8e nPBco3sdZ4pYU+l4kj+JYkGVPFGG/G/fjvyuHz0L9a/Xz2zVabsJbouE3uer2y1R8lWYDCktAFZ+ ss3ekaiTtL0F8Zea1Ie2zq/9yzffOPOpyCOJY2j5C4ZDFvAyx5r/hwo1SVxM2WYG/MpPWPy3azUz QzANhE+BZkcJN2DEcW9q1GQSrBh50bBkCZ8cwrs6+UFlXF8wtUI3EdzmPqECsUo11xWAQZ/AAdAn ImN14hWyoLy85Ocq9LYMVTF0/SmA2/3mU/jPt2cAJ9QDsoxdvnavC+w7O/ZCZbxOvJIPsAxsm0mq avf/jxJ0bhr881qBmd2Bk7dXhup+5SXRdI8Hif8GxA535RivTX8OR9QuuD6n/50EoPFlYEC7veEg QYD1MY5cNkyCqPLXZeD0s3gV2L5ITUdohY94wI8ZELg47uNnfFtaoSmnqEvC8eRv82J8k4lNJ23O 0kS1IHot/LFtYP3MqBQ1h2HU+kaoHBzSbhAE5S4tMTkI4038hhoLrycQ4+kAcK63c6oPPfe4e26u gR5Csq57cPMNHxSJwgPfg8hFGCNseC04HWp9WJFNHWryF5xmlNzoVIQVJn1L2A76hnQmOBV/DVcz hgwGG2P6SMEKiK+8TeHRTeu0yRW9R0OlA+NR9USyKGzCLZPqteQyQdbqzwmM7wG+TvIkwjA8yu3c uZSYcv/ly+JM9veDH4oi+78GCUiWZxmTeimNUlHtdlL9jD0AC4Jzn2mUB5OGYq3z+vEo3p32/NWk INucbHxCDvPLJ7oE0mAReXSSUaLDrmrIu8wMvYcIKscQoQvziptMIndP2+TdR2XuCP+VSwFVmJZY 0c4MtKUC3HPFspvVFyyoLQDdLYKp/lhN+VYN5IYl3u9XcXL9J2MU0MAET+tvE9bq8oZNmFN4anOv Uv8HQlWdzx0r2laHNeJIu2PolpnKS1+932+hO9giIQgA0Re+mfWuQLNx5gJKSeyB/lZjvFzYFamC 16PbZIbSvfq2tPpGdITz/wb4mBM3wfEY7pJfa4b2lemFG6XYSga0A5uYEaME5MzztUGwOwQtD030 eF6+pJcCYSRhKsMQNfmRETdCqyjcbst3tqvVoksTqfqSxN4D/d1njbzgtqlGxzOmbBLkQk/hUL1j nvrAoCXHZTs3qB3wq6kuLo4sb0u1C9t9rd1nAQLE5T1lVeI2n58vF+Tsn17DOG/LgZ//aGapb5kc C5molQLYaOubR6ZVX/C/0YtyUn0SCjHdUekAHong0MFf8UTkWLmzRlcAUNzezR06Ed4C2yWqubpW /3a7Wjnr3MGHLGqoMWIWxgRIJK4U1yZv36UI4WxTXgNynkZ6ziLF2AjXRAuSrO3/9nM04Rv1vBem 1LLSUyQJ/IASH0FZZhJycIfoAbxyAECdzoqbj7H1TYAMAzJktWau6Db1lQ96p7SJpPRzRbwli+Bv tt3rH07uO1+mHkzrwtRHAOIWu+EqpEIdEh5jq75oHZwdFSRySepw3GZBHHUe24LrD6rbL7Xlh/K9 +qCv5e7k1UkM8/Y+IlQ7QtHksWz/1DujBMpPlyQDQJWhbktWLOBj37rPZUpzu4bqlwjCNS31nSJk AKhS+GvhmyhbkHbjZUuUh+egrVEWdIyfJqKkLZGDNuiOAIteQS0jzkuC8oFBTJAqGLEQmZYOaR4u nyusR+/Vw2W2AzKobguOrQuxYh3IQ1VFjY6e0F6RpI+XWIwU4gRn0OGQYWui5N+z3hKDN4PKydm5 OaUGIdXthATnKRy9nHThwXgalaJ0d90Z5yhXfOlG0zShvsWNHPUCKnjhgWtEOHgkkZdXEn8lujKd Jqw9ncagukLbmW9XnXcqib7G1B1EUnZhD6SrIaQHaNdYWyCtE2gPFjLm0tsTOtYb61eKIVHesLl4 lo12Wy50vW6XvLjKXKm/XVC/rRIHUjOe7I1v5bt83QDCVdL6K4IEcMr9D9h3ZXlZIqfqodeJbjAt SOu+oO0jGXTy4yX7MfghSeKf7eNv5q/nOFfFrg+IqSEvISJ0L9LDMQD+e2JI634BiZt+GeDsc5Jy rJfWOLK8sBEIFD0ZVBhQvQhkN53/aRMr6MbpmXUe1YntKhn/t38Xe0uaaC22hNl6VNlx0HPt90qU Nxe6ad8EGS6xUFoSwXI/0LQKSynHi1jKOByfVnaR5R++uRLINsjCYOd1JcgJR+qmkkT6/xwqzw0r ElYfLk564OtLMiyrQcKmHq9Za3PSIwqHzz4JIlNl4++gMo32wnjIhW4EnuFgO2XTEWdBaluKBMIL yyO2qzLxEPxKs17H6BmVVo9i3caNRhUvE7TYctM9FawmfZ0Fc3skGEoPW0vTiyNPj8zPhny4PySu Uc1Ef+x1u6hJLOmoGHAeyN18nScw7AK/476Vxd8NlZvNObcwWShZ3l4hRC/Ny/ECXLRsVYptAlz4 SFAOR8z1IweTP/v4DIhhfPvnnbHWZ9cOLJzSPusoOCk5y6xgBYijxprMqo6dzBCG8uUTpeiP/0RC ctHVmap69J32YB9eMVSAnCkRFEtuTuHf2YWYKo6s1BLPsz2dFhxRWZPsVtghO16+Rig3LOtxKLiv XA6xD3QAYKyiJ4/NXpZ1VBIcUXgV+qEVPVLkNwiiVIWBG5vXPsl2+8WkcPLhweReC8Hr/zjvtzGQ SXFYoPRiRL9cSO1kYgr2GRHZFoGyItIK/o8sm20AJuQ3kU7yG1rmbhHsnbzzGbKFRhrRsKpVQ2PH Ca7NmHCq5iaJE4l6j4QlPPlFx5JObvGi96uEfPhYiBsIESkZUrqlxKf8ZmRIG4UTjJULnQ3QvRoi 60jp8WSoWA5p6ohE5pp2bHJNeI84SMjecg3pPbRgr7X50UHsyucVzb0hmUzB3g6cDV87cxLqNMAE 5m9rQZ/KSvLNRs6IQGfEDAPMWKl5WUiWm2FHOBy6IYosGRQ0W2jGkzD6am6O9Omiso3iUeHG21a7 b6fEssMSBcrRklYzSUWtiDyPOq6RkLyA65Jw5sIbYnc9YWspr4Cnm+lqrwjSvsRO/y/F0mNCtPP/ Fk4AfjBjulOeSqrVXjnqYc5/ojq69rMRwZ2aM38feiQl+gDHNoQoF64f61+WeTfkN+yxYFyOoodq +peBLytrLwO0zNySCICSEalXkwSvUfUlVwIX91A1eozWhmUU6GrcX8MdErKkL8HkcMMUKljy4ZZF t2PF4H+tW8GbHdjGrUfGqVxDT9itW5EWFT+CvKqo/d0peRKwFVTRDidISWz10J3TBaBhA+PSd6ND SJDApJ3Q0xYkyl3lnabVGWzxCZ0n9NEA5VVUUZSYtT8+eTEcqm/xIjLL6oPvYu8Gjvr+4120Fz4Q E+m3Comw356T9RDlVdmbg6LAIRwwOF13hl2x1iKTkQtPIY6eroOgtEA0VdetY5i3wkt+MbwHIr88 Ybe29Q57ioWiHuFrVItQDvddX/NVUu8gf5p4eQOEAcjyf74R83hlowKxomlDL8BnPGyzYnSeZxxf crMM8VK3GAc5J4CKlNsil1CY3vYpYyFtXtxWO+megEPMQQOZh7SGyBuJFZiByoL0R+2+cvBKhjP9 nhxdIwxDEoZixdQwbqVom9LRO4AQ5yM5+SJhUkSdmBFjBKZwsKXve1BKd1APO0DuDfUDX39djl25 L1+oe68tuiRTrvxd2A6hly1DyNJsOwPQ+UNTANeulv3+wLOjMF7MpKiu7RjfJxQTV1kKFumZ+R5y Grd5nfC1j5SLDekK8r38M6BlcrdZ7hE7QLaiUYAajPosupOZfx5UekWynMtbiPujpWVtsgndFg3W AkLUWp00X9uFYiahEO95a5dEIdQTez7X4jMkPDFyc6fcjC+Adptr9gsrUDdt+AdUTkbEDDtjX9Er mWmWCNesovdexwNEFvhmW24FhEoM9CIgKCphID1iectE7reS2/Wxv/iyf46NjEe4pvQGZctCRyb8 AeipeK9KBkoBeYZ2bBUIUfue4R8GkjHjMbalRAWctOMW4Hpeg+musPalgtR6Ls4SASjHMTH2Xo6l qS3NmDxRypnRV1+jHmj2yw6fYpdd8AYaGmVuiGbwqmEOWtuwnH7rYOzoKtz8s84SmEZADXO1hCkC lLDCPZmbCNWZ+aBEfBVIhFAhYfO0BebxO7nSimfDA6p3N1Co+RiCHtr2uPLDNJzUETZtu58uoja+ PFr5uBWwG2/bf74+tRvWZIJMxF3IpqCgnk2ueIh7s7CPqDzsUw9AjCx+j6T0c89aELUnzaUm0wgO 44/xD4Bj4xHHUGF0v9VNpAgHgPoXm2gDN/i7TRAl5gfxoyUybTPax0/ipckHyCNdm9DDZ0BfBNua /gGPaOwko3M0lakRMObAljh56pO7mIHaRs5t3Qogd4aIfo/f3JZhbGXJhYaxPT37825ub1FH3aDW 7x5OFnmzync2NyamXdngjugKnZbgF+2JKScyzP6DOq5CqMYGGZT4/pvmnO8F32dpDjaCr4LoyLJm /aX906gc1Xqh0WWNwZyoQ1PixBUgb3B87ye4V2toR88Kv7LM58AH4Dg7uQGajIYPOzmmF+OXcEhi Hf4A+6hhJ4dojRfL1FYuJNurC/Ysj96hH/Hut70vsBCvdDnySO7kx/GbsBELVojj68NZDTX3uoAQ cwJMthiATZILBJF05KQfLsR+72a57PDFXX+D3D/DmD4cgiQXnqyQIc1A8NHBBHaIveO1vUnrz8Ua oDWhalmlULpH3ufNymYpqcMjdWdhca/SRlYFJDUpsPtbmIBZYPpAZUO44F8Q70fxd2XWILlbg0K8 0TYnkAGq9BZbHZHgbLr54ZT12PPQqkSH5uIMsZ5I8zq0b5XIMhFlad8MRqHdv/PNmddJS5HHswmZ +gqkKxjinxZLSdT1ivmoNlACDwgQ9BXX5oARVwYebrvMAoxRTlCPcD+J2mAfsR1obsp4DGjYPJEs QmmYWt3q7hM5IUOQnnVQlOrW9ZL49uxMgfCUGTbiRiDVA9UPdlOC0Eiawl+Lfrcvu82K6CZRDgrU JMROf6Gjpa5f0WV86EiPEZNX+wxOptOddRrpVHCJjPABxy2MqjR7qAyKyte/mNZDP2+5K5eHvvb7 Z0rTnLta1kTpL/jnfeZC+GhbMVGDDv9S0b/+LZ+dEyt2amUoiWUStYLlkuddqzg9JvF8iijERoqR AMob/2q8pl2Y4KslfSwW9xIO9GVlOfUgNYFkHBIpdbm9fLxCSCxEBr7Flq+2YUd8iZVO7SJ2Lg94 e3kFIQcWfPMadgLSJRsD0wikDNn05sECUxFVTaQ2xVqAquf35ml/GFImFs+anFiKD1jGOefCq2V4 O2z2JQGS/knOKwZUdvUpmwkTGXDcS5WHEYbNbdaCmYoLTG4tPJ6X8c0E5/8GpXq166EZm1kW2EC7 az52y06OJcUT/zZOqP1tuzJKAWXEgyQytEaAkDyJ1/80Y4Sss+pL2oSeMefybkjQMQv/CdZxgaeW 1XKz8a53mIxcSaCROzKumvn6AVeH5CNZTiCiqJG/JN4vN340yUTlLpaVWvZvQZ4QOfx9vOGAt6uB O+pljSHhrnIFrHP0Jw1qZqAOeJJqi9XMdjjCA8WszZoQS0zVtFCSIfFZGPqHju9/vy7jmLjjlztC 5YqhJDK6rymfU0nPkD/mf+bjVm/5mDrSl5NGldqubaKIpYTZGXcyTojiCAy8qYCOngZhTUGjyOkU o0pTYKVHIc12dIK1fJjCI9ywXViRSSmYSi53BB87eMzYJ7q5S7LyT0IFylJsL44kZHbVr1qTs84T jLvSceXcPejJ4sT1cmt7vN0lBSBedm8XjnfqukegKTtLUFRzpvsfZjGWLTcj/I5CB63PNQNfb0O2 Sy6LHFJOgaZDwduPr6/t4k9YFs3rYP0T22sRmYn+aaNeYoHp/4MG+UKSPnOxPzevuRXPkqL+ygf1 ZB9JdrP7PJ87Zu6yNUchGcObtTDZVg/4jOBlHB2WW1ixh275wGYIfQJdV+bHe8leRR4qCPa+774m 4BvCuaWpfD/wOQm+h02YCAC3VboQBxyNp2zt18KwZs41ZQEhriR94Rkhtcx6Cel4PYqMuTH1Yg48 EldBDo4/3SQ55cuahc04lMCuzCphj2WYQRjobzihVw/Xqco5BM8rGvarFc/z8e1zvxY98Cqwy/CE 3tzYLxOhXWuYECiiaw++cl12b37vwPkUreBkv8C/aBCGDdGOKTVdTwtDDJmh8t7mA5O9Pqk6u+oQ FjUusoPFVLNJL7/sMzQOUrCrCsCm7yqO7n6f3v+ecLs+V1nF8A2yBlZ3/IJbT5uLeumVqMy8YoLq fGSJZNKGtIvPJeQ/bo0A8L9hNrbrJAtUoh1gYOPIwoCXhGFGhMeH/MPuk1ubrU1XheiJpZXjfak0 SwGKCWGKDgCo8Ws41fXNc193CFrP78dbu2N4UArNOukvqor7Qm7Kwfc36hKVjHw+x050M8G2BWGQ SgMx2h+cBC/s9+9NRxxVOWv6mUwsiyyZWJpR1wRY2KP1OLNr6dGl9hbmpo3HjOe/TZhgG+7Wzvci SZVBdL/8mMfhtbnSwPBl/udGmL2KyKCVScw2LTEn/c+IzFU7aN4asubbHCuBFNWOjZIPbiHoFbPb C1ewEFdnZt66gz++g2oGHxwXVg93lXtOboOMvUKkMpWj/P91LUarDUGc/ztlXVDKQ6QqP2tUr/R0 W5YSm+JYqZRT6aSJh3zVUphTaNpdqvu39etxpzloCIqI0vBVOB1wK2lArh2jU9G7pLmwmCiv8Qsy 9NwpuH13XY+LZKWimDOf1X2WaI6RmEycBvRDc9LzQxEgV2LFy3pZsR2DDrOrGv/AeR/fNMdce+cQ Q3VXB+tc/PuDbXR8sKsD9UrMXY4OGqIjtPTF5SURqJfYcUOhwfMSON9qG17tkYGxOCtob6HOFSif DTUGqc1gIwhXyVM1kZVQ3yYmt4T6+PwlEMGzPNEPshG+dN9dVHO0Th5gd0UdJNYKqdITb4HP3b3L jRdZYpw7Z+64KNkXfjU9DETG/Wut/fYvMTnnyGf5JX4AA1/KzP81Tn7ui2ctlwUjByEly+9WdBwq qrr387dDIldUijzV3erXVbnUuT8c+FUZ7Zp0cjHJxzb6RjTejysqOkw9o0oCMtllXi0H214n54dG igEgCiZ/JRdgxGh8NH5eZdLnZ6irlYCg9Z3nkLv2Gd7+uuTy1EzZx807aLV6uZg4bH1NOyTPPi0e JsLI8TC/iVMca/F2SYFqbESdPdPJRJitB7tOursrf4zVpYUDdXFk2sPhpilB8ms4e3lIEK8Jpsuc 7SJ07cEe4WTEfqwdcYRk+kavYYyyezAXS9QH/U4WKB/CPlRimJatCKvrYGsTr8T6INy9QDE2+VgG 3jyKsJSa3fwzjCfuivOoSwxpm4Wb0ra/K02uvgTLIiev7nGAZJ9JXbe5lSr5g7cIur4yjuTK+Ere HgtOqP2STO5SYW0KBW4EyXpE4lUpI8Nvd7fNm3uMFPDTmNK1uXd1RpeTHEfQhaSvamLyfcodVIDL KA97fPJH0HBOupJojbtV1usXCvHa1v8BHeXEGpZES6/hTc0k5E0VIV1MZ9Jncth9xHtZIsJUXAOe 0RsVB/DtWi4/VvlsK7gnny0ntL4CuHn8ZBdpfTsiEh6SI/IUDsYeXRgBqCWz+2yZso+IRvO5O8vC TKt91Gc6YXRByZJfQEklSsEer1uNa2Oqv9ksriujBUHD8gvCB5oelAYg1A81bk1wJJ+h1o+pDU5c P+pV3LXT1TwqIrAN5x4RI9VtNpXGgMl38VSsxMu7HvzRi3BNKwlzt6vYdWO/T8U8ZAHWPqu+khPG +2rc+MubHjqbdcQvJiFFQA2rQ4ErR9RTimRT7SYt+ZeIzyUpM0YArspNcxWVtkUE+C67ZTHSoZD9 Hq3wzH8Z2nB7swmsS9vgxnVF1r/AoD2aMuZ32/Opr4364Mrt+pjcOW4U8HQM5Vz4c5uk5fc69wMJ u+q2r0fO0FLr0JxFQLBV80nDDYGdXvKxGLBXTdpK+6zizhrgihk11mYmuKWi1Htw8OFrvTVQhIzi +Yj5lmVBkFZFUxxbY5dSCupYmEWGglwBqqNOCbr2gRjcdj0WdH+D/BspKZQsOdJjUkDbrroVFpM1 uLamtV9x8UpC8+5EALh1NBI5IFJJyXJ9KnJWREo9NfskRlPaIKXjFCApWR+Xhu+PH8NMozjPDkyP dZWKj8Ib18UfOBP646h4eGeXaPidNy8oNl3Mxupf1pVNzWx12zUTPhCVdV+6kYm55vD1mSVXV4TR wxwJPEHLGmtKIakOweCPkjGgC/gWBVQcQ6w8Up6YWdUAsnVmqqaftyydb0NJghvrabIxDWz3/FA/ faGaSfZP4bMSTVw2KsprGvpLJgHxY3plxs85RkJEiAN+bIO8u5idLLDn4OveS/LkLTlO+XOeKLg+ dRLc71OX0MeH4ol6c37D3Ywo4Su3LAZ0NicQ0MIursXvjE1gde+lifDCwZzzdDu+zSw3A0s1ftem bxSLGYDskiSTWFa5jZpSsyz4VmW1Sh3YNhIdrJDK4YwAp28FGFuVFxkCyiUqftYFxFk6T9G0/RLw 0LtEJ2yz+/Sb7WnJUbl3Z24yC1zuoPx2pZ+ubTPFzrOLtcq78rKbZ50xf+YbccBvsBEOwJuMdymg UdDC3XyOWHos+1MqGttqQb68HvI7blQtv0TumpCVxt1ZsmGQDBAdpb0JnFVYEBuwfppesYsZscM/ whA5Yn6V1d+pLbsIPi8Do2NRR7vi64yJEd9JEahJGrAcISKp47gZBNJ5yeU+Xl27R+WHuPV5a4OH ggjBG+vK77RYKRy089x5Z+jONVey+FN6a2Xs/hTiZUFtwljGOTYL3bmx7jjYT1m/o+TY+L2TYu9z DcDFG9aaGQG/iFw1hx2Yp1qzlUkYGuJ2fNlWPeviLI/Ud0Y5kG3NBa7aJk9OKJKh2kv8+HAezcXi sYza8ptzvdvh/uDxspJLBoOSSxCAwlhBCH/dDko/Rrk/nWZTUPHaDXeXqthTdGXsUbXZF3nBQdiE ApSRwui2iidGG1m2dGDTwniPUm2JKBVQxrhDGXMiHj4t4dr8b00I1Bk61SX/3eO5vjoTzqyS39YS eGaLFQD9xhGQPFlMctYKFZdhMEOpbM7IFkpxGBjhtBeVGEDaRDbeslcb86gGohfmRweqFEAumQ4p UX2DL7ZyqY6YzmoHPHmM3aJJwj2dBYrR6VwEtK3U+KPIibCftYLBFnCiAkenJrB1e7JVxEWvpQWk Hxro2L0GbztFMkiDx4NrQ3VoAJIwklI22y5xA3Dc3gfbW6yH+lLcR6WZwAf1A9U1y/2JopH/01ZF gpEHgX0oGwSDJwvh7Lv6niGFWPtRHCqqnOdBfXfxYH5w5Guia2wqokmY6VBw9neO8NCsJAazlXNQ mZ6tZMH1z7L+zWAERLT2jmmzgMdN6+deUwo8/svKrkjAG2n40NjuW8fbqy+e0MKk2Wkd+nTQ0wII jfy655ZiCxtekK3mEsFlex2terAHki64/dOzmXHWNc8AzBFwhenboUujk0madbR9eXYwX3ubhj52 RxoAhLxvNJ4ol9eKC0NtBxqRC33hOYnGyvtDqm+Z/0zUpYs1c8aRN/53bUUQqAwjgkVCZ1fykjq/ uJKgdlz1W9cameOF8qMewsFCzstPjCjijMTF/8J8XS4iBOQe4UfIzHB+hvTL11u4AcuCvnFV30a9 AftWOBjwCGia0ijXbYRWjIP3aY4vKaNraP7sDO6neBjZBTuapV1MQKK7hrb9sxWSVr7k8OyQvk0t usIvBQBk58ESdY6j9b21eAnnl5TbIggM45jDSLw7oHVHdCW3AX7KSZXm4N5EBFQlT0BgSyOFQxGL sxryTAjoG4guY3+02xqUwAFHB3UanVIHtA6gym2wm3gWi2jd52ezNcSwOa2XeOlYeqtCSltpc0Ok FTm9TyEkv/WhhgC4qxYQlxV0dXI0yVcw2dnsTV3Xkwnk+gam8LA0VGY04Cdaw3o6HeZyMD5nFfp4 MGl8PP2ESz2hLvXwABNLz0/lT/HYFjvz1I80Dr0PPrx3o9eBBDuNZEHQlMV5trNHDRmhCcVZN/PV VINUlpeAXfGHOU6i69RcfcVxRWApAzt+q0XgIx55XyygymtO40PIZre9cagyS6DIHxmYNfdMYSEu 7D2ryIvD0j3XIQTdaxDF2ReQG3SznKtCvXIsFimEz/v3U1Njs8HuxBz5Ghc9in2c+xQd7GCgSzMM OuUQ7CT7kkUhnedB0ELBSce5suX8V0Ayg0rqsyMY0WDyoEVBf42Zeca4ldHnALkqaE3dFjney0Wi Hl7dIxEMGs/12J88mDeBWI5xF2GKASrYH3kIC03Ze09x9NFV695Xr2qhLTUn3WHP/KH9WIYgfhGK OQaLrMcv7jOw0B0cLchVGXFxUzgsWXs5EFtKyR4+V8WsmsoaDQsGAXABrd6XtUZISQSN/yI7wImD lUV0CGhAcVmqcg5pvFPsVsByJlhu6yzAt/q5RVx7IgBIFXD+j6gMo6XM9E5zzVTxpIfw6WHiqFkx xcjiSdh78y2GSg22UufHCfoPm5CB7ZusFvSI2iygsrcswHaxqJC0W+0XaD3SeMe/WZNb18RU+XR5 y54M47TqYkaKBNl3zA0KibrDP4ZiLNGUuWBqwZI3bjkmG4+LMBlmHZ8XfpxyPia4T3zdjXYaEmsc amKY8+S4hVU9OT3dANfXUt/kxcGt8uTTMHkpS/jfZjzz/n6C2OfJ3yJ51zsyIcjxDCVwVXT4J/9Q U0UwcKAWOds+93pZxErx2yGnkxOe7kGOiHgvfzxOGk6qTTYFRrNH/VoL9fTbBAF8Xzu/9u7WxYnE Qk+0C6lLWf2Z9DE1ywJGippOoO3b2Hr0kJdaxbZ+nSibzofADCQ0SrHgYtvzvHklBw8vKFqdMark H+pcV7dQCHNVlgzhD/cg2ZCiMbtZnwKfYtvLbqgusz4oOYEA8kp3VjCkGOzgpKRGbauAQYrSDa6I gTaj6mSKj1ZgKDv9/50og8QCXyhATk0pSNaN4t//UDBu4ZAaEBwAscsIS8zEV+WouGlsE4xBEWdu 0acahzQvug+2nOwXqjDP8HW5y+fysCvcP4fVkXu2bMuFdfO0pduNx6olO0+26Ylt6Hf1zwInXZls ESfff1x2bAmxVseU22n7M76Wb9ukwRTW9C7ZoSj7BzEYOr0F7apDa1LdGLku9i/PXypLmKsbDieu 4XFhpUlSPIBGFBoMVwYuAGHC/DRdx8UhkkSRJuTAK5CeUxPk5sdjc3eRaX4Xlr3g3NhgLy/ohJlN F3jlNFt8FsSiZUQz+UEXRUPfZho597UOzZwAdKnOTN4w2Zrt6jOHklqS+x/gsqeyuBtPnKQ06GIi 3/CO1+6wLGofT/nAI5cj6+bZkxErw4YRHdrZd4aq9noeQjiIS8Y8m7YGnBxz1jkonTiFNe6ODnOe esebmw6VcyNB2wdxI6KnLApwiqRnrymGUOw/hcsCJnPPURDMwYkmTQElyE9/cx7CSVbEknEJ1jkt A8CI8kM0EZTVRrnXWU1Xe+vHUjUG/QlgHU9K9KvIQa+LiVvtwJWFyXrjAtHHzTTUWIRTAoVTd7n1 JHa+nsbyQcHcxx8dLrlmEEX7tLMw9BH4en2LODvePkebsbJahUo74ec/6sgmJQzbsQNPseMgDYYO s5xuj+uN5ICfviceHqOLU7InEzabC11HVLV8gUI/ibR5GyxgClfnNX0X+m8uvLAhzvD4VYdjzzEh b12ci+7EjdXLrUnDJeRKvm51jNHjz2jQC31Y2d64vY6sjjLQvCZzBMSOfeLbr0HPPjVNRjxrMdZN 1ZULXF301wgcVoYLBIC0W9Rnc/b7XG3Vjs/HNqWll6fCDNggRn6hhGcacQVAXnTlvWRtUQHvLVCA jE9YS2zEvo6W1ob/P4Zj/pV3ORUXvT4WdwPnSMKeE+wwZydj9A/ti4XqzInyA27LI6dWZ5ttXvnS Vi0E1iBz+QzszjdpKi/8eocWq2XM09NdWVkIFig8OyX9Fr1yqRZbVC3P5Sx98gFHRM0ZOYypPhe6 pR3T0LNYt4GT19vaUwt5BRefah4Xv6tNoNjpQyWid76e8KHCnALt+9/fQ7V49peSsOBnufeGU67k N/fJ7taVTkXRrLV+bG1GkacyRgj+RU34Jy66/SZmys7ifLkiVU+kuMWb0hLIpAh4Lo/Dj6KrQfZ8 Q6Zz4xZMHZLW35+dOrqUKcrqoqL93R/iDOUGKL5iPVxcDaYw+i5SwDBoZ+SRIEOzQr6jLqPhX4rM ZQEweE0iyw+RxKl3RrZhZikFuslr9ukggUtn/+zEqCCMSjw8ZOiJ+2kBkxXxEhuiWhTzm61KPsW8 Mm5uKT7N6SQWTHsE4waTRf3lMN5JJCs6X3U8qFhvWawP5DYFcIjiUwTaN3zNW3XXYrPhVOBdrHs8 q0fKe/T/mE/HWRT1galYmWc/XXYK1Q5yeX6Fw1JnE+8ky9xrXP7CrpH7ZPpa22dhVcY8PhznDFkK 4PpQaQwl37AodTZra0MZljRVZ2CKX+WtI6RbYeAt8XPNDSISjbl3qeZoQn5Fnysk32rTVl8VE9Db C4d9ado3hqBYIU2tAckZtBImhUL1stz7uvGuEeTabIbsejtRdF1k5Vfam8eE0YT8L3tle9CjJ/XD lV5uo1H5EupRYSZea40wsAP7yzoNq8y6Z0Ii98Si+ezZTyoxBXxD37ESMz86TNHQpkXt95+Cgbt5 aksXQ+eN/Xkv8EApc4pt2j0te8pjmaZZzLzK/GaZy1uOiodY58pMEZwTr6zAbcuFYV7sT7CJlUEd tLY+m35Tr+Mxut9s6Cn2nIq3w+M7gUhyyPkSKUvezvUbAIPz2avY3IHp39Kr+ynmAqSDXxCJBW18 DWjewJHTgpkpya5hCNWzpP+9JAcxhWKB2lRsG7EZPoGdxrYL5zqRk7PUYkZgpRdUEILYbQjwWSP2 LMtPz6lzyULWdheQUIph1hHv4PIQDsTKetLy8kZzc7ycElf1DQ1dp41/rA+8g/V6XtNB0CNbW3rG EgAmxHFtzyoewsDwRxXi8POt/DwaIbvsX9WdKl1PBvChNkp2j318PQgPWfqfxAiay/2KJXyiHMIi yMkGUrmri+JaFPEADGWYMWz8piyA2nQPT1D+nOXWHMZRx2VBGw5HE33CkxK7GSxe+f/BQih3WZKN UoZIStRNoA34THAncoY7bevtZOOiV1IgeLdsClwo/JZg7/NUuuUtUrCjSET/95mfdRYNHVd1fHF9 m/ht5LqQt/DsQJuFadQJwtaz+20PYj4RAY1SN2ii5YlIvHr04gSiUImALtUsSALfU4EimQYA4hd4 QXD5DRiO8IvNCMkW6w3Gowczcejyp5k1M7y4N9owoHST5rs5nX8OiI1v7SLFp+MXWScu+bic6Jv2 t7qBkjy1WlLQLSuoczEJjJ6aaiGaAunuI7Q6m8Ayf/NiVIc+l5jZz1ZE3qw0ZGCxDWalB7Z/LkGP eKMj9vdyBWB3fjJ55wnSnuKN9hr9uJA8E/ThMlL6OB6CU6eRrvL9aBmA6qCxzfI5eURUyUf/yyrA nnjbm6ERr+AlXVBK6830DP6dc2/Cy8U3EWtMZVZfwc2zcdYqxuRv/2H40/l/FzMlFWby9fXqkHND ZwB0Xw1mYViL6CiZDOPzAnllCKGldmBB6X8OJmaBArRtXWpJUFOcJcCVn8VLjGvR27V8O6cNKOtf fl2TQmNvWR06KOk/jYQxJp605C6jPIxQHNItikpRj7KjWmFpdz/mjw/i28bRP+XSkdyFKmHWgZ0q iGz6LNgKuXLM/JaQKO9RJtj0gelC0QbajxBgFChQmscMs3pODReVwAOtobaGS+e2FeP+TFJFi+n/ wZBXYTBMuCQdDgg0HPVms+3ZYgKnPd/PczwuYt5AYr4Fzw7KtJwMC0wSItQM8RIOvbiEXCg5dClP DwG9URp3LLW1syXQbS2m2udabaUf0+aBWWCKr26XZ2lK14tEmY+XvQIriNCdYCtAhrmeJ5nNA46/ WE6thsALF7YwRSk9H7pgV0pB7tJQgP0wyevAf5vof3IrtIolYS1bm4HTNeSY9KSj4b5h4ZB2v6BX SGT+kxiq7Ukva5g5ERqYJpRvKW2cxKeghly+L0DmeBiTQL4WAgyIO+lHE55xNDxy/gwPMRn+TwuR JsowrwrHbMRadvfWovNKGhYS3KlIPeYAyZ80gt7UsATdIspLH7/ckCavylV5YFukPSBT2lOPio4r 6MtJR8xv6A6LyYmiZP3hGXi8vFlrFUlxCbhMvnWPjymXGndE9pj8hi9/YjAk3B7u7pY7vJ9WH5Wu bOGORteLSkobB54BCU7GJHLyr7x1qfFAmG5tV2OzyFKbpNQnlML5xCwOPPh4HFh+lUsggG5/BT7a NpOZNn/ul8UPIxp5t8WlQql0xeDx1C4G+XSdNFP5w7ondhZ4imH13Ioa/7K50C1mHcFT7e417Ssh 6zg5RsX0LUw5FTr+1YoL/TEyPNxFTVGAZrmbM3cY+8y82GKYMsXNtYbw/4I2q4CUec74NcI31NDA 2wISYE1cHsPJmN4iyCrKIJractF4OOVqfPHu1xsXjyLEtlKHG9JLeU4jnZvHzJkXUv0/en3pGmDn LPTAf8zb5Gzm5SSFNc077/QiQMDTG5owctKh0sajJy0GBdVDAbwmLh3mdn9bxedJtkfzMiKl5rUq jXo+xe+FIizmBdGkqoub/w8+ynOirTfTxgsr5P6RVVDqCMZkU1kSAGyGMLHbMooGRZjErGcMm1QM FQUvyLQMvpvW67AYkQDYV+CwUTNH3PCCDfUtIMbLR1sFphr6Ucz/RpvWELf2acch35UGurjp+5qo d+xxRyDB52YjZ+UMwQZbgFBhVBAXWSq/0CrZy9YMJnBPLp/6X6AFhX+FBMw0/II+dIRE1Nu5za4s Ahn9DBgy18YvY3d47dWGDmY7Hp8BSzGOOJOEiirgTBYxV326s+yV9+MaxPfzrpNBFs1dohMddZVP YLJeTF/NdZgrIl0ot46ggB2xYfoEWzL1TMOkIuBA65uXSWcOmB1Dt5mOM9TJUF56CDkfIZoQS1Hr hyEdz1AQ5E75L1XFdtc8TZogX1gSpiiWkJCTlO5Q0SxVrc9tmPPXm5977j1Wo3RQektiE4prAvk+ Bp6GPIB5CvwSqyGNsw9Kj/S5VSjt/EAm3vb8VityBURRJCjBMV+78PppRCdwVMEkyUpxP5STBi98 +3tpEU+n5RmD+k0GyTujq9QzOh/qEOO3h+XVekMGeNNMMlwqZX932h0xsLa6sLugW+v0uoXa5uE5 Oxjnx1MObylSk7tIEpNX7ArVzfkjyWCLsdo8Cbq2xtb3dCQL5G3EaGRYnTcLg8ndaTaJ37fhv6/5 wLtY0Fei9ybW/JbZrp9escle9y7xJThLpgE6orNEugoywuYxWEmr0zqa3Z3sixLgpUwpshaHI83b uX+wUc0pnSwOexEeijxsm+4VMn3oRV0wJffQ2eHB3gze5VjMW2BM6aN+NnCMCNdPm+EfbBvC7PXy hpUhwwZ0b1mIT+/VrjrurfE98jjokncooQ2NSpAa7W8guYjAhfiVQWSPOP0xDtI6vEIjSW0CzfD6 Jgol0QOk+MzE45aMj0cGox51u6k5y9IRVfPlWey31KI3Ui1AjPF+aw2CaXF3T9s7liFxV0YAgZas XTzcbBMEFoSjvPF88iYCSaSS5+wFaGbUlFWWt1rjH9JdSMbRDmv226H39IK6qHg8wp71EJ9klLij NCtwcL080zvzPNku/3ma3F3h4reWaxJxwYffGHPKzFkHhD8doBQjPOmr5XYBEC0VJRb92q61klOU 5CZjwzgHlQeRKf4a68sFIUmrd6pJbLu0Mqowpe7Qq4YISWI3kLoYsiW7yvCqj0kSGCxotl5vi5oU yR/8DDSUDnm4dCiOoD/JWx+2tX+ZlyN1X5L5OZqlqKxVn+++QCHRTumqJwMSl5u+1Tk4FPgo+jkF j3Mxn7dmyQ2fkJkSV/7QaavYuaz3WtmKNTN2fGjjQ7MKrUmzpNLfbjXsKrKb3yBCaNNYslciFp5g V/jFEot0HgsY5CKtcnGeN7L6SCocpHfbaw9aSby+5HxNPC+jE3bg7YQxYQyU94wRC5y5IN4PNlw5 7P6MBFPNqnFwHZcGp5VvCVGXs6efdmsIzHGWSiH8MGYymMiQZli6wweKQqF7s/zAZSoziLsG3r2E Qbj2EAJS8bH+9EU8VRw8CDcu0FmsCYwq3IUixymhCoOCqPaOK05hNam5mQXVEFGT7GWN5oJHNSjZ tOo+JfSeZBsGMWQd1Mf6dKV6wL8H68Qb+r39ihdHQmPxQVYZvOZB1KB7N3EH7YPIi584bKGQqiR8 +qiM92iI72OGeZrKWngpLCacUivUXVGgouoz0EQsnDXwQ1Q1Or7SynVQefAVN/+NHFdsdo9CbFZc EnSaxkfwvsS7K4V16g4JlS0HF1ldmoL/G3BEnIOumIVqBUaArYC5EC1udVzW2OpD9FKtNHgVMclx n8mYQWsBZ2XQ9uzKdGJNEGSE3+IVXEcwFasCGcT2YYqxHUdUih/1Cq9QfUwNhtYV6ELzzlPBXx0N oeYRIiXJhgNOP4uOzrBm6ZmWigzQqBKvVM6z/yWpbDm6K0FsciWBzxp6AdQ5Y5IOarfRSNrv4OyP //Z6fwgD60dyxIgSUJWYsikLAe3DH9lY2Kn/2D3b1OvtgGd8vSWogHluxI69L6YewdrPWQf/EnhS Pa/yNzlI4dcBn2LXi43Z7trYJsw11CMrdDKT6DIJfCIc2CW3bU/4P6gjtR3kZHO6BFRjPDbDnhCW 6Y9xIK+CRNXwWqwBnCH+aOhV9obWfKyFj2go7O8ZfDdL6CNzsmH5l8bWWX2S5PysRQHghmDrnMqt wxOJ9bQ6MshuK3S7a5MEBZ6onjpKJxYcclZWu+5UyevJgKh8P+7/suoeO74qg+m28aFAZcCvO51F U9YBIh/99gCYOZs/7GLY+E59cmCD7xvvKTk3AwrhMOGFf/HRCzuAfpWm1OIPMoFlGhQYYctXqDpK znmjMen953QjMxh4lhBgxLxKnRTGdvqCR2hd8l5wIgjEtYgLSse/PHJNsZNyMBWcFdZLASvId6Xp AGgQ/H51QhX7FI010bnviVHeiMrI0tRVrfbAmxQgbxDnA3UdOXV5xYMX4+bLnG9pQT7YPjk7kU9O DIZZFv8F2eX5pA8xtDuD8MHti7n+MHNFhBW5f4LcR2A/hNhIwdkYvU3DDqqUNjUjFyrlnYEf/URV cTpA8FuKxDwOpKUxwGNXQw309eTjjKdDghLV4btJctMeJS41hrJxkxoGvCgOnhLxBqahk91XEd/G FmCDjTu47ipe8ucBWA76BtSvvACFkny4DyYpkbLsMU8x+MFvvM6Ni5iMuNqUe+jPFEOha52BSo1s EHOI8+qNWS+4Tf0H0AhYZunTB4zmF4LtOxLf+8GFaymNHEQDo5dpNCnOXkXQLtehYshy3a2bo6i/ eMIjwYB2tSCKpwSX6D+cpQgtm3ZNG96Ajn02Yq4PPbTQDITQkdEAZFea5KLVSQBXengsIOtqHMKj h+7hiIz/qj++U8fIm5hfOzbyyrkN/v442SkUCAyDMQvL6ZmSKAAJlGBLd50ZUA2f5OYrVOtfXj4H cOYIrwI/sC3nJ1f4XR343a/y0fZ3sGSXq8UFiWZ2CGQkmFgZZMDO8jCv5EsqLmZXnVoXKk8iGttM BzS6sKF9mUlxnmiutH8ykW8eMYwYrVtxGlTtF9Hm3a71PS3mTaRmH8Erv+0K5iWPQhyIMQK4Cbee hUeAy5LrOH1Sl0ldh2Ly75pqh6y2R7fRNjS8RVCArcIcgJiL7AuLDiPyAkjc8b3FCD/91ahbcgBq /O3ip3J53Q2JTZPteca9KmmALJ+VO8EV5NOcRAP+BjwAZLr8om3n8bItUMBNxdZMTv/0hF9wsSzw P1vFoJD+PgEQVKg0zyLgAM+Il7fKr8ICpqOSu0pZOqmMCmjNNmnX8uuAELnLW9L5kYs0mC6wQThe OzVxYw6Tn0SD4+gZxcmOUb0tJNtYKVq8LGw8eoAMoKm9wOZAFJZtKRp766KJkZmxUPXYlyV3BS5V xxrxdv12pnavi5bqMMMrMVu1WaxDqiTCyxBcm3oyFQQqL3irCrS5VWdlEIHWb5PeUEFQVlrgrqgE YoSmfLHOrKIqSobBGfzb2BzCye8xnDFx6AXQGLCURirqH34FDyVLEhQEhNFZFgRs3T+b1aT69x2J lVP7lK2qU9uuQ2rgaSt8QWvWvdy+DXa/DEDcmwK+8HMKeQa2sYNvDLC3kSdpt39zrHb2PMGR1ZLE 5ygfrFxaFBsQXW09XxUGwygDyzNlZcL4EWhF9AWuibBDq8ZYsPqRK/ZpsTexqQHHltnHXbLiTMrQ LbsiDTS2amHYJMNuGKZAn2oW6MwDrePhi8OSnmCnVjnZaFafsiuPXSsuJePrPawmiBQqo/eqSQM0 WDhFsVg35kK5risOoUx4AH3F+LCctVsX7yIBoS7XuH/n4yNbRjufWBIByEi9Shk/h67jDANtFRHB BGqg3G/HzKMkBlc/Q/kLwF1AXh/YYV9JgA/Yvm50SZyBn+/vzJWzdR+Z3Q/r7SSALcKkxDbpP6s8 Mn/r4ahMO15aNyJ9c+RKjMQRQ1JEeaIPaQJz7Aslj/EUnHbSmXLgbojnqHET+q46zWOdd+Dta7gN B9PLnhwR41pXQH+qeFIHn75OdurZyP67T9kJ8HMx9U08of+6GRCgOaK/E2TMMX1Rb2dMqzU4ef0i 2nyhbKmKg3BBQT+oO3NJJ9+xvFvZTzyOACZpDPFzQo4hDafbZa82vyZJ1Bo3rTYNqpXu+0+dv47j U+anGeoxCdwGFzIaq4O+bJM4fVxzxFif4xKrHFEOvJli77Ti4f8x2E85CpG8BGfejIV898zR0OrQ JL/sji7iHbWRp4CmJeaGblcslMYmX5WdDgttEUhlwXjIUNaVdCVOIPMvjuwHxWg5xvuL7Tfp1HEZ 1KfmVpKcLrmnGdHb/zZO2sXRYV0HuQTPrPBFOmqCcspxHeCo10g0Nxc7+Ll4JnJXL0iKLvE1rEci 7CAXUFT3DAZbBWFFAETT/veTgBMHYUHu2n545YpZcGz4APLnhDjB71H7UBZBrS9V5NmMIxqX6gcl UByp8jUwsvbDz39KW66fKoMMcL14K0CiefJCh9YhmVxCdj04xu8wZPOQNB1bfKwDDitOFA+ZAcDk hMl299NcyfDouOTyOUysWKuLKfmdzNbmutWbxzPQugkhxCxwy0gY4GYx26+VPWTtK+aqyLx7qnG2 +kumswyTZ19Mt/HxBJTN1dp+ywR9gk9yMWKd9N8MhbU4LuPTklOXKYhvsz21xU1/U95Z9ds9qM1e fqyQEsg+v0rtqKvR8TGmjQwmxeFy3cllh+frIl0As6OGeL82YzJ0NJgOTLqqywe8NCs3/l6yPLyX pB3xFJGRE2fL+b6cTdDVO/foVBd4DIGSXw4P+B2kx/mYWAlvIuJKUQXJePdXddROlXyR91a6IzZy ySsGjHXWz00heQ8ojcKlbef38Mk0FaZwOWr+ESQnDTXePLAybcCU7KebHKZ73+b76PlGTT6rCS/x FhpM0GztwSBQ68C3Qb9jP82wBb0N2S46iqakNAlUk8Elx4I7ryNJYG7X7oIcUuhI7kBoTxqJGMxH SUdFjOXjP6BA7m/mE3647xV6ZG/3VhbFfEPUpglrVRUW5AcVwc6LZUWZHhir65wVEuq4YZxc9Kr7 6SdQrlLiLlbGcm/ym2xrvT8iMgDHsz+nzVSwiO+8DJYOLKUOd/rFxnZ+vUpyom1ucc4wvYnRfGK3 ynPvNaZD9qxQVoOl/vgaf+1oBZLJh6ur360/Kr1BNmZGRCUGnU0/fdGx0BXyVldDeHdZyUrif8Ry Rwypq1zuJSh8a/gUPeIzjyi8perSfMaXE+KOyV1cuf6dESxUZVXoz//iTrFVR7FpGZnEmvZXoeKG B1LIPBK3J2VHBCn0NMl1TbqcM00Z0BTpfKc1vvgaXfeQ81VwpIHOmqsSpXajL2kZWwp8ZKcgsOdP MydWrnd7PKxdr1IHUsJMbU2zz+x//eD4Brt+cy6TOPd0H4H6yAMYG5ETv4xMNwB6WVWtSX9aWubQ 4Q0o/38UU+SaRFiCcvtyWLK/auGZmqwOmup1dDpjRPZ+VoyWXzJwQb1kGlyNywFO4FHMPXSjIv98 4S7hOwqvu8QYX9paDKzTtyfWOuzCv56GK9rAINXhqA2yCqt905BRqtqTFkTRT7v2t3qIDXlcu418 7k/09pZOWOCKgilR8eXz+rRAbhSx1SHRQHXmh9koXxarhkfQ6u/HHcnTrPGCT+a6+LB/aXGqPKWp FBTqflYWgFAjwP+kW4haqC0lRs6aLjoUfdoFihiwfCpp9WEX8R6NjnhYWqZmNgBP3MEeprkRFX66 UdiaMOi7DO8VQT1H8brvaMvlpP6cwywsTuZtyj8f33dfquci0D2gZNJjW2wRhhO8Heu8JpMyR5mC 6Ra+s3AQrXwRUNSdWrVsQ4CYaIzokDdaBJbDCH2r/irJmUZiJEGW6JrarNlmNpfX2522Kf5SN+rN GQ8QfToCJXh24qZVX/iLmI/C+15nSM3nP4usBKKROXWbWOhHasI8aiujfUqxu5OLWomGVXWle0pc EZVbDCQwAqOD6Prw84eZD6RupjemcF1Kw84ij0VGpOqv1RJbQhj7IqV4K7AZo1xkXg6U4u+jZLVT fdGr/BlT1ATVl3DJ8LSfYUmBL6JTnw4TdyaVA9qTZbA+bs+6yebvMErH4ckfapwuzpAJkdL+A8LE vzO4AeILFyLY1v8yTUVqiLu/z0nc79HAXZycrUPyM2PW4026IBd1dZak1LMAlU+1Y6CR2POjmKCP MfKPCs5b2q7898EOQpqpQ8xOnWhbD/4QjVy6nTh0gLrxaS75zZ66JeHhHCnop/tJor0xp43gKgdM rWV8EXPNbxEik7CL/tHQUs3WGapWkW41O2Zr8k3pNwolaiaJW+yot2TfvAVZea5sdkYBvIWZLBHU XAPw20hE0nLZp1f1uhBiFsoHtZGjO0Fz89DwamGEK7Vc56DLq9yLozwmptNLLzSzVlTfn1TqtZes eVuT7ZE7857AE4u+2MaO9ob2135gZ6ftbDIMtBMyutIYrQ6wm3VTP9Ebzw2DMZxHw+pISFI3Agei 42AvTwaAveIHNv+IvdPJpOgylO28QkvrhHXdp4SeU2R9QAyYY03hWMMdzpeHPQmpM8lyvWtP5Nor JXLuuKDNrNrgCnNhijg6CySnPnC/2d4hTclEm35E6hYn5fLSUJ36/naBVVoc9Tk5iwXKnwv62Cyu LT2+JjgU3dIVNbDQM7cx6KdN7mBCJXX0DPpbICUsl2W+O1tg3Wbg+txcRfTCFdu8Ev2b9VcMxh7h pbwKZXRJ2V5tQBrkm4GoU7jVUJrU9zE/1ySsFjmSKP5oqE2/LqDBchH5QglQcXpucjf6Gn4JMjs4 vKKbYvmIno2Cr7KkGGAFPDh4LJbKkL7uUSDF3pkb/CHzOfRsIfQJorgSre3AP6LxbAGninjS/QHJ fHphWOf58QzhE/oS8nxI3BhzbjYBX7jTVn8EnKCXN9v05zMnkCqwmadjC7Gb4ipFI4lOFwf9yYve lMD6BYG6Yi3PSZHNXg1YLMGyiZlDp1IPJuZAy2qa0M0/sbAmBrmvCDmEqxhK+d+CfenlK2xpW7RH dPnrooKPFHXCnt46qyhNGZulJbxVJW1Yr9qsm5GhPthouA24btUN/OArmVzwkUQGXQK/TeAmXCJR ysMy54VEPhF4fX9e01c6hmFPYt6bC41ZrXgKw7ExjOtCBV5ICTWgG0ThlAR8UNGDaUmEjwVVh/8m R8kNC0XOW2yGmAn7QtnklHPwdWlK88Mc2h1t8RXuqgLw9gJKLBMYyx9aqepBSPSfAaQxt4Y3tKIl 39ZiaxMDFhTbgKGtozpq4vBIv05PCk+SQbd92VuJ2bBqGzQZyc5LmYyxUPVo3Xeobe5Bqm5i3wN3 w05m8JQmy6v2l0lLWAxhO2/UqpNkQJnVG2xab83jjiEF6sVh+u2y+TmM2ipzaWUE62tIftYlrozR YLakIlwMj5KWBvDokPR1J2piiLyhhClmH9k/U+oxYxEtC1dQksp2SbaZ8OB8Fo1Zjl17SCi4xPiA 7pX7QYPx1AEilTfVv73yPbORryKL9vW31MTiWEJO8C+5E3LdF8qVRRAzlEv3MbfPdPCetkZzlhno fZuNrW6S7zyE5RUh663cu9l7XRBnJFCGDfJu0b6vxbdHrKlStjbHq6pnFg2oYYd5p5wv3YIbIM14 dlQXp63zx+WfGoMZDvNMeI5C6u7ivT/Ygv+uK0d48g0BfurjSBjgw66GkrLGNqbEMNNGhwAukKV6 fltRliu1panSz8doKw00/k5yUkKB7K7hjlvh0UvhD5H+XCb4ALMzPOtBnTCDHE9xMrbv3AgB3CDI 1VYy7kGuafqLtpRb/MfbanaY+RuGFVvl0ekQBdvpqSdCEGeFVE5GuthGGGTjf4xi/I8HuIOruFxA picaFa5WNM11P7b8Qm8kGuj9/SqQmVtAXH87Uz4s+ELNlEsC/3GfheFl56Rq/kQuZXwAuRCYGi95 vQYngXO1f3Lq4p7gSB0R59D200lv+InrrB7FJL0lLHrLnGvcVmb+p8dR6tcgZ28+88DRRFCqHJBq dcYyvgpaAMzamBYDoppnumV11HgTkl6TQgXz1tZuSMdQPWAKeiN6EBgMttY1/06uWBYGH45aCUOP 4+WlPthQ5/j2tSRD4RYwSHHv8N/CPChGj9WMZbZQDDK7R0TiHra71pLvThtviQLgtZPWCCU7LObv chEboTDcnlQZmNR2cC+Hi1nFxe3mbABI+WR/rR/tfSw8noKefArm4AZSbI82IlcrTpEb4u3brqps GoAPmE8bcd2XThi/0t47jDOI2jVBEq47Bh1Tc/zN1Rrdr37OsX1nHJNznqlwqtACLigdZJP6XPJg KNlgSSGbTH7KJGDW9P8b4skqODT4InRflvW5wPXsDnAKdPW3c0GOQMU5RdLedEDjkBpSzXVcblKO ZEzq4875zumUwIYqQvtX5MqWPdfs026OF1FqWLOLr0HlNRp+nXsXJ7rtNJ/vnkA0PIHje29ky383 Oxe1QCrt6zxHnIl0mA8+cJhDA38APHE4/jlto+HJmRQluEzYF7qGAQNp6EeBXHOCfWs3xDhI+qKk ddepKzb5aXhM4L8eL/Wya4zC2yAaPMTa8YvP96mQIOmjZPD0vMkVMmOy9Ag+MF2yoC10e6OPG098 DpTWCddVowjs5asJJY8SJ/HTlMuq043x7XbNVIRPDOqfU3DUOj3iVJOLELXJtr1pGl6KzH8Ny6iW 2PKSXHof5BZmWVaotpiI2dEVRCRaErP55BL+sFC1VP/iSFCKgMpkF369tOrsIv3uFOfTa+ZFRUKZ coFfnTDsMoZdn/GAWaUFNQvnaOLITUU8lvK51Qq2gNosly1KHDF8Nt4jb0xI+YEX8g0YM5E8LLxa jjmQPozuTSgKtUWOAYy69otVUvSndU0TqeN5VmrbfxcFnB1K4E+HpswA6GyIudjun+9D0pQrYfqG Bm4nR9xV4QU6U5xobsWnLNfdKMmgXuiYZarZnnPytz27OkKDylOrRRgiwggBzhBQItxRVhpCtW9j zNJBRtzPRBg6Upu5+IllTBM7rOcueNopRYmp5n669Bn0wmxllAXyoE3IY9FcYJD7YPT0HOcCHaJV fu+whIoSkOtcLlpxBs22ha0XrRA9BrJBBGDXR6n/7NbzPWLRF0tWe3B/Rty72wB0Db2076aV/OiP 1ajameA4gNopdyQ/ne6p+mJBR7Xb4KzpMoaWaRQVxwqwLzBc4u/XAFm45XZ9L8e+8QiuwZOqzkSB wLcwkSIWZyavc0vBIJuYORYVfKhY9AOq1ITrfsdK3CZOIGhPPwzq388sVpODwyf2DG3DWhaXeTAs J4aLl3iskCHw42LepV1uqekhb/VLURCxPiG/SbMzVSPUdNzB9s7uMj4dbF0yWkdBl3BwladrFrS3 NqpPMNgwToNJI4c1MX12FUf6sxoFnSKGbVPe4KtJVDFJQX0GNjztWJwCWHceGaY4C3F9CL3Qya4q q05w0QOzPxdAPxhA6atk2lDq30e1cyfGLAwAorZlgSjIuGVPHvPOmOwYnbxqrEkakvE9yp+VLGJK V85rqdN2PLk5hk2xVsCk1er3Ezcog4uu/iIEwwX3bLe9IIoLo70KSSz9WK2eOK4Pomj68qL+ElBc kyyhKcH7TS6GcvPtCZmblpR5zRzM8DQ6oxhFsV2CIut7llFXqGS1hwm7izVa86Mr5J/eWeO+DkbY x5WuBlHlWYSPLk28d6jjfsZuCFwVMGiO+6ANeBJBMjOw4ZAusVmMdr0ocEySS/FbzQR6mkoEnF3k FNcUw0Sp+eQ53azADgXa/M7ktOiGkxTHMLOVsxcVwGmEQXSkTEhZGtkNbyQyZAJbrXay1FlxSwyw CX2Hslg0vRfMF9asWrM7zLJ/C6SOW9jlIZil18gzNbHXPUTd+LWrrHEwJFn4EHrjTpfj95KwtzHp gqr63o/jSEKHjTFawJCsoFOM/A0h0GZHeSSJAsQlEH5UgtVliNkcKSG80U0MMTmb6YbV4vC3T5OC DFOzgRBQWYhgu4WI0h+V8Gy5j1OAXBc1GDvYAnAPAM0NXAkD9/fF3y/xuOCZPTfC1wwFDnlsXI/c WIbpoyaCVDCDn2AYurc711iZF8uKROzusgwLXystKbM63BeeslxVfFffRIQneN5PzZD3GH9+Nr59 HMbq8PCH7O5VBGUAyapahrDsB0omBV0iibNaCeJjIkYMCPTSPGnWJxWpTPc+hyi4uCVysYNZT5/f m0O19Bgle37zsM3UuUUslnPAYMgtgXduP/r2DkJnkm2R+IymegvLToSYqsnYGD99GCZI7Rn2o5A1 4iVADXvXhwcrJIMMD1JD3EKMxQGdb2wE4fDVko34UlltEUwjE/zBJPmCTIMPT6rtwyOV/lTISOZc QTC6No3f6MhZ+k7uei6r9zdPPIdZRcUlxc7RdteXbQcc0/CNoWjb5etLS9IUjYyRwPSzF0vu+twM rPqcJ6QxX5dvNKRxSbLOFv5n4Qly89k0F1n75/avZHxFYpMpOr0EhsfUA0D0nk1xx8cRjP79Bhqz 5D0USc2j6ZH9imSJ6Jln2Nbr7j2CZ+EsR1WDd9YmuD87BpIfxJLjQd/BBYsLqBjZhVu/n8QUyzom I0g/Ef3yF1f4k9Th413wn+kmk3E6o1W9eJaEDoroLhn7v1tCceZDBNQt/v02/Urj8TsrAHXNhck2 Daa3VJVlhYGHhaPoOJd0WiIdegrX5Vrn8bfQJz3ryHueoYxbmWsAl6SQONfPByy7Xq7tldfrl9US khq5QysceQ20/Fg1EymKBUiWe5yAnCyy5XiNeCdohnOTt/wSerVKOhVi5pL24rpVt3Z1rmPxxy1c RTfjs9N2Rh/h0zM1QHjRvmbbegAcn15l4FX6nVhVbdxGh/LXl0u6kZOzUFeRmsawOwNCdFeWYJHp 8HgCDSDTepgcAKv8qBxjFysDt57nLHfdtBxADkDLqHZ/oFuN6XQkeBBGToBcHxArv2yOC7vXiL/L aRV5cKofOJRs1GQzYUeMghP3b/Kfz4eTZxz0wp5PgNGRbmXf9U7f7cCPmAWizMIH1bvdT0u01Uuv BMC6OrDVUPW0BD3qImVsIle8I/U+x+/MHRVVa5LVl/zBmc2xuk02KuafTtA0eHrgBNY7pl1bNH2X r44p7IV5Q4FrG//E2KVLJ/d1Nv8ik4wAGOfY2pm/CQVWuUOKZuYMMPIv9q2JBcyfazzhT66gpx3C gaeOhZ/+NMs9gnfOdJK4eNwq/ezmeFmsw1Nd9Rp92QePxfjzTbBPLyJnRdtOz/CcjJ3U4utXjq8k FQLOWyccXQiJJjW5/pKRGWhXwGrPTeK0N653ocOt4UmWU5uHXZNjkPTTtEvnEEzyUDLJT4ivQGxQ NOpb8rhCVmeGpvBNCnNNn6EPxHCFRilgD7OfxCJjC98djzu4qJErN5Olk9+QbsoAXIDCiEUhqCAY ye1qJDwY4+boO6SOMxgV15sKsOUJy8ehZDMuypfvlf4aoSshEHbvLhr8udEMicHFg0i3oBa/ww1r XsCOk7yf87YF8OnLTL1Xzs+7j7dG1DhxrS00qMizltHHl3ufRR6a0nwgcWP7OWBTTnXKezOykBPs CRtwoj45jpUopAmly2OkG57mMNiQIl3hkGgG0OnzxmjW24MyWDwyjyrZ1Vk1iOcbs/5zfZmnxBqq nD/G069nPaiLVmxKwlumpdD0G1nHLpmd0XJHWwJN08MHTXCpOmJIOaaypodFDJetENR7kIROW+kN Vz86Ne5gxSOL1UcoG728iapDbzGmal1OAdU2wxMXdIe1jaq7EqaXsJE+YyZckXnE6GnYBG6UsN0Y abo/4WxUN8tDbhUMBS5ZUY9IlFzeI+Nvp/74I0OmRMGFFM3qZtya+JNGNzu/LkU7ElnTQPZfMHBJ 1RVQyrqeGpWamBUGxytngs9/tlBOxkKridRCxV3L3bE8/cVyGjKNKCMdSUi43hB5shTM0Y7zKg1e 0VkZkdtMCEn3wKAw6gb/T+4dgStULapF4pg5VaYD35w/LzN7+SZBlluuYGujgbkWLhtYrnuZyIz1 lvRea5FQw0eJkrBPERDJJw5uSPnLRoqA/BsQXXvb1/aBgQHsm/KSrEr7OndpQ8Dp3FBIQN9+NV3T RY6DmxMUgk10bHvKgDTZnOsCPA/NmaEvGxrlFUePk816admuqdnsF5rwrRBHpNHGhihPlAIxNZYM FhRK8JfdkFvopfCNxkxlLT95h6Xxitwh0Yp791OJrzdOH9mvC0bDAxlPqug9bE35FSuVNg3R2eYa 4IdneEZezI4I4hnzcciEmavSoO8KHLgKyWdiPypa77Q4KVHsoiQWXCshHl8Ce2pjK1vzHExHUYy3 8SWhK5jw7XMu6a9hf6FsFDFM5dSCtOvfP7ZD9XlxEzFWkec3S2Tn+z9g0WCgb311NLgXB9Dc8Kno prIaKqfoMzssN86qYdDpCWFzlLYYypSKVCjwyRMEnyFc5Tl9zxnn3eSIas0H7315mF10MABM7Ftv iT8VbCY2pNy3tTp3fCfzOfZW+fnyyips7IWhYtLkwh1jWHiBI6gTHanjASY5+cf4h7iQe88vBivi HhgZaecXtft46FcDZL0azBvat0fcitn0+6d6rrXMmOsa+333lJGdhRCYdiPCYVkd+IuCxb1w5mqV MEqbC5Mzb7fywHqkRFuvuS4I2fb7DgVIuUrNAh8WL78iLb9sxze7Sp19hq1MOcKUReuBbAJb/WbD K93j9rmnnrCT7xIneORORmCvBBOxNjSqcbmv/9c8c/Mt2zD4lGddnFFp5ayXbpYbVSiZQTxHbvky s+5B+QnK4MYmgTpyAlu3grshCwzTMBzHUlongPJhpjYdQe/yYYQkq8XP+CcWDovygq1EKtfPhEn+ e7sVSO5R7JI0BwtNXFeoTbtcV6j1MhGrFsmGUf+7q8ZsIPumQXZYyrDp/troZHU/aLoYQPOxPnvz NCno7BeYUuonafRdMZAYjoTc4OYepitxUn7k0M4sHcnrdbHL/9FJ1cWTj37Xat62dmWFPx9jGRQ5 JAtycSHdRJM5gD7WxyrMPskCVsq+S8+gnfv6IUZiZoHm6dinXuRn9v7HwsT8AnTbbC8GY9/SRIm2 zD+bSyd7tD0ZzaZJ3Jc/8VAp0Z4k+18uBRwZsyRHdH1zDFB+ATWJU2VHeYuMs565kVz9ckMRX2+6 CcKFjbg1SX8sQabZVmIoPWOcCcmhEdW/f6LVdKevsCzb9yOl9Z4P8btffFX/SWYChTCcZb2LTB1f gVGJhv0OitpjzAuYCrbVfge4KsrXyPkjSlV7V4jxrERKuu+BMS8qVQl5FyX7ehfcPvtCJDWdeU5y wCgbAIi24iCGYK474/64qt0G8oGMzStu1f5f5RghjvUqQmBaX2syFXQ3mPABu+4fo+L70Z+8G/6t peZ8uoTW7Lz6Nd+k/fABGYg2pInC7adsRy250ccr8tYkZgbYYqRBtXSvGMcxTmG33ipP8TVhL+uf +4FSzG/f/9aJYoIBzY44+Ql+lMFEr/1qbneoZfAMRZr0qZwv43nsqU3w7+eedmvs+PrB+mo3NCCz ilD1K5HJxbO8PbFiXKzdvth2Fx/xmRk8c/IeWW834FZH9R69tU3lPOQ05Tc5DjFLcNQGubVnP6HC shgjJ4dDv+r75iRmMWnvG/VLolQWhfxUdDbAFq6kdyv0zquZmo16gT9mcHqVz1IPitiNjsw1636v q1ioulAz/+14bhvwo4Awry/S49tQC71wrFbquewJhnmXSqDSroSb/b0RyKJOXDyjRouSorBB/PBd QZYpmV8bXfCH9YZAV7hBf6gHP7jS2whnPwVfAnH3kph9PpyfzxFR8OgvWzT08nPuYQvhoZAqxfOi AayOLR3mzui3k0PKkWC32amx4ywEB94fsYkgS9kPen9zsP9ogt4eVpTctpi1GC0cj7TfZZHKAuym 5Vu1k9B+1GtbdPfSrTNmJx07uK+ajQaQpKRuTjTgOm52Z/IYXMu9Y9qRuYEyuIpMyD674zytszQS icFa3au4H2IgY2s8aVGMuNx1omkKpUG+8d/zgFPrnNW6QjDeFmEV1xgLmgSljZ4zfASO+h2Hq6ZQ qqYri6axsxWRYPrf5F4g6gplEmrh9T6RQYNbl8rBy0HistIPcdTaR2xbenH1sjsaYJfFm1qaQa+l P1x9w67Ndx6/P+9xBghVZuRNmdZX1VXQS81jJ8SRHG1vbtkn0E1iw1Ac7qDzLan/uIAwJTqahNzc jFrvZKF0p055mG55uBGqlz+hfQEWcQi7EfBD/+wdwJoI56o35BJWdK79IL4w4Jh8+ZKf7++XLjOp LV5QNYUClJi0gsrZFc5O1cyCDhg/cPLj4VOb8Wsu9Q6NyMc40Y8v7Kj7n34Z56xkZFe19Qrih1wb Uf5T3QbgdEU/4+LawHQWLXsBSK4iqXsDIIlwyYYm1qQpJjAcKtZ3K4pDzKvylG80uhh5PGSoD4Pe IPSYQJWt+Ryz62mbwnAOBtS8zK0TYOfmC08iqXmtA7ukoJ4nPxMb8KEyu2t0FNurznZGmHau9cmD xfenQ9hYueszgHzm9sK99WnwieOnSshI01lRHf1L/wo/9lIFDFBa4/6CAFIHw2+fNgHnKk8abZoQ ZWNBF3NsiwxHbhXtQjfl7FwZK599vhhCs1GiC5KRyqotpchSSpquISsTtyWV/iEICOLS/qv/tkSJ 4cMALo9Qojn8MFsrXQE2mY9sbtCfdJqCm8Z+bDPHQu5XpOq9Mws6y+xLRMj5iAckDO539GW9l169 610DgLSsY78msskN22nye9z2kiaRK2GeHs2Ju6uVRl8WReLRNQNzymVw/TYbqPe5JXgc42reWPNT urN++D9/siW02EKrUZLUgqr4q1JJS7TKXBqYTxUUfQEm8/TD6JDf4KP+OUSOGj7AeHQXgN6xqzMy nl+ST+91L+B651Y/7HJ2qEqsvF/bZOKd0WTmzw5hkfcwM+DJVLHwWl2xMWptqcsdCLWHcU+p/4PX Yf/TzV9Mys8Qn3/ZB4e2W7QHsyeWGh+SKjmf4sIjpThMV9NYrSnyeE4yFhfACMn1EofkRwg1etEu YAlwtJlB2zOuFBvtNXA+5MgvmuUGH44X8oQmekwkD/szfgL7kMJv7DpkaejKJyl3kM0hc8jHbOEo yILU9p0aX5y8VKqG655nsLw/IfwG82BIgXy4h2G+qmqqTew+5liZHlZ3TEWlk9W8sqx7Oh1U4Bk4 YnxLqxJk5uGBYIrTTBYa3VeL1AjbbRHbF3qZhpjNLI926kcRDsSmtFqRV/1CFNS+U9w6BxvYsmY+ cgloIzSW87O4vVSGz9hkksnQj/F7ANI7XLj56DKjeI2gicXaBGHWbbyG3JSj6+iJpJF2K9pBaZ6I AqZkMGZV2mnZ/DEefoPWCOV44OwwUxHietpYWbEDJTe4ozvI/HiQivhpXZPMg2jyFEmsPQ60y0jK hn862UtA0AJT/BRYboBsteyU1td9Fa8YoclD4pNFysBKtwJKXBr+QP1gGY0RpdLqkKCZcVqrS0fA sLxd67hILl9hwxKLf+9Retqp9YXCc/EpqiObfMvaoV2hr8MMuGl8LoQEHc1Zhbc3p2wXHlBfefJJ 1SstxFFFH0SbQCvHNyuKqY0QzECrnEPL+Gm2MB4wiXMCYA3SlGV4LROz2n/QMk9u9p7ls82uw1vt 0BxdVQbvA3IohG/pIyui9IBKGYgyP+XH8sVAovtQiAENNgCzEmwuP6eWnb4HCXrH0EX7yzbeRa2l MwIfH0L2sM51vtdG8msEK+Ux0lMucUmMHOe609/kiMLj7BaRaNVxcb90RIBPRBErlvw/MQTdUZ7Y 1IsZgFtVtZKmgcJ0dtlRyDZzvjqryrvWu5hAxarhWMVMyanaRL2i8o62I3KM9NzJYar4cOao8YXJ BA3JBWHerPK+A+t++CikJYYO5XJ+4pqBhEfi8cjN2mVuFkiKuPgNEZnD5+pCg7lPrOFn5EXkkX1N hLN0paWooivmQP0gM7+oF8zYQq0PWg/8/OVhXFV5XwFyRcyTY8zQdvpa2t6nMEpbFkRgG1OtLCk3 pHNfhILvbyC+AFwe7k+YzKK8C1Cu5Fn0scD3pLRDUmDmUDHBEEcCHpQ3oJQ5U3yUgnHzhZa/xSJ3 6ZK6qrmfVjKBlHNFFYaz/z/D5muAxV4+CLUsKWzzyq3RH+EFSgO7CZKa14xIuc2owf2EEj7tRV5T J2IDzr84KUyHAV2Bkv+RNkJLwtHWweqdTEyEkAZHJluzoHwUr5QPuIAasItqdRHiOXIgCOT4TmaI vMY2i17Ci2PWzlda9iRfiNaDKqv/9SRXqTSrJbknxhJXP3TRZNnG8qgTGwZTvpWFJ6uMFXkitCRZ tpouLWxIgRO75qwxiZpNhho2X9SO6SlkzkSKqOXbLIlbK47boIUG0L0dZZH52J90B02wm5iKTQfo lSZvxG38wevmO/N4H93eJoexdHDMzqaK4mVes3A8iFOwptRLU30Fa9DVJOv1JVwYlQXrrIc5euVg 0tMlNTiEB16P8AkC50cDT2XJzeQDv42STee9OxLa0wngQlvehkfaVh47DoEXtvONtHjKlV3KZsc2 JqNzPKlHGkgTB8CjR9J/EhomfnXCa6qbK8+n6pPL6oEbnRCuZQ2AiySoZ0WbO/MWWCsAsPUuyXbh 8fCQheaWMsCqj01/fkRdPx+6y8rlSmdVCCRM2XMwoXTwWgooaGdRTWBRn591/ePkBGmSe3bHKtDT MHKhxzeSpoO4blGnBaaaV24aEl33jWZb+MwGLWj8WLR36uXdCPSfDvjhNmKJbsG3qAhdbeec8btS jxyMbb1Fc1I/JvWH3iNea6wwWMIy4VvMhLeTed1wG5VhFQIjxG6Qs0bwKymW+HwUgfESYwINJ8YZ FDM6GxGp6xXkjOoHitINNGJTJ5srhk/dhl5HYTeVy+enfNYhr+pF+TQGb4x097Zg16HiRN2aBO3m pYs8jWSVvChuXlAdgs34ZNVe3Q3CZfXxB0am494Uighj3nwECY+yjacuOnMftwkZWM3fYR3t477w HTGbvCQ5bdPGRM6tr+WPW9BULhaEmL5rDAisohPHslPPMa8yuTbbZ/EfPKUEdVgG43V9re6xpdh5 MurjniX9BsPfEcInVFCjKC+39BveVctE57ywhIjxZrhsMPUBLQSMgRcSqCQOa02UlrVoJc5INCcM 23uOGguHEjJoHjFEksFMaRnjG7mM4lDakObC483KW8WgcdiSG+JmEjT2LIYzpR7hLcc42Ka1lUaE 1PljbubjHx0daB4ylryxXo8/xVIGkxGbhTcX8goHaLvGnlk/7z/UASr5GAsICVBFFHUwN2GXOTzE bAS0haFxpFRPbFMBle/Sa2lIkR8nXI0Q6CC40Lr/dY05ESYy6YzkvqOo1z0t0Lw6x4ZXr6Ul39hj HvPWo6wsaSPsP8tOAel8L9wazBerKqYbFmZI8s8ZtyvSjyjbVkB+4EjaytD0ZgkDdMkV0nfLEbgD m7li3oQTS3MA9GVOxU1XwsxvqF+LIohOGoOrVKnFsJUtSCTHphM+D/iw/d+XVDKy5hdnS4ql2OVI oZBFC1l+AfGG5RtWQhy5YQ6Cv7y+H4Wy3Pjj4Swl/yNjD8iKhn6SVMdpVHkx6E+6e9pF5F/m970G Wux+3SDjDWI0fspPwO+KXq3t10yU0vFe1MZQrmAiVu+MEudNKQjzG6vgTds2TLCoxpo3sZmIz+hF rl0pfaTRvPJQB/qF+4OWWeC4ed0efdfNExFTb1Yr53INPYiXzgMtx/EF4C3T1tyT+DaGqLMr2eqJ 048hVr7SsblSEfTcjELm9tDrrQnnWS7QMC60hQp3BFCtPba4QOeVgMVusP6LdhESKRMIqre2FU73 1rDinwaEQaHe/qKAW+xyLuXWRNaVO9OxLs3tl3VTNFxSzOg0tqe4SDGFEisLvQNze8e8pcZPJrSF 49b/l2deGUNPqhRjaJxI+9/1FQHTbBQhEXTlWkUnmfMrnVAOd41GPYMbUDr8dpqKrD/WfYZM9PD9 X3FR5flVacKxBZQ0QtOpe/OTrkyBGFPNeBMS9IMyNhqKIyhzZZvbjnX4WBmCGOYTj5/h23ipU3Ix X52TE96rmzzP3/6opEjbl3BlavvBVAEjDT2Kz7428Ad3u2MiDC83PvYAOdoLM4L3oB889N6eLZtV qVJn/Wu9LSCGUZLPAtDydHXYEoMTZrTv2YzhXAb468lTPOsjUD5uOmBSEL70iLYYcbRSMIsF63mK ifzvBKh/MOGw6VADTDUor8K2Yd5BSrmBcGOU0yUA1YKE6t+7elKSTNaT83I++RXgXMiF47oIsa9F Dn0tXQh/mh2f6B2IwqXx5gzruzUfSiW2ELbiU2Fms8hYkd8Ukr2tMjdTNPFgdYuE3qSloIQtMcHV TuIGLQJkvXiMjqs/jEM37GKQvi43bYIgXUlpGq10/3TzSWUCYteqcHS8vfMjs/60vcGxgC4dV6Wn 3Y0hLXj3cg1TWImFM0xh8RwASIz1jaqn+KVvNaRrzE6IVFs4TNGOv4/ZYaONLQmPpUBKqIt5uylT erkuFICW1NOvgnvZsPboZyONx75KANKnV3jWIk4wGOE1UfXKT5/Znp+vbdDf1PXps94Uywe0ewHj uB5psvREN5KYvrXvHpk/T39pucopy5VMhvRvKV1TbR6DsYEaNzzkA/t0aZHYb+JuoOETyJHwmH3S 1hLFtKKA33khjjuErceFy41+wDy9bjkWtGJmwRdlj4Lqr4hcDozx3mjWRPRVChj2OZ8FTDwGvfpc MEhyMT+kjcjGhDkkI6cANFqdAwNO7PTS61MWZ+DyAUUSxk+8fSqBv/2Av50TShuodFMYLIQzD6aM WJJISpywkW0cyMKq7EYxSiSgx1R3svtDal4lPzbGyYW2uJ62yNvehEZYIZ3X5fDalSj4UfHni+va /0d1q0ruSxb/hPyO2GjJnckP6Yg5Hdwa+zeHT+XectSqoJgy/xLixz3AU6StH5D0iYLEQEyNwmyd WL2b0kLuRYlO405CfaZmf8xEFbn+Ph19yd00htghvLZTBtXbBygouUabGELh0yovruebwl8KD6Jn 4//LuilTBVJs+GkGbKI5MZd+wt52WmzmywtO0qpIICIhtsmmDzjHqK/EFQjo/vQD0k/KTlqg/f4e 4yPX6GYevUVBQzB00Sl8THUyCEbOs1+jn94ctCKTIXT4qMMbI/dwSMz+f2r4S6xaGITKbQsNC2iM R/61xClcjI5Nx8tOpJK+Wb+R2VEAE+DBTLPJFXLwTlUeEv7w/UjcA81Q0ga7Wp93tw6pd/dPxBBs tmrOjA1ie/gWPQRxKjnLk/u7SjnXuQuJGkrrftkr+gBvIc1aZnSBxfwCj98qqrwhdELeOH67rIJO vkKiDcKrLlggU7wWhPdNzQ1W4FSsW8JNHgtHc2jIPBBMKT7RnLmvIr/JgNh8LldngZ86QuVwK60T nGqX/zUTX3G9ukVCj0PzikUt4+mL0WBO6sJGS+JW0IkLTn53PbUm7Xl5EbdcYb9baLchdC1K1WMg F5xIeqAhWnb1t+6bBTluQhtM3u0JE3Rm3xvwjUMNbR0aKgK83mVqgPFDalsR7870I57yVbwhEoHq Gl2+YRJSshpc8W2Oo/bJglsDBAtdazjNo24UUTDBLChyQ6sMWjsYfxR37uEDVzIATGe6Z71KBB6+ LW2UYsOjeq2i8goZcNDrCD5zy73gBGnkn5VN83PcUd2valkHJjnxzOIccJkrGFkzEh2fDaV9aBYo X+5aZRB3GpR3S4YeGpQpEhumXx869hf8Yg8Lsxulfaq5p/Y5bG4pT3Q0fvbrYuZNDIctnPqh8rNG gW1qrMBs5BVXy5ftsjG2+gaxr9jTPkmvJ/L0fb1eRxVR2yxAvtgUFd80CJJnyezql8m5367APnBH wL+TQ50eKK/3uJ51xGp/XWjIP98j7gRA/LmFiHtOeJmmmBqpXocen0QYmaURHHMvqis2uVZoS+zG Yx8Aq8/VefFU/NLNr8QPxkC1BzSxQEXikX1wz4chbsGcJGUZnCUeRRX1vogg2QkSHC4/PfY/0WbY 8f5dTF67GabP5HT2N0gw8pgS0hwBNjse8070OBVoU7SSpD8NeuYWumODZ2g2evH6OgDTZ4cY6VGO 1iZOyyfRNIET/3ct9dE4CA1tWqwvPBVv2xbNMzZ9j40hSxTCX+p6h5GItZSDDBenfbowoFEc5EEC zqvwbfszr9mOhWqgkai4tpk+XUfq0Zy3nuBLjTCcVogN3BGmz537Bxv7UZKneFzilhu8BMA0JrYs QM5t60BujI117JDJgNiK/CkadYpIPYmk1m1IjQwwRng+VaMWVRFlQgmk4U2aGm3w0bjhCIca85S/ oOt90zBrnoqUv13dG+4KUx2GzPua03zUE1QkLe/hZ6qM3SzJRveitDeincYzslPGB/7wWvgy6wan 5QwzTIo3T/80KI0DTnp5U4Rblntdr89CkjLNn8esDOs+ZX0b7HiE2zCkcRTDalItcoEmuG7c0FQd Zjs0is2Qmdz+XF4uGDlaGnl5eNCJJZvm7sPJWDVLnlk5eTB8D3BcLmeA1wmlMIVuZ1WWZQHjZ6wR 9mTaSuT2ag8bIv3jyMzJCUIhUXrErd7svKwU9UJK7VHly1/oqkuuOWoFly0cuabzqd07Q4dlXjR5 ResEhNyu+b+a6iSmjB9eFTRKzrLHV1Vctf/cDY7wjDto7agGvX4S4gwaUJrcQ4RIH46DFsviW51y G11PrL76heahSFBuNtI8LkGPeGPSeoDWRV8PL5/EmvD56VxNiF5hgo791/Q5mgaorK9Nhh+mxiD+ dP/FSDQkwWqss4gHOHoBC/kZPtf6HNv4MltquFWEYt81q4DVgL2omBb0Efj3oBgZHtrtzYlsrqcl OlU8+b5WgkLqXAiEbs2e6x4EeuUVy3EhEOcblHMa5bNHZ5Aj84Go+kUXHiKLxmecXYgoM4hxuliG l9YOP1qOof0uSva3UKNhItsBRMBJON1zRSs7T9+emh1mAHbk2CFc3tQgj1/yv34wdMSwLnKGgApJ Zv1m6+nrJgtVXLoOoMIk1eHBRevBo6iGjqfS9vV1AqPh6CM9vsXlBGv/A67FEEom5frYdC6ru8NV bQOsNgkBHerXjwo/7ZP4Npz3NawDx9Vj+jE04w8j90DJww7xzr3rs52XZcgOOJAOV0cIeIdcLKSX eMWA1kgKHJmOXu8iD16JFImAydniO/TEbsUQbKGKWGU9bqRbH+FDpAs7RHV1tlZQEsgc3S9wPiuV oxX085aejAvhDq+vyCN6bKZznWMoLM9LTCuARDBlz8AlSJfHo5EdrJCtrZFg0BlkeaUCGTK2gfCg 1FgnCcsy/NnCJhKocyOLKxX590kmXYvd3NByAw4IYSfUhrwJ9tYBWE1W/P7/7umdk2EpMofD3NE9 Uf/fMqA5rQv0cgxlw4+L731ITbui6+alQLc9eRhetsUXS6/smJoEYBhlRV7ztXNcsSxah9h2kLq5 AOLrE9DwCju9zDFgp0J6cn7ihJiFn6nadl8sJ3qewCQKkdRVWBdY4kHKOR0qZcEsuYKJ0CB8zzgR czcfHQKyWsH4KugTZYenNq2XM7PiYEPUlxkm8//lUlbCl8aA7y+g4+AD1bfklPjyDK7A7q+asyed dnTzd8joJOBbJIop/7L8DLGMTcoMmCkO5TOT2Khz9PANCT6WD/PTwUi/6HxE+2sarQHczaMRcrRE mJzSAeiub4IehJhoSYIHPKHbcNIBqvwtxvAhqVf4OTTIErHm/30x/mZbRKqq/1inB9vjjrgbn4Qw RRY9XW9iuLtR4akvRqMFUy9ZAIVWni3WAfGWjLY4RzYmfaakirMh189YmSuy1yzvcNqi49SnoypK 1bH8lwAq1JNxHuP/DM1S99IDAW0vuI8tlvqad96K8WZ0ZwUHObWkrdvA0V67+E+5Ucpnxj/FV90F CV25AyEFImO9fXoAHMAzS9S+Hn6BnuoPVNuHfgEu2bBkvqZuL2ExQRc8EYsskzZTsb1P/BlXTIUx cH/sqY1j3r8tELGuQFugF4kMqrfNL050MWJLYhhV9LUt0EmF8SIpSRvhCO6JRSa3msVRgk1RPv23 +3Yj0sl7qqKIs2BezlBwb0Iv/7+V3IutJBm+XxGBYPlElGFmcB+N4SbBbBx013IjREnkjm01hexV lHCjZdfChylV5aiUG941Hx4+XmMmpyuBGcJ1Y+Asb4+cFwUAQ7UOQw1AHEj9OgGP+Ke0ywSahWtu 4IF/m84mQILdS7nSxT7h5CZjv+21g+lb/iWRGWT/i1akxxMtgZmKqsmPXvLrYYrVRuevdtmC2LaL AfCXHC/22ARMYMOjVTXuD9w6rLspIJszJy7Oozky7bQrfYNgZTJzaK0dtd/CDBWL6zQhbA0cnCEX 6XOCubquJ/LVlTmV/Ubsck38e+1pTT45P10VXNUlSWLgWWEWaque3hHX/mwVXVwDLFCliDgr/zd5 wpwfiquCPxiH0UE0LI7y/DHZIicsgREit1xKEWk+MmvnO0WNsBmqFYsweoF1AA7EiRX33vBMyF2h 6GKhbjLVtC69MIgvHH7dvkTdrWH0hrX1vqqz6dP5ozbqTkmWNX+FwcJIzum+SoAXQksWZZzNY1RY PaaCB8yDRewzPZ+lEsNMqyd9d8L2JXvWf7p/giuKyHT5BZpgIlGFrL0cvLbIdDWYcKv8SJZg6DA3 Ye1jFI0/tiUtpJRzmIVYuhgob1+dqUhDkS6qOYsW03/g/FaI+0hcUhM7oEjfkZB6eMUaCk4AsdhC XkMtEZMnlbWaMCV6PNiCLLsQTfX4sjI7O3XK6tKdei/pU2VVPR68StjyZHkgRCGLhsAFuQZu/QFi xNvTOziLjUh0Fc326Z5GoaVEYOtjjYzXikyJC7pMFNqLLShFVkgwEP1+Kj8YYqa7EsaSsm0Es80X +LVeCYui2GDxTUcu9CNx4AESeXIaVxWOBG5jhVmojMHIWRiRFoAihi766gCU2L8dY5zB0m4zaTA2 FUDRoUQSLFYsZ7RDbT/CbxREInCOTGPiU/39r3TLb3iLbYlC2WC9Qoedu+LL5xuU0IYaSm7AihSJ EUfTNNanotZAMyqNHGB3iuJmYj3u6sk9euCDQIryZ4Vb+91SsXTHK9RuS0jKXbGTHZnZi/xQQttP SqiLKUI61CujZvPaIhwgWEyn36nKiergpVpkx4HLidZmY84Kc/iLuWRpCtFFZc+ivaVOZMNQkKIj G7H0Ia3VjyIjbomt8Vpf647XpCWeJ347QkupcS1DPGFUXN8De1CXla/HrGV34UgKUHAiDOHiaBr3 vTNjSn6dBFS2ONvhJ/Dk004Wtq1XHh5qtpmLe3tjMJRrge3Ux9k5ILKsX0mE2qG5jV4GdQDct9Ab kJkvumbdqizsG8eHQosIRphyP/XUxQbCihPFDfDISTLMzokwBhN3LKIgcGJSNGTdM+eVXCnKO6B8 lkkcOEBm9QSRSO9FHi15B2XDqbB0Ky0/6trgMuePchUXMlcjrpCw6mIKiJphnV+ajZFQ0tp0VVoy tq7drokemPxVu/xUK7BjPe65XIay5Bv0CBB2iLc+noAmijnkvUK7JXWwB7sZCg0mWWDys6JIioJI 1Ogw8Udb4WgY5tsnyeuxWmcvIfvXDk3ijbx2irt4vIgPdW0zrnzF/1MqVdLxBFSF7N7pgVWOAgFI HPLno4gnK+wpaValhbC43Ac6BSs3IYWpzG+qJNEglsWEl5Yp4tUPw2ydsnvc4yCCzByt4yDZFi4Y gUifGHgxK33x0oTV0IsxTfU6t/hAcQC9xnHB0WqOKWjpCeCceWimi4mBIIgc/mX9IO4uB33ng4R+ 4U2D4JsHoaUDsj4kk+ytBjSwYOL61TuAKSgxoab7h1urvbVgr0A2Kmd2LgQ9rriP05Ig64TJ7Lob gHe8n3Ib7bkNwrEEcm9elQQpNzZET8e8k532v8f0ow3IYR+lI77fj+9s4Le5DjYNs9KIZQNl526l C9SGspjGK3Wf8Q+2cuT8I07ZkNPC6T2/q/q2PqxYNQs1dLuiJEAFYfV2Hu6qCeyKkQUUMioLI6I8 dR1/2cKOkCbek6g/I/5/Flz2aDL1Qr7cTAnmRrj7VY0CI6DSk99aNdx0ZeK4u8waUN4JA4pujD7Q Lkepdlr7zAbIGtwazZosPIKUHUJlp9e7raMsas+pafRfhbEwnMUqTiDQ7jG3CPoC2a6DuAYbMt9S ylN49fxdC3vbr1jd4Mc/Iq2oBVa97LrJZ4KAHBijbJ7xZqRVbl3w+UgQruf+lQA2wlkTNZbNAqT5 XXJWlOXZHazZgrhl3JCQaONUweC37rNeiiM7l/0VbD3xzhMoNarGgbNSOpi5q1gRdjAD0Wzit5QM /mwMWmu01TNkdnDrie2rGTr1INGhFWEwe9vxcZkNOwhdxmobA1f5kgdLr+fBUIzyC76jbqgvgyTR ozodP/A16huX0C5sWVgUy7cygjHlAjEZe0e6bc4CE1Pudxq1v/Ijv8AJKO8vcJVGQfPQ/JxtGkfO p2Ej9o0w86BqRlUYxb7JgNCtQIE7i1nsEGa/DT3jMCFnIg4dkAjLRjVN87py0fjQhwXZHYGqmZET bI7FbIjUBXMVJEoSOu/0EBGnyKzkV2Nm68PV6KRCr2ffWbMATXMzdSa+aFto4FQ9fmuIspiusI3e fYq4b3kNJ0sZ2CIcV1tAX662x5bIbJC/mCA0MCzgQv3rcZ1rARotUrGqeW7z7ZeMCl/AbhSaxbff HHZlntvKXQ4pIM2scFWNrgDNuyrYJxQE3kGYV7cxS5JaUgfs52cWS5QitoAcA/V1oOT6c3hobaWB jUCnjN08+xM5V9iQ2a0BKvpgC4ld/loHVvNGPY/bbX96fD01n71qKBxdFVCNZwOwQ8f2rQA1CKhP OYgFMU4FDTMbMDrPz2ZUFwVSAGrGXX8wVd6+6aETcUbc6iKzNQO4RQEGiOsEWYyYTOARHvKR4Otb 9Lke9rk9Mp8H1txk+n+NEN8IS7mEysPrzO9AevOyw6dh/l3LyQXytfbtfye0RHi4oE34OVqpdeK+ ppEUzdHcBrpqK8nqQsw5lbxZ5piOmkDeIGESntIsOIV7Vq2DvOLFSuixx4PB1/6pqgmCbqaEZaRY pFM7oTqUkwgLe3xUoX97mTj8MoUkrNeQ03IQ/JWhKtxgPuM2+SBzQ4TlJu4fq0nLu35D0qZCbKZk qupn8Vypa/8J5XYY6NOcGQVeW48S3ZL3iMJ4nIZ7j43yamf3OvCbPYTMDUeZksHQp6NPR2AldIdg 2Di2BV98MNubLSR9CBhJYWr/hMTN3GoCfBNX3H6dQ6bHizGguUrf9/WWH8XNLN3i8SyxCylv3k/B ZocvKGZAh+1A0UCow/j1omYX2BK/31M8xbqt+pZPwGiwJqOhKaNztU/dqoG+VAbLoi9iMTf3sBr8 Cu6VSyuz23SdSgHDYBV0V99kVrVzo/yV97uLWSLq9Sh8+yikjnBMxEIRZuQDJIF641xGU0WtvARv fuMNWEyQ/QsiPgDHVU5zVg2fm6HyUnXfybci5c5Gj5/YtKH+5yvpbeYnCOiM5B/hc0HJJX/LmCoh TDj+P0yajYZBic+kZTS4gD04x+7mCJfbFGBlCFjAt/L4B4owN54IognZhUxjXF1b2BV11RWeidCG O9XfEjbOufulc+NpPQg9JSfAtwuRj6o0f09r1snSh4U8cZyEFMaoNI0aepZV3zi/ui3yJHrh3d6R pCHeHiVKM+JwbH04qIUb+zx0EXPrt/y4BZi400xZzrJKwIQ1lfbd/HErN6peWK1kiJo1OlyNeYmf XMAxQ6A7NwTpWG+nBvvQ15XRyLJ05nDlAFJo+FJD5b6owjluANuG5P74DMYcqJDnQdqcgGyflBCl 7uy84p+9eZEwzcV+tWYjrJju21zxKaiHf6eNeK+nGSl7P42R4vL2z0oZXE6JjoQ+xXZonxut/uk7 uxUnZJbR/T7Scc3OQBI0h4C/YvNQfTbbnQrVcNoaMtnkV8S0E7PznJ1qY6LBgVTRugb+wuBBFkSg qcrbm9ucXNtFQeqAJ7oLNtyWbcPZl+X0toAW3r6CW0jCEyOzjEbN6HPlhpdR/r/Y0XldjRvMQ38b o899dvZM7NeAclzDf5rQ8Cnzm5Gcg68ILB3F2rfDkibBsnoofJ6G0KFQerCcBUkjGCBW2dX4Wckr HXw7YSLPL4mJtdecIr57pFqjJyQog8qiaBlPfJTdiEW8XNxUD+95Br2QbOQuVNPh62FQ6sISVLim PSEHJZ93N0WGpsCRHvwNLyGZXdakv+F5c0k0eaiI0aT6mD0ImInj3vxvylanDHvjkaSNqG4VlPhg 6nyLuxzvAyWpxNrfIiekCdSHLisgSvEx/6kMPtsc/cKrD2lDTIYm4BExzQceuchGUtFydVZnHG5T HDOMj/i3p109grXgeNqlDXfrOibeI3CVJx5X0dkXXe3jxxTafepA64Av87zRHd0QJyGzQV30kWiE V+7lZrOUxYm4NexZq+uWjOjen1oqfiG9KqpL2ubzLabM1rDDv1UhRoPVV8196sNY1HFmfsuYyCwW /rWMOcHg2G26e4zjg/Uc7LiHFoo29RSfMCf+pnl1jHYRXGDrKf2XUbAVMiViBHtOeYQr3GUAd8Sf kqBOLVc/Pt3PhXR40Hw+7w1JnCRSAU4mfFsiSMK1N8WsmnF2Q8HvypTXSBaPWQTSWOokDXzB4eyO 8kn/7Ac8ONpU6VLOCajz74QFabEILKQ6Txar5DtYFDAd0z59ig+TYEAktNHNCdhH7UWDjvc16fKK YYUKz1dJAgH/hledrikVDCzx6A/O5AvlQOwrmQv5fVvU6F9bAcsQrvbPFc3QkihcOvDW9vGvU0IL hRK1zAa+o77xa9Aq4Z4kcsLiPoaQvvEbcBjKm3ioykla3P3pkmV+44Tvc5Msell9vMXZMwp0pJSo ThqsGj/uG3Y35ItMSlr+DNZrPGzHJuxv8Wkw6iU1oCVRu6PWmziDcU2Aa5s+2tRPx4nlP+HNWNWj NacTu6IUe8Kh4FZElAihjRCQlgsGkz+gmPoZVVwpUK/iNL4/Me5IOmhpTrkJnZzrkYxiGdUhasCH Q0OV0SiQldWdXwWL6majNJfQjv+e4laUJIC2ALMeJLgelbanpikpE8RNf6YoWT0gNE8xCVVwYrBN fDsXFdjpl1yjmkhUNWsAaYqGSCla7AWVpLc7O0AhTux13eXjz9vPat8L4Yg5YRFRcs5DuhE2uh1f iUTJj2Hs8L5LU7OX8LN7V4SHYBB1nKGwsPL1a42DjyBHI7nhzD0Si1UvySH3MJRycCypeIM4/wz5 9MQUbIPeWTh1WNjsgSGCUBPIok0e8+UzqKGTDfccT2eYA0JwQ+sBLnaBORO/SYx2PkUEiw7cgduT Ri2NdwFIPfCKTrhOIwnYhj0ghUTHrx2L29zqyeHzU6wMA7IvGDzmZo2XjGydSxdUdvElU+imrEnf 1MuEEAW1oaqyrKD+qWqFe7+fvHc6IOhoV3/L5GO5ZtY8DybfctWdqE87Ye3jLcoDMZZS5qxgEsfu gDhKQlyppMS3W8gC1H7sUYOP6eh90FD8AFI7CkgdHKnrOGjQHHPgaBFEbHBFqa/vQEokRy5Hp6rP wqfSWvjiyTeXZHx40IaNao6ZnewPot6aV0wMi2ahVvR8N5b4dI1m6baCpYNC603UfAymDSzciXjF /JtyNMBFmzWhXKrJswfRZQxagksSCQ91TDs582lIHBBKVKNaHwmVmTHJjt7p3zTFtezrQ2MoXUId IlrzuqRKFtT8j+v8Fnh9rNBVPcINhDa0EbxhsQqiWSz3xGnLOngeRryrSueqiVco/DgrBji2SLCQ I8nnRa2rmIKGa+I24guf7EuQwjGhTE5z6w/B+7ZGWubEW7lbRItC+LreNSTcmRSs+opsp12zh7CO HrqbZzdjjAyNARWsfxcx9KQuwBfsA7HOKyHuDWV4QQvOqV9nces+jnKJkEwL7l3acEKm+fKxhu5x gPxZRnHp+RGjdPdJQfKK1Yh/1W+MKDgGLlsmEwBXmRUHDcwIhUJZcXoqUBVVSWq4ngu1keqZ5Bv1 TI/6xdo9tVyoRCFX42FDKumWU8AN7FA74vmA7z+NhLCeu/Wbak6++F42POXVTxByOiDTHGb4puDn byGRbsrHPLePLW4xJVCr2hSpWlIhjr9urtVEI2l6a2naT1u9DsJDeVHO7PHJiUeFPITfWgM7fbeG KA4oqwELEE80YJfYFIYPpInHUKLdYMaFAcQ8a8v77Wdl1jo+/X1yV/uA4H4JPl2OVPDXMPzHNESh VL2DFhVy9+yF5Y5ZIj8gM9zCmRUX/pAHzbS3rNZ+an9piFTE/OVHKipa1BL05S0pStdxQu9uWwcz tpAw9xqd8bP++xkNIZFkftajl0T0u88fN9w4pln4XSZzNBrqxfESG/K4AeSZB7yc06JudLd0CMMY dRe6cLMM8y/4VfMNKHk9rCIL/JlmYCZl+8VcREo16e5jNKWksO8JcPIfC8lzVRGajCWLhh2VtUzm QZC33zF+TxObp78WKPSwD6bvndF0m0oT+73R8+t90fm3yk725ajlCARE75IXKwX/JhnuY+ZBQKoY 58MAcSOq0y99lMAY5eNBbJx9LFwtG01R7i3IVcjCl2iXUwT3BKx3PdSDtZNaoTdXEZRrAcawc7Z4 E+5jg8T3ihr9vid5Uxu0k1KR/v8b87CdQK91LO24QNA83XsBB3Wjfq9IdNuvUA6RVH7cnNZNpCMY 3d9UCXp3UyC/W6KcapdnwWbDE1tkziYY7Km8zaEnHfc6h5a4Tv8FK4r7akF8ZfYbnG3T5SlvPzu/ YvxRtysZR/aI/CPBtLMTajGZgPiSQE1ZruVH4BC7+5dJtblKWITDwEMndzgv+xjrGYGgpYj6x+R4 sDhSsddQBG3Yoel/jIMcxVpN+U+eJVexjglHZg313F3POLtoMdx2GlJuy1UUPWZz6igD/dffAo6R CJECesWzt6R/3VMDczLru/49ITLu2y3Wx07JYyxELTBaBZr13v0NcwdLqdJUrfigjASu9smO08eW r57XuWEGTIxA3yHRHgMN8eWAbsFsZnN9x/8wkQPUlzBBUhMeK31jUNsPP8SZs4GFZTHYCwIRmjhn ZijEFIDa5GG1Useojn1zyf4Tjrr9z9vjvTQjta3MZO0at92oCqWLiNu8JwcDhtp/D9t0Q+JHyLHx PtkKsCEpV/QhohTUHmlf66+BYJzpFnzAJt9OCdtuJZ4w+3r22ZJHglyfxa15vWqSjcOfluvgs4Dk IAx0zsScRemgvn9yukJHrZmBwUzTiJepJ+WKwaEP5XVxF5FQ3AZZIqiFYl6Q9JMGHFITaP4I83nZ 4TWK4Iqo+nsW3fMdw6Jwny9R2E/g2qgS4qW5dFFH8AWAXk3ByBiXhnPZVxmDy20UAW7Pw8O8vDsS ZEL0/UzIF4lGHPWVtu7JMiYz70GK2M5yRCLV7jJH1vRrFP6hNmIgEn21jclL/lC67utvQCwxgGrn MIows9c18ba0xYvT9LpbFOOHhuTg4IODEFwiwBICVB90hDx49zKUNeScyK9963kwR7T8r5WpqK30 urtaCMSyl7NAhilFit4iGY7l0/3N7uvH1//lK3uM8hvG8DoTUUe3UdOyFmYpmI3ktA/hWIZBwfcL F/cY5SDdk0JXAE8hVm4YqspmeBUZVvdyVSyiz3T3L9UWkORvsgLhglptb0mU3FFa8UqZi5VN+uaR qfqWtxgywAfLk6GiY27ow22z2SJWN/bR+Kr/QhnuJ+Zn4dmEm7Ppy4QHBP1a/rXxRVspuEEqt4k0 ZphNsbBnniMV8wfrcHBgrfjEBjA5GayIp5pCBfHEzJhdIzFtKW4LV7QgpljZI5/jgWKuNLysJ9gb gBtUDxGZAnENeuSOZeJe1vvD/lUps44kI2OSB8e5mYDKdbhnGkqsiNazydO7EyN0Z1mSS/C5oDks Y1MTYbwtGhWi/ecvhiu+JuoPzq6g7fsCVpmPWLulJh2neKGIfdErqdlmN/N8bjz4Of7H4i9vwPkY PQgPbdddEiixJFVoq5t919c4C/GJyuhQVqm0U3VSJeQrEJBpg7erGAFxN4V8xl8otKHqGN4gFTaC dEaURdH1W2DwokJ6B913beA2zPvEc6QqlXYpAVC+0Z5Tnpjsf6eJ5ol7E+AMwB7YELnNR/t8bgzj emTojeV/zyQFxNAyVNydh7M36nEnV/PnE4/EvnawI2gFb6EA+wgHDKROCooSyTTflxSgighC0Due updtDN6Pz7q2UKO/1MMZaxGu4/8mIfx86Tvv5ffOYmG3UNXisWjmpKTVrpFG8CvfFf390qUHOMh6 +IgNHTazV+eH3fiB+m0UA6A1+5kyMZjVbjZNJb4RN43YvmbGa12koqqm7FP08NCM1+KCCssGTjwI jfpT3dz94gp3sYOLlvr+2Rfo6yAD+U1SwIg5MCSwgO69GLqQuj/X1SjGlKi7C53aYE2LR6WNPVrX DQP7sgdSNF1Gkwjzxz3FIDmg4Ic8+R//BDDor9E+fH7YAdVwU4dPFcsZFLSDD8JzMHFsmVae/GQ1 eq2sa7OX7xz5vwVWQtbcA5LcVXvHYzqfj1qfGZSynW+sWotTfApRArFTZogztgv/QkwIOrJheW3D qUdUY08ADgdWgTdeJjjK7ygdhZsZzzN+vmnhS/Nkd1y1E2u/ZosFhWjyiqgEdmSvZ/smqQayJ87K yGLyV4pW9UdVJBIo/WNeoZfK5lrVfz3TAvPa58F+fSDlBt88W0qeMAr83mBwZV9o+xktpHDEg25t 1XfqbTei0gqF3NwcJMIMr1uRyAQh1q+fsI85oR5wXESbT06d+BNnBHpC2QzifkbShSEU49qrmO0r v6t/jfQfGp5l+79yuLnfq/qsRUjArpblw9nvf+HV6io8xmSN5vfbP3nbv6zwV/BdFG407/y4iFwV jhYEJblPm+Xbhgr+HIPuXY4hWjaVSWLNRQkG280gjF1yABAuKsR6uU6w9CutmHMFyIVkqNPimu33 KO2G5x39TE6t42op1F11ps5udYN9/cZxa21dHmqJLFFZWB2881PE25U5PSOWPuMXGb+pg3HkdBLq ofjEfPETMgiAp7UeAUkDQ+4qsSJAcRR38J85ODGGSY8e1SR9VtLHU0Zit6o1HrjohVMStt565iOp ZCVDREoT/LXm/he1SzAWw2TEKT1qqLpiayNBwkVz49oAnAXcOPszBm+LJbmYcwgD0e8oq1AQ07+P x2RAMVKpe6fCcxeH4Ae1z7QCBT+az1vLuznuwR+Uw2N5Um0jKN5NzWZRJovhFy2BIInnhMapvedS Zgn+uNLpBqN2Nh8qlPv2ZhJPKcBCWU+Owr6Cw0PU64uOufeBrz6x1WjiCEKyOgTppoDJhqNxe4tm bJn0tASs11xvw1t0BfeVYx5dHKtFrGdPJMDea813AKPycEf1Wh0sy/xvmYFNUMUuTT/WldtswOTm kTg5JPjcsX1YDWWjjSz0xZ2OvwoqYfCJLTL8lg5t0DilUaWyFi79OYDfQraanmK2lKn8Ue8IM3ge e7bFISqkLdYZ8Old1fMemwfq2g+WOJMFKcu4mTaTLCjx5oMWiaPJnvA1kWsHV4HRWupfbdQQtF79 DDQuD7h5UWl0nzXEzBkkqlIHkLQJTFxAGawBfD9B3t6v6BnSrJW11TvjqeOAgaG7joPUQglaqMln 75KlTG++aW+/NVt+2+LsP6I5Q+fN9YIgRhou3Jmdi6F3G+hudp9XKTBe7Xim7LM4jnrE6Qbqrhkc 3vqljH5Mw25jDrhAbyBugEbBMrkV3A0Uv5Oy2++Sp1avTrcOg8MEgJV7XVqig2MtabsRXgrXKvUP eydU5x4/2EZ4R6A1p6h8w68pgDSADrJs2t+7fLzZtFydWE/8gqsZ2VbV1jD3oS40TsXovUa+tpzG 5vfw4AEuJdgQrLM/cHMiYKVlGdQ+wO2DZRBxfSfmELt5l1hzDcPllHePufHdDoUFE7aaBP3OM04V W+YtLcGMVbc8E+Q1SurUGFtC7YGVr8IklVjLLtk5O7OfGGXTuk3ofrPHR2Kx2+8SDUbu+J5U0ze5 /d9R3/YyGhOTWgFyrrSjTpdHXvzEe6szj7rc2UXtc6J3cu/du7B9L9Sb70yD04McDdr59GchTkUR 2mSaov0rlfK/umdieXFuaWULmNw5vdq9+1sg03nb/mHX/NscO0D3LvBroR7CPJ/Mr3kn5HRW0lvJ x/LIFaiis9ExjUZlIlGplcCqjDiZfkMAIK1ErEw6fbTX9r3csC7n+o9y2L8HhyToJq2pEW9NkWRy Iq4B1VKuqTpluJth7trxUptS/3SivaJQFK/DdaUOWuKf8fKKLDhcpzBswAqOpN7LkGnTkGFzgA/Z S96Cn0wiPwfRZXcNqgQlD9sMlTcdmHG4V0tZcm0CBPon60+834nyJ1gKxVmIqUHQ5aPoMR5qdcHX GNWJY/rMJ1c1QlDqs50AqHgR+hBUkBUeGOXgNPQZJds9tQmy74S7ZSVfxXK8WNj63gbHBY3gYk1Z 83rpR2vFIdnWH3DWbIOwhK8+uq2awMpxjT0d3gHdWYBofz8DnHxbrPUjmAQvVK4k1a0xoDLWfFyN Y/FvppgzPa5/oX2RDk7jqRg3OyNbJdBghVXE/S+M+H+TukjW1e7xlNnYtslVgnUlnqe1hy/+DmYU Mluy3t8oU5VY4Q4IlKCVVPX5D6l0mf6rBKfKKKRPXs6HLrQsMJUzSx2Av/Q5f8XEvnZZ1drHYN2T XZhX2hgl7SqwMREw67i2U1JJgmJHjL9BekZVmq7ntQiSE5GCJY4Dux1H/6NQl2OE6UdFOyt6/99W tPkaYFFGTvLMASqu8IhAGQY4kjLeqs+69xjVdmHkvkVhi6z1KtW/YJvlYA3uJnVaXAIfpRiERRhd QpV8uo3JVI2v+BHDuFjC8jwSSiESWMHLDP6MHtnUuGXd36j2fDev7M3QcnksXhcNJKYGsDqIXZxL QBfS3Mi+4xR32CJZwVF5/qhV6gnHkxbneX2PuBNc3KipFaZgm4gXjO8duQuw3Ugg0NDKihQEQSoP ajMy9Nk8x7UYwEigowzS9139F5HH7NTR8Rv+GfCQdQ7OiEKxx3i9vZj7oBjA9S3HCTfp2Bg8hzWB r1SwFXHIPZSS6BjR8LjuDvGoKmfZA6AJZNIP3wGNla/fvXe6NCMSYQon6/lGmxOODmwBeQgDi3Fc 7xgQK77wz+2s/rOsH/VV/a/EJnJBB0BOdTZpCr630EMBkpe9MNcR1wsj0XsEYhkDtwOLdbFexWEG dalNlaJd0ywc6duXwGBz7cPeFqVguCr+xVMaCGoKrIPbFr/4Z/kQoIRislB6boZkNpTPHnuYFZHL jGM9GM00h7oORZV5bK16jPGn/SAZFow6RV7/04/+aC8ck4enrUIhDVPPeO3Cgtjf1PlJ1aV5+reM 4m7vLunEFeDMR/SvjgXTIOuLry1zbif5EKlgkBbDd6bvNm4OA8yljudTa2C7XlkjVBgaMZOGWP8z PlQ1Uh/HRec6axUmhKaKyxziUKPgucHj8LhOKkYULll/gguwSuBYZ2Z0dg2xPhuqL8cnjKXzUNq2 jb+WsPFKNUae1Blfeff4SpF9toI5fbtCXfViOahApwYbDVav9yDoiXhSuZVa2gzdkbdjTw3H3hoA biYjeXFk/bOEV66lXxTAo+Dmodqb3gjnTbVg8qehMthENkRpZNFzVSo2vP7gAKeF8qmKCWahsEAQ bC8zCR4DxcnQhefrAzSVV6mcit8t/gw55VCRSfC0lwHMEJ03q8Wfcr6tWzuKKkZpiMpsFuKZvcQh ywT956LVATsizrdFPzc7rPYSNtLEtMULYyqlrV4Tty4d10xubxNb/4NaapSJgOtgq7DVXvNWu5mE ua5mV+nBYYJnDo6Z1c8MkVoRuVWV7qcsZ381egDNbuwT4IdZNU8ZfhPnz1ULbDwQVKKsc1OqdHdJ YyRElcHeuOV7+CAHOIHXB5p0rnBq8O/cmTBNntLhxzk9vNnkkWEXtQpdmqd5AHx/bX5L0wjrRqve c8hKQQLkTS9EBHOD34hJ6CwP368gXe9rrRp8DNnquoWxvsnpVR51m8KMIviXElVHNogDDYO5hFNb 1xFbBoZs7EIhtb/3CTJ/JvZmEQ4I0d6DSQxqRinAGAiCvLrQOt0mx+FnuIIK5uanSl/hvItKGy5c evj4pDBjxRKN0ipmHfvpvpfiX1ce6dnz6hxUxLjs8UTFID7AUBMXgodkaqCAj2x2ymSRJDnkhSS8 GNq4fALZ1WKVHlB99RLup423t+N11DGiAcsVUOZjeEIH+2Z2Z7wDwJOn5yILzoMorIRYhC8048Rt zKOFFXxocyTqTY3c2ArRARdGKp08WHhiugw6a34Ijh8g8+o5FpJihbjMG5wobTQwcGrJJinF3ZED J16u/VeX76ucy0EYxfjNECJHzoHpf5yL18+5pIhCF1iz5k4kN7556nynrjZOYqSE5QqR6CVEq8nE RITMHRg+oGumhc5bJXp4BL6gFvwxCB51m2+4UlUvkvDJto1PB8xTJyXKzG5oLZDHah9fzWcqejxG 79KHZlfi+zLatRDhxlQD/XFc7gI8UDnTcqv9BBP9d/D3Dno/fhkNCfFcBj+FZhLkrjpn9rQkR7kJ n3IlFYugJ3nsS39yuCFU6+BBPTkVrIeUoPbWIpRzqLyo9V/LLvgZ5VKpJNDu1KmmBuPe70/4qvH3 6zrYrtuPdybP7IrJf+FR82JU/GZft7FMQA1ZgaXEqoN+zDiVGxxP68lRGSAH0WnFYLjRGQUZqZ3j ri8VC40NyyOHtLKObvIiYElvm6tE9Z5fH6Q9EDcVGbX85Aijuel4f4PA1a8lubxAGjwUATR2kE6x aTiPRMWUSlhqJ56NSK24Ju89jsfZHsUzGUkPH5P1y8d5zVetdGM6KfEDlTixFK+fPI8DhpRT2vBq RZifEkKBbbqKyq0ojm2T99hRKGTW8Kv1SfcB6NHJSREf0/d8M47r2y9hobg8MJ3hWO6izfV8Tkwa RC4McH9UezEr3p2NiQVFo3AXUi2VBmdu4KWAtZn2s+/o8VJgUHt4dHldLNBzIzCIfSvNsoNdu7wC Og3Mj8ccF4KVZ/PIM91nIOh7XU4LUf4NvRauRH+KCTUfaVIvePGBkkvP3R+Vrw1ztKPg333gdIPS qOp8KQhKu9n6sc0htj+dA96sBdLbWbpuOss77DcEXDNyDkcdvJpu6BVDCNO3y9uaBtUJBrwpcmPP aIRSHoxO7g6dUz+/qyM27/UqDCsNZK4vfQ7ey9Dv32SZnH7tEzz6OsxzIdEC/7yMDwA5WItMC6Cz llSattB2vykw5dYVAL4DYeU527P8mP9eqw1agGrNmCXmLS0vPz4dS0/ixwCMN8iujTH4XLUAaDpO 7FXzV3cklTOAWZsIFp5+fjVRgUl6+UqVShV/Arp4FcuDzKdHFn5wBY884K/XkNiCGy7+66FVV2WP i23OI6QJQTagjcoyel788gcpn75tKLUmxM+1h+ZjEim+gDrm9bn6V/VHL0luBY2/O9GaQ5gsP4gW hKgN3SWhZWV/SSF4yokkojLT8ds9qNelOxeyUqlMR0V5Ciag4z02js/SMuiUDLtgm/9w3n7gIsLy l9tgyolYlWTU65V3qAR+VRFksWQiMCbqpDNnRCyoah1w0k3yRT/o0ZMaMsID5LxaD4x8RPBhyU2U 1XmhLqJGhF/0l7eu6I8qnvZ3dAyM6if27MBkSwtYpPlKYTJc1Zgq0msoO92C0B7kPcSsBgQGFJ/f iLgsx2Xu/dljabRGa5GIVYwhd23N1zccHNO4io9jySdWnVYr4LWL13+8sYUG/6bt8Mo/ctxA+06e FXY6IpOW0LUvLI9M0WWcE62Qzup/AW0gaOa6sQvbN8QLGC/dcA1/Y4UO0zptZV+zpgHqh3DkeVur yAMXg5eAgL9rTq0q3txOl0zPpVpXlAqdc5yz68r7Ccjf+oILLl7xFecj/LWvXD2SsdHHxIrvZrCJ MHli94+rAAc/rylBQYeDCh8/4BDCDY9rgoh1okIujn0znLnZwHNQgP+10WYCWAC6YE5d3O/8khug 1oswWguKVxUCGokeoZCIJ3t/o4wgURnnl7Jx3KhomWoSWVh9W001CKyZCAMvdfcW3kUgAnXvPGg9 1kXk7TRSyMx/AyUlv5kg8gBRzOJPxaZip1XeTzrwtBaK76e3Em8+sJ6B1qOdgQ2QVsMZWmF6Hci7 b7gkIEVWRc+5s7+OTZtFvYkINuZz7IHlXdHs1/k31Y/Lk8hFN4IW2lPiot+trVsZDEyG7Pd/bzqp e/40VhoDg+68++OktSEiUwePrvia+Nj4G8W3AEtBSsuq+NjhGqNxX4FL+e5I3R1TBnI1GXE8Oxp5 6c5VkPEjjqiJbmyjx8wX1UKkAAixoGKFMEDyi094XJ1dF/Tf2OPaK/RCkrSdAIzURlMmFXya24Xy CmP0lRwUkBF9w144H543HDA+IngNZJhjCjIE8s8aFgcR5g1zSBYMtUaTtWbyFaM/uzSzYMcTMrMI Y6ay+ByK/8hsF1bImioKNl814srfxFoEJPbFuoIkGkQVk5DkTYBjAhXCCLCSpnxaX+eIm+g3mLdi AC4RPb3k+B8W34Z7raihbgkt1g3pt/upd9vvs1/kdVUa953+uew3znt8LndHgIXMu1w9YrShYJox AjvnfTqNUPtmvh79JySoLEr0ONcrh97qjOi4JtiopFJiyTAtrUmWTVc4TAh8LKZPiu4SZkoSqLCx qY/WAElohFNe8NByzDAw8feGlx+hxqt04v96Ldyc4RRhWh8un3c2PTrdURi+hOyiL4cUpM0bqs0R AMnMO7EgakAVabLYNNKcRuXldRFQh5V0VlYz2262nCh9Klk/NSB0k6PC/UcJURU165C3Dnb+IAFs mCznOvKsgIgmdteFM7ufpbJ+aDTp0QDseQbCqi0wNAV+4LwTD1859h+PISe9EnsSo8HKIm9hvmGY fJ6DqkT9yhs2qfF7zsSVYnR9Xe1IoWDN018pTcQefGpON7FTC23tzImUU/JXgcZjq5G6x/IZuPA1 M4rqRp5SGDqv24bz/umP9d5J5Qm18iiOAdhnO2FXH2Wr5jLGLx5eYK9huugn6zeEwUMM59oYlBpT /byiDVgxdq1xzRBI/NRvWCR38HOgwPdqVOauA3jwfwtveht7n5OjVZmD+/es7OidgkKoIMUWRawi FKt3IbaGprrQ+K5ME0wEuhgECqiwdIoqKowV7uaMFm6sIPdz4UN25voaPsfHsgFw1E8KwhRqHlWy VAYhVsPE9GI6F2hurqyfnxEn/oQTofz3vbwePrw3jCSuO33qFLdcyGcbeejqNafScPVVT2el4n60 dlhNZX3+BEas+pR/uNj7wEVBQb5WPufg70MHxw7Ui1cyPXIk9Nkk79S75mcBt19dcFPHKPnSttZK AArWthHldyhkdSoGhOpK+0IFVwN8P9KV7a/xxPn05Go8cHUu2nByrz5SpNQUxkMEd5V30AHXpZRI wNVpsNZYH5+zIVsX7uw+k+OPLs+PZ2v11jm4Qukg/5ematWnzxLmRvS7A/aTb0Q9QQMZAtvvuLIB Cm0tZiJgGC4srE07TzT+4r8tSG9Y2/wpf1YMUWx2/2NREo34XjBa1nr9KIuYzEfksYbUookhaK2r lRD3jLdxnhc9PnK3kxSStikO44wnJa5aluHJyF63h7b5WLIKBMnXNESfl0yyjTuwr4OeXZrYMqOC BDzI3NauCsVNm6IztyaKRd4bkNMWmFoVp/2KvV5B+R8tupcA+TonZ8Gi4zVGUEr/4tM7qsLA0LEZ 7yEJvxaWQkaNB2F3pjt2nhufhqzGUxycZiTSaMZGkfcD7rolOeZSgNHzoq7b3R/PxwreRwrTSlIY f9Rz7bbyAiDVCXDSHyvsUDHXZPA5EHUxyioZCAY+uFk2gIYkHjGKUqJd/t7YOaI2PC48HJ0Vpxfy VjZrLVSFHhUTsuDSp/TlZj4p73Q1dc2r+MVWROdiwRYlobmQWb1L1SnTedQ8qHTI520xbcS+K7i6 cCA46N9yyJvTdncq3U8FuqytfRJIjFMk2obXIJ77DzGsc02096u9axEGBkEpsBwI73B6B2pAbFjG F289g6jB1oBVHdBrKilTacQ2fWtxU12/NOh9RJAeO60Xd5ugGcpQzpwWHiZkH/6k7l7OlBqadZMx Sgl0kkLascT4DK4ZJrPpVr7zsrXUzGJpUqPV4pWJF6M6OdVcn/0g1PMmnyfzDHWKFOgJKzeDvk/p gfS+YHQ0tjYpi3uPlaW68GhRibofpjR9AEs+h6FChSmyN7ZftNMkEhIC2vRep19a98ZHdxIHrTrp DXFGQA/CdoqnCII3waQ4RZpdZJB/QQBaCaWvkLi+Z84CuMcN3M8MigrC0hWFlhewF7Q/WjuA1UW+ UBmwPNkWKmD+pfQ+Fplu6dLbmH5ya6F38J0rOHacoSe9bQ0FomQRjstGdxOCuFO2XeHJLiJ1JTQP wuGCYWSv1mbFrHj65RHXEms4aiRgPspSFoTYVq0Sox5EEzejSZY9sRMeMl80O/Y5CWPJ9OUrXNS8 jxzSTO58CNPl67+BQ7p7rzizAFyWfP/snkXz/q0GiQP+gSPbRmoBEMI8uQHBoze0glRA97WqSe4O Qc6htMCUKfB1pFoEMn50VgAFRRBwNu9n5wIwP53S4CbhMuWKIoswd8/73Hc8Oz7PztEjcF3HK4AJ vjGUbuSesY1Cb07XrxHsjDf2HjY+NRZ+wm0pLnIUE4wKGEMO7BIqQSKWZDc/E5dGtbjaTWzmMyrL qZtZyoSLdy372afk1L6AhX6TuiIqiUy+/8JKrhl5pAsITD24RvtacmsjFDMOo7mqFps4CyRXaKrC lxZKIsnN2oFhPOXsOLwsyV34kmedhb4rAwB9uhlM+DXLL/9CDGMxmmhOm91k8Ia/4VgfPi4gG+fC bQm6wBM+ivttXdMDqsUTXHLZZPujHRvW32nUgl53d0SgHcNF0SuhbkDHLUG6bDXp8OcUnI26VSaD 6vqI0xeq+24pPuOWAlclJEIeUsHzZErakhh2JxE8ItFl85v8wb5Rc+ogahc30EAaQn9JfbUu7eoS X2xS0wkGvqDqkKQ+yevTQNxHpWG53C3qwMEHA+cmtl9f0BT+7bH47BE4AMYNvt8tYFnp6q2/uuFG OwlgQlTbzHdAeMJWodfdzLYO6kibISzifc6gC9mROHmMpBW0kcaSxb/pm7nqoeyRL0VkJ71TJkhJ 1y3MDJYdNNdGU73AweE48y9MGPZ0EFMn2YwF+LlcYl7bKU4jaCOI355rS5OLTOqDu11LPo6BaKFX ZWtNQCLo8CSHEClsQ7Nw54UBNYRoOQBmOI6czmwXXFcZ5P4Ca1mHDbnVnu5V5BqRe8Qu7yj2qfhM 2cZhLdhJh1Wx1IfFdjZA4F474T7PPnpt64q+8KfAgr862Y1ycYHuzMmuwKj3ePCpoikgqnDnXqxp gy+ioGs6Y4THuXXsgDAIr1bZioeCHvRJIbQ2NPXmvca1ft9TWrhIB6JSpCYrWeQXoNuaLH73huwv TIMu1ql9fVp/c9bcYLU2np22NXa2oOwDOQmlPsLhEHtAyxP9wkqvmChqRXma3CHVkrTRc+iEM0Me egXee/2Zs30CK5XfFRIHpp5ngnvQye6zaCi1fC7RU5B+KQMEuqo90bDtl+9pW5vH3wm4gRt5YgZh bWvDGpJ2BxVeSWvKyIhJTovbv4YdDQBEc6VHOn1yDkrv3K34wWeL5rznWY0RmIyQjMehSSPs6RiM MS0ntOun0gZALLEloLd7Ks0O/a3hFfD9nmsF+i4eXI4en7jhLoZ9piLQChRo79VX57F9aXrAPREN f8XZwcS8ulm4tLr3Gkw5BzqcY1bZiYXBhz6Z/lTRvLxfaEov7C+xexU5WyQR6C8RbClRsfrP2ekD M+gWpE0hP138C3dQaQflPwMjifvQYzo2jq9lAGYhXmOSRdQoHiIocYADq8Ntz8zccaXlpWGE7HBI 0LQ9FWIfPBqYuynp3ynlDoSvLRDrp/0Lit8svyMW5NrFfWCvWS0IcvnDTrWMFYTwl+HuPdpyX5jT 5eLjHatrALxk+efaUfof0LCxyuP6CFPY9vTt6irQ83xlXjfWzqNcUEsDNjz4gvbucmHKwwPU+Xtc 0jbTb8tcbusykLDFQLZliMWb+Nw+hFFmgLCwl/c6gdDb7oPxAK/oLIGsXSV8k2fkXV9d/I2MyhqJ HE1IZ9kOKy1lZ4btV41VXNcO2mSUtDyKexVqc8T+dsc0Redbzyemy8bdvmFsK1rVlSn00RSWyFKN X7mpCEqEYZC2xCWi3pHLQiATU4b88g9++zO9fAau1vn0XYfHLP0elPF+/SeIuBL2N9OnMOn3nD4w LLW6HXVIFKncRe/XCW8RvUQXgc/rdA3pVdLybkkxJygK8Vq/25IyGTnsRnZkmLTPAUSdIm3/94pw LwNSHKUj+I/t465GUcX4kgi3LVqOyY++mjJ6DazvHYMG9419zsaNKkLP+3ej1SQqhQuD4m0GuAuL O7lvTHL7v6DT7c8Me/LKeIgp/UNkVeAk36JPE8hyViZbT0D320wZikCDVELLbieWa2DwHLj1Rmfn K6AWsncHmj1rNzfXIzifn+iu9ZbGlq+pd9F8JZWlFLNeh1ZOji8VQ3i/dhz3KNxCqdOq2tbCgLiJ FnNJkR589eaxybL64HGxFbDabVHVKnQWjRXxe636CmBj6/EYjNgt6aUuc25nrzbmx/AsysQvshwB cwbonPcZd+KtzztojQ09R9Y7Sj6EuacnQH9nQ3wdxaRUE4SK9/ggqjcRlo9DBydsZvjx4hrDcm8q qMW94zbYGRojtBkv66Lz2CHa7dyw+y2tNRZuQkFLpbZ3wvjNjNnNTE4tE8bBE+yb1f+pDTTeV+cz /azYvhJzas6FJzo1EJB00eH8PHnXASqErgDVVHG+QS9mfGnYtB/Zt7vW/SWY3XD17dOMmsOdfRiM H/KcK3ilIlh+5wS2Gj1XgR259P/VwyDPyi3F+Zbjm9BnJYX7/NW+jRh4CB2jn7SCMDZcm6Lasumc rWZYnAHJ7Aa7NdmhnwdqAPsawGsxzcYVVHSXB+5/rbqUCsfMPQ37GvoUL4kNMQ5GBcaCqGgwGH2r HQwLfsabkpi2C0lzsmVzaSrfUWF0XDU+7z5RHarREA6lWd8ZWsIKcaM5yrIZ1UlJFuAZXS/fxmhT T5e0s+EEdrv1ZvyFYkaDvkW5ZuqJmRXGpZlN+caq5kcEoFQ8GJWoOn2Qdntd+EED+b+knQ2TVYRT r9RxwtwjhWBGR24I0Hed17Ke/mYGifUhAZC9F0NL1Xra8jXOpZUxmT+uvrQ817iteLEnL15oZsoP 5cBFykAYlPz3JdXrgmSye8yKUwWhw0T41LVHWaO3cQ/bkxw+djkA5xHD1TFjKPgAjCSMs50l9GyG eRos57FL3XMovJknNlubBhIZYJ73IJKwJFg0aZmFw6aNxrLU1wLt2QIGNjIAo3YfzA+OfVuqzgmi JYiETBJNQZVX8oz8OkFpnfhEBpf9fwS7hRJzpKPO76kD3BFJwS8jJ/AegdKPyx3oVGk2zFwfN5Cm pPpXuOfKCvZYxaaZD5lvW3igK0dBj7ihUnbdLfIdTkWPueykjSEX4leMeceMYjZgsZdQjmyhB+zh 5+1aFAH208MStq+WjHxX1SZtAEz1TxQ1wQPaz387lANoEtUqNm6BBt6LjJhJ0avQOXGqFlL9wXkG S7y7MfRzHoU7oWLdJ9RNHt4qULDYAclDWwwfSupxxOlfYc1D/nLZ7MGElR+o6uuoVmuWikQQXX24 Pk87Z3UMCPc6sOT4/kcP2ks7g7iJmfl6OrZ/SJzJiBkr2QlofHqteYCFFLVLk5tHraKL9jZ79Sf1 12k44jMNwR63Lz1pTKGQQtD7DDaztboA17bYCMQsXuGjM5SRNR5uONRV+fNcvgudD9gvXQpqqVTT JZATSahgitST88g68LOeJM1RWsOeJAqTzvRDbqxXp2jzY3iOA0HRwSLc3PonQ/iO2vnJSHSLBz2H QgytYMCMQpWiRZDutkoeiMcnyPOoT+pOckHEQ9zHKouNDMJg5XbSONkrHD1nQyXrLxUjLWwLoc5k fyCOsIg8Gg0kyPDVNjT9JNarZDy3+SaRaPLM/ATAoCPzpmrz+kF6MLC6MHbzFNse4gj9BpdFnUn+ ic6rsouIg8SDr8c2Rdmp609dhxmSY+y4AEu5xJ500a6VNFs+WRfh5qvLB/zWf3nmdQ/8F9yhxOqC NK4JQ4ZRLHXrwREA/HuWE5vksPPor0JKRuh7Jhhqe+r0H4xOuvrcdkM/WSiHLNqgfB2OrE8KLVbf zs9070LuR8BK2lStv4ySeWsbKQFNFskcB4pG2x6uwWW8qZH0JC3AoFafYhWaeigGmdSNxwywK9P5 /V2NaVivGQtu5Oj1UCMAYay4EX8reNp/AHI0Sb3AmBVrarhyeGCNfKYDqDTBTsP69XeYJ+CsLYHF WONfuOtAhaRRjIrDefS3WFotRKAVJFwof/017Lw7nlvkVF/sLZys45CtH0z5y4d8+oPaYydCQvCF OAp2KM5gGuJV6C/GURmTZC57Cor8zi2TKLxg7beHNyrmXb74n8Yt5Ww7y75/z4C7DfRlrwdhxUBV XCf/mGlzNQ8fLnVQuCs9JqBU0DxVG8v81UCrs/niILZDE0+LoLyTqJ50FTAF1oVPPEkawwB53D2X 4MyiamHrX0BZfTXLypiQ364i7ik3lPdzhpaH/phwUtSNROZ2oEGbQie5kVLCpk5tTdKC2wYA2vJ4 8TyE6rUC2pdvhjTkK69ocTJ53OrMGvZnrBwx4kQBestzYBChbrSJierd0h3e/hodG6GzROPotdMH PT9ZtrxqhrKB0Wkth46PQB3mK4SP7W/vpu51YAtUoGAbv5kSRfBxgMgUYW1i7ituVAsRH393799A gyJAriheaJj3Y4qV68Skz5Jjw7QdZy7hRPcjd4+Uder6PfBxycoaDkbzJeSPEYoRA9oh+zvg140U FgrPddGaLjyU7sunkXHg32IN+0xEnabPPXdw+MG8PdI+aQRXPSII0pRRm+gUakTl9CABQv9MANBO bnzjn/0pMJOYa0FuXSnQNEJ64cDea7PKEpbJ+1lzrWkP00kFZzsecoVdlAebe2qDdtTyndD/Xxf5 K5eeOx8pdBC8mh+QwGDEohJBDShEeLDHBrClCY8Jpus1HLQw86duJAAKz/YpH/k25SxEMrfcGHWr XUgocW9BadVrHZoC+5ukOSikdsR7wtW6g5c8aXxreoCtsqGfH7fFHkjASSNUfJCK1zyAQJ8xcFlx WLzN+GDOZ3AkZ9idEzU8L0E9wQbd3eHvfRZk3pH3X60U9lmRWOvnUr4u4LiPd8gt78rtx3jIhkex a2VvPAv11ZVES7wb9jsqZ0SsYtFGBqaL17+L074a+CvFSv9LqwfneNUoSsGbeTFRbMc5rgI8cwZQ UzX3pb+qGHzmdaau4OTXjlBtuYBBVxACuZAKBrQRwpnVIui9W9zN2zC4M9u/k6AErX6TYZaNOpMP WH3kfkjRqvG7Gbjn19//vWr7kVnxByC1mTJSBgEjGEgabHRa+aTzzEA6nB9lzPXtsnanL5l3p526 M4Px9WX1lqsvVRbUHUUWEFTCWDdOF/z36H5OmBb5OUi1/nZ/mL/fka17UrrrR/tBNkEzQzUHBD+L V3w4slyB8SdXF6PVWstR7Pd4luS3JMSld4xB6gFL1fK11Um1TwQO6V3LOpO9z1aDb3hbrckJIqpc kHx6x19J+U+0l1mLMxkPL9nRPlYHIfzcgjV5aIDei9ADWbTfiUFiHhtiZIW/Vq+YTL5SvKhnkwXx qSNckZLl5jRnowTQPJKrns0yYyxj9IBbUBSiAQAElt0ip0s2tLuwgQVchrGrD5KB/Sy+mmNcnKle LGuXBaCj+nD9WYom8w0UbcTJXJye8rz/UQyrHp9Id6okWMnaf6H8S5Wm06+fsZhx8aW0WVrFUYV8 a7z0DWA0o6FKLSZuZHpHRVPhVN3sQfNvsBdHJnlnWaP9FapBLcvLYLCmFh1HtLARPQHJpbEYf+tj JWw+SqZQs6/iB9tfgMojRtJ92BVvQlKHzojQB4fCMcOeLI6J9wXHMtcky4oGIPMZVuxSebwMT3AP YShDwpFCkYjq9ijN1otdmQ+4aOXPW4HXe0l4DJ7VeuZfxUDbTNlstqaujgpd5lgSaFL/KS1Fyukf fd8usztbjbDRO60Wo8cPO7vIh6OzndrTF+3xzlGjhOdsKpzApkd9AsVVpttL/kunDM0/mdtslfUh 7qw+kCBWqJeK7HMfxHnEUQonGwqYJ0T9MjWpdss5suYmUN2+BjmYNbJTn6xK3JPcMu7aHKLHGJmk NqtMbI7jYjgpNQTCI9jXRgZHX3JJ8tj1lln5laZzioKRyW0tCvR8h7QWpMlK81h0vNn+0a3n8vTZ 8eNJMUJrnAsCOIbH2GUeQvjjeKYzt8HESjeCY96828gVp4gYh3RhbJOyE/pyzTMj5474RmCUQVEH VK1eJwVkxlyJCRCuW1qWravchPTUXrkm4+86ef32s3X02i5ID0Fo7aGmXbhPiy7mswvCmLIUJHcj Nof6ieF6LceQAHuLdqDFnKjsNp54Rej/FlsjCJm7KvojOm3xS7yaIMX3qetJfJyZQLZDABlYdGpN pEq208BhNWpOdGmRJnoJjqTK8x2mlsAozoYfhI/jIpNYn4HR+Fhu8dYsnfDpX5OEytrH5E6hb1P/ UhWq5OQ2dZ9bZQ11jcSWXef+qH7QucdEP4h7J+Ls4yHByQrqqW3r0I1a6v/bdRLfl4yp5gWyjlIw pdd3L0HdF/zC0ZL9BdD97PGrb4d5u8ccZmMxqTqMuIHpc7KAoIMTe//iLYTfkUkfZHiDb4M2uCC+ /0mBMNWVmPec6z1FYyw/iZizQEFYASnOa6HqMXiuywoyXgtsyek0TdjIH6jB4P4x4Y9JI8X0oGiX sWn8n8TIqtSVURiIdRJ1feiRehkemBVwLvuIHDNYOz95Ik9+hvVgXebT/oISIzw0T1Pecwid8Saa 74qUAHlosWiHfFGR9KY3V3B1Z2Oz7FT6Nu8/GLvsWxhNiRwCg7bd9u4RDwjpSWyG/LSdYUgGhvhr WYG0IIfFqqTqdejjMgpgXWwx7AyqvaIdvb+Wkzkq+EF9AHyLoJXkY/ROrAKhosL71X5/osA9LO8U 3834gBAUxsZUXUCFpgrEqMMgKRHhn0iVdicsm0ERCzedRLVg4mqV7Jzu9C7coqY0D+KNTCXtjA0O YK1oeMLuiPo36WDsbvJv6i3IXWLdyr7mUFOtDbNAltSEVkQ8Ny+63yS2H5AhVJ6H9MMsbbq07+sJ WMy/L82nbNDQ1+AA+nKmHxWvv9obf6+awE2w0JYWlECVmjPmiawpgujlA8davrIUzWjiE7rZi3om gvH/+QW6JnAoYN7BuzNBB+UKDkSgcX4H5Qzk4CieTPgSNjWBeMBpylgP4dMyAMIdAX+SNa+JG2jp n6XMB3t841Xdoy6Q90FC5BMDFXsW1lcswgUqzS8lDi07OQlPHGLp6LtJAAO5T74YVsOImKMYLR6g qxVmm/yhFZqPvQ831Db3aDe83idXFgrZSNAUEuWjDt3XRMVYDMmNq6KGVeKUPlieZ2lBeHHi2Ogc gSb+aRqmQ5FqapG9LY37wqVx8X1YZNffftQ/Z7Ki/erp3JFg/aiwiOCoQvmKEBXftM9f/CbKBlGa QH0P0XjcmUQoQV9YTraYWAxL05agHNi0uBdkA6kXZXr8ijnuCWrhCkNZElqgwuGF5nMFog/UiViu bfUfq+FaYTJtky5wInq9YWS30Rta9alr7kdz7qRlTI1iinQ/I6iv91deJJ1PVoJGCCVzwsv3mdxL gRlTXVsOx0j0YEk0RfgB/nxAAnlgrHo3se5fumZUpx9IO6QxAr7glEUze+AVmeaB6TMa0lc6tqZa Sh6sG3fLVL7VMYb2oPHqMPVVEnFS2UjyZxCMSu+Dx55JRPi237vj6mP7vbkUrjoi/rYaMEMphej6 jFF7gA46tpNTLTI1CAdtyHB2VfwwUuZiDe+i0gaI6OkrIVf0m2pxIj/OAmGZ6NKqwwEvVpjgKEuM hakE/ul2EvDVyNJ3P0P/JHZgb0txsgJU5/FEGWHVKllfCmwfxUeh7COSi5MIWe5a9QJpFQ6PxoNy GKZnmAgXmVZp3G9c/NpBUmc5D1rBOemef0rgNA1myQID9adojkOUaiDfW4WO0EmlYzuTlPFLzHIS KLSP3e8sURjy0mJGtN4CpoKhiicSB4j4yg/V7k5O8Gwt8KYCgAeGvOeozmJSfLmONGDVslgjYVVM 2+AEz/hgHAXasIDx2mzhGnaq/YV33OuuDTcRQXutRLTMhe8AT61U1u2xKWNfePWWSH9q3i/yQOg0 hKh6Fj9v7O9a4DgI11J8dkbbJG68dA+ggHezOUw9NGTQy7AKcHJQBfa+yzfFfZrMaQ2Y0s2WF1LF 8dVhLyohF1QFdaEUFU21I37LxTJ7s+81u96AZE2T+bGR0Q63fX2F518mUdxIwC0nBPaCh7wVoWL0 Z9lfXvM6yhWx/EJ+VCd9ljwkcPrlS3cqcRwjGp1H7r1jICP7Mf7/GSjC3TdYOxNVHfSzycpzpSR+ mwtvA2r2aZ1nuerBgKk8SFejpvIcLpBOPtY7Ue03Nsj9yIcxngF6q2h83zJVuarY9uP1XBWitS7D dCDhnlaAJHIvmmgzJnkNWOoRPNa62B83gazJzUQlWXYqbGdtSepQUj9bVDrIvd0lH31C1R0N1/cu TedJN2f9N0kY1ezpxCQpnPOvh+35DCL4wvnq9gLBkk5XGXixIq4OBxYhB5pcZSNWPQpiIMAZNd3x uaa2ppNt/j+TnI/7/QxxG7vK9mHT0ntKpqRlspwCq3tPCPcnYcXYcVMrB8ET4rl+HK5gOgA/QHDw RAbmwHBxEKW0XIFOzcSrDLCyICzSLy14/B+5NIsmpaTJiZhBqduPx8Z6kyw4Lctvfji4CAxh6P0C ikH5LdCFOhrN6/ECFYO4cn1XsfILH0JBBIpnVP+3ydDzQAh3nJQu5wLvZlNjhrx36uVMVjB01cgc 4Vl70tmY/qvd9Teb7R4kzs84eGKdE94XdC4avLXErz+ZbCg7o3bhfgDlImxhjf54vkqj4lC+crOB YgKSHcW2vPfF9bV4cP6+/5b1aiKJfDxVeE4WGEeP5Ixs6iISHGc1WuY1bVIwtvDTIVXcWD9aaOoa LUsBFlGPAL/OZxUZ2ddUGzFx3gxkNLPZ49eTNoy/msWRy0BPPQGYkVToMmo4QApAUFELXnLoLh2N h8xH14G9C6BErey9Qq/rJjR0o1XeXHHL71iceqkVX17F1Xx2kT6UhFeezYIPUzhOJNixhHAbPCTE RSHtKMQOzyUQhOVZHtfFyoILQK6tkHVRbV33gO5dvn0R2RC2IwLi9wOW0SUET+Y+MII7wdjAPe2X q1HgtgnRw8zga3q+6D9Auxv8huPkYo/X72Af5VQu7h9PM2oiIvuAa7SJnrfYOsfi6/3pDla05/NF ZGRYFe/favwc/QkwNnOo3kAIWoi9xfhlDdPwbTjaTljbs8Qrclcyq+NZQGF5EyL7pbUZmQO9iPbi WBNtkS1MydOazDB/1aD8RB5R90l/RUbDID/ig0+upqYuOeZZwbaFXRGUjKvnp/oy7th53P4kBRCl 3/NvLw9tjyJRlLq1d0tnQyywnZmpizwR9swirPd4JVsMZ27c5MrWC0/JrO3qFYOc+yfhniQO8iCT 64P0Ou8Ve9q4p9qfZZ8beRo62o2n7hAxDIzdwk9EwQWhFVqZDbT3nuHAoFKdAuUhQ0vXN12BxHuj HyKhIPCG495c54XepJIJBMekQ1XvyKC8Q3/4k6XGNRtK0uRcGEBAAd80+Dzx/xIXrZ/ayS/+sujj 6bBEUfrfh3aKxKo2erZwGEPypXOh3yA/xrUlikTDlVTA49EH5vCV7a+GuiT8EDgU+5ONHORgp5xP oChRp/pP/FeBvbacqzJifHwTH1trxXMEbABgk9gFnCK8djiHNS440clMivK2nhbUfLb8G8Qb5C9T LWPxIB2D4ntISAnDiFMTOyazqRjAYwavycJrMZPNbLWWw+TQxrstdBPHh7am+npfRZ9Ii7dWMpCL PJ7uReRgw7rtJwJ57YSX/vHA525NcAvIkpeThUAZliSGlNutpiTHds0NufHBc9zGaLFW/9VY68bR cLHW/8K3FhMf7G7oGJdFHSKpD8g0yQjlEUnNYnqzURmesRsaKrZufShqT2QlkobZZFbxkbF1KslJ Yh8eDeYtSX0cOyJPuZ0Y/kQskFfWe0FU2xfut/xmQvoR0Hl7e7Stayf7rJR2ckhBJJUeqmDXt9tC AT0zbbWsd13XvFdj0lmdtdf8ipulJS1LeNrNnxZ6PIvh7RlFxRq8XuQi764JTxKAHdZ0ldDg5aCV jIZicOKWH4aCB2+WNkhIhiUD7OG4/ZHJ02QFuJ0FgjgQ0Lugca4ozBb7wzvSbU7uelwcU7K6vMxG 1he9fQf5TZ57cblIFe9+lWeggVhxutVlMz3pPAzlzq5hbPxj9NqCnosin/i8D9cRbDQYJDr4quMN thQ1ii3y3u2TwcWIy8y0TyjH3ZnmRMlwWtKcUF3ZTtQLawZ0lNaWfBWemTglFEuBejrC+8556NHN b9WwbeXgzpqh1LgAS4aI9kZgpsrcJblLyMyLe0iKp+DNJvS4PCC6D5pE0MQ3okd/RBzDWGfJ3Bkl cP2hmTyU1ntKIkWq/OXgieJbPdWoiHc0okMvYWfQsTIsYvb3SuDSzMKhxsW0mj6r9pXlIQIJYKca bujI/3JV4tczk+aQ8/Q+yzdrhSPDxKOSpyoGg0hpI2X0Dcm356b9+camw0C37X5EqB+Wx4JqkQwv 4e2V4sAuZ6psQ8OagTlSSOFocIqrXK+IIL9bXF7Xsc4sbYjDg2Cdrg95SNrImFg25wm0MqrvVcDu 00QOpKeFKiv7HnhZBfYQmKQEhmDDmHONuPoacme3DzO929dE92W8zm+csgX/4axqgeLFbG6RR9QN 1J3aO04ZlGm66+lO51B2H75AR69Hv1cZMMjwiBa5N9nJ/wt34/py+y+ihDpXK0Cc3IlDcPz09uLX gudWBu1qFPi1S1kcec6Rp47IF0jmd1AL3tHfefcFs6AAmJcBb5IdXc6ptlB5s5vK31meaFYXqJ14 6R0Maq46WhOq89Umb4XjOd189uEJoGMXV5VjunZR3YMi4cZV6xEwpkMHX9xWCn7DBvCaPG7Kh7am s/FKfv4xk+0DoBcyhvzuwCkAK4s8yCF97S92557bmTFjaiOfLqEO3Pfxw0Hh7fZJu1uQrZA5G5Ae 0Me8MYDqBwqt+1AnJb9L732EWHmkwSxGxYx76EVdnUmjo3EC65pt9qR4Ld/MO84UPmqxiVzjhvPx rnRM9Ir09CQmYGXvMQGl6wSxtqqvdEk1iRk+LC0NmDoCl4VQxybnop2fjVXL03GXYzpLzDQvHwtm j+ebnpLwHK3boynZWukIOyqPvTdoJh4pmynb1Iaw/SGIojB4e5zoO58dlhQ+ecCACULlUpQnN87r s5nfRdlHSh3LpxYparoCahwRpGL8S37EGqcO7bIaCjjOC4cTAsAMvMkPBLXqSoUE/ywFKhFroh2r iBh3gp8KMhmC/GEaQaDXHvwH4LRniaYZzlns3MnHOZVXR3GvyPEgaa0tCfK5q68FRdpM12AXnvnw I6HUB7I2sQ9Xi0cSk5VvqG/OFDW1iPzLwg5Q/+rMSFCmJ3tTzjPL2FYoRPeKJMRnsmp2ioA2H4ta 2LwK+nBTnZEjBGd6F7XBZgcOzBvozWHhL3HaBkO12UYjTfzQ5iK4nhgVpROn5TXmn6MzALZYLVH8 aKM92Yg2vwzx0dRXGdxzV+IkderhG23gTuMs1sUtJkWmwd32KJVRmuokQdwVGKMkPfDYuJJylzLZ UGJQa+Ub332c1hLzqr2229oehfaWb6ur8Ha6LYve4DzzmpHrEO0syN5zmvKMOny/nOySQWwxfjOu dtzffhzWVzAAGf7AZ2uyHU+4IWoSdvKMrZLxEZ8tw0nbLEPTadjl+2CulrEYG7CnPVD4ekFTz7ac o7QKUMDHbaD+wTU03x/kU3st76MvnS3SvMFyB9o5zeYQ1ICLzLuFAN3KUrkkmCPOqG9q6pyWzEeE BPCNkQqjRMXCkKoSaVSllaD+GxkT96QRmVGcC5rRmmTiGG5J/37MFaTcF2h/NBPyftVI1g4X4ys9 3YZbg2XHccwVp200KZT4XwouebOAOJPCj5u9u+05ycsi0Zhob4HPSlmoGsiBN/9muKw/HexzUOvb mSr5W3v4KEV4aJpTYP2edhr10NSnqZRNi1+wVswj5P0e5rHXIG0NgakAaj87+WuFyELnCyYZUpBB vtl+nu41gUhkTeYeAPa97xAJmj1Eev5dj8ivXjeJINtIRoe54FClpd/2B12aMFsF5aFv0IUMiBYQ KyIEtln6DLt9yH4evYutEWXmv/IESdsiejjurMNCfxdn26gG2a/opfEwJH8Q+bx2iolda+rtx0J2 ov6N4YknjMEJWGKZY3TjDTB6hPrd8Cm690PhXyp2FA2H1q8NoPp512dfLXbQD9lgAXJEhxtk67px 0P2hnSHQXlr//jkgvKWc+loRamVy7LJh5a9y4mG+hvXMrolnYa+TPSUAVyVQl+mcwcNbvW6d9wPq D/lXwTbLlY+pwzB9eO8upih/k3wY5ePzY5olJJv+M0iYotGl8CzfoWUV1X5EiQYZA09jNhlhkRkk npaH/4IbKcY0VOdd3pZk7rOmXV4CIq34tLY34V+zZ7BfJ8Gqg3CHcyT5oHdJ75SBRuIMcfEs82ml d8HXd4WhLnikWxgKXBT8cQjoXzkmpsdgqFf5cfC+vh/X/AgrAz0CMWDo4APutzBPzhJ1USAf/MhJ mXDGBjPhfG7CAy8m7OLt+YExanEoEsnUxnrFvbo0416H8CiP8HBtn8J5zcuNeogGWIisi7VYAi7D 85LT2tGASw/aA8wJzbjdX3CIqSGGlJokCXgv075fNZjt/ew+g2/p7hPhIqwo1l3RKG+sTQnc9Dci LHIzm+7Aoqoo8dphe4aaApAANCm/z1ewum1xqtu5lM20bS9BoxsBITUcfaLhMWMsS/aNqU7usq11 UxiHpbqGGBCFHVpwzClNXJ2kxrTIyyPR8jtCtozSZ4c79fJeDRlmD9lSazrs0vEgyZURdA98myTo cNichoGOAdZHAGiEDuB030pHqQEtbSvrK9nJ4dlA3meuwDEkgyOm+izAXfV+1gDTLmh+axW73AXr FoH9ZEZW6HOKVsium/u5c1g4awYdX6WexQISLAq7klp43nJ9izWPAb6GtqE+XGht1PTYJswc0OBl PL7PXf33bX4aMp0Ho4pmut8ZgfP9csqzl4r0vIVOH1QcWfOljG1GcRrp/KTYeNbMnT45ngE6GLNI o3PL3/Jj50edQAo9pUlmiqIk1NbBrvHf5twH3KJktaFJMLVQWVaM2W6OzFrK44fNlcC4HM/m1vkS HnZmR59oNIsH5Sozns/Soz9StHG6YRDUl7b2lENgpMVRtw2wBuq9Nkb4BwdQwBsxb4R3lM8NKr3+ 5fylaZ88xjpJyBz+d5jzKRyFgaKDgg86GgvkbUiyo9n2N4nZk2rBUcMTWFdKDZfTDPQEhqrkHY3h jmOpGyWChheeL0OpFoHPdHeZBylGJ0HObiqtomQj9zEYCKf7V13/ebuLh9gTep1fs60rWvx+iR5h ULtT84B2gbg7GfzDdtR2ga81F73Wqs2DI+dCKdYqws4PCQn28uDMD+Qg3HmcBqeT7wBV6o0k/ABX KUo3ppwRZx0zRS1enJt//LD784PNslW8DTAr90CXa02wa77BvnLoVSePPP7YyJQli6+UJVr/YEas CzBePvCL3GxK9xlTwAnXD73TF1Bj5mIrAfgBA8TI5dBVdJtqLVujtTC9dwIfzWgCS/mTUEvfgUz1 HYGqq51UK23BgO8C8eZHMxNoHWFreRu7ixJ+LcwVyHX1HqH1s3sysk5wS6+ndtsH6ZVWgOLJHKxd qowCCTQKXKIdJPckj3KuIeo3OM+dLZcrJyce1guq14oTTRCFX0al516NKUzqDr5ocVIPszgur1UG 86bPZLtKR0lE01cu4kpePr9mx3lP5pcaTpU+t1v2UVX6JNWQUBZzYj+XqAmhE4bKcFWeh7pIG4QM 1hZC1rJp92Tc3VMKs9QS3KIdQNjWSAA9t7Q41hM2lsooqDkJm3tMv3/Ut8lmsiJBA68/MwcohX7R mJWwiOLy48g58vgUgues+jlrRJi1zdbFj3LPRV4XXcGhgNEvY14ktMIY22Y3Qnbsfz9S/q45Wb4n lnXLmleyBrztjcUs2mW0ycTmbYORpYrqu8bWvhxcvXJawzv+o5aA0XQpTcYo00Yp8qL0amCdsV40 NGkUwzyB8rHLVwt0k0aM6sIzbI39obYjXFcq30Hq3PNHrbtpGgR6PUxoejh796iNPD8KSCVCLzFj m+0foSzGNmNT323wCwbucnK4og8YcS/OR7LvEC+uHhcGmmbelkGA91VExdcwD2jO4SaAXsRzTHZX cf8kL/ClPl1O+9dQPKfvFFaDnj6B5bTNW6+XAX1dqcdegqUbxwaOZ78EXSdV554sx79H4r0MpSua rZyHz5xZL5o0QbZYH98o9sP9zdWzsFxxlq2eKJagMABXo6FlFahMsYr8LZgZtjjQaYYKHFJXiskB J99DKNRK67Mn1NQELq6NIeqyWPygHrt/e04DFyscQgAatcPNr+YGgpfsgdBReC1BSIVNHh5ZQ+lp RyIr2kHcR4BVsPRtK9rOB51RYyTluE8ZRmX/9rYi7NIQbu/JQVyd9kF42mlHcbEN0BZiLY8OnU31 pK6zIxeZijcmpkpEbFISTPbASgy1HOXpxs7ny7bAdLCLjXjHxxOBN6lt7kAbkH2BMnstXl0EpujW ktIm1qarPbY6SmLa82FuYS+o7wr2IepbeL0VLqJiY6XXKeRcbJpXkxfIdxICR/qff93IhqN8IQE2 krGQy6Li6k+Rc1UUWdL6WNDcJEjKkmRi2weB1X+ODygM0/LBfdbM98rYhXQmAD74e1P7FCGCJeL7 Lh/deBeWEMC/2Y+fAAAjCyRaVeZNgq0GzCemw09/UTepGbOMmIC7oOX1CXSkvl05iBLpN6ypdAO5 Q1qsaTXuWGXCWjcoTlaLUUyntsmQIGcySkPL3jJNEs6CXvFjMIF7vvqAXWISWlZsChKEmEuLlVDE Pc89ZTFN/yIrhIO3IK1nI7HuSZmeOpPhsym29brShJXPDSqR+3Shy6ZTlgWL/Qv2dMdYqQ6cyGLO FuyfrwyNn4tb9mwePOre6mWSmf7C0qkxiyMi3HEwk4oGQLLGAj2Qs9PWTygX96Z1V+x0z+TvzLgV GxyieFmM4BveXijOrKKUkfEq/K1He/3CQtEpOmTosZizD/dL4KhGPkjofXjIQ80kafFn9OPp9XdS L5d4ZQaPO3WKwuM2q9arf4M7cRc0DIZTkroiLzyOQfihqEZ3cGbbIABSYwZgG9NEFwGfZAKwbJxU 2q5xcw7xR9y4h+Psjv8zuvuGzv92MgMWXRwDACB/+m7558xSiXURO778z622UoK+HNQdSn8WiheY JTqF0bMBRWZbAmKlKgqzGfq5Wrk45S13VZyfS11wwkU2ypgOkZC8j6vI15E+6KwHKVCwOgc4e7GT FY8wsCowf/lPEeS2IFJdud0S6u8lflX/+GFJa/dLEFNZaCvzOpQEV/bV+7SbMjV3thcxP9LfzOLb VXUxReHjVhb/FJiYlXi2F8jwRZeqRAOmNWf29zWE/ffUUDCD51LwurVPlHMKM3jsvLra7RSQ9o/+ jfHz/idO5OJkyd6KqSEUyDArjnhUzA8nx3omUQCdG6NJJ4jDtFkXmLhpvrRnGgPX7WeUHXO+Ex2b solQ9ANfYEfLcT0wVhp+65dZ0MxqBQuO47A9XLcpFqVcPFbg7H188w6Frgavgq3wmP9Y555A+Xxk t9jYMZUFc/ZMS9FSB98u71bsxgmCI5owYhSTyR0sRm/HSP/O0djOIRsydM3V6PALwCs00f5EzVgN cqaqT6VsvxcyoB/2OJiamRVw9jMmu76duMNZxcQf0DSl3NneHCsTRdrj2lH4r6byB4mRbMmJKAGz C9ypJ4hlWBCwROkAKzGjZLoV/8StizW7tMIKr9fpFgl5tcG6lxfdwOs1X2uNO4D6IIOX/brV6dnL WlKh4LocTtv0FDiuixqyIQSzjQXZ/fQ7K1e1H1yd7tGu79W4d0X9wwUo5OxOHjGHdmFMbL1nGac8 YQteKumriHT2M95svpd521Mum9tSOx8Rj1dm0q7nvQ93w9yd7CYVWl0ktz+A34JbhmNPgs9hAt18 wYCmELvr3woyvBKNg5Rlvur/QCRbp53Bu7wwhwAItPuaGO3ZHlJeAibt2fBp2bBZbQs2ebCKcEx3 9Tfh72QgXqX6dlJIWau491iElwfkiVqoK7nZyKQ+yBQv69pEoykurHS9VofxOzsSlE5gDCu+We9D 5G6nrfGjvBDTqdtyvoDmSk8+xBwHXNWvwF47OoBA9aLC0apdKzsnth51TY/+3kRBabVS0RFfzqIC xyoMi+HvVMzA58KLCZrezGKiUzBHjT2o2OLrVwEqGGtDxwnpRU7Un1YfDXIfdIeiG8mL2okLhM0p MwVvj3sgq5S1tUSjyl4apZsE2rkmCIa6k8uMADxP0YTp/oQg3nrYJWMomc+LhHeO29h0Db76ZAIu 3ixfpEm/jGFgZspqHvBcvv23HIsYRFqDkGz3kK6cpYzMLel79wdEZe5T7zZvuIvTsiy7hcZhbKNZ Ra45yZomZrmFAgfb0WSq6V/4IYPtXiu59x7ZgihNf9pxfF3dFAvSUJARyiWCxvE7IRM1i9WI7zPO fiHvS8uPfX0N+enW4YFFD4FjpXIvcoVesxPHyvDTXTNoqhZkKWzvhqkH1wlmm9NNEMGpWWEAIMoj ydDXKJR2l4hsS47vEWaT+zkrWz4K0h8y5kbAHsWHvWAg2rsrgD8bc1g5dire8+KmKTEb6iHDM6A+ BHsD3jrJNC4wb/AoSZC4cuW5C/raBxTxf1ZIWrrrg3JOi+DbGyN5pkiLB4Ao8edOsqMAblC+9dMI lU2BBvDf+XrQwuVLQLcRoCkUssW+5vJVhMNZezZbesJ2UjlTlx8trb9OYc6exeLcz4Y3/acMRqdc eQHxXUKYER3j391g6yGDZX9eUcJG6Zs0QyBYvUPdqL2HkbqKEYGYwC1czTC25TEWmhAXnbjJt6Or u/gvmaWCXygZTGhQ7TVXUAsBLAZNIEDA9KA31VHdhs/N85VRW7dsK/Fl4vYjD1xf8dVQPn3vHL55 hPn6vOY1FcGVraMH1rX727bc5CkSZa4wuUwsiXPFy1wfp5YZcdpXLTSVlPiaPKIZtngunnvEYuU6 aZQAQdlahmtakL1SkNFH/f6fkBEekiPUETbIU718dv//IhShqvt0IHgoXSaY6lwXRIsgujN4VxWh z8ySEcxs2R+Qd/zlxu8h+ARAv/AVo0iVjiMAv715u9Or3NCB9y8+wwLT4L8FCCPN88AyZ5n3PJaC SXUY5DBZXhpq1yBP+WmUUi8ImRl07/KNCr2OH3MrjhJ+7LA6RgD2vACC+0+jNsAuaP2Ekf0GdC7Q X+80NniLGXRi4ROSlrGzjkh/OTduujyqiJv1G8Nk+fZqMXaDCwquX9bHYRM0WvC3dKrUc/6E1irY qWgFBwqpJEpWolwk86wqOFsV9w7NW9yHe8QOalzSftuFTLt0QfFLn4G8NgDvmwFYL/6jd0E1rfrW xqb8nDQPEOmlvwEDeCyFs5EYQiWyTURGFYE3oNa130ARkx2KZJU1MP3mvKi4UcU/52L5yq6dft9m nrpIU8+0QwaWKGmkfOWiPlHiDgbf9vYUqYweX/shHntOoashyA+q0M0NEiHEddfxEwkxlxZdpIWK Rqz9lbrkJwGvqGcVaJNqR7rZRITS69aKku2BTV7qXJVVu+nwWjvFsbgVlhahUYY2fp3FZ389ochK hZefEQjzJlj7QJtIPnkgRR2qiLnOp2S1bF5Wc49yd2Jc1rhgc1A+4/HRKJfpZ9R8fJjisb0OZQ6u AL7bdTBZQgeP6GmxlfdDsxbaNQhDcFmyqrlamdQUE/LzhYYiS0eopmUROwgAN5fck1EACDwdM2c0 CF6Vpo59cVKPrMedN61DLB812+ZqEWO6Ycf+TLNSUSjhx2EkeKVL/eL9P8hRneDase38jvNXwCz+ BoQ1dFVpWoe+wN9mlDAbF6/UbnqZKwNPKUIQsRdcpqravqg8q8zI8DfzBB178eNu7y4H+NUSP72b KDCCgcaSz1McDTwcwx39ZA3smOv4UvceQc5HP3aHJQAHbl68Aok+jDXfhVvWjP2a3yv6QGWOLnOw HMDKB8rc9mTyYjXwXWz4WJuorrdsoGJ62hvo7imPPVXSOSferBV2e6q3jNrrrTpLd8HdzGUdZLAL ZY1l6PJx6W4Q9tnSXzLCjSy1KAVowvgO9aejNh+D2uNOo7dpvd3DXDRnfpynpye3Hj35beXr0sx+ P2SG+sQa7j1t9tFfMRaZc7Tn2pqy0jkblLHhV55z8NZBMhjj36i9x4V5G4tcLl0QO3xe2uEaCPbF hG5po8JQVFhiIkC5cl9pVpo4rSJ+Ak4aLGZutNYg8ZzJjuwqTv0IxvnO53w+w6eRyacqlYltuy6f 80tQNzWsxLgib6P0dnDFeD7dewG6VnoVz7gZEfeN1NuJ10lkZ7sCzvgrF+Wu7QBIGy3yukSU9oyQ Z4YnNp84CFJFTSECAezv0Wq6OQEIzSyT10nxxlP2Ns8lDr3Af4DzL/r16lGJ0chSjoAPzlqdNxsZ pBxpiFyu3A802oIApCSsYS+QQtplMS27/xHvZ85SyhJLKm+gtsT8JbOJ4HZUcFbviibQ6iLGWnGu u0hcKr2179je8YK5n62DnH5PtIdmzDEjeuVe2XmhkeOUvQtZWOvBO2KSfp/hKMC6d6B7asRUI+L/ X4nkzGagZM/SOBPkSL1TN6LKiTJAipcC5fQ4f6Sjy7GOmwPeKgyHXMaS7suO+xkv5mwYoSEcjTHL Oy16ibvj5FU6APUIPxTZoYQEEgiyGor3hB06D3HAX81p0BsEhmwa+4rmtReB8Gff8dU5wn01wuTw 2ALKhiDLvOV/FhZ486KVAIitwmYw/O6Hb3dguGbUrDR6G1TRWt2+jplTkja88Iy5ucK3oo94eKFM ZDb96Z6lIHEKlcZ7m5aQpB3nPOIo60W2otUNVrKaL45l4VMSJrV3NwnOPsAlk7HKNV/5TfyNo+o7 Pp5xDRzk52fiDPWE+dRoZxrgBQZcwJpLiXfKcVBVDCcIn/A/oHBg+xNxiI7E60L/uh3wWn283VnA e2DOWGHFArDJCB1w6Q4Xta/JIJK1Rr2XAdZQY36S7gA0IaCwc7GhHVknPV97ETmE4ksA8UUk3R+q F4yMLjPR66dF5SWu/7GaqaIFpqJNU6E+h+v3zOPSnoEvqtuuEn9DAxkWsOr8SYljx0p72qnq2kHI pXr5OPLoxjlN0T8AyVInVakctkIDb3JrudbSb0pzWu2ojGaCYLCyfVQohJbBzoyLwj58jacNDBsj hyHYi0xBAuBbFNSszpSGWkfOG7VCu24fqP8AZiPOLQo5u3XMyQ2ygnfuNesxzCMdWtEypq/Vb64q sSMd2ODXFhOOr7/iWdLeer/5z5/uHLI5wB2xbDlpNNo62v4X2gHRLL6nPxPypabxESF1EE9a/lGx CFCW4X1RSvgmSzB1RYI49RDU6321nA8hh+Rcm7vF9yfptjKbJHEYiYr4g5INbqxcwJ3O/CCekaWW 4bplzKbH5wdu2iQTYpowRFTrxxLJdfq+2xSJbMltFg8CA2SgJzyt5NoYL/LhLc+0X/Fbq5bNdGun 1MUm0KB4ZHSTT22lx3Oi7zsh/d9dv9FLLwIdbT6CTVGXaZLCPmaRa2UHk9I4PXNK8vOBCY4bQFQm woZqxcWPtkqxFTCW0LgD9PZK+JUy0TlgNRuefVVxXJCZMJyDix3qQ6BDqIEhSwFUMge3wGL+kc86 s3i9yBQjSULqt/kiDURMIlgn+uyE0ctpgZfn7cihWlWOc2dhBAjCZ5d3pjvogWyQ2cmWAP5EC1l+ 51s+ZEelMYxH2kHz+rO1EVPB2BUPMsGTVXLulorP4buSV75CxoznRezobM6TN66FdwZPq5WDbHaL WAneWFFffWPvhcvcsX+gnvRItFYelXtyZ5eAQkedjYxT2VDQwmpJ1MMyTjsi4Yh0YnRxVp1GFhyc frJ/NkPAMnNp5N7ZCKGhEqjKKb3BepNdNK7p+bcRClysXKdHPb1VcSzQfZXnihaNuBlMlwaF3D6e Wq19impGJyVr9NDGAmMh78klrNo0EYgpyBEp548hJI/XUvVo0cEr8gk5/PeYywkR85LKH1nncoeP 5u9+Zrh8tW7q5QXja43rpuVLsn4NiY3eR9NE5OovqibYc8NOpgaV/tPYT9iqYlZToWIAkpbwirkh qzM0dv3MVLnJZXvRyKmsmqwr9xGnLk1rwUGTsyn0h3KIjedsrOFNm93WwBDBbFLnokqoVapSvbQR my0Wn4rpHNZ/ZTrZvQrY+HH7WDrkuT86G9b5RJJYftg5agtA3eR+uok9pldFoNe3JXEbFWcRMgEk 8dBsTGs1VvsMVBiKOhkR3FG+5nJ5Oe58lt3+YLR2GdjOFqCTEYYreMfmUEi3cwP1HDS0wG3oMf1m We02Z3fnVTWmWbWhhtE5KxHD6Fspyz+uGIZmgWSO6NaIidOdncUVGfiXRKSYVPS92XeQRnxlGOTx DqhBE38ODiecOrIICzDWfaPz1+6qPI/F3WeeXYFs0kbiYnud4ZgUhjRLAhTY5nyR0K1MXpk6Fqy2 CBSNGlqwcq/k8gea7K/iGsuSQG2fFfAWDuX6zdd0Vc6gTkSnutofqY0tQ1pR5sUlS5e9F7dhjk8V 814OkznJF9bmlv20Oh02agdNGsF4cMEyj7PQ6dYviNt8/Qcwntx8J6CN3Rrp43QjEhWvf8LCn71w Emce0/cwgpEhBTTwACm09rJH2PLR1WHHAMWA/J6Oai19j+xIIAtvExBSh3TVsq6ltAqi82tbDqVz k8zUaFYr38UPejam8QlzsVq2zQEXAV1cedPJuUvVwAn6vqhb1fviSwromGXnDlixwTy/9Uue4XUL cCUOSGLLrB9WHy5IwvUiBBJzUJuVy1XnvHcGNW2/+7iswExfqgoaJRzFciI+69vYjFM2IK8+uRay H3bZLJqrSWacDmJciE2BHwDTkslpHyXBBeEOHJKRlQVHBuWT4ezufhZZQlUfj/78KO5dK2PYSWNb ZAyucLqGxiNyMlWTy4ZFoG1N7w/HWIWC9IZhVjj9uA9SotnfmPen/24BfYEMNPaR1qb798677ZJG NuvMPOwEqF32xgUHV51bxAyoJioUvG4Epe1HRpuO36nCTtDuNG3e1BdEZJwmE53mNKg8uKrdzAbj SdLcjpKJ8vCdyRHlUXR11jIVmvPFmueWVbuotIMqFiemiVqLBOJ63fN56hyfP+njBF+EVeFeZhxj V1AmeesW+E8IsX1AcyWCfRk/gWLM1M88wn6BvzNfVDgJ4pSP1+5ItnfhIGGrfVoePw95I4B+S+km RSmBfDW6lVXC0LnwEBzF3PHwCeQIEWqxEX/eRLJ422kgNT1pUGoNJrhPgdecnU4ZdNPf+c3ynleT TOBsYIBe4YTERpSz/Icl5y4gAJPBpVA18QfEDtPZ1qqjCX56hGb6VjHcpZLNL7SpxpRg1KjGqDeZ NpQya5apU2b09vgRPM/BACUNgukb/lSGv93XBDRJtVbb2wao2wpgT70pRZlAodz3eI8M5Lt/lZNl xfTOZmI41fKuEdgKVZmkVXvf1zrpyoAizcxFO99+sCH2emCzHvUj4OolpVP4Js1b58QGRFlcE75K QawllvCPvPFTkb9bCTllvSQ9FdGA2xTx3T2g+nxWsgRSz4NVNwWdl6VKf9HO8Za6PwIzqnzjPNjU c8CscCIeruQ1kel+Xsock0rqbhjW2hMWaivMkBlC2nOpODnRAfVexWDrfoe4KCp+ozz0j1T+7sJA VS4oPDL+uvnKGAYvFTK9vajwCTbfUmdjhBvs09D0o/qqczMFzWFZ/EQioNHeBIhbFOno+pgI1kN6 dmC8q+ZS0mBqx1a7OSFACA6wm0cj3HZRRJlLOB2VCwITvQAD9zgVjpniEKN/2jr6ZYxjZxhpuYiN TxWcnAS2a/nVhfFT0XMswX9jBUfUnrnLi7dziUPfmyBYvoRmRvptq14iwPb7/HG9dPGqn+OBhzH/ 6E0516lNyfAvvRDnPEd90NGbAy4oSfo2jmHM2CukYn/OFLtRLFxkS6bQozF8vT1LfyjCIYap4ZHD dlf+l+9NdenS1XxfGyMu0VRxtaQDsDcYKtlqico0VzG6kMqidBSvrNeiaVJ+GWcXJ/zgLYmIcMA5 Ehg8CKQz6H8k2cEaXe3NWIC7BxY0RId9PQ1KMIWK+9AB6xs2NzHXsLV8RWOLgw7TFTR3WAmPm3ec vvp24F+l9PxlcW7H6tmlfl+ZBCQo1VTpssEY/hAgkV9HbkfX9P3bvcSi86t9hb3UpGRDcPXD4ncA sk195/AmF8SOQm51q6G1ea5lSJYTISIHEwC9OtFV+Yjzq3DeCO/kuwE6crqOBEbZaMVtUuLEr6OO ctmnSnI3aCQYAh9UuQU8lSnUE8Ad2qFkO25t3L1YMM39X8JIBuw930V/GjRvRoC9L+VAIWhpuDtx WwVfLC6FOXwHnl6sn/6G2+iUPYO2L5nQ/FUl/jp0a4ZeuPN+hGgKrPzkCw7lA/TGNIPxVVB4hNLh +HdYuIarTFJGmb6YGO3y8fEQ2wR9YmypObQlqry47ln0mHl6X1SJunWCBJM357940XdrYO3mQZb4 v7xWfjRE6ajOoGM4sDSmtfT2vP+lfqWOnyGFbPMyoqkIXj5pzL/vggRnPhfaADMRTFyGkd4NV1tU Hh7EkDcxEDpLbhDlrtpSEUe5yhcKBo5lrbD8Rl50ZrcY0YjVUERGn64/G+F188Y93/59LnoijLkJ eovbFjZlP/D+wsRhWH+FOuEr9LWbGg3GxL/3GYNL77J1omaolXe62fE1ngxeVXbLZsUq4vtJnJYR cpP4wwFxDE3niXtbVCI0BEULOjlFooOs5msgCxckjKOYxonTjUKheHICqJYa8Sg9tYpHRpc7e0Qf LVQBbvzz0zq/un4Oh1Vn4WJH53Hu6NU9W3KQROzlmRxijpNGpYXHSWcYHojRlAtkaa97sNdp1pch L6h5EcYvI1j+Fy5FIntWGtAzycor7AYZtvp93I74uGvvNox70CJAQfqLLf+jD50RHtnM4ODqSIUt mUdJEZlC1xa6k99QP/9mojLm/oe0okeW7iMd+Ez2fhCAoa+oBrWtFqq2RW8FuB9jcXlkzbdHa5zD nimyGKsBmHlRXByyCEnmAGQFs7fDamao1bJNj/szfZo+cxhbSXfnsR/Fk1McfxwaqT6V/SamWRb4 O6Xi8ySHIPh3iXZhemz78ATjUEPk2TY1L4E7Q4/UwZbDRkxRgjLgnjM4vrnN+DrIE75fSVeF8HdQ 1kaheBgHXnhhUFAqc+dHHpsC4BaY8l5mpddxaR8xegGkT3/fJHHbAAYiH98vyyS4ZLvawQ/0azli gs6ML2PzbWiZSPxg3F0eAJPMeObtjkxSV3XZzxpViMkRcq3fwev8Lb4DNunuRn1uWrYi/oMGaH5+ 3z8CwOB4OeRyK8STVDHH7F0OrDDEbCb2E26/375FpdU3zAvqwyN0Gn7gx8mZIcXw1I+3I+7Zl0Ya +etPAw9GnxE3wHhMffhZmdRsEO7R0u9/BJ66Ak/8ZfRII68p7d6GNDrVWe3YJBFf5nSEa0oWv2t1 Y9Hrr7EJigKwnYGgX/W4IprcGVMgqJu7EtpMF+PrX6BMfOv96SrsJHyLoYsK8s6HTKFBYq1vyvm9 osxPEh1NfwlJZDslsWMBRKmFA7NORX1IdG/b3/F8CsOUvBkTXAa6qmgQX6L8w06khXt7Hyb5M1lL UhyP8MKKAonAtj35DVyjuDGQWwyjeB+oLF9EhoUOdWnA8d+ZBRrqfIEr1U9Idvg15JB86UmFt4be qOxcNkm73ij5QMjFL53sOGsEId2DzMehtZIseALRnBG8Q0Xl+8vXdHoNva2VFFHSp0LtT5sxcQQV OWGgl6zLkVV24FNK6ZLThm4eEOaaPwY72BOLBsYnJLw1KkpuPBcEES8GTxlf2rDC9uuSSZmSH94y fzau6E2+9/0B3d4L8EkELTeRi4LPFOeiuLpTKOhFXRkKSUMantJgE3CB8CfgKPmyYeaGKqXF67h6 Ow3A3pchGcMJWsjlH4ONE62pmdzTfcIaZyCOVKGuvWg0qqV6RELainFHrdlkWtE8aYyn4LS4H6ls ylM8pk8P6Ij+TtLzCT8xn4BeFAfW3ZY7XjyUcfgg4ql1mrETWCij/4JQBIl2uxIWomzNcIhTCCNE zI9d7YL7inmD5eOKUthSbAGN9+kEqoJSi0tZgb52uWRRVGuajs8e+YWEbCRvcKcCDaRfx5E15aP9 VZg54e5+gLsx+F14dvbniRDiV8L+MwGetojCgN0vCTgSYUbn1W/0clz2A8ODLVBjvyzaxf6Wtmm+ 0PJ0ik1n0bHf1IDIkZA5GHDj0j95UAN7DUCB5H7siBdjFj2sXYm3MrpZu2QMV02irYHrg6axLzUb wzTGffmi/ic9LlaWZZbe2WSkoLBfq1tGzDA2IllB+tNxIslfhUtZWCvDZwDfIN1F1NWqkTOYye9z nOhtioe/mPBwzybewnWA/tzfI8KJvvjwBw/NAXtKsqQQFSJVYejuZuara3ygVgLXu6TGchzfolBG my6GneQCOf65yd9/Jhd0RENKcB3j+j38yXujnlkuk6tFtFyFbU7tUpXyBhQkqGxfm0ecRQc0Rx7X 8ii5xFemzr2ZvLItVtLcnQwBWWi4ftEciwsbN8t+VqhcfA6RDk4VRmySL1BiCYPKRSmRN/II1fYt OWevoLQlcm0bG+By7mjzLhIBfZyQrDWlZoXC6JlhEJkiZbTyjHwH0Yq8Y99Cx5dIMP6p0JbyvBqq t8vBjEXqIbH3KH1aek/rccT8N773/sdl3qvr2OT7QEMayWt4P9F7CnqSg0h42H7cNXIn2OOSr8W7 Xy0X8pX2EtE0PG/KznwRTijP9xl58DbgFiKhLWXTwD4KGt8Ripv2Kao7vrl4BFNsZJCTiHZi4YKm 5RacRbvcyx0Yd5H6qQULdAAP4bYBBa5H+8gRRr2CQII3TzzAEKaq9igO4b6IBNNiMMlR+WgHvbrH a1rB56QK9wFe9nWP5RJmRoJ39dD+oNSOC4/jWc3It+Sfk8qfuwBih30ZgR+DMrl/PHLHOJqKXLQj C9toafvj0uELl/rV2Q7GpEZEnp8ylWrPEWmTCXx5h6AI5r+xTjCRStWsREf8nIwznayxdRyU9jZu hjrHzX6pk/6eoyES2gKtUD4Y8Be3iU0mDN1wEHKgsSAAxRwuoVi34pNTytmyWa7/sKCA2rJleK8d 4t01eERN+Nd997SN8Cu7sPB/GZkoDX+2YEJFmvS/nsKMBCaEjTI6p9QQ196nyuDY28Ceu4q3UCBD FaulBSEuPZXEeWTrqGMSGSvXc+Ed+TjXFewSE8bIL/l4oBfa6RgXviNeCMGE0ImTmbuDe9AN5kh1 uMsLlmlUz78993vGHYmv7/30lAGZCAcD7Hvx1wIkEoGWSSxpvplYQ0T5XcJNt7vIvmXhEifSci3l S4nArhpO6oW+abuF18SU9rDkpHiT6W1ahpt2/v4v3YzE9yk0pejDUNB2fLISMo7iK9tPNlKCTjJf ljN2XuwDO0PWoMx8T/CD+4g66wCxdhm/0+K55mmdyGF/xY68GSps1Pbn8FmsvfaBhNcSV8NxdES6 CK74jib5Epp/gRgcQn+U99MYVFjEodO8p4C6YXGqQ7yiLwmkUrnNDlT5m3hMXCoaE/u/AKdZOXny SXqQf8Meg96w92xKz+0QPOBfsgmqR+Hulvn1iMP5rfD4qCI8szVhnItyDERTPTv+NrWSKHw3LIaq iXt8voYlKzrF1yA+BiIwRkC3DOQ2WAq8K6FvAxrtd1NzSXeKeuc/U2+tXdvjy/Fh5GMxdIcdEuPx rEzQhcU+D1Sxokb+jQXvfIh5U2Npba9isaQKIaHeYba6KC1qUs9AnzrKVQivMh/luW1/yKGKZOYv WwETI0tyW6AogZzFWDvdDFBohN0UKfhcWJWYGTQOb2+Iuxo+6e+vN7UPbX+37fWDHSuaet85SYgM uO0NwoVxwqEJ0kq52yHCjskA5Z0Jm0KI6nFJqC7CGlPxG9xFVijABQtbPmfq5CvxeEn3S+g2oJWE OaJxLeh0h5XETFOJNtH1cHDxUzL5PzNJl1wk9ITHJpxHo0HOO6VAK2MrbAopCO9Z7ycidZv+f1Ls +w/oESpbj5zFPavePZtIOelBktreXCo2aUkV74t6j4QJDP/2abbWvlCKxs1Ik0zOnpois9qH24Hp RAveV8lLsQsslYY7DFOz0pUhK1ekfX3CnuDp7FQjrnxfe66u5F1tKbXYoMdxhQw9MrPfabAn4QoF z9TOWcTsmiMk8E3G5jot+9VrU2/2EIaS1zMxpmRtdV24sWIH8dnD3pwSIjqNCZCXVzGX1Dz8j2sS ibql+/W9uZfNUs/oYZRLzjWKF+BiPp1UhW8fJxhT1ilHpDi/7es7imq5OhvrEtdZ6UhG6lwTVsTS R8KHcQYneGDG6ct14q+0C1MKVpNdigjD/N5odcQTyyMEDKpkicplspA5gKJfeOYBkh7mO9gwJQIp 2mSC6nEI2jKY1tS0D2AsCkCUQ90s52i2rbFwRs93b0UZMDbTXk8UCT9w+6LWUZeI+1LaqD/+MKWe uR2HfqneBiFpM4mCSdGPXqYOMut7wgNHD+7tFSgCzClXaA2ASFMVTkjq9FvBiX53964Z6uA9rkHp tjYmuWWV+FXSUxSpGoxjb2JfdMgXymptiVDQ9bdR0dt3hDJJEJt2nRJQ7vzAuGlx2irXVZPP6Sva uvZyZKxguJpZJ+tqaRxgTXDgWR1zaVJw/X7Q5Qo6EjON7u5NXBO8w3BpZ/Zrr20SmevKYuxNGBXF mHD/3usJYWaNJqwXNUaBXVYAvwxWLNObJtOWu7HYNIxd+10380IM23lBDzKPJU5cEY2pQhx5r2df ObqKB7zbDIQb3ifCF5cXnT9Lcr0Vt8HUgsxyBI/IzjNnE9KrHqMxVXrPsLuhAZpZSe9uLYjYqdbr 4qCec8K6MrBMoKXdz05TrzENk1ZFwOoKaZqaqq69ADWY3OOGOLrXFakMiOJoJu1X5VapeFONRCPj tTsA8DSWYqkN7WT28DsCBy0twWE6Oz+Ist2fHhkViRM25kqIs603BI1KPDNkQsM2RnzLGKcZL9Ax lb2iKYIvbNrdzAH1a/H6u1Z6K4NdaGpp3k0fsaImBV11MP44gyO/iPFIFKMdSoxtfFse+luVwK9M DaEhlG/bl5ECKLruAIVWLRrfi6FZvLkWVn3lQaN1SGNNUKOGe49wSGmnADvTz6CQvC2E/1aCTkuW IFZkXpyfZcvn6M/k9qz+BMIEuq7GHPWiuxRBoZ982iW/Le8KkC0b3w5Udy70zT9Kus5BQC1lFG2p UTn02iyM/69qil7Zan33nC861wHcKioOwhTOqSgTU5GACVGqXsKU8TWj+jhbqkF0L2iGG8HRzZXR jcj6BROetK7ZDTlkYzejt3iZdM78nOtfWjVo/1KHaq49NKbGQ+XOg3kN6eC9ze8hoBZPQynXLhVx GtnXhZRVFjrLYPhkufCiS5uDCBzgcxPJdebO/ScJxEU2h8f/Ms1oGZMruzgQOedTAfvMKba8ZjX1 myWF0lj9CWypdUgKS5rT74aPO3jGEozTYBu21bbR4iiLmXjFXqzVbp4DH050cKvZVbIDgH5xd7W8 TQdi9mQp4qiJmHWsMn6w9TeL4gHRAA/AbdayKAKAjIpbSDUOBhJIMl8PVGf1sE3nQKJkB75PqoKX N1p0zX4ChXKxSr3uwIJQYEv8XmOH8Roal4W3EiLY4hb+c/PInZCAiONdc+4lnUWcbeY67FH0fjhf 2Pb7jnWBlZJ9Pe7D/fe0a8OoEVNG04b6v7e8OxhSnqSMg/h3lKQ6k/jmFIkeNL+hkWx/AFvYzfGu PniARFl/Q+Se/RBJ78a7AA3fQMDac5AgkfXfb6cufTxEZf2CB9ptGhHvyh3BqUZf8F3C1ii4QSP+ pLSygJjhFvQiFEvez5sfZhBgkoDQW5ZaPaHv7oERxJWRfgP5ca9KGZft0BJ44L9RjAPRFBCcuC37 DgbRxkJE656khyMLBLxVvk9EBMOtN1nB7Fhbb124rZz5pfDQuGVi7XNiBU746H1FridWWTZbjHfn O9RElmlhtVJWxSj/6XbYqN5HG1w9MGnwp8p4wdg3H3F4r4NQNcYaYJSrTYQ9lvHsh2/pgcsggZLN ZTt6RcX6KM+D5iqj8upr+psbXFrxqghAHrgQ+uQel1TRRHksZnSEt/GSuTDFdZ0Rc2r9KKWHa18N BSXhcAirzzFwPJ4zFuQKPiv8Ont0mkgmkkhbTIvKYf88lRVCpWoji9kpktkZPA2q8cdpvlfX0o5g 7DtP9n/BrBLutD7eZmEj7MyoIBkarXTYTTcd3F430AOuUcsAJ8/c/7CLC7DsdfYNzKipxPbxtQes L+lv6WRIjb3WKvnOC2/4U68+mLigt6xsxHaDNUM2m+8RoYlmo8nfaP9Cch//d55tffNlK8+uwx1Q afDxBfCtkVJ+47TqWXubCzkwtBaVB+LLJbM+tV0lV6Q3NfFLKV4EaRb2baVdIZ3bU6LxqGRqkged bcja2w4Eyvlyc2Q5hU1k1YJeUf7mViEcve4qP3DkdE7jvoJY5SjyLPQOk4TeWHvyBr+XC/e17+vW KImPUVDnM5Ws1m+fMMrrU1yeVqnAkyAzFotVPT2xvFGRrU+6ZoRbsy1bf/AkyY55ZjrjqHyV4RuC UhJGSBXGTmOd4qXfJ2wiGliZgO5HeComsAhyTNjDltB+Gw+lZTBIzQWBhy4+ltbZhfOCyhYeEHkp U8Ilcq5+0EXUyIa1zPW9Aj1lMtZkWgCzrwKGkcZGBQcQhbOOTJOLZZUZhxoUw1JTEdbNAbMr0ec4 h7C08+3Z/00TFHJSBzrUs/O6pAvXm09bpdOp1r/EgMI7CEhzsbYlFBiHDokM85v5gced7jIvTcBQ dGDqsmJt662ahw1ahIdalQsKVJjB18DDf0vIOKC0TJBeHNxxdJ31W88+R8ZgCUQFGK8OzcgEjcBj zyJbkpfRB9UiKBS3xx3gLy9SDGVFN3esVtyTKc49hYPNSFOtFL5yf9JqBEYpgsF2mbhcV+GKdPKX 14z4pz45JFx8HFIk/cH+DkaBoKHqpQVOu/sLUHX3Wb6pZAxZTV8+qzjZrYKTgjnty1+LcSMEESfD 7D8CpdklsfjyCtlsGJb4LGZuiKwSD9DkSwl7QC/WiSzKn7G9zngdcECjEC8lqNMDz6JpG36hJeBo 5P7JjC8wZHeASMpPK/DV6jjyyscM+1cYawjXfYtvViA4h8GYnd68nnGBoIMlx/2SShIZcb0bySoU bU06KfuzhRA/iuvTQsrVPkD2zAj2vR7PdgGtYWZXElyzDHaKFUCfJ8PVKKg1XRKLML0USbXi061H PP2jk1x+7Jbl46RVhKH3L/tSABhNfzE7Um0FOeM/jcy5sNdpKUcVleWruMnZG061K/sm++UVPj5Y lvLv08/4xB1UI9krSLAKAB+nMj52q/ML/VzTCl2O8Gt3CLuXIRn0U+qL2SPoX22+pcD6iBruAqDl COuzO37ACBkrJujmdp32znvCQ4Us8KG22uIa3ZxdhiwoDPRabT3RySx2aFn+8sbtyyXLdIs4rw3n Zg9GXuIR16Ju3fx8+/rcXDDnQA9Xjx+M93d1p4RgNljcJ7k1f0OUUwsSCioozN6Q4WD2eKMAWK/v W8PTSlAKVpldSAfQTb5MeHmExLXsAPv4V4wp3IQUZpHxe89x/Hzm+OxuNiETLRd7MfoBzSjMpM8R wRzUFFnwc6CUV3Y1zNnNMjKtPVNptP0oafDGVXOT8m+22EZwlAHIK39I9mpFv8LmltGiziBtk6et e8BpESiBy+Ij9foJQ+5jstslsrKDSaCTMI5N+9btOndT4KZcz3VOOBJSb2+iZamODwVAfNEV8yCT +l/J/T7gErOT6jIfMuJ0RlOibcwA27vm1PrR9sFTzHcN4O9a36xMFE9hqccROYQs+Swf5GiMAu/b Jt/+sWPzvWIt+wSsAtlQektsD2Kdb17H26wOjJYpD//cQhZLJw58nOPMbWF9MWHNRFCOIh1MZ9dy VHehqSWwCsGLbUs5S7HQ7MMvRgtMfJ962uIPtlKiJSVtg22rTFadZ0GC3NoHdGNBWMcVCIY/rZj8 axHWN3G4mcUSBcOFSk6UIdRnZikkP++ofk9mkCXjFVndPX9lx8mGxMwpvlc4XhUY3o6jdH65Qpuf LrBq6pOCUCurGWug1sxkq7BdJRxCnXZc+stZJdsQU5P1q/+D/nKBokqGVg7ywoVTTmfQA8s6vzHu IAqtSCy3ZT1iiiwg6sx3yph2KxeZ09GzSnX7upEe8xeWEsDB7exEUhGC8yVcd00a86GjJNPRwbpW +kx0mQ5c413//xD8KH1JF1n8IzQfQSoU7Rynj4VODi9D7mZgQHS22nArGcf3yne3MK6eLOJprNSO g7Sre+G9wwibwO522R32s2o67JkQGZbipYLR34VNFSpmGxmL2Q5dOvBnbsVouRQTxulYZ/V0urYU uWt5MnslbXXha2Ky/WclO5EwlzVJo73zBTbvXIOLIsDLMiHK68BK1zIUnfb3xI749bIow7EAkCX9 Gd4Wfp4va67Fse0icUVJWoDnHdrFdEZ6mzW2EzulkjdF6BQuXHfyJXsYZ99N1Dlv/jMhffiScEde sUpf395MDofgQE+lQahfiCh8Uwzmwd+cUXF1u3OVUU6MUEE6pBE1Il+k8Lu5dP6gE5ttic/EWL0o onD8puKOSf1o2y1TocwNWMvOzs4v4hr1HqzZ0beGuMW8/UB4NdWNscCTy8oMCKwVdw9tQdUNVxUR iU5DVUxJvjliog7g3LMXV9tI4JdY+Bg51Td/Drownqt7lR0YuMtjAHIENe7Ei/RVcmwmzt+J2End x9AREYuGHxlsSZKdQ5EeF/wIECefM6Cx0TSGeaXDe46AReen74RltpeQ0uDf6rPYKD2UMHKveJDF QE5TO6kAZ+QaLmlKbr8Q/+MCf/tmi77A3BkW2rzg/oI2gk928kuYZyzN8lqujCXtK8Vv1brEUT/T /A+JPl8RhCx+1S1JlRTh3Olt1rAct8BBdtMc8mrZ+QXxCBA+FYgWazBDfDAamsABGYaT/kq57spo iNlmoSuuBi3+C4ypwE2YV+VwSoAY8SnNRb1e6WTJ3aTMObvCSzfQ1fvQP04fannL82hJZwUWW+WO S4Q89u3SbpU4M+VughBCGzAzUCf7tLmAM8O3hsl3BQ7p2tIpeL7O+l3nAqWnz7EqWa2wYuwfGWDY jPj5iVBDYiUhKAX6j/PrrJUY0g/ir8k4iqDgwVW/dY/I09HSGw/NWX2T5ZnPDlBigeHX2PxkMaYi 8t+/JgdhhJWq4jW6glba1NQrC0aP7art83p3n006hWet6f6Trk0zWJlUlbBciWIv3HV1bj78FFpb atAxnxw8/pbbkUm7uMRNZJv6rodMZYNa1pAs0uyVb7jwNOYHPqFNtqa1tqLaQXR+ewUwSxmh+RC7 fA3ellYuDkCUs84tHF610F7CTO707bmPDGvdBxDPQjDlv55k/QUsQi3qnuK48VljkaraHdUTxYIB YJe492RideAZH0kE/3w7z7HDDrNHlWa9AwNmn99bPGtkPje1IMK2q33V6oNXLz4fE2CRbvdA+Zg9 Nu09qWFMC14Y1w9uSrnYDXxaBc2VTDewDcH0lieAtKOhvMnkv3NnRW5OjmL1o5nsxx0XiYxBkZdW ZhTqE14K//bkRDjThxeOIhx3c+0Se9nQeOQog4VmKET1OjOeG80EhwEOQ2nStmuIVqq//sgjWPeM KpZYUleI/owcxXRruz3naAefCkM7WrXP+Ew48COVO+S2TamGx/2SJm9AqbP7GdWUHQhiNWZ0ILow poqS6Ds0Jp8FFE/QHOYFh6uuKoIrOXpD4m/MpbLCmf+GcqCm0U65zRJ4yGMfo1ncL4i+ZumfotRo aTuQIbfsGtdcxwiy9d873fxrbBO1qwgmCIXyeP8CxfTCzR5WTxMM9/Ah3Hv8GgXTewkx6dLUN2ch J006e1QJyoq+Sy9Mx9GaDUwZjMuxpIDHy7OsPAwsUG7TJn/T2xJIy4Lk1lX+/pMYg30nwWsalzUu 0pd6H8l8bLzw8hSjekZxi1wBYtBRJE0Rw2W4k2A24zpwjuCSlG9pat59JHHg09Nox0iWp0J0WVH4 mcyrAR47cDFNtpvs+4uSYtQeTLEIxcyqVWJU5Ml4dVwbB5NQ1uW9dyJ6AyFcpBKCRx8Vf65I/Iwd RUD6Tqe4VIBDUFecMWB5pTb3NwUpQw09qguA7X9nTlfuDvzC0CO7MJMwEz8rrrJ81jscjJkIchsR BWBen+VBglFbjx9Vh1yRbolkPyV4UYmjHeI/ezZ/F0CboOIC26PSg53ZUqYc1PVO9kPbv7+dhxvZ G58YYtaHXZE3Qguz6cvc/z5S8lL0YIi6r+T/MQzH3T/chau/Si47mvG0ci4/9VPV9mHuripq7JUV viyVlljQm7ySQL3rJCgBePJ4nmD/pAUd993ykQnTPnL054VJ2MN+OYssD0xL4OrENRnpuhzsOFCq Q24CDt4uUME/EC8mWXUfukDjbPsuK8jt3VxTM5FVEHKRwZX8Qk0z5uoCbHtYdRAne3oD7ftCaRfl /8koWeV8GoicgTnNrBiBVlA08eEYYNKNieqQN8wupULIUlk8XXSfVjfUqvtzHz7OaUcROfY7LkyD ICo1LExrKhVGwQEmF+vRCjYkYVSKZ7NuYHbAM2smlWb6slVMmVde07UM6KFu1u6c2bitrzzXGQQa 0cXGGTrZqz3tkkhePH4pB/KRehPYKUpLJUB7aOTIFvoYMO/vMuNS2Hk3b3/B1kQYMEG9pcSRWwGj 4sSm8d6hAIi38ek1Buwsxy14EwrE6kzq0rmbnJ6HwNiQ32OtImvRVAdIec+KmeJf6Oev9zc1Ysdz Xyw56yke2lJsF/HRqixNm3piv72uV9XE2pcD0Bl45inHg2e7loBEj7Luwl1yQ0VKUjkzyoaLgR2e lZNj90sOiCAGxcIMx7tacfXYh72NbHPYirXE4uTkiyF7TrzYUvbMHYqWUQkAra/JpX8VwvWkyWhf rXSFkDRwaxS+DslO7xXWObLQEZoQLRrqjjxYs8xpkV49PggrangsaXxTfycEWENgLQjHOWblviKm mMjCNFsThjvjCKliSSxUxsR0jtr3SYetrdxyXEWYhtyu0FkZiI1exKCpFnVniWa2Kv7Z6s2c8Nzb LI81buZ+sgyfFKaqqBdKCc/9ss2EO7DbGyDFc/lCCKCDT0uHpARedzRXd8NoKSySQKBLu0wJYIgz IHgYUCLxADMFqoD9/gkHT6CGhlbu+QIUyAY5whFNUJNY0A63wfL4RmktHQMG1kSQ/tbOzr0FYDWg +hVzzv2QfEu3BfzsflxFuFpWKpWd0KlKmlIsXg5/mkMYMBIkSDxd0Ss8XnUZvcv8j+8sdHUJea/5 nt9AGKdFLGNnetx7C/pMHhkuj2a8gDRaWprYs67sW3WYgdKIlDTNpU1pKzCpxV8Sep1lkYc3G8g4 0X/BTf6VEJw5+ned6iNdyRLuBzyNPJ5YY29Ze1CchD6Zb6PZ5U6odfD/8aiXCP6v0v0ah16KTIsu Yt8vNLCeLlMaJ5fpw1xzbvLQ+7vR14b4G7YKdaPdbXLufeAzGH8MMQcx04gt8hXUe/9CgDu3U721 CQ07sQjwvDwaW/VpV1yTE09hGpH80dgSc6mHlk9ZtFuKXUO8T8Z5O9tks58d7kYzSlF7IoIwummX E1FSwiYTR9CdzmoAf6USDwcAQidZJyOB3JGTNSy3rT3v4RNXB23z2P4ZooVRm/hkMuM4zobSjHU8 SlAMsprLXcKcmWDX+mpDybfNqMK5EsjlyLpmrwJV41qiUKrAmnorrBrvbsSq2Lg9mdkkhKCa1rt1 NwiPWf3/kNsu4zaxdZ4mAptNu4OkX0vGM4Or1nLXgCxvOrz8L+oYTcRmivaXOUS1zIqAzxPFjXQs 2N/gEkbP3n2o70GKJpqc4exJviYG3cwclwG1V63zHn8kGq5UuC7qUpFz3Tl/pK+AC0SDBS1C53fk 0flTu79QKCBxCWy/tQP8hcODsdCHEUl7kIsMAyhq07zWKBOmDkmCcjunDlpJ9SNEHsy9zm8dBmfC 4G5vFxOd/1YoPwG6AwHGEk6sskTwEuKDR6Jr0utjonp5QxUPge8UhFG7IEAQl7HdtZH38KMwp1vJ JcEQCNsawNXx0Fg73s7QB1DPgY2mF0Jiym8hrivTubM5ix1B5tbnDRMTnEi0dm+G3/qMZ8vku5qv JO1wae/qocltWEoT3HQkT7K4/ITXnRdZFWC8ku4GhB4NY+09uemWgjjRdnoKmQTLbHlHucC26u+u hAgdQ3msUR3y5h7ELfX/L28p1E+sDhsBUykFIVJeQB0naWkGlLzAFc4ZJJh+YLpteKMeJ6TO8SYw /cbgBrlUNrnek6yzoKQJlMl7TmW0iZQ58PtBKHYNQz41erZmbMNOzhua4XAc3am/NN876KtgdZAK XxQNsSAep4oqUaCZn2feM6bHd6I/GOUiMPKCIY3PZwivelZDvx7RoqeCD9/MVQQFxZfR8etxKQTo dvMOr5xnPW5DeVp5pLl5SRP05Y+CqFC7ZQYvNZpQpXOTQaQfr0xyNshhzqp0ssY8JahvXe4iRCfu GherY0R02KPflxb79RGQ0f4P8lUbOPXAmlP8XcINGj2XYiupFC0BrZEstU/iXi94L3GGTgmVMWqk ZKBmsO+FvEXkV5pK3ejD4Mj8JppLEDjEGOoFMM17tMJK81RvJjIPpmX0eKo7YPKPJuHJHJx/6sq0 0OycYfxVvUtI0G71t/cAj5WujCKYktcsI1dWPsaoU3H2TvytylqEZh2qT+Auu8bqLXBDZzW5MWvN os/o3t2cFDimybd0bE0ygMd5k8ttkKUO5lk2StxnBIHL/nUSGglvRUOHIDCN0588vl5bSpl2cHt0 7xd1ieFCX+nzBHzjMaj4L4fXaWLGxyQR6Leg7hZ1IgJDRgfVmsY9aHwagq0/AWGKzv1ZM2aY5fC7 /DOaiwICfRzIKWbsz3XAiYADPOU3IKCvOfmTx9UlGMVWh+jP+xDO7b/Nnh+VlFhTHAfCSyrw8pBF BWd6TGmfjf1UUiBWAAicTMvCL/zkozZY2zxLGcjL3WqjIRunQ2C5TKvqTbf8bciqSk4FPlRN2jMX etSlmFezwur7T90SlYKwDyPSZAe5hav3+WJdFkefnreP1FE1HV7a4bx6CdXmsHI4VoTgNCfuW9Xt WZ78QTL64WZJ5dTsjm7rWKEuaVKT9bdvrhJ4gQFzsjuFZMPYkMCpXP+/aqKlEtsDPbqzT0A6oUlH t4xCpn2LLZRrI4CxaX9X0+WojdPCSTzFVk09I/tSuEO9Zz7/zNsAnESCBlcvl6EXz6MkIUwRM2D/ AdH/+CfrKHPFWQUF1rdjvjy6YecdCcu0bXHHEyTDqN8pRakNJ1hsaEmMqPkvltO5aNsbktrZo/+m nBHfypWvf5R/1sAejYX8JGBrXM9PdgqXRkkn1CL9M0NzOwi+ObSUwI+I/YWj7QvFeG2CsUhddssI sU0dqFTz0iN8HgNYK0HVN6UMWJOG86K/sVsS1Glayvnpbc7/6gYZAAYrKMut5WlaSwvzqJlcYk+f CSUbObzRFONRFIak7/D6hP4YAHZIPWJ33Oc8nGnVxc4OeFUnH7OLVka2NWoDMKBm4oyyFlUpSWcB WTFLC1JkMght3qEyzGHATSBd39qH1zLjH1AJBlc6ps+coDFAOGSBEUikm3LJcDHlAbveaRNVqi2k GRBO3wbtoP7EhvaiP8u1MpBmy6CMifknE/mb+jJMuINfSKdgvtCNIa4bo9fCzdsmanH4NMuQiZfi 8MblRPAUJ8pnpZp0Unfzhq/CWSVqm7G29Ri52liDuBhPl4qdTETLdgwjQUlIvzY/SrlDXwi+p/NM BY4ATaUYjPi/FnpQigMcKo/Ssp4t8V1RVJgWi/YILBH6MDFgcBRSuBoQTLOCiI1MwHIuHluy76A5 uXGgzt6sQ/O7Dg90Av3FY081SoTzpPhFlGzk2R9p4ZlwzTKcjSklIqtJZ3V2HVJPV/0L6wg8fDam ipzzQ7TOXke3cgjeQEX63TYTZod4lwjFjXs9X4O3M9BdQUa08XhL3NQXvkd8Z+jSGWyT2IbOlylq ucA2PV3ipAuIJ6up6dHPOJjwLA3o6Bz1WJQZG1IPwqJQr8N02c0p1OdpAbDI2B/sdjRXrzSg1T7y Gq3kgjSaqdqwPgmGK4TtToilgJi7px3yn0io9FC+mGEfEBPpNu0B0BYb8pn241v1Pm9nq4qUE6wa 6DWgbJjAnSeJV58mHKVVUnWN7PT4F3aJy57Pc6yE2WlqF0jXZtJQq9sKCsECaB7Y5qfVwrhu74hB A+v4KKPnvZ0KJUi78pXDiS4GQbjJSj9mn4HVKUgT54GtnyDUex3TMQwMMN+OCJ2asCM4GgMECCYO 5uqUinq3EuQhL3XTszOPgYaf2MOvtWOBpUtgZUdCfaYJnBRDTWPDXpTJXSxqzsG9d0th5SUvgzGh 9h5qmJruQKTqhaTPb7L27o5B2hh37lVi9IWK7t31CUkHNtBwYyo8KoIJWqusjznr6xSBgX9c5JXW rmIe7j4Ib+ftpHs9hfxSRjcpJlIOOVML4K01PBvhen91daOze8U236DhPgGwiA9bRRpnag3Fw7PY QA+Zqe3Bdj9lxEV08WQBM+/oGaptRy8rUEO57zmAN9rDokk5bD5TcfxJIyE812tFi2Q173ALrjNf vmortjKEko38ExqJL7p43kA4928K0+jt0CDyXMo4Lkg2bxR1yx6L88xc8Nq7mPGG4dNflnBhWeRS CjUscu0wbsLY4EB60PjeY6eQmZCHG9c2XbMGP97ivKsD7F2qGVQCxIBiUKsF/JMy3F7UnqeR0wnG edMnG0tsEH8SNZRnkYh34sqU0oRIW+O+xMPOVBWIyuzEULK74MyRtKRMNw2KoRNMfdBnhq5wFn44 0MkuVR5T5awjoLTZIdjae7ncFjuVffrZHqVlfLXwtE563caQJrlBmi+65vGwe1qh7aSPxaNMJzhM gwS6cHs7dABSyWJj4EsAxSd80zIGxU88NzxjDx9BIOpYc1l2vOo8nMiIjs2zcxPFOStqDI+Qxjj/ vXg53h7t4h53n20KWbLk1epd6Q1ZQyKLZa8SGp7cGynxe7Rdh0vsBkf/EaQyv1Lo1qOK1KUGLCJL 2mB9GthcUnZfT6Dfl0o0iWWOp8FtD4wTHYNaM0yVa1kPj+rD4xsLsdVwpsoiev9l5sqZr5aKRU4k OzVkF9JdJNOg3CSTAjKJM3Q4BQoY5rQbkXX2OJ37LIA9QoJwsw3PPCEY/fnw80GTzhJqhGDVgST1 APkzlEQ4J3NEtOypVF7JMnvUHLpX6tgcUCCiptjukH+BI7LKFyhpY0aN0WWLY7gGzxfDboIpjDEa UPpSVrC6KHYxHltmNoUYlmUVnMHPt3uoFgG8BdMc1BsAJfzAX/UBMAbMDK4nUdsJofQI/5N1xRJz V4KRFKOb6gZaHv/2At04OKXD5mkKiYAlzk+VqmQXg/wdb2zNnW3TGihxBasX9SGu3cYZ1e5D1zGN dWcQu7KKlugkDQYG5y7Pg8G+jFRZ22UFhQUUXIhvwvM5h7IQvJbMK1CHvu17cNsQbSOfSZYq2dDw d2tIZ8Tni1sVkbwPrDavtcPCA2Kgd+F3t/2TfahIfUiHc2jLlC0nbFZaT3hNTerqTFbflEL04C8d tsSZFTYdrVrLSLIsNrtCFFx4t8cX5lNi8lpbHFMkJPedmmoYypq8oYZ3i+E0tA6UUdf8X9jFus6Z vte9xDAeLjgthQDvHK1hDZlasEfsT5V09vktI6L4We3qlC+1+FHXzc8AblO35t9CyXUCl49jdIsW 2lCDydRpCuEpNrU3hkOx+sOP7zsd2IMuSM8CoRnkPWI24nppVqrHcj3HkPW29vNSarlN8IUbxprl oDbblxCieUX6fJfHqJqBC6LnxswKlIuesi0D8awhi2KWGEx021t9wU9ra5cO07aYEIBtoRhn0cDN KUjpCuePixIEiz15HTUxyTg40/qGYCJWxzih9FbXw/Yzjan8Mq/OA6QmTXy7HuoImCg2v1mIY0Gr cqt2NcUdOKLll6fVLhAqgCocHAUy27RjEFjmN5qrMSVKJ9x+0kPm24fDMQAOjQJ40hNk+ELdwF8a H4NpZUGK0OZ55wOcXw4LMVo+G/qf6sMsZ4l/nx5uTSVKL1yUbR7Y3gmOw1zKGdKHp+HsbvnqrNhP TbAs6fOH/Wo749ZQfY0QRA6UpwcUBoAaxwVwOzcQ97qhyq+URVYzFWUVgKT5kNJkjk9wyYMJgcyL McWrLScagiyLLr93qcak1O+LNcxJ9r9QvCAolx6h7J33KkBdojsM0AMsBFRBa3BCEGXMilxJy62U 7PXcgeVg9u27vZ6WqjEVlEuy/PMU/9dAPJB2HrI/zbomoHmhn0JWTrIwtrEWG3MV9p9uByROOVrJ zm5K8iDgUnl8p9Bb8YmI73zMGQwfj/jwO0XSTHnhCmC1apzMv2Oyb+0FhmwoEXgzqOsnUrk6bcSs MTGbziJ9mUPCgVLmRYqtkUuaRtQaZ+DLi3G8g0SelP9h3LTn9oe0K98u2xMwfrW8+5UYnHjmRtff GFk19CT1SbXHE1ifFD9SkWJ1DIq6bVBFlRnTBx1VRDZbVc4gBemVV4VfQVoPS4arPs7jZDYIyJ8/ mlYZ0J249BpDxvcNmIzDeosxFbmXK6M/FcN2y7XkcOp/fGHsnY/1RQOkXrmNG7m8jDvhrYARxs6N gBe9kwjyuWZlFqlpU2KHOURcNaoD+LkSKChXtjRnfDbsajRK+zb7mgjAggO+Rs4JQQHmx183h/M1 gKNLyUq05AamKl9z2KGONmjMsRKBCkZKYk8ugd2bbGKiaCrs2q4XWhhT9w8xU4DTobrJoSye2brn ncUSzw3zFwhbnH/6Sr/mF7VSh+cf65InzDvuGuYlMv2nIZpJq7s5c4bl3fm+lMYdcOMo/Tcgs1kt +SuPuKVF7LQmcS+8EQgPqq5E5CHFy5kpB3T0ksXKJW4nQyW/vA3i88vdGhRnibpmLWc1dis3iT05 Pl6HmxGVYndvQEg8WDUNHR4DRdD3rnGmKfFCsyP2JiduZCcnvZaoyWxc5nKavkYEkgZGq2jB76se XwNNINzwjDzF8ECSENdLN9I11bkCcKp7CIld89tV6JKzeNksdh7iDJoCrV4Of66Fkecnz8N6iwwA zdViCr2SIX61S6zZE7+6koSOlwxKt6rcdrRc7hzeNZJXDy5WFmemIIJSy505GGauxd/MzOCqhxuJ AG+31pTHWyFA+MEZ50f8Yrua3Gj8CRYsx6a6xyK5VQDhFCSm7wAe3NRmniaQYA1Aavnxz/JDyLkC M0LcLeLctUZOHj5KQickZHrDNtLLHWGRjI4ZrDPzZmavywPNZX3MddUl2tak5maTpgcCG8hC5vdV 8JfvPXhfuQSlyWddAQnrC9GvMdmTLDfSGWVxJtX3wfn0INKERJSxXaLOjczU5ymyEw5edsbw2R2x laJfil6Q26JGAT//PddjQ0WA6nl/NFzswhmNqcITqj/Kz7xCSJBz7djCzx43jHuOdvUohzW+p4D2 0cNFJzEAj29srLMfXPCAxXzv4BcNPXyHdfS1bQG0AuLfwK+DgzQye+T4sFRkBZhKEE3dQAWgYVyI V/aZxs6hgahBu0WEK3J6PmFKWFmvnG+XNiqNXVgqMZRswegnzkxt9fCuy9SbUnkNBs1wDT9L2dXQ TSIrCXjrnsSFjLRHzzSA++bpMUTx22Njxrpav6U5T6poexrDo42Yxm6t5Q3TQPdGUj+yJUzfdrBg HqdVpq0K1MaYiZ5IGhAiU2WivrIToBEqdPkB8Fr2YsqOoHfVdgS83Yqp8l5Ak47JnYds0je5eWND uuIWS8Arjt9LchOiqCapVrvtmCdTIrYBMLrUWzETSJ1epU5WuopUkL1cS2DnHv5/EFqQAWk8vWDD R6UQlYUxsTnre2WfntDHY/vuYv1cfRQV5SRtyF7VGM7WUYFBbQkIN94a1iMvqGNOa+4fDdYfUhDp oCx+w9A0mjHroYqS+irfw3OmUsTMTfNksF/lb6s2+Wtp/fwEZtYEUoT+j3wKnLYIkWRBeKbXcygL 18vyxERL+hO7xD+nh6rYV3eIsxO69mHCSAPmUu2Rb9YZYUNyXyAif64QZ22iuVv0S35BgvhWsFPR ojml8yONcwkRycoo8zjWTe1M2dyXOB5lsznQSkf1bPCmIhdvrSXs0K6Va+51jPCQdYWP9nJaidYU NNTspvi2/gx23g/vAwVS+0n/pnok+7GokNvj6/vKLti2P2D7zqyi8XQXF4RttHnKDJTpS32Hu0Ox DOOvAyBoP2Q649kOT1yjOkJ0ZA/2GHDYfG3v2ow69xCRNzk98Qs2PJW2xjTyMgs3+yMewala2Lvs aXm69e9gr2kS6OGNHKNTkSiwAXp6ncRNULCpK6yJ41Hh2vwDrcsaedaoG92N0d/X0kTYrqiP7LOO LQwBzz+AJEVoNHaMcMtDG06eqs4aFX8utmNnCGHsLr6TFKwHLeeKTfHOu6E5yc4H+KYcY6Nf4Ot7 WvGy6YkaQRAKSlAGlv04sxDXR9lXFLf/fgvRgoy9089P6zfCL7XG5phEgtc+BKPXERrLnhtxXlxB zuDWkadUf0qRe2zwshhLr80yBRVMhm8L3Z2RqQr5+Qr2Mvd6os8BARCN5wupZTapUJOA6RjgdiMQ xhoOsoQqA+XuF6n5s1c+SRAoSaK+yb6dHeXId6Q03uFUr0LucC3AoF0peTtmVp3YBLz8rNZV3ujH 5GVdR7W9NJW9YBEBcntrMavR++qzoyw9IREIpzkkMrc79e9oW94cIZFtHtGT+xlvJD7TW8FPlVaK wTjwXiooBeA0Lzt3R5eCO6vSjsqyj2dyRLaWqhcPhDfzIfvx4XENqM1KshQqcbEVR4s8Tro/4C2t S67ZCmjdh4BagbFcPEv7hDQ4msSFutdneU83E429Zap8Uptyn049cT77i4loM0dDqsJ8xibz+REY ktCf/TiEhxbSnJwbiXFZyH4/ARGpFGYmKZSOJih3yljDVl6GkPvAM8KueTaAig94UoDF2MbvJ8sx 864TUa3nqCDmCv0XLN7kzdYV2MYlKDQBHCiL7xeeHtMuW9+RFR+7O4npG6tN6Avf8xl32Ml8Ec58 weqcEIvqUswIRU5O7ne6rXRC+Q1HgH4YQxJwSpTvqNj8E2WfrctoKYApJZAcaJUJpM29qiw/TGEy U/TKh4CiHsfl3HfKp/m0H1ucZxjrUgslQDQCHARW8kATw4C5N8+nR687l1nKRPJy1Bkgr6q2uBXc +sMLm1U89lyu9vwGoYwv/6AAMnFrpoaRMFlP5dt+PZYGIld3+w1ue6NRlR0f8Q+3yMrnLhyBIiF+ e4RVUeOKn0Gyg6wdVjU+78B63vDYR8cFd1t3tcUZTZzHPHW1EQ/mNgjJBnFakkKQbk2ruiD1ARXJ HUPE0Y24V6eLzTV81GyWmJ0zqcJUPXRmV0H+2fVdrrm2ZKS63+58gJe5jId5WOQpOUrED9xqpyJX 2Ld9PxzsvmdNZmmrTRiQrM+wm+auGidDyC5RX1qokjqArciuf74GzIRU+8RMDVxSRI/5ROw53GMh ivd1kbsRblzF/MqUpbPqjYMrSopapIABippP4LL5jLpGKVBBqGnWaJoZjr6ClX4sNEwoeZ4gs5z6 EMpBNcvwnnJ5cuptvg11+ExStyrif8r57/1T1TOTqtshxAcyTrMcDPMwi5lyJkqMLLxD86FSf5ej CIkd/g9RuW9cDsILnxz96FzOsKTYVygLpRafrhCAKBjU2qiVCsZTZXKsdx8Ze5NvOYgXA44XFThf NtqwbwK9DMt9ExVgyTDT8JiL5r/VJvWyWfFZUH+sv8qbTDQ6TtcpHmHmZSeDfd3OgqvMOHU+Jvpb h37JcWubJhznVdBlClQLVpuLj2jHA3ucqirJfCUUZtYlenn7QHsnOoK8wl/268yoEvBxRAM853r+ ipCBzt3hiRtBR+MpIvV5qu9OdvG/4Ouj8llYWAtvWXpS5/BBVIUAnue4RVcLgoauK1FDUKLPY6iP UFYLW8EhFiJpu116VL0z5jKgFdTw1oRUD2fVbK08Aos5MR5ef/i/fwGdWVqZnHxGqVNdnibumC+n REy4X5J894/awj77Vr5NhI7MECzWyohIkrrQZnkkuhfdaGjJCZOW3HC27GMV+i/CfD8QQ5LTiHUC HCfUjnO8AE1ha0/x6h7E977j5xEe0j1I+5hOZ59XV0FtDb/ihfzPcdIyuP2NRU4Ji3KLIztuE8YY tXNZdCPncthlsGusUef9yUCWT2IZ7i6WsJctvzWPDIMQ4QcnQGWBiTNAaPaHhaRMl6Tkpw1Wg3Og 0b8Kq1xEjZ7CDTl2SSkjypAASWybAUJB+pTJzKcyiJFJqm36hqGacFIgEPzosh8iGlfso2JWnRD6 OWDS5BY/Y+fvrkmMCrKxuuXjDi09v9l03NzY74nd1h60l/AI3gD5FyjyFFsyxbjlsfRKQkNwQh8w lCQ0UD/gkmy/12ahF7xkb3GXvPWFBt2TLMgUimwvIptHqQFB0IXkkmSRqsPMtCBYNBY148IS1sgu NeFl0bnl6vT73A/D5Q0DwnDyPGmlbt21dcu0EMglvwTRABq0UPwtLX9Tgo0dE8ajT1EsZiGrUZwD o8BkB4a1MTcj/n3IGY3gxse7FFq9Yu9/Cd6NUumB34p/FlJYhsVkeIUrs6T86GNCcxf0S9AZzngL 2xiMtLWJwKu9LI72FtQf+w1nzTyKwv1Xup9Xu/U6mh/RcLwfYC4vtxTxLVW2Zd2rcxkF1IYuvkKk b41Uj3dHmovK8kEbHZ91Kp+MdGqdToMVaycX1BqC4nqe4QxCFlbeY1rEpVCFQWIuatkMFq3AwzCU rMAFK2d4TpGffsPw5FqcXsiwfCvxJbj3urrWyAmZdzmxOlETVDG0lLy77yBV41MVXA0SOUB0ecYm tYyqZazhwgASNqXPGdB8eLyijEX+G6NhI1h0QgslbPygpO5BmqWVkZT1wLuFZBj2lGEtzwIhFjen qf9m6Zf58QZM+DiVAB0Bck8qpwLp/GrNUXg46hx5HoHThtSxeN+bfgi1eNVI/WwHiZlUYptG5vCB wzJGOg0Rnb1UrNslngVClx8JjYV1y0EC9ZMFMzK4/FFTcCbHVKUgMYEUTU1uo0TbqfobRGMtXsV/ VO7547BpT8Uy0urPiNnJFOIXl87fSoq/TDKJTeHwC7KULKpB2jS76tqw8dG2wiNasPb818D7uKH1 4d4qsN8mtFk0E8yVyM5KU99Ee8+UOGPAdPbYfK363QfdSl314rAN2Dg65uPtGOwEKn/fe4CHZaJw IsYH63cKxyp9KyRnOq4PCyMTetezii+w9x91fySywgLWChqAUMQxXm+A0DhgkGRszW7XuM62hsUg 0tn7KMjE+nDsTTsAIcvi81Jd62cYFU1RFjY0huTeVcTVYPk8N5fmhDZURmEmEBioUbGD4R+TEbiZ vaDsoOO8geUhV7or8/qNVVjuo2JR9ppAVayLQfct6JlRQNXwl5F4nwKOZkuHc+X04RdCpAjIb+bh CZBjOxXVuVaIQqf5hCIQeZkIugZewRxsEzAcwDy8YWEk9ac1RjfXOYhPt7dQ/wC9NHyNyW9wQUOX XhLRlLBzqCEsq9+mWL9azFUmbJxl4svjwfrMXpusQe2HZdmK0brOWBRqEr3ELuyAcW2LeBkGbtME E8mEqqO5pGEk9N1AH7vcnlwimob1vhZ+JebdpdHVnHpjaVo6OvmjRpAKiEeugxue+qa9CZyUdqMz naCbthyo/AiSX5N59lMEW2n1S7/4xVsUbisxOpG27GR9M/USf5A/R40l+zEPOw5H3SGnkCjIL0QQ xJ47aYAoJ1PpGha93BQK4r8tjHcICD+HpBkodHQeE0ZpmVkKtAPfoFMdoLylI7tGy6pRJwWwhK1z Ixgkae5Tc7Iw8L7rjY0JIFltzaf9F+GeHr5vPS1uznqo81QmCEwV6aeEEHkHLaLwA8aodAKg6oDk m2l6sPAOp7FZVOuCtzzKk1uf+Kl8vTA4U5nXI3KGXOMEqxQb3xSvaBT68qyqZeqa8Mh7fGxKbUcG JMnhbNmp4cHzBHZggTPmeSDKh6x6SeqIefSxFgsHg+nqIHLrxr1MTAJOMi6pNJ//0kUYHAe06Tgq iVD4JOeGwYUIwHlXX9Nu4z9gR0nNk6SZPIMAJ9pbJSQcY5ZHnAJx48Bj5x8MDkftWaK+z9XOqj89 u/Z2iM3imuc2+d9A4mfX/tLuh3LZDXMN4Eh9swf43tFluP5kK36ztL+LP9Lr2y8463TOIUzxectf PtIueoxavK7aLek9EFK5PEHw7bnSo/PsrcwWzMCI9bjzH1Sqtz0tG/5JNNl3Tj6DaEmitlMlfq9b RzxBi7PCZA4I3BZZpfOOSwYRvz+1EtUtUwoNSCsE9QTWd67v6z9UgwasI4PaEh1QNosSlqnZzQ66 ZUcJ8FuWYNKGe1cqmwaMta03SdXEo3WzMixplSvOQZs6T9JIAEJ8jAbnNM/kxIaDpZiskJ9xXyqN cUV0pxRqYeOCw3QhgQ957Eh9afsSl+8NUTRmku/2UZtSHFH5MkzstkeLgyHbVFC5OEjZABHAdpY6 j4ZcNlHjvG/Qt7n9UIhgbZlmP5/nURLQW/vql4C+v9Sg1CEy+ltqVY6+giU5w/jBe/SZJop5lDuf eLTJN7BwMMNdMJmNZkZ96ocf6mH2yHmg3C3WkkE9L3QXtxqNNxT9xQqbvIzSPuT038zV4SbaATC+ kkoRWSGmbjA9kdBql9t0A6WqydI1wVk74bLvrKMAZVNQFQYalcbqGE49yqPEuDTAOjwkZOoKIhAG VEcK7p/QVs0jHZ5neCIpHcp3kZOJoVM20eI6CS/4fCFzO9YyuBcXguaLuHWrZ7lJCQzlz6G7c/nf dipcsFIedfZo8UiGXxioPL3Uwl/2iVlnQYdCvyRSFSbpuVrsVe+rYRj7mQOIYM9sF8xPIVs0Tlb1 BRrBj3Cyx0M719pajHX5mLWdq4Ji3pomfeccHUntGwb7bvQL4gkeELQEOY5dBSvqbAx3w2oTyUP3 xjYdFRtT2Jzc01MWbePk7p6fRqASiFAbefhwmUa8X1elbcG5Tr1P+KICMYUEdVpZRsS3Sy9HMxF8 Gyata1yC/TP4bH5CrVup6Iy3khwGq9N1Lo6Oa7rvM1KVOstLYZ6mJfcSswgsipRAcO6aOcMmacM8 UmacE7s5NTTBEcG+92fUDR/du1Ck0V1JC9Jn/6LvY254ZnmTeBaGAeTvDhIJrTt2uG1JGevgtOfI cqbuzedEolgKvdPxUP4IsgkcjV5ymzti/Go1wkKQAmYTtUx0H9o0gLK+Va3BuZQ/WVh8jnoUtsk2 bsilJ6fCCh2YMiZXEyr7HoEtoCkUTqflrubwcVxRo1leKSQjP9ipHq7ML2F11rx65YCs9o7SvHB9 DdcXWG/EyFZkN7un/FjS3kIrIjVaCB9cj0fozVlGaoOluztrTAqzU9TbfY0latE2wtU5yl3X/nk5 WIXJTiLpVO4OgyrUwlifbEFpUc+6jBnaYWjv7+RtHj/rDJWUmcHE2rhSKG8bA3V4U7xX4NAm7GjH 1Qhqpo/R0WzD2LYL9ipRdh8xdMS2cbLfG6o9A4kWHMEXU/TJkCak1OYOjjxPw9V3PI7a97LzQpIM h8Do4FXoRCjn0fu6qsdcZVXJncoGanzETFgMhxUxPS559stBeza3e9GrtMYwYWJoS880xIcP1IK7 yRhA8n7VQn2Sq2vqEErMB8nstrivIP0c+0Tc3d/OpM24wvkwTlRgdhj1yRpm+es48d4IZtedWZaC nwa4iIsuPVpn8YtmBQ7DpBSIFD33VEp9Br+k6pMPpmWqNjBBWYjI4G7U3+JMD20DxOhAVM4Etwlx mE/imCRDRvuoPSHXYOhF0grLXAa8m/ip2MLBDWvvGww+zQHoWALLYw9QOmFOLpgYzVO7VJIYIJNg a2LWnJbIJqpZdmHcleM5crZVM2WC4evLr4gzbUR43JRg3q5o/9YqcSSht6FR0cztkGmAgJrADP2Q IBbtOUcyXg9Gmezb1IS9HJGFQ2IVIKcdDWbYJBhHEvzp4kbblgqzDCvm5qdvVDvN5u2LOePe0n07 lS+tCo1m1ne/Ul2K6kWfn9f2ElsptB6Tq6tP1msVxDi9xtUveDJE2i4M8g9221L8n6THrh5yYFcZ h4LU64MBck13Zf4kUZeCKabdjI/HQTZ1dT7VYQJMxmYut8q7VwreCmxpVoBPLYpdM/rtY4zjbCY2 721dQz2TJJskb2MFxycal+4ysflE7nOwrnruDUfbZpzpjCbzoy/C6+uwVBcAu5zNnCdhWC5wEsIq yj5MK4Dgp5l52eRewB4YW0nfF1mrbW9HnchUa2LHW+57k71KO5PIeHwhTWnwxJYX8n5s44UpCAT6 OHXKECsqnQFwKJeZ+vS/JYyic3GWjA/nE3YZYFLYDzTcjZnzc/rNmpM0prpj4SeeF76dZAgrqn60 CsiiCHyJOisowGMczxSnmVwJanAZ8h06rTV/1AyKYbe6cgC3DdOEIgNUiPt/UOalH0QrT5ssLTO4 ikvehmBmTK4+SCWIdnyKn2tB5mQGnOibkOSQHwRXeRHzmum4zC8aCKQ2qmmBMfarS6Vq3m1q9VTh YWbZWdF3tYmk9FLlukthxB/t9XT2KsEmxbP6yh+7qf7bOO6XL1fZRVIYS5hPXy+QFmzkbM8Plfa1 scRRruEeG13KoJwD7W2xN1cE/pgOjg1TEAc8x+yJ8g8+wmUxckR5yoUfeE/HhfMLTOLYTH51VOvo U1rGhN+SMPNGW9lLLz6Dd4Khxr/hJI+vAsHe5XOLtoeblXD6Eq4tUnY6F28KYqA4N/e6mUUH0UhS caKXYxzH5ADE5fOUEXDKoK49cV9kXbQ7bo6eublr8dlawajZx9Tf5Vgp7JkzJLEee62T6IlQXBck Ft0SGuRzExMid1W+isJi08or/NPuUODwiugiop/dZ4qC8DNBBT2WIheNChVG73+t2zs9Ke/PHrtP oMcX5EYB/AdPuEST9SRFcrAq0wAlYGRrXgscs7cFdqUcbgJU4NVfnQgAih3jSDfxN+7I3Ni6QDZ7 NAT3Krgu8OCYigmg9AaCvXn1ITaObrkabRJh87LYvfy8mKb6vD2u9ByZLsBjbQPVbWWha1/huuyT 5l0VGEESgDS66vz8xNuy5aa7Onzh0rGjfC/groHQ8y4Kiq/3PoSayDVMFIp68UNBmcGqF6mBYe9W of7S0nyKbqOBp2l3qXVUsv2vjohLzQuVDns8ubp4ykprgkp5qESWnFCWaEEpZMaghNjIjPpEl+05 vjrEqhG1TMtltNsLZwL/2k0h1V6cX+cpH5RcetQPrgsz1bEgOxlmTi5giw31IsAKe9ZIfDcdkvRd CL5IxxHcW/SWp+u/27IeFSEGKIwuJPZNEj9j5UX2h5fm/6sKAZvA4ot9em2Q8y3f580MS0+I3Mqk WdTXR0n+7YQjAPQHke8NMNPcYPfpnIusAWtWFc+WrfulpwPFGEOZIUMnngYwFUswBlOfAtoOT9hb 8cNVvAcKgEHAfmIZjQCaeSD/e3QgQ+wL+2A9hi2Z46FlikjfeKYxH6zsvXLM1TGmG0nqRNcT6KEy /6RxuuNaA73ZHbv/U0+JARxJqIDZ1oZpz57h8j3umRY71t0/TMl7Xh8Ve72SI9rGLhQYNuQiN/ks gi0ch+ELV0dIe8rxBR1pXjTWKuyyMHOd8Y+LsH1HcUSaX8a5szxsIWWAlV7e7sHkWnEDyTCuBq/x 8OEVuEZiILqHI2dm4PLYs43TiFom07mCe6QDufXpJv+BTQkXrriQ7+CfDvX6dXX2LdX7W7tfuUEg x3ZwC3bnmyLqv1yqCgcQx5VKDViR40zZjsfOtd8qDePBMFBP7NiaG1o/U+ueVz3giib9mq4JZtdL befC9ZmpFK58kIg0X9g5lajeifFv5U8nBHcUt4UmEoZfXWk6/1oLNFDV6jr6FzMuoxo77MhUWyW4 1S/5ZIcbfHTarltYPtsT2WfmUn4zeEglZf9XxObuPzs5SRw6Di2cVty7L8Ka1TB9IwAKnTL+re0P b8xrdV1Bv5fo5NTpxh7//hzBKuCn3EPJ2jlzlxUUiQGpeIHpnjNTptcGtpgc+emYrP+a/JDG2j5Q ToZAe/c8U45zucBF03hCVSwb0x3Gwfq2g+kikI9DjAUoq+gdKI86UmPQC/TMaH3Q+dYOR3H9VGsh NPxWYjAR1PwsU7LaGnRpZL7ifZiIKeoauK0mkB0uJBvjSXvA7hxhCF0NGWeBJJnhozYz27ZrSQN4 qAxUg6jBpNSKinjnw171pl+iYC+JimV3Wv8AzA1dHbvE3qAwuZXwRVrTdbBCxc+m80IU5mmsNUU7 13ozsPjcye6k6i9SYGe0+5E6wO3RJLpe2W8yLVUNg63tVRjx+H940mi3D/B7mfJBOoGyqd4ZTB75 Po17tAZri+5ZEgDl7rwY4KqOq3sguA77W/NnYExvnU0WMhWubesuOV4cJ2nxKtj1tB2tJvLRGiq4 eDjYtQYDiAK34WGyvtt12s76obqNjkeLnBuZ7asxsEdGJZ2eElfj3nUdnb4oVS/sWVlR/B++PSwE 1wShX3H9VhFcfEBDwPRn8IpLi06R/lr48hjLd4HmNqiccQ+nRqz6MvyfLuF/BlH5oN6J5TQlmVe9 cE4AmjqeQqYHejhzVel33BoS0AtNxh+NGkiHVmFCJ5jU61OAuaKmCleKFg+gXIFV5PgftHuuoUw1 4OFYdpXYVxdcTWSTMuy91tNrzKl3PC6ncSpvl00lcLfFVnnD7IUehyys5hP1TVTujoABkCjLA28m DDThKOO9wt62cIRCDQQmx7YEnwVy6kw6c1HgMFL3Lr2ujmrp2BiVsFPSNp4+RW+S5kNJOhcTeIbF kVlW/tPggYP8jf5ia2amM+rAkm34SdULjyvJ87VO4mI/2Ns4MtlpdbDJoz5SXyNTJIgXbm9JVoRw KNwpk6l4FdbufZwgri66M0rKennwmLcpM56Rs5AhTTA/0c7oh7QUp/M4qBdKp9yJhQI5b08wkt6B kTY/gfAXbW4AkXmA6SO82JjdYYFnCTAdG4egWiQXgIS1FXMB78rGsahsqyVDQEw7IF7tTgwLtTdV KB7O2Xm33obLQxh9SsdHJRbAhyJPErRQ8H91ekj9zgHPRvu4lI3EAKSczxTocQ1pnfAJ5kcF+tj7 bEzX1rFgysBCTP0elqaBDjsaC0tbIg9+nE8gYsbqwgCe8JKLYeMC6HQb4hqKfZGQSBK08tCxZEma 65vSTtKfi06o79RTttKIPxzx9xSmDZauOJNOBxlJWx7FHzLYyJJMXJLQzJc3AwN8HFGUQrhZa6/e N2/ksGBBCVoGvcqIEf3Rj2J5DGEDmfE68QrJPicwUZdouqx/hCrtYDxxDn1i2NRqCU+XUlwIXv+9 +u9r21GVGhqupmi6yhcj8wDXOCTbQ77MQVCA93FA3T99qMgBVNRhPp/L//4sLsR8EG1OF2ccUTu4 corXYQDeDhClYeqL4plsL1kUaW5sXpg1ftjbxOuA62YbWLwiauZNHquCltZAYUOW6ZjUUiC6wgpT wJ0WyaalaCg4hyzYvY/9LMZHTwczhp5Cg1rJ/tMWILy8T12LWk7A6dhf6w3K0eDdXrrUzLDceKY4 PgXPm3wiy1wJzbaZFXgLYtwkrlt72DIHUe8uEf2yUm7IqYtpdd8QM31C5sPkqf3SqD1XQNltoLxP UMQSNq8EXkNtJW5CcUrSPpA8tmljgc1z6Vza614uKbkJ4rhym17c0DPAQ7K1Q6BkA6OjtFkVDRVX OzRnCJbkrGzMzYV54USUKUV1AY4YM32Zkw3F6JlMeR0QuC0/JGs/Xzg1pcIVPJoiauffwgn4gP3I xCBz3k9thZUZCFv1yZNUB8UnjW41C3Lpg08duaJbydceGSpyeT+DSJqcncb4JI6G3w7DiE9g2hKT 8LjPHNKp7gh/Rbktz+0UduYFRTfKYvz8kwCAneRDgnJHpLtgM0mKAvvLd1B/YZKLavCsqTT7N7kT TR3K3MWTutUlnPTFjnhDKFgUJ4CFRYKUD6HBjlltS+/FmZv0w2yA3PnlFCHb6Q6TgI7DSXS5TTxL bTEhTBU/rv9+Jl//apEI334sF8leVmoH8/GDJbO6byuRwtBBUmD0jDl2oiTAKA8aUKg37PGKAO7T 7XNhbEIHNvVCz3jnS+Tf6B9OlhtIqpQMkgMyRlMEBrFR4+JigsTogffyKwM49agoYFhxsu5phPZa tZ28xbKQtQ/TcfyP6FK+fO81mFpII/sEOZcD8h4aoqVVHCAUXEZpx0RwtkkUoVyCH0IAUm8YZWnu W8DNXJwVNMYuEuy2c2/OkrAtnqVf2M7z6wwNPHwglKF/lrjoRAKkVuV9YakDqmljEh22aS2z+meq LgaBomI0YknYNf/aeejqfSnS9S5e5ax3QpWInRBY2MkK0Er9gWReVcGYaNvmYSlje5YH0adtj1sa PiZDd3m7+EKEn0EST5C6XkXh+FSBT70R23y1LuNuA6IcQjNXDcHszhEPCjOrl0lm98jU459q4LOB xwaLodzmByxHC/WBZQ7k3SmCLadGTKmDXpVIRFv1gRXu3a/9je8y95OXhWNz9TgQyhVSygaKgYyT zFPC+JCenov0DCB+7dqXTtxy1Atth+ltlgoMbuNtSo0wz2bW/xzcAxXHYFXCGxBQauWNw7aFfHsV tbjmR3nFfSAagOFctl1LmCwjfurNiGb0EgNpnEtplWcT9Bctawo7I6K7cX7LeMxjo/pQnXrAcKrW Pg2bhFaih45qmZHol9pZcN/OOkNeflT3blgtIyUEA4/txRBwNf1zYAlIU4DDFpz/MyMr2lyK4+s5 UvYJrgshVFS0jqv4YXkHBzjKu/7ACGFfc3MMu1w60ivWognMRY3Q0coDN4xld16DhVgDJVo5kE3T /QUV+EnxDkxjHraUv5qDBksidxJmz7XOvBjBlSoEaK4vlmOBnlC1hK8DJfrZQLzncU6aqcTrBmON Bd+WjehBP9gnNGVEhANxSzzEogfhWCNhrLNbDPKyRFaoNRgU+kgcDjAkii44nURRr+TrrhaxfuDt MWrgsyHdadYya+40JUj4qMRHcTUwjRVTIXQEvqBCb8l3EQeZTaqwxbgQUIx3K2sLbluwA34KTB7F H61T7R2oBXrN5UdkdFMoCMCbA/uVROSOp5YGtuqUZkE2ggfsEY+RhZ/ucJ7bIloZWHggZ9rOK87w bZxA1tja/99Zsx8cbHD479xv/NoZG1swh2JknemSTHfjndLBxYDR3bOAhtJ2N5qn/o7HWTBSCDAI nU8CQ//A/8inRTVo3ryjNFb0uRRexzGT2D2SdOXVICNOSHCa9gerswx2dZLxDCzv0sOsBwgW1e+u hsvTSNBL3jiDMrSeMu0Ihuhcyz0GeP+O6dj7+/D120XezIDOBVkPL842Njv7Oc3YSi8fPg0UARaw wmR7XxdsGeobY64tb7BQuTb2re62AAHtdTre/nfOUxP01YoBDG/UGcKs2NBuIMlhNKHiPdksDeuX Ar4AdF1pqf8ujNgckkDrRWOx44RjN+hJHmtRa/DR7aMsNnwCqF8cIllcWl3ns1za0L/+POUqIlpx E55648uFlJENF9jQ6y2kUd24KFPxeeNw3YvVaS4xhJq65iBZhMUi6iUZFV64hjQxDYoSF0MWVC3P G6GP/TSVsU9+P5QMNiQrzxCb1ivSN56oh8HXMHmgH9STGdLYAiEir3QH95xPI1evbp6TL63UGSia X5tPQazISz1mfSua0QOyQ2IqBDe0x86ub1TSHaHfbFmkeDqq1RnRszQM32sfdLAuU+N5IcsY1ORe VRL2+WpsYK3NX8ma2Fa40JJQyr52x2vCchj+knVPS1TlEhbOW8Sc2T3z9arz7dOBFgYK/aidTYNT fyX1MazJRlNvwLEgxIm4MYANShr0ScVPBg2Wfuee4SuUYXWgCZRDB26FFj/3CgAf42V7IhIEW0Mi +4rbAbYfAQ4etkGYcuzvi04kqQH/UuRBu3WzMgQSK4ULXzssw4pF+xuQobHPPYswVOqvaON8JNRf iUJ6RHKpUhFRonQRi5TxRFwZ9hJD2fyyKA3gk3lQ/NWI2iOaTU4m0jOptGDR1asdtihhVfXzeGLz 2R/RsyQjcBIk5dZ3VXD9xU7Cxg5575vuIg/v0X1Vk4QPWRvJDvlGPil1DwxqkWY7NCSkpJCyzPcL 8kVj4whbGA8RBD57do7YQNj+DlY6xneXiQh8CDIvM2ietL7VPVXm+HFwNoU+oVabkZUDJbl9KxkR ZH0KelBK28qg6TJI4H4k72Cy/MWturw4wEJ4hMwGBM6uTCkL1bnrNUZtloOZgpYApbGbiWMdkM68 fjP1cUqBXGJmwxIRMzTPyT7qSr9uM+m7zqmmY4zMIxPTo/4nnvHNBgo8bU2R2USd5TfExQVgOkH6 cocBetJjXwLeFD58UCpwAoLY8pmVLrRAJG2A591Yu6f9dK6U3OsqDpOtjuZrzhEY05eI2krd8Hkg e1GT3+7kBudF5WhBDY5Lxw2TQZZVi8i59hU2VrkEoV/ebKwd1n2ofUQL7rWzUoOwmr+aP5wrlaCk WwV7s28FchlM099HWLY9twkWhanCY0lGWbKIyJ0OvHmJyQcN+Kwi8XoBCnVcld5hzURvGboqqRKR PRrYM9dUQnr9LGoqheSUWSr5eztJOvy98PShjPS0n/TVSLsqzTspXtE+U94H3BVS0wllND4WQE6t mapzpJ6zrImNm820FOJDTRgUZyl/AlFJEVLxusSvCinaDipNng2yXw7sTai3iOsHcbU+3yO0ITmv qblFDX9dUeg4nXpKA8sneUiaBr4p3r7LN8NwcIMfxRGLjZW12/VWenXoQ9jUxpfulb6luK4xAFtD 1gIr6KXEY1FPrPXZz7+WHrywtsqJVc+iSVo10ukGBg5zpc6MPaQ+31J3gxmmPpctiknWAnQRjajK DFT0onP0owBhszN2AGhwVYWxqJBnIdnWummvJQXKWizUqDEUZWtM8E9O504UMNXkOXPW7mrMSNNv MSUFpdug0fO75wmHm2/vvAQrnIMda5I3zQUEXVaup5QRUCJBHSN3b0uF/S45JiWXEn8gN3xxbALE Q6tbm0uj+C23m6TjtPQqG+3msZIFc+08h9EpN9nP/D5hqqC5XFoiBKN/dhdHDJTLBg3aO00tDnS+ oEqSMi9s1NOzyUd3xztn499TL2/zcKHbYRW1XHTKrdmg2lASRzxKWBr6wq4pn4CHp0h7uUPOC3FG wsiMhsAb0tm0F8UylyE7fh1ZJ4tfCiXSeKmEtwcj4D+24olp6gSkvZS/EzHP/7lSxx4AcXReHcxe 8u/0bPDf10lUDqrLQlD1gi2dihtFyACEnefJHWUkDwiTC6R0l6FSA+Dw8q2CfYn9V61omUvyfxQD SvSTzcKJITsB64nTS4YcbyWPQYA+xKRYFj7Ii/JBhYMUZDl+E5DfMzJbt0jmFzu2i7HQ0W6YWFtG /85QGLjXa7gqLai1Y5aVqFrwSepIle3ZnPvabHk9UnnpL5E0r4eUzSmphhl5YgMWKU4FYiqK65Lc ipVcOm0C2drqToUUSTaV09nDm8i4JMUFAitGzoLQNjkRa1kfwr8cHImwPHXdCaVWeDkIPNfcr74v JIIE4/K8ujlTmkVNYm3tWz6ZX4FQoTS7YqdVbdkKXS6Mh6PPEB1uQtZgKlDwfIPx3CIRAVZ4o3E8 OmtvOfwqqdiIIOaQcg6VhhCYibLl6hkXaNkHVIIfDVRlGwB2EkMRwGmcmNnNPL6e8pPe1LmpqT75 HFTrjanLAQFK8vsf4wTqky3KyloFuorjb9e/AY3fhfk7pO00eXdRDNHShaS8lAvzaht+jby8Bv/S ms0ebUsFvZ7Qk2mxj+SFTDzO9nc0QThdQSRphkl4lzye42Kq+jVBNuKJO7JH/LISC/19glzg0Pd0 YoneNYy+saMC4ttYM8qs66omNuEZ//WGksdp2B7BfX2IkdmcMXjkqRjdhlbMwLPq500zfSUyrlN6 w9fQ4rJIr/Jd+yhuI/f29iXxTHodnJer3mAbERQhpQd8+ra5m/fJGlBpgZpQIO86yIsm+LHvI5D+ fqXJTWp5oxHkj+0dapiq3Xab4TFJMLF4W6PgNGEjtscB9TBJ0nU+T9MgeaguRO4IaFh3SZEuZSSl DCReJHql/aN36mTj2ZOEB4O0U9Xy8GSYkcbkngLSO6YmHg0OIY9FcpaX3EuSlX7RvDl0/4uioEnu ZKN3AQa6XeCcvRN4BJbbkNL//osPq1Rn67aBDpWHP99zzQ5rS6T82Pb7lF8OjRjrL/axaB/mkw5l lU9A4UvDZD0UIQSCKOHemwWnUoSI8vE0GACbVIb8wOsDNBzVAvLKD/QslSh5KEO3B4ZStjWbvVo5 t2rkmBzeZwokxAkuRXqMFg1eHhQtPjS9VSn+qKYpdf30f/ljKLZc6yNo83EMEinK/NsOGjhcWFyD Xj/sshFDPWcr6WMRzHVLUsq7DoDCJWVGUWA+gLIJxuh56eELtZW9V4lThMtULmqr2IW9JnhPWh0k yujjDiTpJFUmK4tGSrBASJ7eiVtQKefxvqu7LxximAWuftL3HJ1EDo3G8LCgSLQU6WHPkkI2jPlr 4KnWlIDyBSIs2Y55DxS4LnTRZiMoprEIx8MO0rpyTTOoqDwAm1cNbrgi1K9zhykghElBsmqNFmee BpgPNReQqjqYnn4+vzYeuetwjpLdbEGXpQfIkkVTMHI0B9NXYxudymkByxbsrfCUyKV2+DvQkaon nDc+fDAmsProMoG87Ve8yvi14VFIkc1VwKyWAs0f7DaEsoTYFbkzI39qVZK+7YmmvC57H7BXlH4I gX4PaYuMacJYoL5hLMIfkXj2Ni2tPSKL0UX8tGPVZYIj4GDnpdMHSdbDyIPDfhN3bgUuOZKlEl/s /fWsNQeW51CqDc5xHrjm7MxXAU0ECQxDMRwlJUXET/QnRbe4HkpKNLJ7Tn8GQzE/TbrVHvhuHpa4 F582UBG/5pnK9cwncY9H3Rn7L2y3WXG8xeCqajGW7T18vrlW3sd73gwwp59t3If65bJMjLY3PGi0 jjQQ+sqwRo1qC7xwhNd6hCP9i+5dY5tbFxhPOCit9vGGvCi3RwR7CR5cYILsltytNN2ETL40ALe9 VlDJWbTXTTbGKeXX+20xi0nrjwsPA/ZNrOgEu35qcp8fMQlAH7d94NbRj2pfKdX3oiRYatmHf8MY wpVtWzZHyXh9RYAXmbfrVtJ7f3Ba4qCSm8l8xaiJQzQXgstaKH8GmiOh7+J/kn785LQPzrosjgY+ T+SbwXWwcMWGnXCWN8LJ/hq+Myf52+vox6GCYfKoFtKAic0SVRelEzDnQDiN8LoyNQ+Vi5pcJE7I T8FrjJe0PEd8dxuGioWPSmes4ZQji947HKVLc4igKkPh4U6hmjbE55nW1nPgi/L7lbBqXD7LwDX1 5ecDwFkcNkbnyiE5tDO/tImm0snY3+ivR95dIS9e6rt7ie/3P7E8uWbcnLIQCtR8njOE+ZhMcPSe to+SkSuJQWMKRvUQ2yEnz1uYAU994MNXnfu71Zb6cakB0K+b/kXrhs5uSNCJHN6u6EgLxnOc6QXz nhMWzhAKDZYopgaz8/ybRoBqSIrCTbqDthqU2PmeMev6zcz5u4z+AStSZ9bpCsMe7yIceaoPW3eE oURT3I1/aKX4zOZBg9Xc699pkvkeEQlpNvxWBuF1XU++MqfuEQ2mHnMUdIi3HBJtL40dXA4miI1m d+TkZ9MlNhh8UXEUR+XYxDs1ChHzt0837quqrtC+afXhizDAaPX6X3P0RNUWaAGUh02xFV3aXZTc 5rXxFuk+KbLK6yg9kbP7RMcoCnFlgRCkFhoF5dUbTEF1w2A+6LOPkkBcIm7J7WzKnAVeE60rTDXg 5ZxiL6VMDo3ykIqPgzncAQPUsrHmFDiypm+QqJIk2WPCiwZ2lQaTyeuhDGHXDiirh8V9AaMwGQuf ZoGWUulIDzt9mW1qc2MeQz/BGZ1slu/P0q92yzuNN7ZwUeahpK+KLkwD9xH4DDywpp55NgHAHITC q8T0Esw295C/1u3MHneCP+aS/xQY1spOPM0D4W5zAJ7lJB87Fa0ikfOHbnH62VUXBCiZuM9mEYpc vGveHTz2dqU5Rpq3W6LR2ekh0V4KMW/X+WBO6IlaRVGG3xrYbZ5quNCaERL/FtQ6/sxDNCZr8BvO w7f5bIbQE1yWsc9u1QXa2RijrtmTfcunyTYUMV5uc2h6bU6ZNB74wfQgpEEhJdYB0eKYrZcKEY5Z r34p6kilnisr5QafEfJwqLOxew1Rssbav/QV/iowmVCAy7YcAbpNM28LKFhCny8o1IYZTi8rQIhY OmERwBEE+o7oVtyziCaz/LjiHrrXZ7h7JWO51hWC6B1Ng29eGTGhnn/eMhpGieYwFwH5zag4/h20 z5B7T1mI6a2tMi9+RBBYmvLUnc1gN5C6TR9alK2Fjug/F7a7AXZ/ByCpxLmsqPmXDH2qhx39iykA 9+6G0kDrzTdmbgTHx4yDTR9/Xn9ijKL1GD8EFHiK6HaCvsZlBHq2unCdT+iIPYS571tkkpbOcwZy jrc7qdLlmJ9TGZgek04FIgmhNXNANFaJiyKrETwvUPrSSKKr0JYAH43+mn/TrKk5FsdDndPL6YyE SdotgLExIQYZdyaH1AYe/gmG9JO85YHdeXfs/lhyoflAkFqkZdJkaWmQNJB3EgmQpNcyvaSNAa/B I3aXIAtEgpIhkzfYJrkjPqqE3x6FxGmNTbyWsBNveJB+QJPHZuwFvyaU6FGuEjpqIbOVxyQKSXkV 7hKeu5xfI0pdndoJ1dXSIlYUSxmuRyNVSWfm8vWf0i0ZCeCUwAebL9kEdIeFJlnqiwJYiB5oNWT3 WEujLM7QJMY8DfkvvHqeHKFr307HxjQepAGqBxed4WSZWo5Rg+EnPKMT01XkPG5LRSveVI6uicFY oPGRDDOTMy9rdRUvUqOtowC+VUVq9bju2vxVw0cr0DmIKfiZBv5vxEIzSlS+/iR1VadbEv0DitYm zGKcFauz+vaFospgiAyKRIQRGbNzuNxxrrDsgKe431tIMvnmUPmoSATj5tD6LbjO5+RoZEZ1/dxp JXJNtA1BGzz6HjeCJmuDYtuZlZ9syaXiOo6LhejD6tvhKAyFKpaBcLpryMMZ6l0XNtDXWIz1q/nt bHMlmzhivyJ8q/RH7K+QQrc9H+Sp7t1WwaUc8LONvJST2HXT+i//SoPg6/pi34XE+PMfOPHrygHK UZBIDx69ivDIjIz4uG72yfFxbnkUBfQayQiXNzKq5ad4VoCPiMfJwbX0XDG7TfcHdaNgdq3PrxYe dMHY28+74zsB3DsMnnsjmyyShm59HxDiXRRv2FztnDYonVx65QL/VeZbvxThZqpb9J8uOSsUdQ0X DaFyTmccgc5zYV/nnU2F0Lmp5HfXqGUoG2dXUZnG4UE5eTmBYl7phNwqZQfeI+fLSg8kcZQKT7Fm j3XwNQHk7bJkAOTTNBY4wcjog/oPXpiDABS/h/aveq+NHHHlQ4/+vNOxYFaCylnT1i7n/OIysZSm 3+PC1vsxD62mJdGk7J8EYZkb56ny0o24mKmVcylTZk3jCesY5azYZzkSt8MsU7SyJB8ovQChG4lN HfwCsdyqquGhq1m0XAuuS0yL9fOFhPZJixFUDVojQF7cFwUtiwmN4avZ6GWsxBmpn+POUo1sl+8d YOo1IfGtJVipst3X6yig81zxEujKokQ6z5YbzIWeLKlymcilq+qkaOUaRQe5TSv5rcZRXdFhy76w xI+tyQUXEeuRx3vKjxAvXBnjMTcnRTJoaSgroWzqhgnAEYC/Jo4PwE9AK5i1BBZLOgHveeqXengO Oip9mAQtzvsEKIQpWMwWTQL2wJYRfnDFiRxfnTkaeMep+SmpXLyT7M/yVWWhvPthzOBpB1uy1DEK uCN1GFV8ysQLtvInio3E6y6yV5BLt+TTcNL/floB/+rOco/xuNVpLJTe6aBIn0ASNBNQLDI2baVr YQvS9srgW1Szz7Fu9zVNm3KtrSOSP9XcLZoK6C5G26DuEMtGfZkJS0Z4NIOhYijQHkklX0AzsTEE XjMworUdvZ/HAEkgFIQ3LplP1yE17FSWQUfzKjpi2MqxnMgKYuNEBW5Z7oRQghq0Ie3wvZOwwjXA hfVCnNS4i4k+kfReplopRCsJMY9SJvX7UM/D4mwNpvZj9P2u8fzbdTXYA9jJNnvd0r1ZUuEtLAHC rTRbxVYUEEL7CkCbz5vpWWPptaRRzl54X9y6KUb2fjsfjCpuvmhRMDA9hxy8or/7MM9D/pFFTTcA uY+k6ujPWv/jhIRbIO5uFeULtQUqd5zobMjhsHrx6lLIzVI2hQ+/H0cIQ06jPT87C9wQDo10LPbG JFmC2ZuQ63i1l0vH1l6+MtMMLA1K/dUHy+CE18IyEnykZnclqI0+KKbVzQHsiuJCGpgg3/1A9WMM NnulQ/v+yVXfLz/cFKIUII5ocfhvDXrepB2bHzciYm6Cyz6+o++esat1nCp+2+2Cjb30I0O+hopi qcxaRBzjGo4MtCA8/sB5Sk9t6rcCHmp535aRzgjpH/3dRB9emrJal9d4zH7uwEBiQbWen+cHY/6k jJokKoFKJFtj72L8MyZwCYeNO+GF1S+BZJsJHDkoYOMXFXYEDZXlasSO2ItEUlBigBFj9prqSAEa fq1jfnlAIR3/IAHGTidEdTVlzyI1ph4YbrhwqHBEIpTjU5R2buRaQAmsULhcry8RUrB9bacJ6JmE 4gfGwCAHaucvnlZw5r8fYC6/E9vsZ6F1GZKxncOaJPJQSBvaMU6VQ/01+jtjS1dfO7/EbGUlPMzK 6FdRuwZUCm8KHbUPFSjqAvUqXWfGJ+HyRHvvXQ8G1yYQglF/hrhJrfFxpqHqBQNa/pSYmY6bS4z+ Ujfm3VDZrTbiTiSLPfwlw8KnURu1TjFrnxaSEjMzF8rQaIYIE8RHkcldqv91d/IQg8rg+QXbPhHh 3gUeQy0G8Wm1JkZCz+z5fVuq24oUsKpLSK896jkxpoSn4FWcbbAvRYUfaSujI+Nqbpd4HmKlxh+k 52+gk9ZMPu+J/s3Rj2jOg/mew2CKRotYjZ/Ow4OR9KWvQhj38bBmk97E6KgUWQ/6ZnFKAP0HGm3O oOQ7lMu6CJfbts0SV8FmGe3rZcbUXLL9DXvFIUhuxPWW9H+bNU506yAqGD+ggzZTMUTnC9cNHO/N TwY6lG19Iyqd7ITZKfkoDS+/YO4xn3wxBNPTvyjqr8EpAfysHNnS2rsRe5oIlZMYla4A/o+tf0zI UurzFbAItyUJ4dSylPxxzUYHHZ345GoBuYh7vZMpGUPcSEGRcVxtX3qloaOmxgS4lhxTnFUE1X8U AmfLAMDHVo+ertnfQKuC6BbO/j/cDJDuH6OpKerGIFiALsJesrmrsGk4b1y4V9dqouRzG2CFN6sA a1xxb+ogApIEnJx6d0QUIDGMR292/9ki0Q56gCge2wP1wKq5IJbbKXOBaWgriUMQnTRSG3qN8Hca u2HWeYaUJDKurO0UgAlqUp2knKcW5zBqRosJF/oL8NKedCjF8GjGqPVnR1xZH6FAPg1w59qcShst 0QZe41wxeTeiJ6NACCbTasGNvjbqpl6TH3ra4FriIr8BVX/2z04iefzWeC+SveppSwTfYoyNw+y9 AyB0feebpd9VzCvnXaWeacJb6dcw5qHP1S+OFuRSKUdlLVsKhdZAHSDKrn+ylnVcSF7eBbq9cWUW /UNMt00sljoWAFnxYgUs3QHU0T7DxE0JDFoKXYy3rq9fnzlIfYHe0z7Jh9HrJzZ4moRiQLWyTeqJ 7ZkaIHVdhihrgqfJrYUJDRcvHFZYR0d1cfL3nB+nwFFP7JCVGem0NQ8lNa2QZcWpG+jOP6DQZIMF lwVvohCStC3lmC7JUPLCJqUQqCgI1Ej7aW/Wd/b/7JaB7RDgpWU64/2kVs6qberfvqNxhOZITPPA peytNVKnUt4nFYGJAra23Qx5E4VFnakK0e2LFuxqfF5Q8vW3pu9czXgegJbCXm96ndP7APIfW/oI XJe8Gp4UWM2GHbUwlR1zGOM3VVoTvYZO2xzZuhYHA9AgcMbBf0sugz9Qac9bZU/M3BDa1SWFE8W8 6lwlyy6cX0KI2ymJG/PZxKClqOtIaEbeBLppXfQqiA4M/1F15ANRvjg1ZTk2LH7JB+JE/hsKG+3o ik44/qRswE0AaJEb7TNsZZGCOgJ6B0WnHkNfLe81bXi2+bw0LWRsGJOWhMu7kHXHr6Y6HSiAxPp7 T47RXFaLgfZbZGRrvi3jplF+ranC++gnWNBCcdHN+sjMt8agW74Ho/hZEjQK70uikPdG9wMFHXVg nrKh5K7mfzu6pf5uKfvMZd+wypcemtYxzw+Y70MSorTzlUXCpNucRz2jvGo8thF5CFuB1bwAByNh iRUNgdNm1AU6HUG0BDQkhXZIZMvA9k8j0GEcT3yIl/kbqYHqc3pDxngZEhK36ExlcsFM1q3EV2rL QmAeMnQ65Yuiyw7KNo/CPTPIeZ/LdnPIxGQXw0Eqr2/l2giox6gTKQG80f3dQM6gtxkzOSaD7r61 vPO+65J9JGk+1GCmBAWMGO8myaHdXAJ3Z+RRzl61aD25vhsAZu/LFz5rdEXZ2tVlPruLIONsPRzi FjBo2beHE9QIQk61PdJAAWY8S7OWdOOGBNSepnYr72tQvyukz+1yAtIXEuO+e4saOs/WFowWa7dN I9KjTImmdSxF55G3NwWUos90Qeow88lNRKBiyGL5wgeoqwI2iV8I9ryMSrfXVVJNktfEQ6hTl4RE Hl2PT96kScxT1xInkjz4HnW3RFrd9SQ0Yiuh/IAioyI0Kr6W5v3alOnjj/jVZe7S4WHt0BXWBw/j sWWwd09F7zev4Dd+loA1P8ff97HGBJn3dP7GCxrgASIQIaot0d+Yjd7FThi5q0Evmiw8jpOG9/hM 9vhl8NQFQbqWVgWxiF9/JPDUrr6TEHvfmkNIYECEq62Mp+xG2VkZvMIpbRfTOKn05Vk3vQr508a6 3lUTyeEIaRu/ncTegFEQIXcEYPcl6hXkTRqJcvTXWVRfG03b2/WK93zyveAVrpEso6HbcPF+UW8f cjMyStHyWyZD5fwXKy4vSeH0H68WjnjlxYmp7Dwquy6qNmKzk8/ZItWPqDJM/kyZ3bQCRVJsikAZ EXXyL+Z1IZOsWIxSDCB7wIkA64aOHe06fIYCfipIUJxwL6hUgt4yUX58SjxeIaL5G76mcRgJQTqB /udsdXqQuEyZEIvC8zgf+WXGRqJxE7AtQ7JTLa2R4OJ1VQxGvupH1Qn+E4Prlk3e7Kmdff/I9Vwa b4y3VFyza9//gzYaAnzduKrn3ixXSt2OBAVugb5FCByM0dUlbdgdoE3JGSguKuOINTcjVI/B8ytS 8rC+JyXJTjIYOGsX9zsYJUoDvHOdDB9ZhntJHr9pEIP1mzksk/q2vOknesGayE7wY6kBl0YYD535 k8DLX4nnSIombhmCZp8MSfNegIM2Kbt8Dkz6wzHvwLX8IKHb4Igm4cK/XhqzkLxikbJB1LYYhthU Shl0TAkNcgx1U1kggAV62rM0H5WIg3O4kF5mwBUgvHo82NxufWZDAYqLSjPVoS59W+0ttECr9AiJ kOsD0SLU9esz3uylch72zFyC0uGEQ8SNkjQIT9Oc9Z1TrdeDCTeU1f+BX5ZuELaxaXCAav44IADG NttVDnibchujPfeJB53e+xxYH+aYfcVJaI4gl1t6j3H0Nwzrw8ULDLskgD8CXRaIj2tuj8r6S2CI b3HT1PLixFZ6Kw9r0OLFt8NKUHc+sUJ7O3/bS8lwxB+bexmtsWhc7qYnQm+CmUiSHQbVimqibZEW 730Np+aiNL+DOSHXVHEfLgTzMRsrP9rorbiT0i1hl4+9iH8knfSioilHLwyzknJL07k7IKX9oKvx 40+4Hd9sBctJ5F+Uji9g0rDZ8avQGhAj6j+A2cHyNa4mHtUqFy1cO6Q/VP8JFAU6QlFs68VqoOiO /fIX9cOUYkhk3gY77kw5TBK+sJUH3ykFuj0yUR4uwCiQ8QrTN3C8I3DHLUwDa4+s3Fwf8b+ntHOs thWMelXRx/n5T1VLR0V/9Qw72ThKNuXwDu8ZbVp1sy6uyWpvAzKBip6M4k+P3L+YtB6HN60M053x dpGv99/AIjlseXtOTEg8vhwH+J6vNsR74UBzZLLba7Zr9dDzC1WbIr4W+e5fW+7E6y1S9sV5pXJm 137Joq15Bkh6r0KCvkiR9bkteMGgRH3Uos0yuzU8MzO7Sg5sVjA23Nk4W0Nadfam7/Yv+pGAVwhQ 0mogrYeRcbOGLABQcJYVzyqEZLj7q9EF/bhYtqnkISihjgVhzjLG9uyFqRvsOlkuATdjv6U+3kDx 6hbE6iwef5aR5xybLmACVuFztIYfYSpQ427+zIuN2spdUSjNd+gMpl6233+PJySdbwsJJco/Vt3D P3h/wJkC3pCe9kcp1YlAVryXIhYsrUL1826MWfo2iQdDbu1t0/hHYl8L/2Zp/CrOx/eOKuBOc5Ll 0hccwXa2z5vlt/jwY+NqPPgV+9lwAp5dE2S6xWrKAAsT9hJgZyCYBYog8r/EVHwobv65QCist870 kg1qivO9fQqvAFz3+cUpSMXItXZ1/RHt+BOFsbXplGhdBbrVequJd8Vy/tpqJRZ9LnMkD9Ppe5JI KoGPZc+iuCiSe+94Nhvlbi+OjJbgvW6/6L+oaZNv5Ef4oM7OJMPS/FbxsRyQb8+dYxS66EB/Iz42 l9l/hUTskZanhNUDYKkwJCYkkT63TbLBh/3+6FPrwfwLTkCzyLEvadFLE+Z4ZrM8x3af0CVpKrZr FdA5QiYa5cPZhZrAF+WuH7nK/Y2EFC5yhmk+wZ5pESyVEbXgiXvmofCRJGf1zhmCyQzlFFYb3USF fJd3EB5wBryRm0IQwkD7HkVAY2sCShTNp59KzjcNVj6/pLPq9UdCpyRL4ORcVdSSLtn7tZT+sZ2Q 5eTzjGB2voRvpjIJjP42j+Gako9niuWgO4Iuh+eq0A3sOvbbF/Tnj1wAeJmX5o+xiCoXvq/Kg2kI bPbmT092lIv8CKzGgCXQYTq7Ugz02cn4y18beBQ8ZYwuq3J4ZJY+oDuEAncG1EgAP00k3LBq6NrS 22qLCt+NewDUMpx7W7Fm02rQgpnzNFNURFYa5XY07DN138RI+S7+3/CRpbwdl0gy9haCAsswuSEX QK75EItyqCJAfR7qvOq2dp02Dk251KRRl7w5sjsw9Za/F3/E8kND1H7mcz4cnzm8jsM/tU8a8YrM +BpatO4Oq/OIkDNmoEM9sM0dhbzxer+Cgkr/ODzj+e6Z6/YOEkg/CkauHtq5xdNA0XsU76LIlxBz v37C6a0BK239IfIqFzRBCv3Tw7T5TuoXDhgmNuvQmBRa8aXnYCraVPyeaaoN3JzxD9gCvjTzXIB6 F4Ix4RFXcrFC2PQLmvOfg5uyl4RwMbvvtMZZW1Bt6zqRj6sBXqb92n1upjs8h24lv4z8u1FjJlBa 6UA/5MHx1B0oZWppVLuVyEzw8rpE6RBAtnmSjMsBI0Pu5bGHm+ZcfNfvyDx76GZPHIUavb78HhHX M7mXhSLP26khq6OI8eEwakPAqFDfP24/FXDp4OEPl6+p2mKGup5fBGJDR8/4zrM4zcx0z5LMRC8Z yoq6uG4iql54JTRfN1IT1Ykwg/fmjf++zXwTf07AK+1GY1cch18IaKvAvl0mbUm0e7v5m51QBQzX yFSpmJY3fw0yEKyuOk7fR/8Upq+mZKcUVQCzAz7qHYs89b9aFTT7OIBlCNpBwFuJMtA1ifZDyVaT 15Vcnbo2j4myse0ykbKiR3JJ/U6slXNKu25Bd3ZTmKyBywAH54Ul0kVhlkdbonlT2UgkGo42sXeA w8hVGMLTCcQ3qk5xMxUMCXsxyqzs7hXoEDQIuoMXG5Ogn77zBoEccFsiEkWZOZO8XBZAIOw3P4IU lOC1GqaBBZNM9OIeGvntIEHl13aOZC+681qfPbcH3xEQCadZP1jplx6E6O5h6Umpa7blMUSS/Y9S e3NiIS5pozsDsv922eam56XXwQFUTPyOWEKb8kcoYIPueEjV0BWVHeutamOmp4+kbEUPKD9kGFjG hmOzSBbe9NU60sF6OOG0nDdcNAd1BmfM/37gD9T5wj6Ubt5OXZlP8y90Dej4jnigi7hr35k/4Fam 7BQbQ4shLe5D+0VrPCfsl0khBjA6+qHxai+2OI5mhpcX8SUGh1kX56NzpIDhGZeKmOHOEUOE8nMo S+wdEN5MvfbcqHGiZ2bzaUCYx+XDOGtXKtbO5fgXO/HyazImUBxT9U9w+bYnC4qLGitBjHNmxhm/ Ny8+ZKat5NTZmdbZh7qTOl9OLWdoHsy13s+BFHW3oW3CA+ksblFmWgE5SAxHPhCryTcwhhfuAcju 5KLgOVhVA9KkhUsdSFqVWNfYQ0adAE7yAuboMTAisl2hsqCZyHWzL7eBSu8lTh4ZPAG8hFLV2y93 +Klh3V2EQHTze7io0yWG/GCdcpAyF78k6tUlx+CAa7K1cBBqWdarGje7OGjdis/OMsDSxwCUwFnC Fm6rTGvVUEYxmmt8Y2cwkbsy4nwTtVzTiJVhZW27A2IH9E0ZKiqzn5R300/a2n0wjyghUD1fDxLh Nr69fKwzPTC357sisX5rBATL5fyYCIDH4D3FVKkt3MR5Ck6rpkn2sN1Hxuf+XQUCnohIQQGRx6qT FiH2OLBOBwuQh7eJnnyx/gJJrcPeESI6JwSq9w9wOCW6hFU0lkxLCChcOTYaV/U7ngOYFCprIuOV 3ROueNp3BmjJ5+zCGbPsD5OIrv/+xDWZctS9XPD7pBbWm7Op7gM96nEiWhqybox4B8toNrVo0TA0 EQ8268H7zoHxorv0kZ8cpY7D5X6m7DQgOrRiuF+fE6V6wLxCTHbJE3rLkILLLeCipfhImOpPP2iU a6eJgRqK/iR3fwNO4HQDvzCDJJXjJsJ5emorFxQ/u9N6+3IW8+hP64V0ZGcjVuo7BZFkI7LbyP84 nyE53Vmbi4MWAY/fe0wZOUwuQ93G37e9l1od3ay4OsH2zDA/YzccYQ8uFikOUPCK5ntNfn7HJ7az bebEFnz5ak2VvBtOov6xRSqPlNAbCZnV5ysJNieun1RE7Z3OweV33qn5tC98uyZ9L2boLkWXs/KP 8FT5s/4CLqKKQt2NLOHAfqXf+j3NOfgQ2Fri0X9Zm3v0v9aAlJTV8DJAqba3Na+vs/Pp65uxh30O 37JEssrmoHjWAiRwMpzyki6g/EmXxreSKAcK4Tb+YOC0AP3SsyWwx7AqWr7F0ItNJ2DkpBEcTnaj 8BSRliNIXs0MB70o6jtKb5psDK4ykSt6T9zc8pUaCGa/cZ+HZmqbpcBp75Go71CvXGh7k1uAUEMy VFETmqd3ikxeX8LEJTZcNVBnoaUIXx7q4xvaPz5DqQn2XGWORgjMERFGKgcwf00Q/GuXyobNoB9+ N1tqvdWfQlpEZCqOENST9BbZLO9x/Gf4FlA0uB1pEwEjfZPfWH/7xn145r/Q8qH/n2P8NUBu9al3 P9F6MwAU4vokLd8PMMjROXK8h9JPvA5LZ8FeSuVsrrGigUcXXxgMukPtd7anDCzcOYp9xBjUwiBA zKw72jBOcTOOXIDiwCGNjpSUNOMkY69tWsrb8lk2C7e9rZ0sIcKyZsvy8jRpvIEsFNKFSCF/6Qmx 9R75Am7uv0CjJKODJmX0QnyjdNj0ZU3+rDRBoleBlIHB5Y8sVdgHQJOYn648Lc/8LTosumpDnbk3 pV6c6FT3lrOdZ3W/Cxv5INX/e6WFN5oNm6JjgvB/0xuK4qkS5YeIn63FCiV5x9BnuC4nFr8wrhDo QU8TuTHX59POItBRDRikscEScVFKYIn7KPLE/5Xg99WG8YAx3ST2r9OJdYMheZKS8nkyAauBP6Ak Eqx+My2mE0iWIlmk8LnBSOnQDemVzDjNhHZuMyCPZHBRIZFzL5Gg2geZAVXvLHWYTqK3724wkvWy KuPyL/aW9xilbxV0Wah2NqaFSvca6fc+R+n5yMPDavLkzTJCNsuuznij3khetdvFXBl2YVZUO1HL 7Tr8oZGMXErvUF9+gzbUygsz2fy5uHl99wM372baXmI9F/NVtA+55A09dtIGTBBbWFyMpI7WrTA9 bDkXPDLFaxoSylcpXIO6ta7C1VsAjT0p/dPItd5IJv1P4YMmc/+U83FYBG4qAc2a1TYQECHf9Am2 /VdoIIEzFf4PM+2Aiv/MEsFGkGl24b4SkbeOwzvj/lLavlaN/sZVWMWyYHfHfq9N5+Bt+5i6nKrU mE7Hny2YJIdrkNLGfM9IlSYYtg8xBojiNsUvlYRBYpRxj2Un24MSaMOOZPuuMp9slQLsMxfm+kdI NAMhkqCunErwDlFfhuQdrMP0vwVUUE4qAdjmABcc/ekifQ9wjvrmudDoS14KUI0GHek8UVo4AOK7 ls8JxkmffBOCMbPu4Fp4JemsKiMiafkwqEpMLLeOepWk8h6v2yoAWkILG1gkgHyYs6pb/2xdOQI5 alt2fIox+1N205JRvz9cFXPQANRgMtJnUQAu+FFPfYzOw3nQALzjwYv0cZ2P67vOLrm/D+VfYaPj oOcw5xb1f7TRpsm0b32fWw3MyPXqVjB6pNOIuzWEirKT6TBR6xUh+geni9O/T4EASTgL91Xy9GvM XhWNLGGNVOX92JhMrM3FIcRhwaizgo1UmfhNRwj8FaHsWAs4OaPiaYuw0BCkTe8qYG9w124SFofH yYDB8brXnBQUEZzvqiMRsheTN7O8HzqRmIEZRy+NjcWMoDHvpuvk6+V4xHKabAN8HDu9TFP1FNQi vx2hnVeihbQKbA0q4iQrPza5yYdKm+y8TAIdDAR7bwukrhQhHdCLuIg17E7qhRDZ/zeqQtZrjoNF Xwdgo+rzjuQgJTjxaLd6AwfykfbhtlJdDxFNASEV/qcQL94dX0i5xyl67wgx3BdC/pz7FX15sBQx IA9jR+TnjtM1khd1Wrtm3sjniz87fEbXZg2ryu5MXPK/LG1ApRidRah7+5Tosd+uDr6eeMEz6mhx ixgyA+lwRyY/EEKS/+8ZjjkHTZgR4Ity1DggvPSMfSUrSwqE3YyThrSlI5rrXmEStLGjPQvTxerV cIHd7WQVPA6POCIMi/GJy6vVJ1g1tGfUAz780ptdxoP84mM3Cd0gotpW/CqpcZqq++fl+Vq9WvbO TweCw/CHGEiz/BVodk/+IZ58M95V+KzBhj4u8PqDqFc6Y8w9dgN4Cx/1xMoGmBfW6tnVBJOvgShv 03C5OefaqXJ+7HudUbFk7APDndtwS4VsN9+SjQOwSVlmMkEdkr6XJ+Ce8TTSQ217ffAa3dht+zSz FNMIZdMZ2S/8huLQbI6XVPrOOOThMoMsogj2G5joMSjNJYaM3wfeX0Kf0SxjX/y33HpeC47fC7tC sTmvdI1JpqBXVLP5ovYTYXPNl7XTNT/BJy/bFd0cPGataqbivz5COlEW/1JeT7d5kLiA5QPjYUL5 w5sZo9HnusqXWvUrjBwLV9g9zaFV7glRBSVlhcYmUGLhlMst1L7zn8h/Q2sXBKeVcTsVR35uw9LR BOmsakDZVGE/TXnt8N3iqe7c1N9MqWoY3Ubvg0bjSuRhBHRZpLqAkMne7Qd5UOkI6dWKS42XSHcY 50bb/rf5XwMU/SGGBCqWYec/vDopI+XPE34R5MS8zD4lbMt6v7zo843DbIt+e7oqcVrRNFNwm1Q2 hxxRpARac7rJ5OrTmlCaM3ZWTC9hzezg6TpA4c2Lhbo1o747XkDvXRlfj2t53D6A63HhcDLD/aal 4C2gSe168jbZSNVDOKD1jkqrei+t79pm0Jrq1L8Z4iAc1L87ywb1H8o/WTKKK1S58H2B9pq7KdSV N4tl52T+WB9AxrP59ORCMusGovlx+lZqNpgVzmV48mj1RQiL6c/CVpm3nt7IU/Cy5ZTjFyj4djcu kSY7vtoUrYqJMI3ZFAlIDvj45xEXyJ6iJn5W2QVhEC7CiVumDDVunMLV/4iAvfJrugPvcq2AFqVU aU9fq1Ce/7K1ZPycjVwhiNfGsN1AchwwC0+/Sj6esKDGBZPv7nsvQ8rNkkOAhee/jq+Yc3ewPg0H /5P4fNLZzjrKrLqcAr6pRbJdjdBj+RLCQWNIRrYMbBtlGfY6/7ollIOv0wgtq8mhE1OQdbfxNT4D O1nhV8ZeO/UHYXHhZsYDo5wgEwrJyXlrUbf9d8UpghJgPEcJmSU0PG8l1q5j4QZkZKG0GgAvDp0/ UV5Y0CKhWlvu10qWPj4raTpw87+622RdTAGevT69IwY6vLy4/cpeUXC7a6+r8yMkGi/7XNdt1k81 r4CxIg8QtplFeCJTmjcN7zB0Kjtuomkn0ITR7h1aWbkPjYbSCVKCATyjySmebBkzrDobrdDwKrgz 9IBEF5n2vBaG1ir/1vDruXOWgBuU7wOp/RPckhkGJZiFfbohcJ1LbAq5+O7zH0IhccaxWfIo9ZZu 874cDDugmqqlwTgCKSc636E/UxIXp4FUE63MV14Qy+pRC2MUcAUk7cTkoQcFHVOzfxtclI2Z4qN+ a4/Q4zuZ3dqvQ/E0RsWeN7SXPEjouRVJ+abC9+cQFdT9YCqZVgQM/z4xBW6rCRFr2bhXsYyQozlz MFpZ8/VoWowihUAnmMVzogly+1nutZn24fpayRUrq2oxe5qOGTu4BXvTdizDfeEN4jKnMuAwktiy /uYbwfnl5kcabMlIeDx/+SjlqvfrJr1m3dxKSs30F2R/tDPew9X0UZMO8lY770Od70rSeIQGqAZS boxpixOPrvCsCA9TsYTS/I6sPIW9DkVgBvleCi84QBSi7ruLP1mXbsMxTTbzyr/2Q1BwQlAB2x+2 WoLVDmR5t9uExv/JH1I1Prgz0tuVo/6XvcDYMGvtlrNcNX4LfymwRxhALJUTlBM6RcJ1u8EHn86O bHkBkvMt3ClKDGVLhPm/sA6MVOJxglckUA72QjqozoIctY0fGf5SDQw9WbXkP3v4Umv5YyEQH/gf PIMeZVOyhJj7MWZotWBSPfUQn0PiovSDhb+Cp5Y0bPEXIEco95DQEJ07/IR0emxfZjwCKkP+xEW3 sM8Xk/eNuXLO2gUUNCmckErW3kV1u5GcO9Q1gfnnJCtlFBU091PkPAcn0UXmYb3CHolyitaKncWz DAOo9lcTAGP3d18/uxZadFtdG/90NbXIf1PuvDARyGa+Oey7R1IRdqlmT2nwP08OcvPxOYDLzxeV qOmxZRPzMPI2zdWh+j0Mf6xYxtDIX4E76KxSBQ5WoksYYFHvkHOcgCVNkyNxaqGIslPqgvp6zyZ9 YwXxSnQ9QgpjZBIFJuXtE/oAmWLCGDQJIrEhQ2t6sk75kYYciADA/gYK+3a9WDKspj2gAyj/PjFW EsD+FxvtPr4S0h5zrkq43LdSau57/ZVsqApqQCtbPZcpNsgWBWUJLMN3sRGACBi3aYwZZkNCX/wN w1619FZfUllACsEK5jMSbkX+oaZWqOfQa1iWwQ9gHtmAsc30yd9ciRdMleF5j+hFEHDEIoJMEBwF oFrtXPOJXeXMTebvfx+y+DDMwiGr1wbVCGCjhZdpcQ84t1/yU98QVQjqe2eVL54+hS7W7jB9wra4 TGihrLL9Q3N22k9krr9foYKuq8rgLrzfv02eDbEzG3DPMwfOEyCRp76JAqTU0WOWjJy95hRW94Bh Q2s3HbF59vTbIzV8+lDhR46r0M7t22Wtvd78EwRkT2yCJQ6PgWS/9R9ut/ID9DDB0/bG4QAcGn5S /MEuiUCtjpmk3vMKhu0j/HIomrHv4wPzcZNp61V82nofUtgUBePHNkiLVajfkGMEA4+tD5KJ5yaw Pvm7p20PTX55HHI2C6XxC3iHutHGO9hiC8lJM38094HP1OezBw7RI7pbZsryJ7hEraoi8wS3EkMU j2Bg5FMIk1fpmaxGrnPU9Z0R6TEset4tETVhg9y6kNqwmnT7tAYkD6AFyyAL5RmgK+OYoA/jHnT8 W0tFneJuVR6sND76p+qoE5Xmay8QiZyj6lIo62nJSOP/3CpKSF1obTNIRLQGLjDqHGz45NGkLFz5 4aqA8RuwI226/JLEPY0VfJdSMg/3Eb1Ut68HjwODezMpHoUcGPiWFZo3AIPe0mlF0ujIzZLhZUrX 171JsEtpjWi0jJe0EPD4blZQxqe2HaRsLtgvlms2E/0NcETPvUreRW/kyk132KP29OkpMU76bRCt rwNtAKHSJrHDblZ+Nm4PuK4o6zXoiHD2IDDU7+jbHUAFhkMHu1FjwsN2ldFAtPu9mkXpFKED/YBZ MXtM+gmKGZgipdc9IOGDGIp2dPPxXtsduQ5GaDXIgyGFPmYqQ10BttcmpiFfrvenDc97w+8hgCoQ czY4CWiKznS6WtDhArwFek+637a6+Y8mo78sMuduvajSjS7FLvS+IyrRygWpz163AJMTpRnsA4/2 TEzLwEZKl64A3YdFDtwxK6/itlbFZBaM0ULjldPf5blx2aj05i8KDdVHZB0lfoEJ+oUosexO/vFu i5UfgFMf57I0Gw9tBalXKJH69m7aTcIKUdX4zYa4hbEbUhH9EuC0n8rACm+EEkQkaa0MIDkOAHdW MZyAuI3iinWil8dhxHMkNrWfH1uAvxjFoJxZGMao+yQICDfOopLbNuSUy0gjQzqdDGfTjcDsgBk4 bWSvXgToGSkouObVSLRnwrbOrkpqktw0EoQHwRwrvUlAqKMswvvCBadEnCBoeq7sLGA6eRuu5a0A V7mXa6fjKYoADgGr3s62N9ufViUthsGIyMHT3h4ViwMLy0ssN2rrJf4jXrf38rmYvzGRzTFCUfqf xlSEiFlb0TvVW89xMZgJFY3PSr/3CZRBSPTznpmygG8BYEZZdGeBHgHFOZpLZn0OqItp0/KxHiEF /4FyqjYR7NUDcJpGtQhebwLrdpLRR8c/L0IHs1SRxS/vtwNyJpdkJGY0fIrMF6683ypX2rmHfjj8 apBZNGiZ66VZnwrMKdAlnLh5n48uvhsuivvuPxBV2+gXLEdnUB1lej4xd991oqcaaiDQDPr1kQXt lKoKpVD7MeeImPFI7zMBzyc3QSJzmOXC/89+tGWhGkNJtA/9/B594G9Lzl7w5vCC2p8gyEyhSRYA CB48vvhiB/VHm60Yo0wTflMEMbJHBSE6SPu9wE1E8+/QX5l3GF2sNxBUCmAz9cKyrwO2HT00H9J8 vUciuOxLzfPC765Sjf5YhsOXteQljDofb06spO4SR6P+dvdz8gKM4sVXUGT7huBhYohv3GCGtRCn +K88zfdQQb/kgCCAT32rzGaEQhCOqvSlnRMwkkEFmlEbEIdHsuvZ3vNaLkJ6uGbJrN1tzwaOgKfd /iamk59aFGsr8R4qRWG6aS8NUEKtlme7znwJ224Armg7aXAJgYmE56sIBBwti1ztydWrF6vab0Tc 0CXUlNcoY663zKxOsBFav6I+04/rf0P5wNhcemps0n6lGfWoLK/D6LbByO7ihdUsws7stdBVfYff 65RYQR2q2C9Kdf6fZc8eHcoBUxski8G7aMe3UwIOMAr8HlMp50HNRwxPek+5pVG4kRMKudfnnlrt icP2ifIz7pDRgYycOCdHn865huvdKUBrpD0LQwLB4xu5phw4oBtVrrm34P/14ogZHD12ixdU8S8H 4zueqlr3sxyM19n55/cRyP3gDTgWwyyNuAgJ9BnSpzEWQ1N4dR11fx5DI5UJNujlkoSqRuJCALH7 ASaoh+i4XVh28J4mcA1zAK7PMuGzqD/flnZEUAPVnk7fWkaIrRnUB6tGC9twQECKPa/VrHzjEtEb wtUOlGYPi9wgtOpSc0S1jYlVvis5gsQzuYMbnXyBNCTFX83NEBbZS3g+SYo/Tm2pupERfmK0HvS7 NzeyMWfzyAESBKQngvIAAu300cEJk5C6EvQKyGHdohmmhSDErbnkXUkmhNLvMNQeZI++0k8Bev3y boLnI9pigNyAkLe+0ft7GuhefbKALXLvIzi8qWkzh4zbBvTTWD3/J1HeXZElXmbzu6NA8kf9daPK feSr9EqPU+PN4A6cAfaK96DZEP8HHwAXWQOtjw9qYmM7ZWQ7GywsUw8kNxGjX8RW9D1f1M9rmHHM gClqn5FXo9g746zEq+kpZbFFDuGOkUk7+3TQNOPRSzWOcP9Fe6DVwuE5X9vpTJjPS/UwzgScmZ0W 05Jo1Ek+742JE8oR8SYFiA6FwhqdJEs32DGSH5TwT1sENE+XOqGFFYoCHIOeq98YAyaB5JTjxDs1 XjvIXLhM+deEoVhj1H1HNtBFwlXZow1HbRcI7n2j6dLVuqxxM8rB4RLFAtnZLJhX5MUO8GcUgbCf Y43OXCk0GMINVNSyTdlE4zAVy6o/swuh2u6x9h1Dh30jLiU/0f2XxE42/XPDqUMDoDRbxRKMFGZD bktrfAIPSzkolvZ6ZkMYYGMyI3LaFWrXdl4A/Dt48Px2Bznm9VciCtwsdNdJi0Uw4yPaSQt+vZEE oGzqBlVmX8FC/eo+RpE7sqX3LXyXwt6M4FE8/lmRBPY5ybpOJu6MOIIJcZ8Eo55NVqPBHkRJJYpB DLy5/s0aQoB3m294aHN0lQfTu0EiMVe7AH76Lzle17LhpQOcDbBtWonD+5XjYDZOk98RN5mxAg+y 6X1lH5apbdUcezLujhErxhnHQKUJCueLEYztG6gFQJ1qSekvhH9XlTbodbs8HCQkCS2hNM8tLUed CR1mwzoerzIK5j/npwPwcRdfiEm991/D105AJrbKlHwhchfern9RDMYzjcHFBG1krZn/i3SWCZQT kCQbhVIECMHP1VWjb78oRTHHAzvLVbCdRbgIrRFf1y2f8vXexubLzpXmEMoZz+5iOGt2rekez/Sw v8zFc/G4OSdkg8IF7/LyfuYi5ikDC7jzRrg3b0b83dXz8EfamRjVczV+FTycfbgEOPY8sutoZ5v0 9NrV/kkHMcyJ3o7dzs6fzHkYizULOGviLyBoOOMTtAhOUN4N4EqluJzRMK7GjDfDCqGJj17oDpg+ Fkr0fB+w52zTMrFL30k2UXKtVJuLZITjZ5AWMaM32JLdp3Ld0gHVJo442ktS5huINTiv9wbO9wI2 vQrbtUsTA69/m3IEWSMW+r/YJl3VvlJtera27e4mHgBnG8/lf8bAeszf3BCK4Q6fFAeR+akc4b/r BJhemCwb/L8SsRiYUFZYpA9nvgk7YsuhYfDVR9/S9CdwGEV3PWsKAkNBzq+YR634EKd9e/DVAXQp QEDCDlMFkEv0OxI9ZRy/kxRosKA60rMFqR2e/9Y9HVbj1tcQLBH2eNylc0MdwtaQf5SgykEGgQRe qJ++GRhcQ/fTqrh0e4mZc+Oero5TTv+DuEBb8oawTZhB0wJ79BN7rpw/gpzKPLrqltbgQ9gwiaZy CJqNHAJCT/Vpl19FSWGLsmCf0ex3AOxEQ3COL3i4oz9sVc+OgEc3kQ/Qz7dn3WHPAz5scAxLcBSE hQgLhoZIzyQx29oq4f43hJeERJzyMsSGXdrcBz+sGzXJ//B1FNtPyOl2gNcjUxVAw5MoL5xrVacq /mqocLQoGSUDraZcfS5Tqv/CKxaU6RboiPU/8YLL+I9bIjA8EjybCctwsh1qPWAf8oq5Nt3Ws85f stq7zGiZYDVBu9v4nD4mKNMCSbaiSxvcuvzLPNb5YCuPQP3Pj2OYdXTT7x1vksW6sAVJScxSD28d Xivs6q4uORrUxoKZ/EgJhvDb9u4UmIQ4m6v4MtGfvSSREgfqdkofurRiY495wLfETR//vJD8XA+1 26uVBk7bvpXjFa+NCN2PjWoB0Vb5CqaYEa3BM26wUWYl4u+NNvn41YymdPdjXa5qzTK9FQcK0afI lXn2lcRCpdGuWD8AUMJPjzI0P35I1o2td96gmMmv/h2yZEEyDjGhKwCNFMESuFkgDgunEKTXLEVG pM55t06S9+1DE0+b/tm6bAFif+etdOntXvvy9QC2gokz7hTgbJmEcEKD4GKA4mNprV7BDYmbOxbX V9PH0VRE2ZoJcnHTyDNEs1pRoDZFmLRqy4vHLNOwOcJwR5LYkEFYBfyp9Xk4ov7gmpZfqMVKP7y2 ShvdYkk1KNZzpBJwlcPK8YE0BMMZGn289JejEib4u4N4F5LFlGVhgyASHPY/kaBdk5+djUsB4xtQ FugVExua1Y/HjaIsXEvUVFBzs3fLnAQWH8gHGtPCOfkWymawLjEKeVJXU3ThOf4kAF8QNzJnLVno +W4tjEDvYTs+VgC9ZXeqYFNpd/w52wam3ITW2HasysxdxZx43n3YL1nzylXQf843UIYxXpuwBL5Q SstIrBK70Y/p4vdieYkacdn2eBoLQ4HODQDOq+EWYVfVXsduVZMl3Z5FVJ2aVfnLMpr5S0QIF8Uk 2fCiDiThHTcQgJTlP1lPY6mJJfLPvFfcC4HtTH0sqq02cykGtfPdm84CmqIZR/jaOPXfnvO7Qskd aXCCrfeXhkhDxfL1hbeXpaT0n+h+Ge6MdFserrfEOBx2KcFcsQ+pxIlKxeVOXjBhajSQWoRKD9dg wJPVfcIQ4EoZVFoQr/P3EVqIIbl4a8dhjUXmYNu8CUlUJCcG9SMt6r+PDAtMMUhSYBZ/aQEMtvUn TwcgbtIeLNNRtq+/2vvXYljaYEpfhSLGGPdK3ac1yzLSSDa7GWHoUKFeC62v+ApkM07EmUKACY5c +JsN+p+8MxJATZSLugPBMf+ahr5RyUaoMvWP8jcDFXIu81+PBj0rPqOhJWLYLjbTT+gr0iSgDpoq oYfvEfmN2A3IK1ZMuodHA4mkvchlwTQsewXiYMbTovAkHzh+S07JlrDUcjUQtX5Q7X9QoRiW1Ecp aONBReC+HYOpzYzRiwVuAodpeQ2WAtDQZ/C40j8rEthsksCdNRNoiYlkqybbH71y4pFz7a9ujZvf Ejcg/FIECYcxKkjzsVKMIgDUk2x6vAmMgCoSfpGsI1NRcP6cUcKz+vt1/olvtDF9vImLkRlU+SEO NdcRGzZXNulCK0Ki1PLtZOOEChVrDa5ZaCnRhd/sWmXO/GpqkjrfJ+2GWs3v9Cs3T7fkMNHCdhFC xCd9e6CQbGl/UbSPQ3M5utyrcePAuKptPeibLvjgn+onjje5H0iHHQghVHgrbw60DrKab2jkZ93e PzkSwlFFx+E7RCXDoAI/t9Ffd35aX4WgfEVWFhJoPT5QxPHK67SaGLsS7qvWxmoFVr8cRERgoSUM IjCt8Ryos/GAQc2IiddHDtVrr2FQHs2dazTWzcgpQcJ+P4xwropUFuxbXWDx8eYTszxeezbkCAcd 4p2hiF9m+joz5k52ahQeG4xLaLDLL/1Xt//aTg7dRDPtAXC1MbtHKoOOe3pocj9T+lICPETY57GH kHReYFJchRQf5W/qUyx6GhKODUHQGLsa8bAnD1O1f5V4kZJM179sbIJ/7fY+5crCuZr27x/h2a+s MfrB4HSKTPzqowx8lI4YdHNVscK3pPFp71oHcRwIBVe62gClsYEyDwqhWYsdaoV1jaoF4JhkC6Jb wm1MJ8bp67Tk2IHNFbEWexH770kdeqFrmTQmk7t6IONQ5cA/JjNIySRAmbMi1H8kZCJKgHSEqd/0 8VKSyjNtpf/lOy8PMnsylrFn50G+SwLkIBi6KOU80FxPthRBAS1IZBbRorG5vwL0SQunEQL59SWh 6ckYVQoBddduDG2rGVjEhILRujo8TKMFRp+RE54R6ECznaB3CtdKqUWRuuW0TeA+YHPAC5xdJt8g gXdOVsFrY1qxwzbuj/PlY/uv8kPVRqSo0nboFR27OO/Z+HLrGjI+i+rgV2W6D2etkdP7nDF/KnGw SS8c43lpHUxUuws8EX67A3raBMY4JzvRMigdkLqhefYBshCL+93xGShrg6BqGSzbE3xn76BsYrSH u6EyVIX0hzuB2xmnB99HDXDemwa9UxrSBY+ihrSnIFH3d7xqoM9rAApcBko7sJEGz3CL93fQzcLO VBn6MQ3TkHY7fNqB5FYH1Ia+x7tiGdnXiH0gzumpuKuPC9pMwnqe/7RrcT+5IdV8jb9Jpqsq30up nyAhgUmZ1RLk0oYgcQxAIjBNFNnfk8UbfviyfrEydVbFoZH55B4+8s336tmhodY+m1dBk4bMyQqV N8qGY9tJ1b+OtULisT1sNSjgxEU7q0edxe9kE4vo0HZzuTbrEEuu+xe0p3qt0cR8c/L/FRq+nHlw IjkOXTLEKN6A002UK/YMT5dPbCN626uBu5gdK7DbVE2Se9aF+CVjHC2fsudwwcqHnryrX8P0sq0m CQucYs5kBazlMY2UWEojQChCEHqPR9X+NL2eRsFqYfNQhXueGEmrWlnpTWNGZtpTyrBSbiMRUEhz S86gilvLNnp5vTlKsnJMxhMFIWsTyoXznGtXSMz9732P9Trc8UdCecoiC+CHfLK8HIUOhH5+l76b VV1bGduSALqVqoKwNAcZeuEcApzmYucr0+swBE+P86gjFAkUJ/nnRCBE+XlFLR1RauGkRiA2e/Hf NZIbBofSf5ujmhJhft0GeZTKO1z6l9Mn4JaO4LFto2FgRny7ZTWVAmGab2ZYtgONuoH+5xS3RwXe CyYNHyshYcTM4Tvg7LLaoUOpGNvrY0DkpO6iBGF3boDW0ZFgGWATObHLifWMMBf9SvAAtSviVykU P/YmIqLlWhodDyzK1JU/V5UQpx3JvhN/CHiQTKMJk9z07SGXvgvPf4AHOgZZPtdABxMQXWvCG6iT kNNd+16X1M10FvjXEx4qtsGfD/sVWkcH71na7sZFowlUwZPEKvi5s8JN9oWwYjHe9LBNlv++m4aP rai+xrdYhqIWTGkahpB+UiHKUwbLGY1bF6dx5lDlylwVEy2ft7/89HxCguGvH8wSkrr2jJec+zVG /7KepIFrsvmvIAcYK8cP2Uk9ZI4/T7ohvTMCG8h/phLTtAj18cqE1/0O7cpjUQFgonjNlVCuqAPb Tinuj/HDOpWyxvIMFapBs34FFd4rIJFjQO13rmi5gr8PJaXg91sB9vKiQ28BGKpHBfBjYFjvf3yY +8bAHqAzzyYrGBjheX84Gy81oguZUE15e1+PBR2RU3Tb0D88qqrCQs2rshtf2o87Tt+DfBAh4BwV gpqZZQEEoK08sX99xW5DW5tM0Gyix/3g57F6H2VoLjWfdcL20QPEYDMH83tn3WlYfcE84icrcwDK 0OhjkiJtaO0M3B3xS5l8Avh4JcH87uY9x0Gn7xfd3oh6n8zkVJjDCP7WXOEGc6X0yBKRFFQyAGWo vOGDnhuDH8/jBJa8i3t5TZtekOerYoH7RNXM/GwTPDg/tLlVpIFBYNtLx/pXDtK2a5Ye5mKhk3LO 0io2iQrThWzByUOEzJVqP7LvgVPOcXmxKdvbeq4G1YHPpMswN+LF48Fn2Qskk1PNbqjKCpZJ44nb F71/pxAL7nKxxENNZFza38C0zMrn0KOjdMf6TSzIBS6Iiqep068eoFJnZl7q6Ir0fT0Tu1KQ/xtn 1mGHURziz39KRdDxW0Nd7WGCJRcuyC5cycU8OhncBvTLGYLQHt5QhkTBSKbdeDlL54o1S/0fD5j/ V6kfFKe/e9eI/kTr+by5Dx+ZaT+BsxVqldo//OgeSGW6r13ZxRXLHIyOJBsnFuDRBN3wK8ulKjJ5 THYFcP6FUndXWnl2gaEqNA7GtUXs1EbdDNWV+/lrGutiY3OQFQ3InpK+MsI/qrtETjbjA88KEjg5 c70RB4Ra0Vdqw4baKaB7P3Yl0g4OYyky02wrftuF8X+i1DAavAIOeLDM5rClE45xoLIF1BMCHklT wlF4GIxAxugI4toKaIwpDqS75GUb2shY256T1ujFBKy6L2c3JtC1aN2b2thGvRNO/qIjAUh9YBc+ aQbC8fWw9X/Q2ZpmphF2pHF2TNp1ZRV2PDy4AtfrnaWo1Qld/7zy4BKKHCcRqdxoU/aE+ST/Htle EEQovfnOdUdUYwfdpsgt5Hk4hzCDvstI5V+hq+PeWXYIhV+ddWz6WbI4RneJ0z4//MUuqhyrcAR/ wR9FFkwB3RXtt3fY/XLasZikIUHDpdFpzbWd4GyUD2WcxO4+k6kCsmn1RS9ptI2HVilKU/oF6iEd +65PABbWE45IDdW1ecK4DWbSkt9mKe2BCg1E/v7aNvXHMDTnu+9Ujvcm73nJBqLzJfXZnht44moG 3Y0WnYDal+XWVentcuepvTHSLU6N5UeO5xT73zkVi/blOEWmvVZEmW0kQZvf7mT5ILFW7SxxgmoD Ns4H2+c+eLUHe6lNJjNupSDgoTXiAi0ZysnvUvDEsk+fIayMrQZ67XvW5dfwxreJu4nc+NEpGLne zYCoqZwPk7AStBsbAkua8aakV+q6N9CMwfoiILgsfnOg78zOLlhetUuFUEKcuFRPx4q8SA9TQWq0 T7dyG8JiS+3fLDD9yCUd/azkVapJCqh+RywwkLoP50ZQitv2HR9/z2IwHpwwIRvFgOfizS9eXgHQ x4uDYvM216Jbgzx36ofZZqTb07SB1tSkdqqKYgbD3PY6BquUsmweOGu0jpqrNudqbMewLfzoW6ub 6UEdFjw/AA4p9meZdb4v/2RtfcZv6tErN/mK7EZA/XGiRBggJ0sJkwfGSA6if46LSeTZNC/3oUlz +jTdUpy7O7+fJktQB4CkC2EeO/9cjrj0UaWrJ9JC2ExSk2bg7FgBDhMZuT/xQPFcdrnrwmVI7F5i Jn+W9sB4YSnZfy8VYHh5ofkZwsO/qDn1Pj/nSTOJninwmJ9QD62YmouxBNwXgoYVHIRU//1OCtPZ 5nPNt1SnzCMiPWGbtsgyKAGOCRFPEzLTBGZ1hHf24KX9jkGFVnv9HjECFnxwIOaoTbGKa0lZrc0L 1cLM6k5gCmQaVoabQQEiwIiXZjCSGO6r3cGU5dBNiTsMrjyFcUmGhdLXiYMiYQORHpp1lNNCzGws 9ag+rOtB5lNK0HP0nNcPkFT/r3IoEAus4lG5C/vc+MdJY1TqJgL6YUsIl81T+KE1/kh9IL4KE/V5 PUeOC0yOs8cubzjCERfi5vkjKlISGLLSlKaie32gd0BcTHBHIuf4nw/bELVBlEPQWOmtqMfaqHU8 pC4Fvy8OTwck0KlAWJkYeBl3UnULDnA/oI6sCc798qOviVOIUR/SkeE2RxIHef/0xHPdxnK5GXGz 7oDR3n6m8UmV7e7eTi4d241xiGvoN36SAcTa3ewGqNH0xwURtiTdFM91d3rfIS4OvgT+GpcnXRwK cJVsrCphH2ozl8jnNkhfYca10G6vSBVgUNp9maWjCbezcIf3vOKHtPtxsdScRB/vatEP7YM/KYU9 7QxFS41txjxwedcZYdt2c3rYfGcZEc47yoFGm4oTh7ar/UZNCUF2kJ7/v+t7NiC6KgobdWVp9mjo 7hrFGRIejWcysmTbdLuykODkNgA8y12dBSChxY5ckKs/e8sARUXNpiKLwc1mIjPXekOM4lxAvHiv J8AnInKHNfynRL7y8v9Z3U3HdnhgXRXrVFHcGa0FP1qwFcM44WaiseagRdhQRNg0C2QL8WoeZ+zB 5NWZTku3ISd/6/6cXxcaUlG1WjBZ/nEcIydSz1cuEwNjZZqMJ8wnRLCeg97RyHoSzxRvzrjAn0FL uTdh9AZgstIweGOqI9JwwCrMsiQPsYjwWzx0ZVCWTisOMaaTvTpUEbmzeKJ5WDfyWmBXeL6O1Lbg K8W36rCmQYPlsHhFWvWFaXafUSDb1jMfnlezmhneKIRpRNuua3On0HwLXihIvlyzpt3GWHxJdOM0 VgVY8WSiEo1Lg0TnoVH1XdUxd17LQ1icVlvb7umRgIK8cOENLHfjKpa1kP/jK+j3lClFd1n7QG27 HZXCYPt931tv9BAebiF52C+s/sI2BwpzFFzy16EVNYG2f2WOCBxC258OsXX3WQSjplQ5PuxILcGA jYHpstAcLAtyleSsyYuixASjvNBBOWXiOAlGoDUgNDnYUzHmQ0nRnE/v0IoHURVwel6hJKXicW9v xO5JfzhcoHF/O/fXQISZc7cB3oxPea9wwrxn1lKw3cFBVGH9d4Lu9j9oOwTH4Ww5cHEpqueHWDKp smWBgybVzebEk1W1dJZP0bkfeu7HrVG+KELTaHgyYmCXsbuDflzx/4+5XLMZ7D5rXQWDnLYOyJC1 IvuljxvRxwCrWzvf65muoqWL7vPKGteJbg9MfXqBceLZ3EeGGDxgI3uO070lXYSd+g6YRC9KJj2D r+An2LE3T6lAxbrRgO+bDTK7/3VLZEnhtQvmPzgL//ui80pbNiOSF7jSK4yuqnLW2bWMxd41lFuS HuGYlmmAKZ/iTpgp9KS83JJ74EzjyA6U2+/H6s9ygA1to0w4ahOtBqg9sZK6leb/PN+iGSrf5L0K MloiC3myldCkvl5fr23kNaU56aatII5fUgGMlemJEx4h0DFKitD5qUrisiVE6yUhtKQhkTTt4Lu5 1U1bcGdqTsd7ACP3sPQhdS03p2sO4hrCtBakPyunFK+8F1qh+2nB2WgdVY6TvMHJa7X7yhH7giGq k1W6xjMfJ1hE29zHmWb68Y8q0Y0v/7SWogEEBBbMgSKVPZV9HJJUEHs3itRTeo/za4hNCpRfEC5c c+wHiVgQfzTWEIQj3+uRg1Mxc6kxQsbhJCjNlVz6AcZsihce1vmvCwc5g2bvpUtCdCrNB4A5iyJ+ LJeHQylVAhH8VR2igZSG5SN/OFdKlQmPAizq50JMLEi4HxdkmwZruPMtbUj/62p25IXlkdtrQ7c1 mryexVLL0Fs1FiIOk/k4zf6/BGiZSGd1nPENY/krD0MM9M3rtxNrTiAEo8e1PenZ83/r7qiCoBD8 eGn5GGGGXrlNHDctiDn+4pcf7yGu5YNGrHdH2P2zQ5Ybo775GJKzSYSLiEXtnqNLuJSJiPFKS5KD qPMKqXO/MEeu3PsN4gol9SKdXCryYF9VydrNbcr1VInBB+nxptgnChUj+edWvFdDItBWMyjqNAIm a1NLjSGRFOLcaxpWEmDB/hN6CVJJyxnYB0z94f7Bo747bsDaSzG89LN0DXG+Uy05xGUIFywkjvlE DdKSmDl71yVO4GLLnICzaa5j9HisfxfRTMSKCsEUxw+FvBU4Tn/rC3ZFMaO7kGuPY13qE8ZyUZkJ MfHvwT5ONxPBKWRyKdpixn8xZWFVrrzUWx0ezVH6pKYsTTmCFtOfc9OBqUSG+B1EZgxfFfIR3wrt BgwbEhSpu+T1LVot8v3iEH2IvadiMqo4afuzcWba2t032MFbjDsxBZVAUY/A09+J7dL0TIqsQI7d u1qxnABrzpU0mKDfNTTzitGQNyjr0k1Hkuns/5JS+3X2ypxzRjGOg0RJB9uZIidzD6HMsWpbdih/ 7UUXlKijF/SL8gAYLh5LTzEeG2gTjkrX41WY7vB/9DY8wscIEXLYmeqQu9UZP2oGgBd13WnSmxa2 PE+B/mi6OLTzQYAM/J237IPZKAkCRxNkN8AMTedjPFjbChj0WKPqPZw7G+BYwQQnHmCm6yL+6OUD nluJkgi+EhAc4hjGhv4lQrVmcexbLfNZLreDsdOYv9uebFDqMaO8H8nEhckRxSqILYGcFAMzzb1g RPluWu7ikZebgnt3xCANE8O3Qjt18Jz3sGpe9t03Tsu/PoOP84ZD+904CO4KqPLxQTFRJxNhthu2 J804VIh3+GT9qSS33qjXht31YAs+6kpWVtebHqTdWwBIdIvV3A0hvzXyrhEmo76tbbZW18r+3kdz j+Gq99SfpammJBwhDxU3ZmRWCT/JBr7H6pavLLagE1DbiqFwrt4b4bA4Ke0pxO5XfCSvepq/4MhE onfqhZ/eU/42e7xG1JSjnWibyn9BeoSyLaDcErQt2ZKUAVrDqcVRRWfeP0Lr9cw37DxjgH9OK+uI nTdURnV8k9Xlh99rlKbLy9bfrQpeWms1NlQ1g9o01w4xFgvdRI9fYdXxKC3eIX32sZedtg30/qW2 Famu8iXFJ6dXt1bZLqKyrH8/vC3JnOhJvvE+jVA6k2TBqTVudG/pxWB8zGU6f2gmuHr8z0q8cPKd 3njHoRJGRnTYFvCgM1LDPy/J37UHUQ/DMppyPRDve9zfCiBxAsvIZlBzViqBejNRIWQn+QbFNhTR /GTqP63z3UDyA+9tf/PicyKgjS9X+ELCzrJWsUuXNMFh5VHs8QfSGawYYBonHvMq3F/EnDoXqISg MryZVeXPQ6w9b+PGNRfGTc6TyNRPkHcb9VZsWbdv3phvSpXWhNLnlUuWgBmD67Jt8xSeH8o0gIVD bpsH60OS5G5+UlmgS99CJHUosbN2RD+sqo/SFpWxYeF6Q1Gi7+yOaOJqRc6u7cXhfo/dFGPu2KJm S4gcbx20d02O3e9bFOc40pZgka1PuENWt0W/fTBc3jugzVKbfbRUoMEAvC6zbkifyyQBxcFrVLJR d3ZBop+ENvTTIBMV6AD36lf8SOfzgENDWCKjP2BE25SQRzFeFhn0LrynUoBs/yN9njN69tE4450L sXAwKOQdH4o16YTc2IlV+2t8HJVEo/YxM8PtPZOt2JTWFxEZ0Gjl5CM+VHQoLSva1D37+QE0T7l3 2QxgzvNmy3PVE4+be6RJwF+9pjR2XFX3YGWdOG416G0NKCZS7IrSRy7CFCLtbbzkjFMQfHAfZPwM Tz1UIyRcXH9xBS8/4oWmAFiGcciHmmSvCq+uv8x41RSkx6IHincupv1Cggz2gwsdjTwpz7uzjpTS 6sH3lWlFDTxlqiS7Q22G11b9wFH9lQmGKlvrXo85ezRr2h3Wa2lq4rzt8QcpU8Set2uPJBq3fX5T vktEmHR9xLAtPgIx03UAjF28S9CC3zRwpz628yxmdDyhkZZvnEr5jYMC4+6DesQS/c+kwvo+CdwY JBpwC/kal+jWwgkqWZtfIBbH8y71kUvbbxW5Kwfyu6yXh6Ek6iE6iZ9qdFwFYDIvPIYt1ZP0DCj4 MkPH4PBtRScsrKB/3UuoLfcGMqrV95xpTYols4zG2x2I3a0W9GiQMBtc+CCbQzmMETRUffeoul/W IS8WeWG7Is53/10HYzPQPYh1H2uG6BzyCAbzwm8Gg4ptDZib5+Pl5rEavxg4/FfFdcGLvfn7M+89 CxpHWlbnhkkF7fC3/4Nvhqx9cUMHaKxAcsYL1K9bm2b8q0tdNGWt0hN3wPUOUUFb6Sf3LUk066mD ib8tuA+YM4/vXrlT1d+2wvR7JznU4/K9hJk4OWeQ2uOgPj+jMRsEaAtyfHkUkS9d1EmZMpnyrQAx NFoFf1i6D8Zvbz6diFiPN5AYQ8c7cskL9FaGj+Yfs5S7nYVEZv55BT89kcctBfceYnNmDpeqFD1z BN7lxHcrMEqMWQYPU+WtgOcYrLZBCc7TTNxW0S9dtmL52eUkExMPUFdVaS14kUq6Yiswp75Sy2x2 dQd3P6+t145RwLmqsjxvCBpRiupbShugeIrm7t2gdhTgUp/bfbD1OOOHsyNiOGzTguvAE/jI+41X PWULUTL2fIKMT+WQ5p0UzIfpZEy1H6p7emhvBqS93pN7YCXaeG8thvlYlhWGMT1ySuRaZNOV6jf1 7DwJtMpsc7rWTQ2d006nFcNnlUB/4g+Q9xAgalDrb/RjNHuhrG9kjbroUazbtICBquj+WhO9/UA0 n8NVkES8amvZXFYSMU4eQ0IDcbd7qusb0+4vJHxSs14MgDenFqxgTRKDnaT/nykJimIZkx2xDHvh H2Tr92S5JeBhseIQ65sqHp+SSGgczi7z5e97B28rNwM0+Ei+HIpnsds4OJEsuxy2DiVI6m1np5EV OaXQpgjBHbyXHHjIFt0ojiqNTjc3M00/lezkZtyEJscVDwTToq+zHQWHGObRQ69AG+hqlXkrvi8+ /xsJqckEJGTsKqLs/8ql5DgSfs3AtzoLRQgjCpG1yo+FjPWTX93JuBqCq3ZQsPOM9486jY3TrpAp uRdEd3yzy5iX83qjNYjvDeEOhdHKzKYswaguicFZnnc/ZDGAVZJx+VR7ZSmaubyVhRqxI3GecvV1 dihpe/Kz4e2lighkVdtS4BLoAZ9b9X7czJpdBJqXeyWLXjxAdVXkoQvVP1FLapaegGA5jDPfT0Ub qCKRing8ijurYCCWgnITw8DQ8rNxc10F/SJNpZocFcce7NZ7sVb2R27Y6zOldTGC2yvV+CQCEqhd gk6B7aX4fnQh1ij42JI1wVHX3hVddYctvAdms4/bzofHuJtgukLmT6GYdY0rUoKjuCMdibZZ6wc9 Ct1s6pjyWUjSrEbmUHNQXe25D7E/q1EMwHGZDBiSld5bVjTqdeJ0iRrirnCO7TLKGAjnrfH1YNGl eQA5wjveWiAVAiPrz0tA+IJDeG8bE1P1kf/5N6i6r3A1FHoc00UyNgtoKM8iVFtCtgZy4iKYqSkw riI+7ZkKYPYaUD4W1xSBn11XHc+0j/9B82tG073wkwU3u2Z42X85i1l1L1DSWy2ESjq0t2K+uTuR u3yboOZXLxlUQF9Stvue6HxPLuEtvVly1gP182ij6k5BGIJUOs1CdnwtN0mtuaYWBLP/Eu1C1tEV bMbjK0uPYsfj/qLz4WFhEb9XzE/za0W1ILBQkeC0JW9HXMBkxn2VugxZCZ5qaV7HYGjxBPFymEdD rNVm86irhcCvGDJKcReUZAPBX79XeVo7CXldlLU7wM16MB8xjoIJOJcb8uhaLE1eq4A5HDgMLBA9 EOJFV4SXYvlJjGu9o2IfxVE25Iki2+9hTbvKNnRYkbb8vVE63R2nZ26Pp4cx35J0em/PADwcElHd Rm0fWLc8DilOJU503JSiczxyL1y/Zi/mT0QYJolKd1bjTWi4+XtIXqFa+fhF2aarDB8YuIQkyia1 qKcp7ctLYTH0Xe7yWxfhPjPAGE2i0Y6RoHorD9DIadSu37I5KuvwkuNfIETyrVkkdvGZOi7e7kG9 ZsSw+NB7dBB+1jsvs2tfCDiNbfrEZ8K8rm36WShHziD3387eknX22xDGnJojEfGjc7TGPk4KJCXh dm3u9gSKV5iIJBuU4HKhD/tNAJeXSAPa6mau5RNCLEIPBURH+gKg5sN/8xvWEOIxut4CbQQsluTP z5ZFX0wLprNJ80wo4wKyQeYAAKUfG80V66XKJeDwLYo0RkDfZ6HKxP9tap77g2vkRJ6CSS27ZhGM H3yMHrdrwpSFRxiOcKZPfXWHMbrc5XvxocrFNAyr1rMXVjFbOyt/zReYs5n4xiDfM3QypimeoU4Q XeF5KBEe0XxHyEBEv8jBNEK2aeMD06LzeJYOaZ5mn7WUsyfmR3X8QmYpcuSZ01ZCN5YRZJZSU+bX q9isFlc42YRg1SFCStxklO8te8VMF+lKN02KExpph0Z2L3ckINXKOq+TQUO/o5UfYvVbhWQCveFN uuumzRMt+1un1W0TpES1zj/rpFS1F0UoB8B8mNnvJPtG1GtJVfTiVIqnOa70DFJ3TDERlcjRwhIg g4dduB1Yq7rZiUQKnsIl1MF2/ukpXbLw8cKVn4mx3dDL5IjPhRYUTW7YizVjrYM7cLRynbL6VPgz YxHkaPRWgNeFQuPr3QxF81CmrUr1X0IRE3y2Hak3xVWZcECoiH6JhojvofOzOAZi+5/9pPw9D5nG DE6RQFxdKDuLQXIsAOh3rw+4IySUyb9QjmYkjeHtUYaSr6LR3DVmwcIGN1T1qvuq6uAt8eM1/Lu/ m00l14Jqdd77cvOB/BdWMN0yNwGuo16tdlUJlVzsDUje5k3/AdCpd2Ae58SQ0SjdFB4N1hcXSVKO oh7S7+Bpz9NtrS9vTzjTtl9p71q+617BYx3KsXRk/zY3kAHnHPzWPLilwvcAKJS5ndnnpUO6sDEE QG1HXBDKM1Ge1domyGkiCAjmmziOaqya/THxqi5L2bHppIzACbg5oV6r+cOtTXMmHkG4KSXu/5RG Ag8dZWpAuoTFCfKzyPzihYIFv+vvJ0ZZM9G458yKvPILhHU/qLvG48fHu5wHTEQyQXRXJ7Eta9cp XL3oFqmd9pmu0o1yyCzrWUlZF3QaNV86rMBWhUTuaBHpiLtntJmoMxSRjbGdzGjf5bOpMFnf9ZxC 3CqXMT51BoQOWXkt71PSOotZCbqwBnrHASuHCpIcTczPgAKcVPXcCho+VJa4L0qZVO9l0e420neB J7f6PCVbtBD8rlnAvsABEg2VC8hNLKqd8yUebiktderszFNmgcyfSFLbs6NyC2ClvUr+XClFRzyR HhE2PyUMK0NuumyDKYLJEs4aGyDO6XQ+o3P+ntokQ2PkN2m8jolYMr6+HKWDOFtqjUnF6vKvQg8T JG5SzLLZV+soNY+JqssbDHei26VvwsRuVUEvy/L2yOS4dY3Uo/8W1MqDYrDF0OXEElopQYAP0suq EtNLYUpc1CFKR2lNlKkJsaM8Jhm171JsSHjJqbukhXi0IPVIdtf3Oit479eZ69Av8kDfusDTtH5+ n759uGewXnJGRS4Hzf3hqcxy+iYqYpjnZFmDCQxC+eL2FlJObx0FLul65QMVSBU8hxTNWX2Lhlan LpdykLB5GbSz2Hg9lbXJ2jY9zxgbsofFyvzFYsxt0C9UQOm1ys5JaiFkc//bb7vKsgeZ7DieIyAA TmEEMBr7GOyJlDsna0laxGLaMhyJ79OikCQAEBs2WMd2HCZjIc1GaaunQVfmmiuR7NGuV4j0wCcq tz5hViigptOvOncKyzZmuHO97xa+v1L63R0mA7U/X3WAPQWu55u2OdslwOSFdsOgIGrbHx8V7ymS dY4phpfd/5zcSaK/h5Z9F9LsAr5stK2Y7kMHsFteGhdr/McfKlznxJ5KQPpCdjVuUjySJNWb2Pyd nHG0/V+/KmX4zVos9GnC7kQQ1SemzlzT+xP4bo2FwZmOHcPEl1PxPi0t2O1eueIMnBjo6DIv+A5f QBFG8c6Dx8bwSiavJDhisfP8Zd69ISntekUsfEkM7I6nfQh4otiHDwHXVB7nFMfDQ+bxpH5/uPoq WbL+hBNlqo1yXiPGud3Q+mGgj+dn8C8Zm/pX6pSnMgD7+pLDsMdZ8s8S+cVyXZ0cXSNcLmEM/mWi /0GmJXN0BCbzQ29NSi6ibcPaqm0UYbm8eiraSXDLXXcOGejimB4tTV5c2nSfbleOKXOBFDMxkOZ7 LodKSK6lQhGQMpdTfb5fo8S4BRBkvNssX2Hj0LaJRiiW/bdRm71nbAeDfU7J3LGPJST9DyldOlyS bpSu4NwK7aas2owxCr2SPxtA0Map+l9/4fymKHRgFxOpiN802vu5uwTZU7w5CpysVGjjrC1qSlZA WnvOeQCICR1qh/H1H27Wl98M0TJoZZKKhfhmhKQrNxxpiCfXXZFrR/UOZFYSiMGhaEyKfCwjfY4I n7BSxncH0aGQbyaPKNVziAUifZa54MlVSo5MCxRDoezHgqLZxUBdQLaK61vI5oUE6686suDFIuJX HkVad8mPI7zVIoCaiN8brl1QZnAZHwjAsK8TBLQu/qpH1fLOFDx1VLGf9N+Fe+KqSZlyeprS5s7w i4oE50be/JoN16xPdCfkfYUsNbr8CmdVQ2ZCUAeCeGjA24WlPtJYI8QyEjEUc4lWLyMmHh9M3iCi 0joozfFzfTh6R3ZIeiZ8slq7jCcvUuNPEFPC4oq2wQCGfYklmF8fxklfsY9zn9qxAyVCl3vuipJd xZJ336pIO9P0gwN5k2YVaqecIoqF0uUrjElbQ+dsMEGt+BMb2Lkf9kYct23Gk3+zU+D9nZkLchqN f48aiAMFwgdjec7aiZxtPZcj5yHAY7R8+9rJ93m6Uk2Y2SKvC2KbNSXbegUlywW/If7ACg5Tl2pY /BbuXVId7EIT0vTwnW/YAqCwsbozEG1fjNMneOBrcXLn6h6DTs08QnYFkqwz/bGTQDuQIe2734dx enQELN119lFCLamFOX17zl+8cU9nAWmVqLemB5K7usTU3INUBgA152cWWVyFphxMUzY7Q4XoUJ6d K8P/M/QSb1S80al11Zqk/YaxYu1n7LdxVFKN91j7xq13Wk3o4Ym5Sules/u6EWilz64zH0Ro9n6h dZvvYwXD5kGnDT89owfqkLSrJ1+2ACqQwhdtCWFygAbWZAGvZEAiMlUaq0nzbPzy2ahM6BJzenyq ds/j3XcuF5bQqok8dDwRU99hLSUSKvz6o+mbREMztk866cEIdNGvgDPd1/hYokqwgZ9bafBxDdZ/ LMrBo4T7nDUw7KFYlDfCCZSY9r+nF3XvKYgs607LYkSZQ97zvSjTlPf6BH3uex/ip5tdzNivkoqv 2GnxJwODsQv3XmLssDNuo3fMO5AD41/OVyfzkRkSTXqKXYctSKq+W2WIyTivPYkbvW1MrpjnK5r6 7/HQ3ZEAlmtyYaffP10zlrJGBy2rGKzHuYfGAu48JJo/JcOucBp0LDNCAGHeQwewuu53KB4RmwUi 1mONl1cI9E64mOjC/X7w6A0aQpfJVECyUtJ2TrNxYD3Hfhv1k8zJIx8Zi58S7xrv3Z4tq6Fsjsue pz/4la4OpKp7PijC3n2R7BHnTfxkE92RedTmyQcgu5Bq/kW0M3xGXyPWk+PlIBCNAXIVLwPYuKO2 j8d+zg8nVJGznI8cIBvB2NS3lPKLsFoGECDSIZI8zV+uPXNjW3AmfsYnXMApMJ+um4ZBCtr6uNT/ qdL8nAW/H58wNVflKZ+rQqFCPlnR6CgftuFQU0Q/5jrZJoBN6KGOI/FHu4KgMUuLcou6uOXtyWWN nh37pCp8qrw7b/QA6HbV50UGLq1qy3Fe9vZhWsVd3M+A/WemiDf7WiXLqj2PWYU93tl1TjiBqAqu zR0XXyUxbFC2ooq3pYaGRLPsTt5EwHNzCrY0gyg3vCGhNwel14M/Wysw20RBbJZpzAgF0q6uQ5JQ TvS2XKQyv4yF9cnDKrBNGlDC0+joT74HSLxYF2Gt2MmRYjVbxz4nhMZrRmO3u0q6bjGkIBA8MKf1 jssahi1o2D7VQ8UCGMEhE1rCy7xAj41HWH5i/cPMbc0YcNmkdcxeWuY4NbDlM/EjSZKe1bSIX02X VhrJ++rbRxJLcykJsfbYN+1sYTbDDAWECy0m7DNms2jki8J5VA12Xglv58Tb19I0XOziPGTsOjF/ qmpvc1Ckw5odcTRQpRfKVS9VWU9AAyRh0tkbH5C91qMoqupDi6U+BF22Mr/sm4uEZj9rEbrlhpRA nr03JIZ5ZkV5VDDNMx/vLJrvnFhVt+IsXFx/R9KGsH7PIjxdg67eCTRnzpqujoLlmNajSy+ovGpR 4dYCCQWopKflf/uOR6tDxE1QudyrreuwA0mIxf6OTSDL2JaQizKk5jCYSdKzhosjx5b/42zvtMDl M1cgcR1DnDzNi9UfAuYPTcHZtGp1tbQ1Cp+OM87OvyW6UafmOTcaj2NOELCGV7wP1U8R28VW4g56 qvsyASqQKKCWaSc2S35g629oHUqM4CeQyY4MEwjNYO57B6ncYnVwLK/CcLCHouxxRz0SqSlV3brr ddFvkQDI6cxKx00fxk2VDnP/+BOydmjyZKMIXSmjBtbUJ4IpEtVny1suc98aAgNTh8AZ6rxvoXCB wm0FnyV2yvkYHybQLhnGfmXGN/ibUxPSQCVvcm/uQRW2P2H+TmN75RfstKdWPzF1N6JWY3LP8wSs aU+vmpssO36t0nYbkiXwvtSv+ATJaJSUUAZWJh8P1CvPPocvUdCCElCS35fKE3iivr6qe6UMcyoY 6Wj1eYdx0AI53Cd/hNTmmykIWgrRhiP9HJJ8WWow185es3Yu+tuPrKekQNu18T5VtQ+iCKO1iVbj GnnJv88AoQGX8MiwaxnlspeRxHil05uv14gweZE0KR4fQgdoG0pDB4TR/V0J39eC6JMuB5KBJKga T1C+PKxcnKeEkLNowh1KPYadhiGA7toiG/q4NJQTcr1RlMkkqDm10K0MPNab2qhEq/qGY2gaRmvv V4tjJRZHdbYOFostDzjgWty+iLAY+zxMf7CFllY1ZEhHOIqQxhLdnPLSOnHNCHlWwfBc+wRyaj5p Xfd7Rnb+AKcyWSy5SFBzawLwZW+rfnDF2lItcPc76hTLYwDwv0dQE+b5yIMGqAC7EAw8h7MrSSpX TIMIc57BvZzO5HTP+JTi1wxo+vQE3SVHZRn8HlVz47eZsoUI3iL9SK8GsBWAQF3jlPUnQYmE5T3p jZ6XvXmSuRwiWFcK0nk9Lvu6Lpcbq/qLJaPclHXBIpQaFxQ3VUAD1rUUsfzcPPc+zNdd6GgBu6pb V8LAM4iXLP7w7yBeOfTWNGDCsJrqP8HKxqguYO5mD7zg87vvuv6dkXeCCeY2mzL1fsFTfL+lLMtZ Dp0RbYSfkrj4S8VeGxh8A+/E0Sr8//RZ3MuU/lZ+fnFOuQgWrYvuEk4wNBigb/aBrwPw4pculr27 RA8qfDYsw1kNRyDTFJdEa4R9DDFpfLDQUJYz6TWu0ntGEScWE6YCrn93mSSUr/BoG7ksOzou2RIR XWCqgqD7IxrciH4yF5+uWpjqNwM9++/86xjjgqYlDI2/K/LR1gd7rWnZqAwxFBRVsi9ORzg4h1GI 9TWDoHwC9CWEuPkjlOGGRjQkWU40L+pDG/eQfy1a/ohIZdhipc1uzftXUSeeuhnR8D1I8BnPsSJj PB19cVxuqs4u+diaNoXkuOACOHvYb3kMmVqMiYxKVAQ6n5wjVE4gY/nLp5OkwvrAB8EJJnpZpY7Q HJO5q3U6dYhJvNuvJxCSbz9tJQtH4F+bRmx7AM3uWuebAck4hp36nDY3r1KNhl9FXIWX8tDdmG2q 7nORA8kmIeVEvZ6vv9Dkf5mQT5/YAszHuqpH/7ledBsHK/n8ln3X/ubXcfMe/i4XGlfabqfAg5fM KnlJrpiT1CsVU5iZw7EMy8MFKezOPaMfwSd5WsNnsyiSvXjCsZJH6wUMkiWVu/uYGgZWmkAuLMWl 8gmhVYjRRj9v4Fci1jaR0UP7Ne8DGeUyHlbdv9btIgjQmrsciR6Cs0+DNYR3AZvnjLzNLBklklXw l5j1uyAtjdU9onLu5biv74sXLuZFU/qzZeJgfAW6VXNLOYbUgsl+U29xChF+LDCTMOSrLd/ZraWC elP+xpAGq6HeFKSeW1z7Bv7Kg28OEIdd3TZaLW3cANc1A5u6kX9yY67HRILu/d8HqkOK1gY/R4u6 nI1Fpz3hwWTQE0+tsdIPkWHnvvmdR4z/hqlmpcdaJu68UNIi86e6Lqub7SqPC0ymnkA26CbvPNr0 +XKYqMynDqm10kGQp+LNusiXUpZo5oep2rISUC6D0hYaD8AN76sqw38TfTxQC0dEAEhdbA98C/JP 592+aBh7NL9YBK+0EWRkprfiEWIKB9DrsSSLIiGDK6uDZCo7SyCY1BSqIWkFfut1eF0sgBBNjV3L /r0kDZIgWimASyI7nxRzOBOP7XWCckuk7rfCSgTKQg+Zk/6dv34OPT69DH9QEfpkUpBE4zQbqWxj oNgtSnul77z8UeaZWCEwiJzuDiDu/ynQlFhno+SLlegTxO3mtAwDTX+K7GzUvPWIXBP5P3XPqLAR /zI/v5OC6Se564GKuIYDnTw0OTYmGHAncXhjiQIviqKgE4xV6HjOoSyVISVZjtAX0HIG6LsqrxBb EuFM2TWdGkyU8yKDu4Fd3vEc7W7N2gMAifKLmJWfBR2V/+6ZaNWA2zUoc0hqQi6iUtVdV5nBOw7S K7qYID/H6ZLen6ZNbpEQs78NO6tu78MpcJS5ktnCmjWvRgxUDCtbR0psmS47QqN9CYqpql+Ari+b 8BO6kV9J8BaGpDk+AbGMr3Tp/jlFWS6Mews6sd/Rijhb7SQKa+sBYLKfu2u9VnLDqOCjaWrx0TLH 3nKvYXZSHPrvPLq9VMdYDeeGagA3SJ/bXedInzg8EfJf4wLADo1XpKAeZlyDgveDwSpMYPA8CIso 4fVOiD8C4hGv4wsgqjHNfEeDYL3bZXvi434+QsWs+p2+UKNlJK9szefZbtAoXLAtNA5cf3nfEeC7 3tORzkhmf5aJVjl3GHNHBVxecVonGQpqNdnfkp1pvHtt5kvxqXJ6avZEOHYb44e0Ty/roXDwppdV Kj2JhgsExlTeVPL93QT9D/Wr0V3lsr3zmkyV2dUAtqYG9O7htNWGo7cvbRKXuTVw4IZc5H9AoqBO t9F8tdJg5NjvnkW7C3r4jBHdcoJ5U108vlUEl/IeKTR7YkHglA/7HDVj8gOMtOGb6N9G019Em4+P pp3zKjZh36SOGqjGuubnbwvRzyuR9y/j648kBtL+eRNPkqh6fMXWWs70fR9LWukG2ynZUBgDqDsF ZR2Z8DSA92IRr0oglw8KwP7g/sSW849rQzmJzm5nWNauhxZfh4eEJgetm2yzEMN6CFuxT94X60Qq R9UiUFYoBlTEO6204b69SeQRAOpLdnbRJ4YDD9/qVT3z9fcKnyvnzwa3EL7SHSjiKG++N9iAhgeS exH11xNHQXtTvTnQqnoR0Xnvb6n1+aiXBkb5Rx4wFhEkl4Yq8233m2niIB5YsBakgQsju0NwQEIZ zX5JGuNUBonTGlASSOWdLp5PQnuenJpwTA7Cfr33Mm0oHyPJwUgdI9XBw06wnNcNtCFsyC3xEtGj sviL/63Jy7+mi42D1D1zK/VyEvay2+Bvt6RiKc34PlO46NkbTvTrT34sIrOwqp68iVeqh7TZRu4Q SVkT58r7VlaNiZTDxlTyueWi7f7ViFoITSj6jQnIAr2+goEh/ZEk97BcxcWC9Sdb+7uv4OwI/0Yc hGzy00FAi5UJvWXWgdrplJPaT8kzHdjbjQowWCHctX+b0WgEqFCPnZe6jZS/4mmWVRkRroEit4rb RMq4HZa/LWsl6Y2cA5TFn3QHH1hhe6E/rnbRk8o6PVR1Bim3Z82vZ8WWpYFR2n+Y2llbN7xt3pPF GSldIboP8Ku37Hg2DMHrWowFhzkJExT5zaaHg6Gyv2/ATO0lsHH3y/8BAqxOQ7QfbYcrXTVVKreH g2J4wy2/zop5O/erwzDuCMRpQe5X4wEa+9hcqmtIBeOWqnHDpgt3QMPtNbSvOWeZ/qzGsLbphnb1 v+XJxmhgEW+eEtmDIIt7ySfdIhYNiMkG6i8nMN18YnB2ZSEb5MyLEe9J5rxX8QOZAG2Q7Y1BVx5w DTG+cZwFcXlftQ6EdRIsLMpo9axPzEYx87MeU1e6u5RtVe5/WAwNvCg1Zk9Um5Psh3qclZYHtELx RAFAak/GgFH6qNzL9vq0wNE/y/YRFYl3qK/2K6TCCfuxbQhYxxWQPqEU8dI7GjZM48Ylh6o5sPF2 RHbrLeUf1qufxck4j6tPuo4dKHkOrWhTZ/I6cZpawyVvw+Q1u9QSNskzkmK4A/ghbLE6oO5W5Hqa ctu/jjUUw7gI5u52oMpa0+j9yq75t2wC5AcHPHxuW49D4lXKBDkT6a/B75yMIPtL9RMClNYdDHiY fr3JNF7u4cUmtMKvaoW2jIXjK8D5HJqD4mdlVn1o+Pelt5LkC9qIfLfhEqsg7S2e8yQVxnLBjGTR H4HbRnnJ6tIWcH8M5VihiPVZKRq7PWwGW8JXT91qWsbW+AqXdjSvaqqbmigI7DHE36T2VvaIaQsL pbAsgUit0xr1E5p7bDSnjd12aeUt4HalIrDBllypb83Qjbxds+1WJrN8UYGw6Y+vU2Fu6xhvwjlB 7BD2ONRjiv+N3t0T59WMbnFP5GYrscCSZ87B1vFX9ey1Uwuqws5llr7hP8vMLHkODozD7ficgbXj fON0WyS/1oIeWmdXIIGOCWvgAUGcGkhzK51S6N5zbGxxr0GBTAuqU8DNLfzGOC16QKFpn+P23Rsn 2EEd580xG5X9pbRsohrhhn9waCOlaguWfu4zxf3G7yULkRliNEAsj7pFOIOXJZWxy2rNhE1gKCX7 NWS/FmOQ+rj30sAv6fXh/TDQMnhFtptvIK97yJL6S1b3H+IFhYE0PXG4iecaLa+RvFJuB/pjlhwl soN9AEEdwJHurhNOeu2KmBqXh4U9fANL47TGDx4fgOIw+Dk7/Uu75D2a9pDF/wSTUaXgbebbN61i s/ei0ABc7WxjpymACUV3jyQWCLUXohTZh501FT9l6hJ/N8522Mj6LA77WUKmIQrdbcJuWvS6wE+m Jo3wd9zPwUJ5Jz/PVtVpmmtYG6TzizKSH4gLJe9EGyT96TxdvHbogI3BqXoVIkyxMEZsI0YSQWlB n+SCVR/s+N0JRdOGANGT6eLq9icoEOjSl8nyda/4v79F4O76B+RDTllLt6jCSnDJeUbfFkxwisYr O7wfuarlHWHmSiXyJcsIJOS5U9jre13+qQ4JyWuZgVD2mSIAEFanrvsDDcBd4t6C66+mtsSN/f5T rJIJfkqmMHxClG1zG3Gfddhi7VQZ4hTmv34vGuaVsdsxne/rrYw4y03xwky09iRV6lanT+rTxS0W NDfdFzjSiQwnpdrX8g9a2PkoxFKmCpKpg7Yk+1dHRwpbkcGfH0NEon92wjCvbCVcf4+kmSaYnqga 2+pFZS/+dgaX2L/p5gqN/CuSHbwYgpjwYXGNoAvNwCXkSzSEr5+JXMom08zJK19k/RtbRgxToCtM PqSbJixlpLxvFb2F5l9iLGiR6z4nnid6/SChq2r2rcpbR/OGdH3WGZ31FA3eR4FOt5FBmGZ36mVe hR5yNNpQ8/6R05aXQHZPvj/y3VHHIHKR55KG8Y/4BU99M4LiIs9eGzeOX+nOrXUdYG9XHViXCory J+jFN9VLS4p4p11gFqEgoBQ2tA7p8MU4D83hb7xIA08T6M5l2dTTZdKRFCTHAVi3jnYQSxFdE6CT gKXd8hjuqzsX7E9EDe/icKh1No7Xp/q7/nfIButvr3SZTaRP40gwvtbkRQez3eqo6hcXkL2LPCIx plGGu9cWnpymWllnliW/AJPGqZvrPtXgRqjJNUtZ68P1/ZJmx9WTxb4NbekLE65r0p8QI4BTi1FD gm88NBwj2rLbw6ux81gYtHZoPJdQXQ0vwoyANv9q2X08BveaqrLDFLV7xyCbmJusBeNeqgEutyir zUGM4QFQw/rw1WyQLO2fCrYOuqvvWP5WYOQB0k7E2x09guukmficPu7Vlvo2Agv2oG149tUiXBId OZw5Q+E5qiYiyDodeptjTallhO9jiQqNOD8n+jD9zSofNTSujSC5tx/6dr4xDfbMi8omZLXnSOP/ kD/WOGXs1+d6duAa/rWdbUAX4Z/jp/yO47n9ItTlADr/JQ519P1AxNu9TI+7YpDcalAGhk1AKmTw QmI9AoS2GytoLkxL+Ur5iJyvQSFl6PEsDD4LORpCjuJRbOPKAM8FqdZzJ7Snli1yfRhLj2glwJLV dJRScLMBjcGLXttLCJfM5IAXs6x+7YmqMUNaqCsxrypOWchQxO9cVCw2c0Vt+bG/Y0Zbkp+b0NPX e9SeRTpZKmYDQC7h0Rf1AHvzJv5ytnoSj8ik4YlJlj95FMiE/3+sypUGU8/6RDZnwJ4qcSalkN0E OryskRDqKD4M2/WcM3qOsVRjXrdZSXv7HaH+NBLlZaKcErfbq7fP/aR/OS3HFTENQlpqqEXWJG6A n5+2KriKdYkCeC9Mw2usX4sJxs2SRHLHHruvt14Way4Xmj41rLwLSqZHawFAMav4VPjDtEv+F50s InT4lLE150Hi0JmGYBFvhKiUYIaxpj9iBW8DUqBUfHoS8q9Ephuk89v4sNMtxkazzLeA3Y5zXkJ8 rdN+u9sit1OeN96zM6PVJXjmWXGSauv1YOjkTJjqoVKvG2+9uGmToRxpJagRUNhTYUyLWccVa2Y2 tkNl+xEj2JmC7txhMP+WfKD8CglW6cPFlvrdHyJeNuAwq3kkdX38HheowxkSaMNxrmMDM5PHLci/ RBCeNg0hsvIKzjYKRq2F67US9Iu6+UaqKbcqQ4VovVRThVxAb+l8SiflM2oVIWj3uXPLkQtkGEmm 7q/Cmc8s97ElMb/OLx3N5aViZkAkz1idWY66vwlLTmXloQJrkZvw/x9bDQFiDLN/Gx5ikLBtlQ2I 6R3TfSrn5zVDKw072/UaymzMF+tHv8IgDmU52FUbEYUE0H58iwpCiS+XiWTZRcShPI2+qTJW5AcB I9kCC4ifZvagU4OZT5iGXVq5NLOcHzRcpE1njIIgXH0tcjBqfIHMvGl5qUSSQ8c86E9SyIfTprSH OvdKevXA2Kz0hIzFeLvXwa3+B3BHMP5JSliObIKVHJ7Pn+1c+j7oXEpgsbxTXXugc6jom6KJ6bCw JAip6L2W5YxK5XEJkMCPvE2AM73bN911MqPKqXOtLzJjOR4eB72NXe34R+UGr2RIBFkLTZMK9Uqj HGpKmlUsjNciaRIY01MF/ZaGHua3c4hXW2qp95yY0uOnOuHPxKwvGDrkoCqrvoz1UkfntH7ZBvgV wSJfXU/ki4UsLdg3+uqD8JznONlRKzBuQaQKXcpgKZhHKOW1kEqoBFZSXG+Xf1zXdX0X4SVLWI00 2bRLYaHUMfFDOmqi/5VZzD+3F8QyczGvrjPEhyaDKtoEJnJRZGWa5hrvoDfmAIBBV014yn/CTSYh bFtHuzjR3OsMwkqtpQebMLMOucNsqtVeVax4jVo/tJyHz4QBWz4jzWYM2v07UHnkMjmEgplGZ13x 0RoSZLMoE3pgh1u8JaEziMGS37Vr6HjDGVq7a/J9h78MjvedO1yhZzEJSwBfyZLjPRR9bF8cWTXm 2GesTpIHHYZajB5qFu8pykNucjOaPDAmqv3+9bohn1iGnA3T43pYPHq7v8jiQDvPwZ/MvHRzSn8Z p85m1RcOsmX4IjuEpl/FcVk9b26Cor60nlbvl2RhMNZy2oU9ykfwD75I+VHB7qkgqo2lpawwC8Bt 6W0G8WFmZhD9ArpGYtXZuk7zE0VBQ0x6tQbiBrvyjTwYIEbr7YA1tVH0V+m6BEyh8jgcF2pcFxw4 67TejLZAisNbGp95HJzVj8pYU5WYabqh0tq2TkZpXWC/LXXS/HYQXmhhae3z/XAmj4WhMXdm9mDB h/SvY1Aw9NRlwPCctGiKyLqN3lQtuBv658qNjj/CSK8LK1So7RmA3TE2M5k9qdBJalHjyo2OyAIv 8O8NA4swV6OoEhz+Qo4FzQjM0+O0ogEaa5wIb24SIRtHat7P9FQBjPENVBF0f/xXjp5O8Up4UmeF iUuAuGkrNtMPnJy5o7biDNOOV7GG49M9+MODF0hktnETV2QghIB0msXuwkVflZVXeTtq3GKvf1dp O3H21n2SprMR4InVATIMETnwlUr5qqFiBad5TuXRCTRK4gIhUnFPHw7HRGIoN4BqzBLHnlRYDzny I25Lrv4V4VAflcrtbZpZDKGDZzI8IVDD8ifdZ6QgxwfNJ5ESBiVd9eR1WK/8uIW0HZJ0SkzdCciW eROeJGsIAMawqtAKon5u4D/rIixpZvN76p8O5iSX3B3EMSWEsCkHBjKz57xT9m2JmvzTta8TcWIk hF/7IsyqSeOkp8Ak/uLbRs4aBK9gPEmbL/xd11q2FoccW0qDPCcZkfsLer7hB2sEHVHJcpZ+FwkU 9DuGPKwTElapfMcD676utgDNoU4UKpnZYgy5NywJQ9mfd8Yfz0jsgj1QkTWwojZ7UlYCAyJzNGJr patLWGgEUB1z1Wv9REByTJC4c+rOQkM/H54xQHAn/EwKd6rZt/vpklEheHY67VZb2w7m8y4zNoRk Z8p6aeuQjgyyzsIsPpkIvKZT3Mud6HzIdIJijwQkroGXk3XQW6rL4J38cZjBNpmbYOi79BGWQSq8 kb4cN1DSW20OBOy8GeaAlxGGpNI2YEo4daRKIsGXWOEfR4ewB0KewR+T9f9ZxYylxEuZEvAaOu8C sD8G7P95eshTToeCJ6Z+XB6EQ0XGqc+ZYiU5jjWIH/x+x/jiXci/VZjMjyL3hI3R010xVwuoDX3W pUjeYoRcRLXf0vpz1J5LwInU6h6N4YDTAqxFMlSteWLThOBVwaOFNS7jBsyCG6lxBHZwwQjMpiLk MxBJuogPt4lzVPvgUuythPo9hZOy63mSd5/qDmWfgsGrijCjI9YmFojr//MvZ7MhwzSLQZT5Km0J TueFUacjV5dISqDYENtqjbiqQiWK7vi07nQYgT+Cs25gK8WNhfDM5/7dj788lEHcp8SPWp60+/9x DM0TpZWDASC7khVO/zWgsmhIFHdRBSg4jJNlxmz+Z9vO0Eys1k4mTzEJIEQzG5xXEjeNAijTEygy bzbf4KIIIiw+hdJhMnHywUbnptxJu1lIlvDl9hQAxqx/TSqbPFsagWnyg60Aea1d8OzwzlZrMS6l UTo3+vk4Wm5vMfALucFxyyMPKe0vHDOa72uE5BAx6DHly7gl/zpLejxo5XsovYWr2uL92WrZPLlL VZD8fUMLIMIlvkbowVPWAk5HjTVSuqNhlfksHmLw01AiXqHXCOY5Q2L5NcPaFU6rrXZucr8/n+5u opKpznHAtFSRMiLNhZRF92GXuWxjIOGSE6rADsc9GWeW8/e+Zl+u5H6Ka7RHBgnyqoy9TpfS2ZzJ B1UkkbfOPPctEJJaPrZ1Wb76JPNr2XIUllhMg4bE4Tv5bcsgc5U5LC8XyBcEdeea4atEH9dSLeVo EykabloHRdtuVVfIOjMCdz4wnZKedNNq0kIQFVm33533VH2+zlFkzFzJBVndyT8aYpSBvEE7MvUV XmA/LgDJgrHAaa8ARkaZNgdc9XEPl1FOjSamqClQDmDsEz0wDeWIffA160qbkjtbLIP5j7uKjE4x 2KO8P22LW5fvakNJnfRxbUqseTJeIqDGlPgrZtaAOSf0Pd+EBAcPP4wAVRxllMr39RVe9Ug61fCo u1hayueIyYhYDjVVFl52LdJ86zcz3FxUnE43yAFgQNhdV2DYtGex7TAMyZjosyD+BGk3fzAuIzAs Mk5h8mnoE0spLS7VK7p0T9lAYYFyq3iwgNtf/9FZRvAbQvrmpVlm0ZrXGzNq1roRgRguVvcM4UJz YPjUSELFrsokjt2Htitps97GvR0KBCyglKL8Fhb9JQnw52wjzxyngyIPvLnaA2fzIZE/gSkuDQHm mTcPNLiMiC/G7WZIjRnzMEml8XqnUZIEzxFMTdZ+Ysoej26eop0RUVd7LqvDffDtOhc09e9WBljY GY90NyyMeGXntrzfNxH9s/uoMhvYE1aaz8LSE6Y/GkwSD2aOeUjiIZtQDhx9IMa6Wun11vGPGrwt tZKn/aI1ZNa/K0jYrsIhw8Db0wBr94maqxRw1UjB7FwducelIEIVIArmRVOMYeBlx1xBSb2uxXJ8 FjjzJ5Z+jtxxhL/ilkDJqY1oflI0trii6HemMsMkfTp+7ptXyZKK5wEWC8faJrwAZ7EXpCBSjZXT BaXRLBbjlTb8fr8qWDLLt/BRtNePhif4cNdW2pzZvo5hU6+dkaOc4+bywodWMg3a1OUW6n2L7oSH KX+6pAMjQouhKc97oDVFqf0PmYK5kNX1Hr8lnO03uR4Bt0s/53fNZXTmRzB6UfPpQ24B8G+iC8A2 hcYU38wZPpb55+xlNuxafsbC8E9713bOomVd03gupniN99BHxMmAIVk7IziCWUh+f4dwjAXTKTGn VCvuOUXHBcHG+tKhbTUapLj3JxPHLIes/SpsPRqETCmAq5oeIQE9F/J2migYDnzk/rwJpYbVs/vw XNIQ+t65PIvkTF9zGKbJQXhgJWkcsorE4tSUL9dzIfxG21pweH7aGDUZL64yOcWieQ27RXjjYJpO qiW+33VYGDiGqg4OlBl1Vj5D46gBNJiT8GuCjXP2SzrgkW8XzmitNE58jqYVHt4M+MIvKn7GhYd6 zvGVGEBDUpjMFCwJgC0l/HZCoON2kpO/XseGD/WfKViNXEzK39r1ZtJVUF8GX5nGJqD7B/QLGHGk +FtNvWSEwMQZYRLFy7J7V0nbsYKilLFBvCb6G6aVWkUT7fLyy5SzRr5ODFahOCNHJNvY8OFZ05QT I5ms1oQq5J+FL9eYJCxFjqEgOaETl4Ee2U7bCzsZgb3QbOluNLIovnIzzjsHIQxEU18qwg6/nQTg w1XQKS8jD5vX0W2tFsgvUMs1SXxlTSHIQRx6qa/wrAuTMeXdMX5ypRUcU7gVUGIi6bY4Z+W1Lkij x9b7lnhIfnBTTTfVpD9ZzAHq6Bq1ESe6xBCTBsaJTrvK5hJx2M+aeOhZaTfvvU421e3uozLae+M1 MjrexYUXsy5KRC5zIgsfJSqxPy4aIYn3cxBf2AVUCs/aA6qElw7XtSuA37IvGLpqbEmVxaHi9OxK /iX/v/6/wRb21J0ulmqQy9UYMCax/avGfzUELSLwkaFUcskTI1/erHBVVHAAeDHvo0WOROW1VkHa pGczKhT/cCam/nk/P5jfiOBytDiMq4O0WU4H3h33KEm8D5J078eBCgvwmyra9lRcWfy8WXo7myEa Hu64mnJktpr6pxCvBIZ6MUcVjYhx+bkAZjBZw5e8uwB/rNUrTrR51IVe5f1AN95guEDlkA7+o/n7 CtxOHeI6b7OrPXA4jBQUIGXb8pP6bFQ/1a5R4ri2dHzU7yQig4h1Q5kGhBPJs6QZGxjdPx70gAQt EvgRDtkwwlPsKbFzgcF/eHJjRgFr/cnv0367rpfaalvNfSLbplOOoodMHQeyC5n4GesNnE26rmax 86B7L0QkBHXQZ/4bYVOghrqySJbBWvln1I1tJMAu4TlXw4KSJjzPj87bl2WujDQytHJu26LRLA5c otrUUmKZO78Tu1gCc08UcfCsLTlDrqFfouK8HZqQheHzdxltVgJtagl6S9KsVTiKAo9bVF+cENjO Buv+HmBIC9qUls8Ackug0H8sWWeSDGBQNTnQPvBdyDtnts4zPz2+a0D9/BR7hHfDiQiPQdHfR4wQ EhVroEbMpRynmHv8D2FpfCi/yMvVV1FEF0KNOXEdBgG18MWGXUfRtUpnJNSxKgETSU72duKztBPO Tu8qh/FPBd9BdGRLRrMKD5l2PYq3Q2SctOWONwrXPZsy6sZvQOjwIZgVv62pqE+Vo58JDZJxS+ln 7nZY3f4GExLhfX23Lix82w9VXzYwBrYKaTqg4mE3G8yDVcROdgQ31uaN8I19gVZkB/rWwlJJvW5f 88u0Zy8EgHZNrLWYd9Tf+j1tmjPHtMZ0HcMisf5C1TbQ354BGRQexzTQNBo4yp0WM/txyCsMoGFA agieZ+iKduIB1lB87sVe8CDHjMCJWQj+6bRQk3xImsRKaKul60+HgocXbUpl1DSjbG5jJSHmdgpT BXxCbSkol1xTvW3VqcKrKxdscJl1SHy07v+suFTyd9sTB40AAX+t8OOkDDTWhHYN5nC6VtQiRzGl dEnNlDG0vITHT25MYSJdFpO9sK5EvYtaIQnqIY2vF20s/ODF73g9cwU67TDVk8ok3Um5eKWRXEn4 RzGpL3xmMxLYEFfWENZ+UuqnA4Mdqj9Bv1Ym6vB5vYK2v5qHj0MfnY2r2S3dj9S51LLJw9km91yP LmpzMdqqe8kV1KTszPPXMEL0n9TEUZt6DZ8wS0fOMceKbAaMcPjYSYO6OXju5nikk7ZZYAszeJVP 81hqnTD0nkXQ8Oh5d9eWek20d3gl3veELO4cD7i+Y25SeO0kqqMznzdy6k60GZ4hNwzXAeCdzzOS 1MxYAFUbGjd++SiD9pVhaXhbYgTmfRo58VqkUe8uCI6iXfxeM/lcqtIrVleZ0LdhKVa/wQUMVxif oA/Lzg1iieJpWjMQ3r1IYaKFeHtti+j0CgcLcq0AwEh3NL6IdL/k0OkR3KGhlAwlcC2EE3yXraUK epmTb+cdsgKI5XArrujBHQXk4DvpDFN4iDvgMp4TB5KuxWwfywA6if7LLy7bdjNAC+jG6I4he0bd zOZfdrKFZg7WLDv9VnSS32XToOY9cAhiFkuSlaOxXfYx81tD5LVXR83nCWLROcrhKzRUhQ3k+m2P xrUam81QwztSj4JfK9tAh6dBoXdDs8c9mqjNR3oLd9imRw+rzH3ZgGinhEy5gcwcBCh9NwzO6AS1 l2mkB87kU3xpAN63PhxETL6jCbo+ndUrhv4S/ArvpB29I1R3ziE3WRspLeIKNLMcYjfMwGAdPTfu BFaEh2wFV8wM13IeS4a9OmWKkp8Ee0bb0ipC3SF8GUMwprVDCQ0hvoiR8jnTd9Cj6QcZgn9xNvaH wov5eLs+Ed2+wnC9tGoihHrMuGkRj2Pk3c/z88Wub2G6zIXahICryVjqluIsnVvC7ISz9GuN52Xp OX54BPKslJCk2M2BKGJhK/VX4wYtP0QjbVJvWIFSAL7uGh9kvdu0Ku9W6nwfHYghZvXHL4aTF91S n+zJGChBhUdjajNEeJu97j4lw3hqdf6i7ViMe3LtVyLaPT0fSAI5i7uiz9Hx/QonbV/lrozQBy69 kXYoqVwmxCv5oAhufGsPK9Bh9y9BnJVNV1nIiGeCSljLIk0pDFL0UldJSRSQED7ApI3lbB6h7aGk q/XKURkyWAFFrdq1R5LL1eX6HKnL4udQR/MRVyjmvVEGRmQLPIX+63AueSjm5K42Bpv/eI4LnNtu IMaXerGkuO1yRn7WNni6JPGAqqGjj4BGfEUDDtgjC+iKm3WC2TaFz0tRkIgtqhbzdlxqeP9Q9wo5 PezoH4IQtswSZj58UqOYbeUUWREWy5Kj6XwtdqRjo0MVHYBo3Zm5TwxTQFJZK/tvBnC1i3+iTKDY ikubL4InsRyT3Mjnytvqn/ZEwqkuEHVH4oQGo+VOwpS8t8b8l6RYjqT3SNR5VaN+2FRM0VE5ONPJ a/+nVWlvYTAAuxeie8Tdc68Vzb3EwrMvfjZttA+vq7YQFxVJTOq48yieYzQ/k2NPTtgPOlVjaLER 3DYeWl1lcbECkrxe4jkjFGD8WOKdHk7+QyedffQ7CkxK1g0PiTlwTxNiev2QZcS0ovFQ4yxsn5/c sTpenqWs7V+NKXTvCAeUP6IAUPI1EHpihp36Y8HTzYywyicSafpJJifkRp92nQs8yBmmxzxvtXQ2 QU7UNAPQOOufZzqBmmhdI1UfYI/zPu4syNcObbrOAjZQKTDLfpOE9PFeVep+DZNTmEWSPKYo/7zf JaRevH4SNMFScKn0ai0HGlB7osfQN8JBBbjIp5sH5CulOAMoyTt/P3pcF6sqYPaVwNq94JaFB9i4 rCUlfXPsusLpdX3VMyBxX/3Bwxbrq4VTLeoO8NaP+fzDlklDIEL92YWd52dnn6bijNjOOeQaxuQA /IbHHq6xelb6qqBtveXJD1B63TEPl4rwvte5fl6mmUNX+D5mc7X8vrL0svJntC87xo7LkVFlyTjW 7npGCrnlXcR7/YRGwPkPQ9TTpWFAUtSTWLCA5WGlJ7nUHfiXud0NmnUrNd09Ip7WhVOi30S4unkj iVfm/erfVmICuV6R9BKybYb8rGwzGUBNfnqqIqqtOfPHo3UJP8VXsXVhIMhdBTej3h1MwQN34Es3 h3qGNrFtdLbncdW9LJR3TMrQieFPsEZtfWdIItw/w29plyvU4GuqR/Xyn9cPXIhQKTLPRhqNJtdg RnaVVsn8tHmQgmhBRSH3TXOUIRQMLQ0/Gfx+BStqhuH0wOaacP4ESu1hcHnh4nhDGh0sAJ7MA7gY kOrlt+OQ6ii1CDwKIz8sAEWCu8HPpMmtetv5k0NR8GCwoVFRL38wJk1CnCWjzWbUXYwPP2BKSzqx o6EzxL/yQyVngBwfy3OT2o1y3kKww6BpYf+gYsLgmzmY7FfQL7ME33E+vY/tygMs02Ibb5x8/I/J 63wZqfGCa9p0BN6kzsDmbYTCepfEpowJOBkBl3pZeW9H6DThs+x1l5gPl/dID9aiHvy4yQT3yTCa lUrQRDPC4fOHF1Stt63Kio/K7CvK5oHgKFiA/hcTuTeeLjEu0mXksu+Cfdv2hcxi2gEuGkA+40Js LXNhf3kEKSc/nLPDlitwVPn+jkA0LOQg0z8gGCpQKIUT2xyowiB0MVXvEnOo8rs3iZttZl/wgHQA xxMUsUoTCBBaNszHF1poNriERd62mhMcqvv1emdWaGh7Ks+rXkkxnL+yX8U1q3LG8E0O0C9cnY4T /z3JcGUpYeGuj+cDOroErWxFWPJzO9meh09zHrlI6zlVyeS+39Kwx4kOawD6Iylja+rbZuJBzOjx 5YE2I3MbR9wX+VS3QQ66Gz3E3h52KCX7aPerAfodXMFvTALbYRyTD32wYlqkO6OS+bWaTChWxoPx kh4067VBZwMZyIzY8/BI8SHaI1Ok/C4QfMu7vqMNh7QmaqTCEDIQBxUdxKeZMIFhKsZWILa8QIYL botE3wMCVsLtlAuLTZkFyaXA19ISZUPdVuJJLDVpsNagJTJKbqIrEsR2FTLwpQyh4P62n0nMD+bg FFjZmglKhJXHoy5FY6zLQMdOHl3O+D7Au3YxdiCoN468/cmCa9PL7vDjQj3Vg3y0tkfOaFEjRp6p nAhGNUd2kFJidgABiuwLZ+gYjoEcmAatkL8EU7UCktUQugN8cM+mGjgtxgm3cVb8pmS9B4OT9ALY tQQlcttfHsxr+1OydCVLJS46Cbn+rApgRI2/e3Kac1Qm3+xmt6agc/Ynsr2bwueiOqS99p1sblHz aRIcheGFQrkXwue3O3EzucJH40Ng7yk4grkMO1lC1HDb3Rkj+aaiLlDljofbEJ8EqnBCU27DFz1s tQ0JksGL5pS2tTYaoiE5BkuKekFHBMtb/bqXVCgfTSORoEq311AcIG4ytwgEHLyz4xK2WsmZ0WbM MtS6cyk2gTS8/zGUJfXR7Rhc2V7ZGJXJpNSjxpK5z29yNBfaBJx+eiNSSRSpq/e6I0xadyHbYCJr 5iqm4UBbcLIjGZYrBh+2/g39tYDaacONo17Y/vBNLjqelQGx6YMmXuvZr19hywkVSW4yp7rzHVeK lEJ9uOyJoIs1CSU5VXXQGK6fkrvA8E8+EvTehhlP5h1X/NhE3Pj3j63EW7KrUMWE+6MQZogf0vAr BsuDU7aFsbQPGz0X20BJvMNYlcowkYQNXrRg0oaC5rp1+LoE8P8RxtzvDwqZiHJp8J4H0+ihw5uA EC+w7kWJI2Y1G87kc9UfF1lGXULdPz8ORx/cCooJW/pPeZeGDG6B/nCI+HPUsMQJXIzg9l78DEuP Ma1koGWfS9aq1QuTwvcvxRr8d64sAPAcvw7n5IEmgwK2jTsuR0U/FEIAc3QmxNpQZ/nBaq82aedc Q2iBeYhwwMqZdEkViOfYrPGQ9UnXGX2XhAclONVr5udqEXP+sM4VbFwdL+p8xsd+5hs1CauK6jm3 r73RhdEzpf6mOhmDejX6q9X1N8YqVFrbHC0NN/D0u43bYn6UQznaEQpj8AzEQoOW2D2Ab5Yk9/7I lg5ghXj1I7TROobWgWkmWr8g5Ek8e9KHsTFaLW5agHZVjKCHWjbHWzp+n+V/6SNA4hlrEXUuepUR MnPIDgVgzxPDPTuDpXTlgYHrmFbZaMYXdOP6szAPvQgezSxtXZ6GaZ2n8UcyvgaKM796Oet9ExPw oTztxmFV3ppoRFZ7cH9EG5fVrnSD8+9Sbukqty1goA+BfH9FKAsevOYmFURFDZ13bLYrJQJadt1m DJmmoyz6z7jE+SNrWj7XRntEZ2Tn+xfoRTboRUtfoxGKOWtREayk8z5+fRUf8Ba2EyI0QEheuHVF 7Mi7ou+yNU24RIlvVqlw+zIxYtq0wiyavjxpx5aW47vzzp+ov7rFs9Ur1xLp3g5xCP5qx3ztyb+z D+qoYnS2njgMzkwLDCrX40QsGl4UPLAPuXfgy7Vj1PR4EyBvBz4ldvJQTprpqwzqPhFT5hh0VpaI 0YQ0FPKmdSSw2xYL0tIST5Hdx2etFHcoQ+KB0bs/Z7CH+uUc8za9uHoTOci2RvUyQQaBhsTus0WU uRshrReAYdQlnm/cbn0XF8ipBHTyppKKVX0DsBe3uSCHDybRj7ZX99PvSPKk29oQ+Gyj3WXYwR2J QRX/zPvKnKJydFVk5MuWBlAtY1+nBMv4Zi7GczaxCTPL8q08AAUMZOwtkRiq73TWBASyT7ZbVFcN /HqpVGCIcdohaINLIbxriud5M46E6F6d3D2Yixb/E0bRKL6O0YhgVxiWNjF4vaYYIMjLJaIgRZ4N nkoK9ofQifQnMEegGz87xS3vRmDmI4WVj7+Q6z3qGGtb8w4CDDod3UJrqtqZJRGMoxKd3wfwlsGV RoJ4BdAMXpLJILHmxa+sbNRozZ3gROQvR4p5OB3THvY11AGJaLO0sbsAudHJm8mfR1kG4eoz9RIk enI2VCMcor6IX6vnhsKejkI2or+rA3bpNLZoY4elBK8JHP+vhHCiYrwgoOj+/ab2Tazcfp/j7c/C UUyjKAJ/sn1LQVUZd/aEpeopD/QpP8raQnzEz0PVUKMZIg3p+EFwcu4AZbRqNfOWEY1s8Y8WRxOE COHKpbyKv7cc3XBoPlwQvFuXEy1JhknEX7jqPVAiCio26t97uMn83/WQafnR+j/NyE0tUUaTUbvy MopQ1Gj56QkjSkQz5ZZyZgqsUSjvQSuIr9O/KOjsS9l/WBsc54KUPMUWBryvR49JzzSYTIeB6R48 p18oFyXjG1eE+fneh4T1UyAJkUeTWl6C/ZvlEN4Yp7dPorz8tavlSntKJhIYjhXC/2v1KyQK/y6R CGZtEeHgd/cYq6ER2CwI19xrr4wvL8mLpEOKvkKBg0QUXmB7mHF+VAE5xA96a9vWxzJk+OH54UCI 7AZDLX8NtZM8Xpuz7BaoGk2gwf8X2QNOORhyhkY3DxH9QcsKkUk7ENsaWIA0828fb9yyJlnMmCUB TyFYNTy8qn5v02bblLqurBtSbL4iVVLzdhLTUpVZSB3RB/WVe0XFvVehNpEAkmH0WfDcyQg2E5WY ZtK7KDorEOZXUfOqpKcaRp+NS7mcD2ofvYE4xg325Di+HapflHwGUen0p5Bl749T+vOvzEfqt3oA dwgmk87iY2L2ibACYJtP6/99Km59d9oYAJHl4KGYBgOibaluv4wAFCw+WttMwSOb/P5PyIHKbjwv ZsSR8qULLWOzH62pwBlVGIcO1RLnCI2Bb5fW5Ty0VmKiywPVZwKti0W2POe5CIpdlPy2WTwsxjB9 j/raDXK81etR8PtepvrtQk3s8j+/LTleqib/OtkRd2lCn0+8KzR6W4AjeW4GC92fdxQ/sO5A7Qj2 WgSa23uB4eQvTg03Umuhckq3G1LvUtB46JbPxUemewD3Psc3fCIgFKExZr/qzbhSfCg7xOR4SzkF hsPog2mURIUCN4fBPwqpCyi77/wcBbjoU9WnkW6MeYijByajNC6dFMwEf1F6TNATFCQoptXaeLaO ItpOj5il2HXx68XCqWBEOx0mQcvRkUX3nnW6BoImEsU/Wg/Wk05++574vjNUf4O2X53eBK+CkN/H KSVs0uyXHGwsdiC39d3rNOmmYanb9f56dIYvVHcV8Z4CRN45Oy4Sq+ZBNhlEPL6bIWsJuorwpwzZ AzC9YQTOkq0YydwlDJaOojLdw6dJ6g5ohzaXO3NnGV1GgmU0GhqKWps3KyAOjP+TQ7ux2aAP9TOV No7AYgiiO2hHRYkOR6+VCP7t0pIcJpSannFbc3v5G5QryYaM7WLPV+JNaxqmr5N9b+JxEt0QvdDj JRCueqYXAu9ZQ6YduBtuyjFcrGxtsjOSxT8dwVoiVm1CGIVZk1QL6Q58JIBLNDVAe8H7dCwFjCPg hhbivcD6pHi1sAi9gFUYmAZy2xW3aXoxmQHtGTrEZDNs0sZTFABdD9pkSaMoe+MXMDCLXqKGgXM1 E9DfItxQ0xyaQ1Xs/Q8sbMI8CAnst+TtZgqEUhk/LlnWCsfi56JStMjE/JzcT7HbcHOUep32WeyE OTAzIAUCoN6ER7lXC28LGi0PH4iSx39/DKsUa0WsfSVnN8f5XM1hEQ8mtVZ6Xm2qXbZSGP7LXFeh GDivzQkpj5YyJAKkYY3EVpBRiPtlw0RBkZAnwU3DNiF/hXKIJ6oKbHc4gY+DrBYfKn4bkw1bKnkH NqFEusBelBrselMzImTfk3ZRmN2C0mFb7q4cnbRfYbd8ZmjaeHwx4dPkGQNw2dVrXW0XNdXaKfHy nSc6ABSEuF6ANRgcCp0iziPru9HKH80ezz+MK+4zGJrCQpx/kJOdVuCfSRIPVkpsS2jk3Nj2bXPL w/K75oaJ0I1UyGhWc9Q61RTPafn28yypLTU+x6LNrVVi/hUirepU45i3+vrYDqrun/fGWwJYQZus milYAKhkE1AmlVZBLv/2+vaWkEeGhJe7kWbuJdw1UFvxzS4PQPEcxWrMO/t6kyGAPP8edH+0WjB2 HfD8dDQP3HHOCJ3gOWWMTRIQJYnSCGXlixa2ZACsfckRnwEtny0diuG/+g8Rnu1eL7wxvg3cCNbu O+usnPOy627+0pO6QuqSrX7l66WqHHH4kADK4rsmMjuV5rsSjJFw0LoPW2SNA7QCEzlPGd1L04Rh BRQfdMppSE+hHqxxscYMa1Q0SgGVtLa3CLkT6B6dbE2PB4Svcyd7vphVFI0xIRoD6RQSBBUByda8 KsunRc+lRbtOAerd6KY+Skhmp3KVN0QzRSviGHh1YUgEweeC5rGxMvN5EcvzuTVpVdvFOU28pRFv cQ03tcWotfDe41RLOWQGtx96BC/4aNfz/5Gyy/RPKQ56pOogzdTnNQ4V6i7ZqDDweZGMgWrY6RQg I1mJkf4+wKRtvZbl5Fj9wAY7TV0VWFbWK+hPpqraxebDTxLEG01LXAMQPPJnfhnttcXJjBDjY/xC uhKmip/LffwTt2sk+1L/oE+kXd5IUUF4O4TMY4JxW79MVHNN1fp9YvEnbusuC+no+tUZvr09YFkA A+tPuOzsp00xZXaWDLd16VCCU6Ycu3THNNekgNoBrm2VYMbyOXE71Ccsw+OO4jBCrV8UJYhx/UIc RLmTJh4hhb823e+Ydj1HYIcF1PubTTicYQsiAXkQRX/m2GGUEpuRWys11cXrVSQph4QoYocxSGeb e/Bq0EcWVgiiAWbRTPvZ3fFXfsptxzRzxld5x+Gx6US6vLgMjsVmQ6++LvDtBrxGAcL+d6bt+YaS rtgraL+DrViA7Gd6joVaJ2UOTX+Ks0xn1GKxVewxjyqiCmKV25w/RxFQ2bDfZnceGOEp/IfB/Ove dXW2MRwWD0D5I2QN//3HVNCUqWw4/GQDk/oerD79Cx6qHrvrWsK25pevhykpQvZTto+Bup1vbuxg rdZ8NYcMqa6751ZctIPj8OVwZsHk4NL5wyCqcmiXpZmcwywyPgB5dF3gNUIWDUEN+DVlR0nugx/F VMifYxScT6GqkTcPfuMPABvY+H0BfXhDv3g39KbO+3fvte0hmbzHP3f9y782ERkIwiigcxmMZMpN GA2Tm2XT6fNjahl4Sc4jXvCB0s7K7DRsqJSR5T2b/RDsGz1HKtAfHd4QwxFmSimJEDNKEVNg24/E Rrat+i4JSKXE+74Qq4OPyDIaYWZJqJrbtPiTDgb+5SwztjtoCvqWwttc6ZS3OSfWbuKNFYtkAKJ+ jFVB0Qd67dezbYZraz5Vs7OY+U+aMFeXYWDVbqh0KRYqTlRxbY6ty5cEJq8B7fIyuMo9sZN8fEXD LKPS9RdVmgE7OMsSbwmxz3IcwbKQLkakat+cHSBUSmh2DzGUNHbvDrJ8pDMaos+k11oxEYqsXCv6 cRhcPPrQ9ereNLFIwuOPjNlQediDqnSljPuZS1Z40Uu5KBOOy2kGaaFozTGRh8YlRhsPjSNaMXQ8 I6pp/r7/LTBD8QbjNFS33NpoDK1CF7+MibSO80h/hqXJ0cMmCY9HV7iBG6eoe1+wBlr6MyzxF7mQ iL7GEfJC+bmr1v9aao1aCaxp+QeqUT50B6TFKF4NVxM+17znldulr0BfWsl3Km8yXhtMxBHIeA4a cSl8gIGGvzN+7fR1j1lhuzGLoqq0fhbwUXdlwB5YNKlCJ9Sl5E5iz3p0OLdmCRFoGtN8w0DvTDea Fp9Pols2cHEMu11k5KDfEBMBoZBHZh6Q8BFuxBlek5c/3v/yqm11q3mGytHKyc4Fd86K/dKY1QBd ywY4jvDxo4Uwf+475xwmH3BzOHrJtVpegG6BpmS89ok+8S/pCtzK3M5D4hlny/5fC+eeuj4oVzlU HD2pkFJ+SaduzDJZin2eTEH3vwZm8HnW9ngCoZoJA+2dLKF4OEpWwOh2H3+4/3oozgxKd4OAUi/+ Y5Vaw3IERldrUxU5CopEI5P+FVPo7qJRywWvljD27M3v2ZA7mzkOF0ETrl9WRfwLurMaPEZrhXKU SXiP9ZDI5rvfD57RNdcO1CF8s0moAp5u8/kGYpCSx/q4KkHFzK15VOqvKSRq5vuekulwiolh8Jpf VmCiNZrKBFXaCprrBBfhVoJ9xh2bc/cvrF2iFUhFy2f+ASDDH0lHaHG0TnyhFarFd3NwKmyPrnRQ B2aCxfSG2TcY1p6t6GCcQZz8qMXgc1xecO/DyhWOPErl6lJb0++rBsx5S8B/el7lJNIvfuIP9aMX k1GH4nZniJdxYq5CmnYyeW5IoPllTIxY5qwKMfrOEtPjiVac77nT/+WkbA4w8EhHJSnBHFo3Girx 4iUxVx82iUmF5sK413A00ebY1W2IA8gbXwtxsOq5zL/pao/Fnj51nywtXUnLhFSF7DG7diPnxwGP YCSkcF/xyBMYFsgfRaPLK4LuWRcXBjavbQgF6p0wY9wKqzwoTEYrwlkx/RYI2wdq+oqq8VvOwm2q qq0uMaAfAKQYFjdQj62QZDyUxn7XWl67OjyJh9cwvGPmb5pauE8Fgmt4oyCZVYdrrSeXejFTsanL KtFbnekJGB01bgoG7K0EdwATKdmsPjD5d+5+2ch1EbYQ8q9DTKtJJwATmwK11oxGt1/rJGBcht2N lwSnU1Pq8xPvaXY/ATRURfC7JPLOQ9Xdodg6Ba50COgGmo5VVCZ5wzmrSSTYsXG+7cB1/xm1Vauy 0s3MFtvuqruvHv2ThmCWZgKsl5dMgvwvyO/W2DRQqsu32C0H749Q13NjHVznEZQa1+kq/P+JuGun +fm3C8CN3J/gB6F+msymz6ILja6E/CWm654KaosZ4jmHx4/m6qREtc8Ogu5dhlh3EDpJtrCIjIPd XpKpYblrX0fC1c0VGeRROLWAZzvzPhkyr4FjHbmU8AcIXya5qKvuNSjPEIVrtiV1E1TBAk9LzIGc C/YCLq94B9uRXZxnHYiJiVnBY76170yFR13O87MA7ApzoZ7ieWa/n/0L43JPCUmO6LK2wB7MP2Fz tdKMre1PObr1LSm5s9I8OshjLxDPdAPRFtAQzQ3WZwwEjHoC9hA84Vp4Fv/lbaX/a1D4SKOXhGwm VUVmJH1B+HIeO20OvyFHwrjCbOKzke66CoFvCsmcIumBTlzQS8RdFEPy+Etb7Ret4qne9WzYnxMw 69IATPVG4ktzdGIY5p76fnPUtPpz3Bo4dzJsCrWvPED9cZyYCJfYVUNWfZcW3bQ1aQTGPjOZ1POR jKexsuKhh5NlxIDB4KFJz/no6pKyuaLh6TqWEC9w7qg81a2OsQwWNKirxvQjJ39UCNss+5pGMoIB Ad3DuHZnTt10uT7d3KvbN4BdM43N6cwfgJ3LXmD0EFA/ff5kmSMyWLleoPNU4OZgH1NGqZgqTAZF JcuJNHDSoE2KjECzIzd4/2hG9lBqgdSxM6/pJKFTUNr1dyhMuLBiK6fKsptSfUWE4SJU52P6FvUI lKaHkUNLw4rXV+cVoCJ9vJxWpWzUWEQ1qK1zRdkDOQb/BHX3sf+4eF8kJdUB3WYbIkRAWCqcVKAG 1syshek6dbmro4XSR1+Af5NuEupyd23iQsJT697REA54N7zy860hROQq7b31pHm4ZeFFyM2wFKh+ 3m4fiCEhlVUvlUEigalWwxkdjH6fgOj3fph1eT0umT4/q9wilI1LzV7fZrPpA+FGgk1w52I+ZTHr Pdl7WWLc0X37fCIoCBhTobyKDqMQsCsVFjknDYimYLPok0YEyjlqjuhRCvpfaRXne4CDjZOKJGJI IcGe7hekDS5pbXd6Nl/CeebiKFt69b9okhmh/OHzaHAx+A0P01GfkXg4duPjntXtgfcs3g8ppdz3 /fbtVWfFs4qLJHeZAUGzyDCY5UpMnDCmNSNJNbavzU2mDXxlTGYJKMiGAGbQ6vFbNZQIPbmR26Nt DGyNLO0qLl8GXObf8UbYThSl1lkMv7GyQ6p1sQ6I1qTBQfLc4gBxjD3w5mIumTQrfWYc2OaEj8JT CD3GumnNAGexm7o27rn53oV/UXgKTp+h7M8xBR/VpokNzOX5zjtdx10YNSn0VjTeLlVzzI7Nxa+E eL/x7aDjICUeItj0D5yEXHgzQigeG6pWWpP4d1c/Oxf46Yf7sUln4C2NVcOR0JA7vN9NlpN6icJo /uxUvc4VWJ6pAh2w7IXPLplI8/3bUUI8BkO/nrV6iEOmF4fOydcnsrw5OAOJxbkCPYrCR82kUjPt eo15LlSHYpnsegvSSfXk/JQLOEDUpvs1p3FU/8n3bC+QQZTKfwDGNBG7A6zTjOVb8iktQHX8v+ya QQ3Ef4lk+oJ4jHduliW1kv8xy0GZzqf5rOM+jmez/AJHzG6PLjK7r6N2jN+oCRlgVhqGJD2noqtw Ulucl/jeP8KIjE0AoN/o5whNiBHxUzls01OM+cn/I4aAckv9ikIGtxjzmYv7PrgywPcyAiz0x2Jb b/2mKVizPEuo+nTD9dClx91U8TZ550AuT/hBImvyhTykLxazs8I+M+n5Qmg6Rvo6i4+MCSTVRGjY ybczcC09ZIgIgRnJFg96E6cjRCWS5NZPJYeP4M4Yraf9ljy3PjF8szp9/fSewEwHtBssUCyAta09 H72PMVQruGF/bPohenLN9rCwTGkS4CnqK0sKAgFDf6vESUUagGPNOw9P6KOHyooJffWHSsFNI6v+ RC8k3XIgEgdir6j5XtxihTAZlPnpw4MmQ+ao6HkMqMGPdeAoOb8hsa1d35YtuqV7cBY5hF6M6bot c+wVkO8GKOIQ3tGiTfUVHmtlR+xbpDKv6XhCB9F8THhvTmBAxRGqMFuRrnj5CpXLMpQOMBKU+LuN NGuvPGs43vZPvsyKKBO+PX/eYOrmEOphVv1KhvA8UEpQgPn2rWFjCWe3BhZf3qovc70zbclWPfly vKgDh/gtR31Bl5elMBVZKuGWKkUW2PeYTqEPxyGwGjD/Isr9CkUviBcQK+B8E1cEec+NJlOkG1dB 6ONQpA1MnZEK8eTvrgCHvENTJFlAOLInTghaZULB2ApwD+pvCCgdiw4CUXAfsw9WE7SHHAc0O2dJ r1Gpn9B7AGHx2Nafpd9AJfPsG2h7mndqNMCrMoi21EGZO3UZnSLmEImVHZZr8j0Au1L3ElUe7p90 AkLxoypXaYAmEoplG3n2Ufucs4SedBTmE+H27+AS25Y+RHxqTXTnrfC0Am3FA35/B4OKIJu/b+sf uHSk54GM/arDh2GSyy/sQK7fDQwUfysMswFw3LwmIvVwReIDOIYCySPAAOIJsRIKRZ7yHRtTx/YD a4WZGnTO0lZ+Ab8MwLR+OaF2HND7UbQuWs64fkVIruyMqjCG8QrpOAWRk2NPDv7WschMktlxoerF 5zlfNuDLkyZdCPEsDlrdQiarZs2VQQhtHgGdu57QGfIXCWLfK3Fmy4kJxyh9yWJ3e0y2/y9ls+Qj ajuN+AhOCmZ7vB0ZwgbhYzapTx6FTT+Dlg/dm944NfdER5xnG7akrVk+P8bk9TmeTOS1PE8aZS0O f4C6eC4LR7V8iLsAECD1p6qw29WpGc4VhqfVAgb6YM0hVU04y1nSY5As5jNGuglqN7CJwHqgqFAg xeiihVgYXtT7bDmdAO6iLtLeuGju3yz0brL5G2IFKPH8TMnUcoKVTBuaV14MEtn+LVtOTOA4AC3n dvHnxv77AQLC2sJ9Vs7nzHvQ+VmIkoBLH0T+zJJ5bIb74JZbT+GVRsszlD/8wKiLco93ILaMXuCQ wA23ikk+s5WDL6A2bmvIdWoWWgnjOd7uTNCJsYSw1qA0NYA/QTCBakagBb8MbA3SVdrFmz992IAL xOHZqMsnYN2PI0bpwDqw7hT2Tn700m0emRAfQfc+NUMB4OG7+aOU3HH5DmpoMRt0pNfC8rEZcEh2 X25EgrDCBV1wCwXuzmMvZUlrmEwXdLIpPNEk4UY6mWECc+P4S+85g7AWNaF/L5/RRP53RbQMQnWN jFZ4KTTcYKKOyZOyz+aa9KZWEOueMOd+s0qkw3c9ZlNpOCwKCwSD0TAQoPgxzfvWHCuYK/ySFc4e CHM2/VxJZUt42MtKvJo1Wv+5ixaaNeclPM3mnD9KhajxzLB8BX3uRiryfqUfZ6kdOgsWTPZj+uTb J/zitQGPzD38XhWyotXAFr6EtxrmocDZsmre/oyAHL+hcz9169B5C3KvuiVfzT2hw7x66+D3VuWM vuKYl666N3Fs86snhJiB3rTZ6ap/SDqWKUvch1eJQ4ZxlKjzqRm7gSo7d/kpN/uIfyXonVhSDMNX 0GTE+1MyAxExGlv+wFizDptjIg73yelWxc939on2cELcLp/B/QG+hEEH5UJ/3VsmTJgNUt4p7YAx Xnm/dVlaPeOvfduavxc1YMoJ0tWOor1IHWVgVzEPJkJdhUQrfCzRy3jd92R2YEU7SbjNH5nOLROT bZVKd7J0Q4P1TS/zZOYQTB+y0AD/HsQYOwARtrFP1Qc89VZmfD3/sqMt7hZ5qRckOfODYoiRcI4P HIWFwkzz4mlz+HQwZS128yEBxAigvH9RgDlU0uPdIpXT1DN+F0GKEcdmuFl9DPCvAOrBsB9cyjTB BjlYUZWnwrLArPVj/iWdBfbLI+sXWDkbr7hmhL9iy466B3adnLlFfzVt4qnHLfVv+BnchwUx1dBt l9xJE5qI8uMq98e/zhXI8nX0n6uyPKug+AIh/HZcngcwIHDpTZ5+fVTd/dXCOACol1scYOrY7D6Y BDa4CHpiK6oxm5WK0gqTVg9Mx6urujeHmhwqIM81HFiQdVLq2g8IcOfafhUsPDK7mVNK8Qu0YCnW MrchaDazuImzxKjjTzL9/TGrgMdxNFBiCZAsK2H2WjB8fQdOL0t6cPbJWmOf6TeY39+9EcoxHc7O WUjOkuuR9mFZ6WETYtaBFp725zLQR84lZD7slP7sqzrloi2hcTZTc8nmjdQcLQdSzD4tEPcdRVI1 fSRdNMEu6emaZCZuY1SUa8col9v4EsAmKyNHya38V0O6DuVh7MQULh+0FjwoO2ZHwf6i+ngsU1QT sO629JC7SdLbWW8cg5hN7mDhqz41d237uz+NgWaGr7VU9vGxMGkR8cT8g6K/XR1Ot5B5Amc/nZGz M/5y0R/cZGTfwF/IOQDwKS8BGR9k+Eqk+O7TAmkkQyVN30ixU2b30wK/71oCA3AtCil+UaC0nJBo mBOQhG4kuU93J1cuAXlkVyO7ikLPucksHt8AMzoohKfzyPLrt0D4lMcptnng1J44T21J8yy6/6ry dC1pWCKpfQLOZhNePF9zr2Sb4NHC0RivnF7udrTrexjt8ah8U7dSyVOZE8NbGMCY63d0FksjzPzM O9bieBnqabf76HPxcKLKVjgzxFwYYGLwAGJoirDDKm3GXMUh4Vx1NS5k7qk8EfqfXYsQ+/kHgeF2 ZiDAnbj+V2L0ZcOoA7cawvbHqA7RTBbViCSTIbQpUbEVcV/r4C76ZSd4uwIkab/OtXejyCfZtia2 /jf9r/4sObg7JT4h8r9tNABJkL1RIYcIVIj8GltG/iXJlqWCVmgK2mFV9hBr+XtnJaF/h9ZVavSg hpuv+ZVv+uOyPPh4rfWJNeyZ6xrt6q6eiVqyr/oql/QYXZWR+Z3IolYP+zsY7tsUObKWJDNpOrC3 VaOkIXa9xyV6O4AOzYqTauhxXqSoyPOn9PZKwpc7GEnFV2cQGpeqB4wkB8TASZV9CV4kqKpnlAGQ vKGunMbNRDELNqugJpbo7xBGsnXs7Cdgkm9RGWmwkG+slYdfOHb2bPMg+ul1cTmIOmc196v9ctnF zh6Y1SKox2S2yEyS4rozXPr/AP1KsETxee7Ywvkvmq50ViS5DqQz7kt35WhncFvvi38bAKhJczOV eOlgrWTibHFmdRzjR21BDEdWsNUGdWdZo7IFqGeSia+Mx8smurLMS99jWmFeWGWqqkQC5ykln4p7 4qSpTdhKdDnBixNZnyXnCy4PRKTeN3jLau1L57ZrCVhIcl0V4fuueSM9PFg+EGarCnLDWVHWC25z Oek0ldXWuFRLsh9ursCGe0fr5MRM7NOUSXxLO6C1lYckLrp31FxLDkLTm7ax8B/903wgRr3iAgna XGtC+iJ9ZchEoJF3BTHM/1gjfEXQ5k+JObBQYmk040s5JByeoUuGIQjnZ5g5DJWShcL1KtBoOvn9 7XL8+CR4y6e1Te23OvGXg74VJdG2yp+27OrareMtBm1AD0KrsBJ2tRrzx60ey1t0dxpAGDzSh5UC 90O0iHUzAO+No4pCuClwGRukpjjOWVfDNFx0rurUEuLKTf3UJ++pxO+x1pYdSHufOGrwhWTlMCcp SwdcwumMRIY58uZnzzYsYCJjF3XK7Harolyld39nmIlwud/ssVB83zevsPF6BKup9hXPYwGcRh1u aSZOCFDNhoIVwFUz4AxcUDOCawbVocagOncyvNXgsnONTdg40TW7/QQkCVbWv1dQ1rysN8/RREOl UJc+9wHnLZqZYx1JOFMz4+RtbJDyYZUQTYm4x6McLz1RYgept/4JQkSKG7YMbZfTLE1fQvSynigV w+36JDc0snDu/OJM3CTabs80uzc6SU9zNPktxSHZgKVbUC1S4SEy0Nt7vTEX8gtYFjEd7PdzpMh0 53ttDVdV69HCfvnJommCkJv4CYVgfZQ4g+G/5W7IxNiQ2JtIw51h5ylWvRoWGvrqoDpe8DuBSqzx 0ZShWlsVyrvg9N2nvsZqyT1rKK8acC+P20J9o2egHpVC+L4IeewCaedcqOk/6cAa/2WZ6r+zB42U LYfSqeJCrxa5Nh7Nd61kVvcjhy6j3rVMCJhTJeg7fVz7y/dxEur+TbBBQC9B5y1GlUmNoFqWNKkx Mi+aqlUSzn6OqrgoxXJAgPH8ywlGfxQ1svLQXyQYnbqQK4yjJvXQ28FbGhCy11HeiNVI4AB481Ei 75TCXR3rmtDpwTMVjjUFzBBXCp4x5CrisDgxi3OEUg48qFIlPCC1Z8+Z4te2BhNZL3mykfMlCiL5 I5lvXCbfrP5MKDABySjBzoTIDJDxYNeXeXB1x78fNj94gTiDbQCDnrJn/OlBKShwoF6IshiEyiQW OzX9x5HRocJE5trPQHi2iHeMOuoduSiCtuuYqypQ992jYTkjfJx6TpR09hKEC8yrw7jm+Fzojz35 Ye8KFdLQm5dBfvfqzdREsH7v/hwBTe/sVDqyjCA7VhSBhSg4xdv4dXvOmrCSzL+uMEekeTBadNUn Z20xZY5/0Q6uF2F6jRB9g9nuRvcMdbmk/djPMoCkqTFZCs/Gajd7raDZ/bJE3txI3JPUJ0YIJ6mp ylSLrLMz+PsfMAlpJ0GpTO30pSMtNz02BEQAC3B8BEzx4cAKab6bkUl7JORLYOE/Dwc+Cwes7D0R uyV94lROibK1eHzYGGpbZc4xommVbFQjGL2mmM9i2wpIaWe4HSQetC0mJZ9UevnLfO4lCIZew/RX 2Pe0Tz7BBizZxb0W44xMhvs1wCzphnQWHE8K5GtZ76eDppl1YQ2J66HB9hr1ZEHndbLQV5yiP7sn amM7qh1WSfX/4vy+XfA0sfJpSOW9vdOzUJ6T/sfnJL4u/dzvusGmM44GDrr1wRfOzrL5A1NO3XPO 2k31XMoetLuRWNVCzZ8fHic/46YNZRH3ZqLb6jWayc/XZFmbLWFAEIfgTUTFPrcxOZDJ5E4wf++m JyH4YMZvBoJgsFT95bl+oCXCh5y58DfXj1VCLX3OSdQe68afk55q+AJnBjgvs41+Cl1z2Bks4Ts2 oK/j26YYCSUU7Kvk1d+Hs3r0MLFuzY03M2N5WW9krJDr7iysdUP95ySLOdOmgP5uxQyDkr2BQxJw nPowUtAhIHc3d/FyOkOPyY4KmDFyRjC4CdMq4YLWoLFO2Ns2G85NytqwhXqTmGEa3OW+kmak+O1B hqPHycliOvZ8euhDEHeep7fIKHvP86FVN19Rtx88SFPG5twnsqK9fQOrRif2zy3tYzdi83ZtrOyJ VWflBLpzZUn4LQEEK6UZo80dWb+SmLGTgxZ3caL3AgRUumo9xQYJEOmuGw1G5dzLH6R/KuGkb39v n6A1MNn5D52jgkcWBPsdh43U9JZjcpep/b+u1DUMZTjQrq/KPWWCVx8oJGqYHS3UbNHW8YJfZpP3 lov7s7M6S0r3snjbpVH34wXnwlGg55l7A4eqOD6jjM6UilK9qXOgTQXCxFXqF1x1CGDAHwXxgNY8 ACLM8OmGfpXgoM+wcOxxhMXg0FweFRRiMCuFbkVkSlt7hjq0evgOW0m1ligKYnrjsi7SOb2Ad9QQ IbxrbtNA8wPpglMhNUOyqr2NbfAcfntIJXLibP9OSXqFh+bNlKydGf5be/N/KXkDBO5Yr0O6bLxE qNpPzZyhfsRDqif053PIYs9tneC5GcnOKe5YYnaH67dxRwLpck5rNT3tUXcrarxdMA+es3qvBnsI a+tMwWzmkw7I3W8TEmOQotpErXAaBL8S+7ffcOzYBXWsMhKk4XbJJNs+Hb089YaZVbd7y26K44QG AASRN4acfG6O2lQ9ureZRt5dJG+pYJhLMl1B9ZVfjglRWPUqHqJxbWnr3JBQGpGPouJ0nD6GGVeo YMvG9tKsYdH8CKdH7QHZKBVBacA8fkAMaAeMuLT7N1TBbBPmjdDkkclVN8tmH/YULZnXrMN54j+I Km72REfGkrDi+Rk69zLcjfVEgzD8O+l5fljzF/DU+zQyzwei1xIRLV1/tTJbPkpWh+JOOF1vnNZY HLlxzJCDHrcqxViTRGLteail5/sKb8hfz9sC7oeMfVh6VQRuVvUQTGGnCmDlDayvRkbP2CdBMoeK 8dGWu5XnWWMQbOzdN4ZIGPxCkGqzctZPEoAvr1//EvBn/1hGr9y5hRtUjtlwQZlB3n/tHt/o2G8p 4M5qxmriXw9fRYXz5muSsGjITAjmDa9nru7rLH6WoeCRCFi2PWMRsX/OWaNJsxy3PE+i2CG2Zwwb D1ywepNPyy3dg59oibk1CkQxqFyNIF4J5XjT4I5pHyiR40H89UtqxwxBBLhwwTUSfpI3R0EAdglV 7+wRZZYBo8KLxL+OMSYe3gu6EZNtCrGHemFeFKU6dRSCmiyM/Y6DXVINDrjQDVj9S5pCT3J5g3OZ 54IP8DdNiqVIWV0RqK13Rbcn2W6xRuIVV4ZOn5b4xZFctcMDoLDFtztjG6EEg+Q2rFEvUlMza4sk 27mpDwE5fT/3VBHaO7bKIXQXEuSS14cNIwTPudPlw0VuAdyv0Ax1iSUwn3q1CVNNUbKL/O04qwR4 koSrFNDz0XtEEOcV7gHa64gIN1qPknIf8bkbR/BatUVKTCumN44AtW3XJZ8aRcjfq55LL3hg8907 NZL9/fCOOg+WacWgsnFVhD0k2pUcycYqYTeo/d5dG6ofTHykvsQfI+Ai5zsttmn22lBUevyI9Nw2 0nntjQdUyrDSNcc3TUm8lGnG4saDPSdxHJxGDEUcfU1dWNBFSEpopgCQta3FFwxL6UAX9hdQZP+r i06SvKqGxUihZwSlvgiMZRvS7gZc+WvgS/cr+Jt3sVZHQF7TlHN7l++SA5zZCDitEhmK0k9paUQQ LfvMzgw+M3dyutvqqURHd4Bt4MgU4/YgjlhL3pW8JiF6kDbSCTgiYPkPsDGg1ZWFRC6Ua5Pq2DRj Ygeb9jqMbj/xoyhRgkfj3L7Px92VczXOd32K1exoflBg4QjGHDBHVKnfnfXLnlp007o+mOZY8xqY kDrXH++CKIuzKt5z5JMYgeqkcqKTBY3UE+/2OfiNkaLvOQVXfBSRTFHSZiT8xkktd7KQj2Ax+BkR AsolOZCQ0T3//i3T5yRgF+SHl/Nji7LMxhTcTQfyr6v+Qsp+0PEK2wxraGwyvyxo7z/Mcm71jlz6 7kLXQCNyjHnmmICjYzXmiW3HFdUlO1nZAJ12tOgFQ6ah20/wGsU+rD4eeKvyjTg7Fvci4Cx3qZM1 BTEbxRA1q2dUXAPyG0JlC+3bruVooTftmOJD9VjnviCQc+H0/eD6S5S2GKka5nJnnVqdpaHbbWh1 GWpQuQjbaoOeyI3p9v1KA8j968bBcfsCONzoRD70fIoury97c6OVb7ilR/p3S8lVV6NlHs6JvQkF jCUXxO7UpZh74L6zxxM74r5JlSU/5de9QCn+yL7XkRZbaRUtWbx0Dtt0XIEl6gWYgq2A96/yaTR8 Z6p2VGKRIhoXviWFjnjfudbFzNh0ganZr9B7PM9cuJniy5Wuwd6i8vkfWqxPYdYHzvm9CB2U4jvy V32qZa626VflTQdmweBxPITyn6U4dbAqVHLufG1Iho8m/ZLPSl5qTNjks95i44sC/D+zb6RVO+kV Lj/s8g2zWqRG5HJ37l9RrXZNHnyvUDRkxISFQY6hpFO6N9PCQtuOVvakG0G6dgQWMWQozD+miDZw hyvqcfK8Gw4TOs5c9LU14l1y1MfTlBh5AFcEmQ+FR9QUclKFiGSH9J7ITQAgH4NiK/gSh558fjTa 3Qes9/kKVydGKXADO+CgW1az44AxU1uAHxXGeQQjKOwFJqtfY2CqdzMbrICIwDLnb5lwBWhhfUhD Wv33nDucggR6rZ3ocK7GGgOtwduc4d5qvWYbuZbSvTDDsINAxAEWCzyVC8uSGxD8/nOKhjSZTnhp mgK7UQnkosQwE4NUKuaZo3Lnqs/XaspAn3iftCezFp0wpApuk3AOZ7wQ5iao6Vv45yDDCcYtEn0a SRLkTOR7d1vnpB2CLORRANXFQjD1r7VdZIfCE0PV9O6APJ6iHPjLno48SNVpGfuiFcZRZ+FeIKut 2KgdaIpCpjzPwW9RweOUygjo+jX72VcoSD2KEsFMGUBxjnp8DwUsTOeoTQYQBk1yNgRW0Wya6u/R f6D+HzylEbeQ22A14moGpW8/NZ0DFGF3/0+e50/5aPEUBUgMArY8ERCPmcjKz70Sm5tHDV+zOzyw BoGq4SBJJ1L6R8pdYJuxpBO0pLZktOOPRUNQphU+g20qDxGWXGt6DXFxOBe/re2lpI7/YVt+2UB6 4kZ8qqvsT/MKNXzUhKHaMi4hg3lev9YxvNwmNmd0gOvbB7bCb5R4oaF5uc39vpaDeekJMEX1d+tM +AzLWLHvepZ6X376jgvGLmbkgv7XD34+X3APaLFYfE/6AlY6l7fSsuz54XqLQ68OOrKMnsW+E19t Vo45706OhnxbmXcr8z/vY8+MCM1izl6CX3M6c50r8dN17Xl977ixR9/9GK3eYvnKNwjVfnMj/xBc d6gnMArfgt8RFF08VYRjJ0UMaOY4QflpApXuxMmPKRZof1y64lIgg6LhhQOL4Q+Nn3e1hHgsRZ/Z 60rjIjsaCll+u0lyK0hd+oSpqjLltWxofIiyRAI+0cxnztXte6KnMFO6sh2tTNGXPvx3bDPuCMNl 9nNUvZEjxEMlsLAe/RMCsAf2lL3KGzCjiA9Pktj67/x4HBxkqz/QtiwHor053lDOpcVpa01usNRL fgKCFHAOYF+bxM1W+/wE87jW7uMeMeoaDnrJoNM0ndhJkXHA8vYe1Sgu53E9fsPNu9l+Dks6/rbX Nf8ziG02IdBIB6IBVmyygwt940gZv+a+Dy8GTB6YMg8cLhNWLbeLokHMwBlSMBpOrXQHMXIrQfgl nO8pOpgBQs+N9U1qpf3ZZf7EfRGgJXUHL8eYrEw66iJ2CW1w6y6aW1ENuSL+otAfCKyk3GYFCp9d uOXkog96eUHwx7IjBiWEDdLzkwLCMoOLM2jo2U5KifosIuXz9K5lUiTI75fCDqhnUuZfqdKbtbDr R6GR3hK3hQQ4mqKE0Zmc8faxhrim3EK5EiGa6M5q11gRRfraj15f89MCJMilk161pWA30RukVIJi sfmJnXooYNu55Ud59TS475YS8H3EbR9RlgrBbFL/xxZPCL4IpcuS1stZN/Nb2fniiW2wgbaj7un8 sbK++72tha8IYU9BBi0jm5UIeAf6xDI6HYiTJ5JDdw5kN/YBOO07OtQZDGdzjo9b7v5+/iKwomHE TuDnoK6qPiiA5mpFSLl5tPHumIaRu3QhUHGXmxu+4+9W7EFaJAs7R2ildihAEAErtwm6xsyde7a+ DCfBIScwCKowS3M5eER1LDDnDE9sdsuarrAVGdkIsjdoej1KsviOAAKZiHsmiuiEekRmjIv2FFxq 8XYiXaqNDcAGUoVtuE17HcPkF2bUwc0ptDPWsnuAy0mKFZZQ08z1OrRDhviJ61XR/vncnwsIaF8o ObLJqGcEuE0Rl7TiYvggg/BjX0EJGtQA72pwLoqImId+q1TFmA5e6dD+V7uFOMQk9pN6/kepi9uV 1dowshwz81rhQkjGNdjqXwIBtQegJHgzAl0qDFTZtXvxX6TZRxUhngXWDr/zjEepvuljsSivNpd3 zKE9K3pmmXzY63ZDzEhQkaAU+wMIzmkhD+rwHlZJ3U0+0aRR7CuqfC4j4z4j6ApDj6i34KzQMrEm IajcgWZeBbrzLrxyjb7fHHjFiW3Sl7/OGW3n8qPxYIaSMJ8PqCEFHLjN/otmBBASP37AxEyKKd0U gSVc83bPzN0CI+kYxYFSCa/X0mkgWHbSc8OLOpvb2PWiYvshcFpv1Tti7RHbAGwEAOR8G/a+gzCH g3EQlnorcdIitzl+qhvDoj2qf73pCAFOYOquknMT1g2SsMx2Rhh71qx2/Dhp/1nGN/Heom4MP8QW hoGNG3KeUB4BLjeoHX5+7j7UvCWItQeMUou5O27b9uyX6ek8TilTfQh1Uv0sCfB8FsOzdOwFjzfE cLh4vLUPwuvxBQy4sX3w+hrxH3Iw72BfIKMtdrNG3IWTrL/wAiB7gaDdSFL88Zg2xPucEN6lu5su Y0JqfvfSWNABXlFrxld2+tqZjQ/vZg+qBAnBuvutY/CF6S1TbRHlcUUvDVxtb8E2Ye0JQp5QPZrk OhxhLAm/+TYBP4VQcwJ111V4t4dY3n0kTY+W3DG+URsMXh2MxfwtHIGrLu2FGQtjJdAkgZGhiDX1 N5mzugQYSmojNDhZncJ/Kk2Cm8TRl6iyoMzIZuOtVkVuOVqwpwU/1DtBc4h7uo+S3+0hiFmrZNs2 +CSE9ZrgKQ8R+/0pjzUns+9Yy7nVaZYSu/JNaE4zIi6ChEdV0WCde2QSxoMjRLjFvl5f16K32iXY fxoGFDBLG2Z9c67rlmOBwH961HSlxq37hwNZkahUgtwA+xpiNszAS1hY9y3OuNninXp1OfYvvBnU cQ8fh5YlxtIPpD4Po+QU6ZrzCMiNAKcId37/esElBMdgtcsBwXPhLQBlF9tPAMW9b5lGQeXnF/dn pXiyOMTGFkXGwjRlcZzZ6zItGufcNQdcsUiIWM/BM/OQVt7el47WFvOrsjVSZSUztyC7SB04//Uv 4mMk6d0YwCbRQFSFCTv9I5FvRG2B6p5BnFYDUCLcEvXea9ti9b8W8UXQ+hZ3+Yw3AAtQycP9CBzj 92FP1bkDE3vFjtEE+tOk4lR8vj2bs+OhIMaoNpu4xJN3fPL1fig38LvdRf2mZDc5LlqalGFgx/rE CJcMUfx812GpgDg+c0taKF6dojZYaB/8XLveSMx+2eqOy+3VxU9eixy7wJ5jKmkJMK4P97XXToak 2y+H+EGK2klhodNPEIjnFR1D7GraUbTPPTnZAnAfsw6EY/CBPYPB918AyEFpgnSOyveI7ZAAm/G7 /d0vDANK95Jg3HC/bPZ1ICnRGMS/O3o5Luon71jngKQ1idnNqVfeuJx9C1IYhG2tYqIGbCqMAnsB Q3WvxOMoQT7LFy2+abjX19ViywHvEpxPTozLqHg9PihaLD2iCeKzBnbvnboCZ8hCsSwwsgE14CBW RfLF0nyelM2ijzryORDAFkDiT7Vhwf6TLXat+EmmieGZmKt8nvw2g+8eSBAko9LEo8duRUiMMhHy EYC7ZgcHitUxry8WaT/20jGQyiVirefvKvwn0mLvBxi0FqkrcayvkwXSOgrQU14y2JTO09uwytG5 zysDDSjvo1SEr/k84pyNSD6w0QAvbRlEqqdgjrUs4Zq2XR6kGKgePYA4MSGT8tNLXNQ2nwOdd/kM zOrAHlXwSgHflBSva6FnflzsiGE8z8CWqlsr5oLY12hpTZdvuBfFS4CB2I3XoTo1zAtkRQJi5zc6 Jb6WDKfyMNzEgrMFbvjGg8LhSP1W77LZfN8SoSCF7DIp1divZ5y5GgdCSfXEHzFnff56E37oNITJ gG9LlC2771pYRTFuNbJuWYZmNL9cxmnCtNwNFcBClBUzXI7i9DlsnNZoIw8GPSyVQv3dTnmpNRPP NS+FTXhwAQFZNmdeg5gs2UNU5OgZkQHbWQAdwjDpVUpNaxuzq9TMqqcyA2w/BjV6QpIwLE0j/fZE dOQCedQAfIdS/MadF8tmMQVq9MV911bWgM6/aO4chkkW21fH/MWFeQdtlrkeQ3/4d0KIpgu+P8vq Z8I9br3aDZskEt+0cwLEAS7KVXazFmhH8K2shgl6Nq8HGh1ZqAhIPJID5ZBeTV01T1PHmcJuCPUF Y2GoaXCruKbFU7Bo0519mudkyhniveqHUpogqrocJHddEU4XEV9ESXkb7CVxXH4Rg3VcQL60EGDS nHi/7a0Hi1Yyl3Glsoq95yYcHTFslH2E7oeh0tR2yP2LNwjQm+gSsLXXV2C5HVrPRuCZtpj3/N+v i18eLfuaL5QFcnwiAPMeI/aSEUe446amkiNTDhItGgoa+7fvZwW0o5QsyTMHZxdGeag8DmDJ1fo5 M4WGEUeJWLKX28uZurnI+Zzf8tJvzXSEMgv7jyBjCFIZBLAIyEcwMYq8fvA7BzLmWXrUmoECzC/A f4Y16NmAqFiDCHnqKJ5T9ySiNDZr5qAeL8alNaTk9vPYsteIQYIs+2v6gjixjgKoDmaJgjXQpMUH /XIKuc8uAT28mwdkZpj2OKzMc2KDVxNMKRGFiaGkZuKIQ5ak30lVpnsB3tnr84rNUpgrscqCv7pE 5apQ4VTNccj2cIuGWXAdcRpY0sDgoUD9VOXgmxpVg1FE/WbGDSnPqC+rGDMqrystFuk7gGhjEY7r YedztLfcUKOC/uGiGRVI8zlmVYg6ogBLkXxxQ/9c/v9lNPz8mD3DEpwXRZOzWqvkDTUwJCkxtwh+ yu4X3Ferwf1YBsQVxqbmSbNqjd7cfeg0glQ0HZ5muLBQ2t9LUVTDt6m2XhU3+cgY4DhJrwb66DxX k1WdiJWSnGvbz1g04c37VmTXIbPM7r4uMHH3Empx4VDXO+cQchH3MLZ3BlZCoAqnBkVl4r6iCD3F 337y+KrF8QRt1TBdmPKYyjFIY+vbXrmbdL0Bmg2HFZk8MehwcFVOG7UVIZhX1rFjY+8pUmANtPaj mbdPcXW8kSmHEjGOTF/aH/GwMHdznR4htZec6NJ+3Lfzu6zJs1dMV0l56auV828CVyci0UWpJIf3 FcL2TLJihfiYcCQc398c4YW3y+WM76Q1GCL8VU/xnUgDM/WIjJ4rGNsyq7N/BKOwZUH44KbNgPiw oROJfx/nkHjRU3yiHIBAgSfs0xxmgjxqIfMRe8DJb0aDbGm5G8sTl9zGFVTLQiaO9FKziLCHLuRo PypgRGUjeSae0Kv//uRBF1SQBWZBn7LswtCKjCJbQ9DYOBEGXLQLdKWjSq4iij7qNKI5Nv6LzMY6 XC08wDwta7jEXiQPELUCF5o+N5pn3/LFulD+A6MpHG3lSg+zbzE5hYvfdpCYARWroX+MF+jPrKNb FyuyEgyTbIY/HGN887GWQL9YElo1MSnaFOjV9WFhJ0I+JMy34jXEzUMzF9KpDTaHxnONALjoL5MX S/FL2gQuMw4rqgEkAz0DXx8mkdTYHN2Pi/hxNEDb/kn8OL9nQT4mwNsNEG22+vg3w+7v8u8nmFWY 0drB6Xnbl+T5kf/SCMoGvol/0rpPiGXe1TNM2OR4mZnyVhR96xaUe0hq+01SK8gWudpFjw5Ri0+4 a+x4WVaXHQoNR6ErKCjIgzx5Jf5fGLgBfFylHO6Y/BO6NzZhbZsvxHKs63n0b3J66DSSFVl4aAnG goJrpNuo2SRY5kmZgtApoJjExrDPmu419VdrPwByw89czsAGHtM0QsFdXZSioiq+tzUibjchQtZE 82keF4pZT3b+MBdl5hZ0hSSHf1AY90JXappVwMQguNhNidcZ4ndRdfJnb5LjYnJW+qm3hp0WNeOI SRYh1OP6PPHEnli0QUqzeWfhlYkTMuypR0xIRaMQFiT2qgPXpdvwJePU06KoUg8Eb3A5cCBlqmPZ S5yC49hG+xUd2jDoNmJijBG4VElc11bkH/TP7lQlX4SsdCqzAwHPFtYD5OII6ykm08AlPHguOqec /QbNNQ+744o2znpJqA6Si3WJ4rlnoflelnJjwR/Q7yPhO4DnsymU45BWYerhML7RFS7M11hIervh CJh0zBt1rFWvGIgXI/1xt19DaLBZpUEvRjPeQYoUug3rjoOXgujmtk4MbbdqtYJYr0oH8CSNg2X1 dyHgeUJLFC67hQWE7lA9veNVrZbOfbwLY+RC/fjKz/vyZNm6iJGVDqx1PQcSdVo/aQjnN099ag5P mfps73nPkxSdBspHhkr3O6F0Pv+gILYApYFvAhH6wtnOHsJJ1rDLl8g1kvjCNMILdRB7SI3NvLWT IldLpp2QOAYAfO94lp31wv26DKA3B9mKag5aFlGllUQiGicHXD4McMA8kA/vbjXF+srWb+4ZmjLV WihPw7r3+ZTKGvuCSieFWD3udTUXh06WNYO6X/kmR1zkvloCkf01eTp5toihBisEsxn2I2PPV6Hn 3F8wpLClZfRa5CU2+BVo3jscJbtWHQXqOJ1rGLnOeyHBgyqb8X9wIMWQxWCAhyBBILGdyb1Ku/UV EpqUJcMJ2aXOAogMof7UammSgjXFphq1L737vwMpbnBTFnJFB9Ya/JLesaJbIoW2x8J+OxYJa2Aw wti+HqgueZ+EPlbWOUL7LyAiYY8XFXrZnYj3X+YoumOyY9y9ZFVyU2lAFegHRLjp7m5XIzPt23jg znWtokp/CDcCsO8AiaE6K70gHVGrhGPcijXocLYaXZ9ekX5WRZpj4SSstul+HnSPvMZCoJKXcC0/ eHKYUThqwmVJBu1lvxWHo9LMhxAiyT08Kb+syZvWEUGB3o+0a/3ood82EA38iuxDyOPHyg1x7KGu fGCBCmFzXG9scHv3meF1SWpwi4gGwKTS9EeeJxTizz2gsv9TSd7TO0ISHaUU5YpPf5AK/zXvBQAg g8pAcFHYTf2pXyfBPPkU597K6xWXb7GaQEwHQBuq3XXut6kodo+oRRVNr0HiHVkzQbI6xpG2JLXJ 2FCrj4V7l7hcPsO7qGnnLKojN+nPfOEptX+BSRUq0Pn5w4YQ0NB5R6R32FjWLL9PpJgMbKWozbku KxFMG+Db91EZs3Rn5UkNFHDsGt8bsYldsC79kWIpIcwo+2i2lE2DQIKyjgrwWmgj2dV2EnbN1QZN AmtawgVIyZy9E5k3kRAI60/P+AknVBzecWNxguK3gRc2z3oUkU93+5VhPcfLFYWFUVpez1pToqWG R5aI4QTtjfiD3Jxf3DTb3SCKMchHldI5bGrNVCxsoIhgNJj/zsRnLl4t+XZTCdawDBD5NI320JZm ws2cmeAuOKPcAw7CS1MwSKU345xFDlAzBHc5lbkoF2EIC1v0BObe2iX2IiTCWUOmLElt/uKWi2ND z6jk0Qm1XnqTax45B6jgiisvYYEn2s6Ct8bmu8kIgaGS7w8M33DW0Uw0VatZG3okVyV7R3OQYTwv c0Ua2jgSS74eA8XvTpBdbxFfr6oKxTTJGKGILWuSpbmo0m9j3wkl14LL1kSt+Z8tnfFrBXOrRfXi crTHZ1HxnrCZKFosu/tg5LvmQE/XQzTRxRuuUJinEBolZL7RCSiM5vD1U7IYEAMTEVqgtPKmcfBZ 0SrSfzbqZ79sYikinYGx3w3jEeG+42NYh7AjCQWrUkAjDmiA7fATu3jbdSP8EFr7O5exhhXQMaVD 8EsbjV28g+uzP2IMK7vhJITT2EpFyTUt0d8YdzrtpC4nVT3shpIzkN1OcHmYaL8lCP5lV3YdJh6D F0ytFmBMM0M36Hi41zwWnM67fQ8cqRS4BjrjfuyJwlojRgCQ7GfRSNjH0j/FSORx6d28nBbJcmHM qAtg9VSlmJCUn/JDIr4T+xVYR0PGulE27jf3SsBmpu+tKZVKDPrh36N0iFiBjOFeyiVTplzLByVZ 5yoHOtPOq2dMru9JrxhynJsRAc5aOQJnhRhk/OBEFOePo03OmJzH2jFMbzkxhLfFYKoBWoB1UJZ3 xK2x9xj/eO3SHSYXbMeO4TFR/93qiMKsc8x6l2E6Y6oSVf+Y4IyityBmII8KPxKkdfxjp2STjCgC xqYYRYS1dmqfe6qVjc1HXnMpneX0u7I0IXUIuQW5FRs/tQwNB3cGz84K50aX19NBxK0zQG6Ry7mV VvIFLlxmPEg6aXHkSWni6fOpf2MdWh3wxF6lGJ+fl3n1ca6lbXIMzz3+1HlFLwCRbq4FVcRzF/F0 N9TIVQKdJsdH6J2doLWaPrwk9teG/KpUCwXXNyX0mtQxIjlpEaDDFQdKvNfUu7EdqdN5x6+kWJp0 HFjaBaWddM99AtCIsE/VDAiycyFgZ+PZ8Y//YhqHnCLe0zMWWCRQA2VY1rq3UQV+/7jqRo/XfzDE SlTKSdequlKM2YPhcN4g48lVE6YHqIbR7GQ/ivTDVKiEmr4zilOqNCio5MMBOpmDwHp936E3Rca0 Zk73yU8CPxa3dEpz9Cy2ashV5f39ki0IT9zvnN9y/7wVGZb9kJhAEpNy4IOk/Yy4MjckXVZ4VzM7 JNaQXjKu6Yj+CSQPXI9/q8jJD8DL9P0O7M62X4eNjgNmTUu3YklvNgk8PBLZonPcMDMSvjnPpQAO dFpPeMejLsoqDU/nDOmnRZla8Arb+rz0JScMJp3/G7ZCRN9LT2LDWMq7FA35R1s6oDKU7tIdpFIt iaYRmjsdwM7ijew25TUE2T6vMIw+j/ZjkX8YcfMIpzee6ZsTcAZQ0kdpiTN1RLz7t5wxHZrZ5tUE M8mLJ3BWmUtZPCDbP6VCivgP7QiIJrNURHBMyStCvITn0avLP619r0Jv+jUgDWGCLPnIUCdwX1tB yTC8VGtSa9pQA4nB8cHcjOclzydIikr58Dxsn0MUrB0ZRrE9VNEZEIYHXr1f//rkN/JgxpUmCPdX 1YkbgWuDVkULxeid9W4n/XLXyUwZi3A7SuJRXUBj8hFfmMOf2ZwYtUQQiVOqMvdNWy5P78eN6UVs TwmFlTOkhMAQ9553W5knd2WJ/4EugGmRFTNVvAF+7AfDys6loMuJLOcyyh2CcEQnB4TyQYbm6pbN 2fo4sFS/cJo/wHorptqU7QxCv3Scwcx9bylb/vvFFGwHsof8rAfwkWTn6pWM02VhlSiFsPt6Uh8N rJxZkU8iIDjcczptKDhw3RKpc1rTcRpHfSP03lK9gauTQRaRKEkiq8y+woQ6zEFK7xEY82AuVLx4 Acj+ygIWyQqntOtM0xz0+5hdtFeVmEcjHpMz5gqlHDdwsHPkycq3E+KXWSQAb1hO5l/oVBr8a3r1 5fHqnIKADgKgVFeUCpeEiw4oWj1mQwXPhfaQRFtC9tTw+QyYGe0aj0WYnBdpKlxz4hOp5bJuPJVC +bxDw9xIX1ioAtU6m049ocD77kQNrTWi2qFtJfR46Z70qeKHyfac+J9rUj6LMDeU4nVcWLvnhYcM mQ3aJxmkGJg20yHLiwSi/c9CfH7knly1dPPUUeKxEHop7m9US/TgPtz13y7w1nq6zvs7UtqQjE34 pzFau45Ehc1MWCvYX/aOgbjiscWoQVFkUtrQCMW/AS7XsohmPFgyG/itZ53SmHRjY68NzJigA31J JELlK24ID1WYS4q+00yU646u5iyeo0qNBNuLn7Lb2QKJRyJN1tv7OAx8DG4SOYir3unfoYUP6kfV a7eXCsEKm3eue09cIQQn31qjNLnCEHQxlI9PoOpYB8geDloI4tiC42/GZmxhZlsqWoqfEr/tXQc3 bMRGIoLt5TETnfJ94WJnHIWk6t8cImXgrMOio3TvZHi1H45T7Lt6kDDIbjKntTe6zHluk9yI/YAS jpSSdoCS5/BMJYoUurrAIlK8unBSdFoHXXM1n30qWSh48siNyrLKlEEZwEZWTFfl1OHCsaFMgkZF 0dC/G53IggY62D8Jy1wxU23sU8p+1Ro0rpwCyJcSiXZpijgdb6Bc6r7tl0jTZaAPFFwUz4/p9E9q +Pkn2tnXNc7Zb3PIujxLJ62a+nWD3qlRi3B9vSTR2GY+7JYo3K8AiVbt5d1MdygO6OROYNM5vuTg 4Fsb5Z1ohmEdJq8L7KgA8RR4Qb5dUC6Irt7U/YrlUe64lBUAOB35RgZgFEFisMXFauXLTGgUZEy6 xkJi01zK5YzvN7wnX//B04YrvkgQOtFdWmbcs1ehDPxBMdvxwHjh9cO/7uRRFLEUuiJ9PheUoAwk KOkcfAGLkhsA9XoxaOE4H27nwZLl9cZrfcN9XakuBrvNIDxrh1Sfw2p5T9FIeK4QGZxo+hUvSShZ vY1wWB+s9hvY4Conk8LQMq0cYhMSUErtPXSxk4enG/t88SBHghvzNz8+F1vbuYO9/2RF352jOpeo AJH/h5VCUKCvcacdzZtYzeo5Q+2imSJYql3lWZpYXAY2wdjx2yYN+rCRQW5LbyQ9hqHJoVg/jxDd O4IHGDdMwWSo0/PaLz26iLR1yDJvKRaomxd6LlrXDBJUWu1rQMq6W1YkAs0FJPMVdL0FrtG8f96T uQNrUOG8gWpPsqq7JbCnQWR8Ez8GTbndGWIvXHQgAY3h5T87OULsCH0/ZtyBhBpEJKSrWgkExf+m PmJgqWB9Iq/X0yhmHYZZJq3gly/EhrliQiZPPipCZiEdOVyH74S5sZ6gzrqDn/MxFm8krPMZE9B1 1bM+4z+7xDNJ0jbBCe3i83idQi5XtZOD6I+9LQr6kYAA5pF1mrlBSvkWrGotgLMSTiuVqQCmqT7O i/CwC7rzdRMyPdqs24Wq4TqotP+CBuVgX4cJnmrujglPiurqrIaD5VIHLKXRXfHuJHTU43PF0D3M z+LErxmUjwrSy87RY5eF0SoO1VaHRUX7D+rAa8eRiCVMULs33njlu9cLjjaVV2YnokdVGxeFcJiK fteVX2xWbHoLkMi9aNVFwKLDOZagGg2LS2GLpHAJYMiehhGGOcHEF9tD5VOzZQlhs4AYVPtjM9o+ xKULr5EtnOyRJMpghhQhHxhwlf4XAJyAyMcuzb7b0F+R46eD+a1l4e6qfc172nxWvvZ/b5/B5+bl tJKD77qtsZRy6osZ1q2+q50qmF74yUi/ED4diZMCWyV0KJEGn5TinxmjtHtdX4tab2lhSIA88r9v 6PfjUEu3FxV+WowrSiFfiSrEqGJRKYq43tB17i+/LwDyM4K414ZQn0A4fhX2FGTLDCfXxfOglapX 3IpMvdTTCAZkzeiJBrTle/pLk0WFOhygY3TqWT8UCjfVPp5S7W+WiTiLS8fbhl6JywOjSwvfy2Qp rMwPio6DdImsEPCAE5ODJliY97WtcWmR46KTGnabyR148FgSvx81rdInO1+0A7EQJtp1krB6Fm/+ dcW0oO3nUmplDxzpOBBYbZbEk4Kxf4Z4F5Qng3pqNlMaJA1eut7Hr9Z5HGdy+yH8W3IQ1i1DHlyi F0LkXtzOoVX294X5lqE2HZ+cBkKEI7Eb1Lg56gEVD7umNOqvYQ1cnaaFIYcJmFNwFOWqdvFUqSvt c+JjsRId2r0pmKKXwAAUD8RCmWMyT1DtCwbyeIxjrObf6d9NU7xZT4ZwoJH6nxvAsiVg7Hy1SnKH lQA4EXogxK2ViltNK2LIe82LwWJz+nrj3CxmmmjDf5Vh6H5onDVjDA82G1qgd3bOBn7VySfW2EeU zQ32Gak7SdFKwIBIT1csbXcMSYqtTHA3GyYXVFPqzXZbfB1SYuPynCHAuI7dDsr2Aq2dlhWqt2nK 2Sv3M1Gk2NASy2m37Sm664e1+DU+X3OdeuYOovasY/zkvKOD5/ZB/G4c2RWcHavBoVTSufEhfjxp Sw2QBibMbPo8Nom1r9rfXmJ9J0tOcA0YLSkcNPIeMnrhS2fCEgOhQDyDDxR/lxT9Cvfbx7EeIGPH ZFnZ0orWgWW9iEuxO7FCoMZ5Ra++AiGAvs/AWz5ExDxz4NeCpWCyFuubVDqeozo+K0VHoHAFDttE zSk7SybadxUZca+pFAaZxP7DhY3BHL3eOleDSuyGMf6addbmYG+71IToY0Uoc5QRaQM4gyc3pGXL 6ICKr1Gj3tOsh70CYW0oLYeC9HgxCeHN/Di3Iy0hqFkZ12ogypjK3dAFu7y/xsGIbjm4jbxoetYc 2pxy1oWnRCGNHrvPmKB8iZ4UgwWF8LIqJaEpdK3yDDCyLQVkl5WiOu49cQlTGQtEqbOCv9SGTaUT O3O062Ile8uiQDK6ocNOswwm76TJ7EkFvryKBM5lZr9mqRmcVrKSGeddILnTyDiU6wk6VTmi8z9C IRfVedvGqheldP9W0mgItiEfwpdIA4c6mRBPiXTtIGCozrfgv188ed/Inmdgmyx8sfYkvVG/TZVf U/RvPceDgEVcH8m1ljn2DECYzwe4fuKTEaM78NJl7jt8Dsh+G+osad/p88h20giv64UH+YlxVVMJ Z6mJByvvIhf7DLqiSwGs6mzbhTMZdOHu8H3k51xxYOLpy4d4wcfLlo+ZHZMsyA1Tpz+e8zJlHViv /2X2sALq9AtBMew/5Y3tIMIsSvTdk4fKMberzowkWzo2wkkWdF3ELFuUhiYDYV4sFszxgKF5kY6R i+GMY6TaWG0mLAYYT8Cou8c/Xnms0gBL2WMk4iNLfvZSuf6oo6CfuWndayGRejERBrgz/CzpPIBw hm4IHULWo5qkefZoUn1pVhPssEqFe6wihoau2+IZy0uoSoNrbqyYIiumHJsIAr5GyeTDKcq8WMpt 0ZHLILcmJFM2vlWJKwgxyMnWhxpF5SOS1i28slwylPIX1h4YHrjsddUTN0BXw3jiVWBdXNBHx33D qklXqg0BdHIYO86z6bYyxcN4fT8wWw7FZ3Lc4EE2Z79pBAKZ3UQgbkTnFe6VnPhrmQcbfZzA4W4x bEs3tnikDpOyK+fzpmXm2bDjQqxrLwb1fThww2IMPQ8xWPeKT7mjTHpBTzOLC6bZAUFffVxYC3CF 3iSmXGtBjoQrKhkB+6+Gh7/P3IiXvi8nivwTZpIW7nApLiXDzODzg8pWi/j3+a9HoV0lh8VFuDn1 RqDxlTxuaiXhIP94+cbBVhaiA3oTi2IXQQDkEvf5hQCh6SQqvBBj0DJRemwlR8VwzIss1+M4ZYaE bswTbg2CC3M4k8Gy5IFOi6jPSp5fbcTJU8JV/ORcRMdni1uHCtW/ybifrjI3wyCIwulvS94GHmnG wZyvqNiUbT5ywQB+x0EM1i31lja+0yFlhL2FeouubE/zOmAlwH3nGE20PuNxHY/p+AHGtapVXqx5 H1R1O4YgzIco/BfKUVf8qo317TKRc+xhjc8sbAe/TibaB4oyCPx7pPKww0oUqUBcwZGOfWmdD0OD /tTnMujAzdBlmjkPGKztDLM1fxi6kt77MJDGvQbhKbXLuhv0kqhTf0Vbp4Tf/DSokh2xB0NdlsVH kDIAljXWH3PfGypQyn/AnOxzeuqSLi8iQlcU/i3zL5B37jzbUdT5nN4FzwjMPdnLkMQgOUb4u112 S5v7D/68d0NuWbvoqsGOc4/MkX9xpl5nvgZRZSiXeHQmK4OwahummDN9Jk3RJ6Yw+YZ6DYnzGUOG cVJ3giQcJDAWuYlaj6ymsRGV3vAy2hTWq+gLcrzcW83jJJnLsWdm4Sn5oLD5tm1aaCJ/qXVCW4+A CiQ+Yz++CkkXFkpn4xJwvm+N8sejgddc35ugVPPz+VPJwBr0g73z6B3Wag8p0ddEawFrODd7bjoc oC9k2Jcn5tXw5pR1+V9cS4sRoqy8P5GfwU7qEkUMskncSZDQKrle5orGBIOIOqfovdlQcg821UqY 39W4CHNlysQgrhVUYmM9U2yUPL6mlC0hOAAU76PUKQymawMvKa6MgdiYM5X6bwPZqPV0LG2IBSS8 ouIzt3ZgDnDtCD4QLUGRXXxSjGOgg7vjn9aVF4mGjdYR8ByoF4sY4TA1YpaWRsExcaKxSxJ3Juku KUS+b8j58C2torNuH71wykS04Us0sxUijDoFltuMkcp5j/jrwHRHEbO9UC1Czvq6B04zIi8hxNC8 Xbd76IHnNB86qmS/ewWYruYk6cKOQrvlrAGBRMkgpxGfSJQUUyc9H/QR8ZEdSCuXD8KexsG84j3L N16cBcBbeJItFXL2S3dQenKeLABeAHXPcq3OyA8ks2jJvC5pAFvlXLqHnHLkdxRY8DKA/l3O5R6x JmqxFiOvYedMJc407Ez/rhc5oEt/l1goIC8zUg0XWF0wwuT2hKIdyqukaGOXcHWbIHCD7ZzQysk4 fuGsHqflbUNOCWLESHJU4w9x8jTSZArm/FS/r4FMrXfx7Lg4iHihhgwjnUMVMqp4pIZng/p9P0Ut pgHrlxVLIeNyhRPjEX0wI4Z9Au6dHXO/2TmBWTJ18bT+DcRKaU8Lere+rE16SQGGu0ufbOY+hXef SR/LJ7F1bmvbkiPTkftN9xhZX80bb0mRQvBwscWGKtBpKfy0qetc0DawMXHf5gJCx3EoN6g8mfmE 9nh2j81rPHeOBLr4fEsK6Zw2Do+a7XckhCb1eMvBRcoWzebc9q6VoU434O0Qtrydwfde651t8mQI X5/zVIQjrrhshCiLSpAgb62tmVn1Eki7bS2Ob+f382LcXD2C972qYcVRuwijK4H/bQ8eKUXixphv EVNy+DWbv7shiN2w6o2mWbvGRG+cOnBo/8iwghyDalS10jiSLzh3edggOHEgIZFGXybYs59ljjuc hTZ341Kf658VUDQ7oPWGsZlDF9k95U1DInbN4k/0/iDthLWkfTi3fqxaMF+dSWhRXXAMI9ivOLSw p9XlNapJvQBvFo4P8bD+9yfxRCFBxFRRB/OIKeQn11RpGFIZGSsFE048XQ3LWvWVKhc8vum0TDyZ UeLSFFCkZmhdsnmLF53xsajY9IUdDLYyCJrAze6JjYssDGT2StWq0C5UbPuSEc7VlK6hraFgQZe8 e5L9ftfECyW7zZXFG98pdVAPY8IrAKyDrkymXQax6GMovchjYvowqobuTAhIRHMsWW3HHi92gIUO XO7IatKsi4MJqsarVVGZcecz3NpOZnANzYMQQxOYvXucYiI445DoHs2ch8wiYJu/J3TAXDGeKnzw F3CIZXG+9ZT7lcxKtrw53VU+AR4/ZC1Ljed0ak6t2ZnCQTXHWNcblMXBedVOEf9jAGFfc9nao0a3 xmDQoHQc4PQiDwHwI9vLk4m5BlDcOFdwAIt7Sjv0GrFOseaGepuk7Qx5HM3oFjBO1U0nkpiYBICp 2zQAziGbMRJgg8jIG2d0Y0hkNT3NftiLU6mXpk1pHk/wBWiMoJgVJN4yfTWtNc1NRuRleJVHIcA3 d77VwZ6q8ReiyJghLShT7j5xdylO6g5j4dvcLHYclAfB26bRnNru9yFr9PkElfFd9rbWkhi6remg h7w4bg7JSBWbwLHdD79UKfuUcSz00UDT2u5QTds32ZPXBxm0a90LHU1ATuIgBiuMx4ehzZQEnCFY x9szJDygveDDKKlnAI/DrdVeFgenBCnYGdBItaeAFphGKmoAB/laR6AfGQ46E/ALZbPQCuA8EadO dP6DRwTOMSAb5KFmdLxGAcTcGxJTH+qnUzqWWQUU7aVCDFqKln5Y4QDzehC4IGCNQ+9PDqL/xTh8 ZLqC7a5c4e0zuxX2i5UwxhDM8ldJa5ySDBEgZjM6h2CYipoSkQZ0G2VTivE33haSXmO9/PpxnUjV LSLR9Sc+U+FIi7h6MqJ6BeLHUZkQjoxgd3/YKJ44HkF7P43dunDpiEgxV1QOemhDhmRJh2/GszWm OKSBPCwn4/WX+yn9fDpqoTLWEbO/DPsSIlKJNjy3fzTqdJluSItZxZtN2Kr35V219JZpB6eYtu9r esSz3tkEFShA++7lsaBw9OnBb/nB0ht65N4bifJ92D/TFo+N9rWH2S88lJOilnyay2Y/c1mMz2gv s2SWB69za7eq4qkINY3CTHsHjDmfZpUND8efKc37qyxaMaxW+V5bevChnA9rXsdBmY57sprec1TR zDroZEJJxEaBXQDF7XKQXxCPsDjC00+Zg/tSebEewMwfoUzIi+wzgupyK6PBlcQKdIh6UfBa5x77 UtlECo3oQH9PMp7VcLQWIc7+E6aOpfLTAnaK6PZHBi0CJSMK8duspwnXPLwwk06ojVxaUDM0BEV9 6PXRPfjr7YG6uuyrHUmvfkA9B+ce6SMJFxKZB/72xgSd4JOZn0J1ZyLwNCOT4M9XGDiZ8PqAIox4 Y6xeUnErzM5crugomvliu1kTbnkT3rQSGWzfBOALlDGPCmK6a5LLBGHlxSs3O0l6X7bTOfkDLAdd Kv9Rq5gEz0aEsCH0Ttmpj4GJCNEXbHYTxcffyRcNRlhaTUwX6LFxoMWyEFf8q+Le+tv0ZHmPUgcz 6GQDtlEiCp+AdAompp03uqg+NgDEko9FTodIskXCO+DtCwWc1YSrxrMe7EQa6bIR1wxLisnhJQXQ +x3rxWFVvBKHTE5Po13whx7fmp5wQvfJ97vGt+C6fQripbtAIqrgmSxwuHuIZ2lVQf7q5LvCQeUD tJB9wwquiqaBLmrfutzmB/hek1KwbfIRkw3V8CYoDcOk1P0JusMNzzJHUwz+nrpXhz1hLs/hhpk7 8/zPw7bDObZGkhdSYansjasBvgbOOZMmj2u1IXzvd9AoCoPigR1+fyV4QUiW5evMQ9Q/OIupgAk4 WpEIii7xQMr7lTq0ZvTCOfU+BA/s88gWejltQFDTjw1aZgMDMsRbpLNwBzCRlwlp4t6oI5oTmIlg IZK3O/IZcAsNTAQ/BIjR7YlziLkvqhTJymyZxWmo4UGOP+h3nIkPi8FFCTqZYBI521whO6wtO5A3 eNtn6qg83bz+QvZ/9JbWtv7Xh6F7EIGrAOULZuTmzeMcf7Qwq5IwUNb0gHbHu32D9XYljMWTkPd+ b7EnHwweZuZkOPjsXldfLWnRGbjcrhXJ2lVCADbH4+cAUECX3nK6BwX+AKOR3p3lNGszmGJeGdsl czNgjoYYWTq4arPO7bLYr84uoZGELviw5kA54LBrASb9OfGYtpc+OA+GOGZncb7+8fz97akkaCRX pPj9pr6zj8VQo61qbB6E+GBLeRjgelGYsoYAm7xh+oLJblncEBv/oapywurpuW5nyPRWkVCQcESD 5MoVVsiDeAEBmi05AInZ8H2yb0MkmKnZvAvenl4Khln7RaD9qaP24FVRkNGuQKAaI+rAEvsAjWL0 8aYpXK4zFDX0zh3aNFaY8zd+gNzelJt7FdDqulv/V35tnTzfW5Fta3Wqc2SJfxY7t74eWSyvvagk XTLSvD0IJfCQXNlZ3R++oh1/k7gxCXnI1+pqr6TFTF/ZLybXF1kdsCzs/xkWmTchrhGFSQY7ej0f v4/cyOPoD/XvhJiUX/YgGPtRh0Pi6V70R5u7JyNGh+JSAJHP1ynvBwxhvaeXIyZh2hAlZmk985C2 YYblgwlxv9Q9nQNA46GplFdMmPG6qniNii2S674H5C7bhiU72CgIS3m8ZzmPZrpOwOR8Z0YW7+lB ne3t9sjZHt1BZi8Fpl1nGCPc2F0yF+vIuyATaIT4AanueITb/m59Gy5n3igxGxfHLLYCdvd7lkeU PihKojBDVjcyG6mb1RYGOgpcSPR7sPmQyQ/alR7Om+QtXvItGGqCdFCEdqOteGWoOvSrNP18cjU7 dEJR/Hrg3RyTOM0FwZuEKvY67YkBIW/v7wRs8lKcQraIsVMEv3ugXnHkPpCf7Tsm21nz1bOwGN7D e8XygKy1sZmlgpvs6MbLaROTROnA/hfB/fCtCIdpzfnuSyQiOLY9xE8eBJ6FXbOzPtPWkhJLaaoV TW/Si2px2joSHOllefqoKMoFb9pkA6YsAvV5FhDM8BqrwrhJbVGOSrx4JWK1TQSajK9qm/bvTH6+ mLZxa/JBVaMHvThD0/s5QQvgMrbbxfwTvZnOqYRNm8Q4Y17AgfEhPNx0lpAh+DQF9h9jtWgNnBXH klepNi4PfqH4rwguy+dOK1USvB4R/nplB29c9eJvNWeBygnkHTL2EpbmHN+jrtHYHELDd+5Q/32J qHVkS1EL1BxPJ+RBGfYXciKv0q2ttr6TE3Abz3qh9++2Oqu3kjk7byVrZoxipni0vSu026j+ayYw 6JLl9aBQsQAJ71fcvzg3n8bG47nYiQJ3vJ+VQf8MohhKpfOlAR2Jn7EJbpEkKjJNT2r7O+R0ECkx Wn0kEPyOYVZgWmp7np4mKAiLv53Pp6X1h41WIojnYM9alYVpWSEcOn/+Gch/2MmF7qhZ0XJ+w8ho SaAFvgGY8WiqQGFvHrHpnlax67mt479eIziajo9mi2gJpaEar+ZDEZ0APXncEbham+LAhiN9tHEj YPMHfgeBXPhaRupcZCM2gYkLv/tLjP5vsGTnZ27HRnbo3GB+6WMbtJ+gwf21Y4NfYUeXjuhEdmjk ekXYR93AHr4zOcx8Z4zHXIlSSXkFGvlvvVuf+MR7uyhIcvTQ+kBgRsyju8ixo92QQZN/eTa5Ukf0 5iPgxtY1A49YvYEeXllAWS2DMGyIX0TIY1ydvtqffFzyeC1Z13yZcvk/xzsQlGv3UH88OaV+fojf uJqAqXCfwPYSJo9qcrk+j4TzQCBZCYPFVrUgjPNn324JUtiJkumG7dxAOq8zGJp2HTVGp7ac2oHy ew7crTksP1DpDcbK7q/u5fsR8p1DMuvF0v2iA2f8X+t5q63BiDSSHRCLUHKLEu3vv4rt6NR/xTPb 8Slxa/AWPqUdTIpYaKHiE4hOyRoaSt6IsaCm7FxMs/1C1PIXI+XiedzDhtk6xcsPkhEukex1o0Ae FHQvWCXh6fg4qAuFMWOuPesbbEl82mzXjdjpNxoiGocX3bvEzuVKZpuAEZi3u/cin8TzYK1N+zqw 1QpniJr+Hd9u5h1ETx0BwTnuE3H+E5XrG4BV/qKJr4OnLoSFv9xdUItt0+hz1sfcfXe7RuQ32pLl UyllOguo7VJ7GERiyewxSSenGqVN2rfBEHA/pTQ/y5NBdDxDefHHPcZ+/pFqj69oEr1Sc4zj829M MI01ZWbsO07uH7dTzSWSCDOGfZ7fTLBegdNkHPfgpvWsVgQgNCBqH1LWU+3keTJMrBbPTSJb/87p IoGXcTAqyEggXPZy5ONg9fFaNthxDcS2QmsHrXf0VeUTbu3MuXkldd+WobxBUVy/e3dSO8L9DqnJ sA3FzpLR3ejIeDY/fF9S2p3YAfjIwPb/DJ8gdz/6XugryEirSV310tZCqB3iel2Xt8pluiBRdPEl +ber6uvW4AQ5sBlpPlYuswt8gn7U0IzwH5eDKgibNvMHdhsGGV8djwtFCpJBw2LQULYkW5Ewnn6I H0g9byvKBMSUXlzriBtniQm0XidPaq60KLzq+91LAdpCInd07bYuoPGTxqclpZ8N/dszoOiWLw9Y i1/c7OymzhrnRAdALmgQhLnhJuEvGYO3YYRCorzl6UbKrN1CKw/91OulNxfAVJIi9dXPmw0ANu59 3R0KvSyYCjg+Zoa6kCGkUWkfgOtlL1g5pnDk4I4TYj4ZVvPv/AD1xTT16lFIZqrt2AQyAWMrGSKB Hzhq9oblG0fdyo450zbdsTqyCUCuGTkR5imVB1VMv2Yz+9W3ZR41hswIm0uZwNZEqyCzh8alSwo7 5kHtZ61rxWOkN0UpBYtbvl6ZdrrB+hN8LbzeifmD6DEjK4wCGaW7CpHyHT/wXxkYVwfugQs9nbex 5TWG6O+wWACCC+gxWtyeVtDXfstplHi/FpSCFTNXqZRPwWVqsqoiXTfgbKuR7qxRCbONR3qo3Cz7 Q9FypKM9EAZmOvjgFLKS2FYGoaM52JeB3LazPatNShKCOvnRDzpcpw+sVphsOl3UeHc2LZW9NHVa kSTgerO+9skhVtdWXNKWhTQpRSSifn5Kuo0kkD/XmJXJol72toHQM2HlX0EUXil6Pg/YP03wu5b5 v7VK4gst5igZejUc8aodGnIBtOQM0B9Jae4Lh4oGNAvw+L0t2rfwKwzq5jwNXKQ4MHCVrrayK60d BxYPZJxLgbOIxPJKpE0Mts6RHBRL1vfkP4te/690jMxWmEbqhQxq0IgsPIiAgqynaVALTrfKRWhh ddZ9mL7Fo2HYNjAajuoddKFmVPr7JeVpPvYtNRyb51nJM5tQa8EpYqPOtfZuChKRpGv5t76Qzn9l yUTRyIdnhQ5AevFsjKqMvbmG4wZ+zgGYO1NQiGu5KqGLqXciqXacx6emP8sE/uRlWm8PBWIJQ0iN A8EZzrnB1/D5sGRqQegdTC1pYu19KLuekWpgwxil3yRmA1dGnfoNC6garIODvOacUDbpWG9yo7WI uV3bHZ3HWNtcDEFRZ417utmhbCiPbM+p9gIOBfhWbP6snLUQ77BhNrsJUhjRIG3KR/7/MMnp1ZbZ Lx69TCCpdHO7V3DSeAvcWnmfwimwZwV5H1HAa2cZU+mZJl3VMBAI+mT9EROVsaCaXoM6NZkR0bZU sRbiVKkNFiPNZxLoAhOnoVSrA0u85/OUm+AqPuK8pvSyHDzhdTUJmmtwHjMfkh4yHNga7TY3LG1n sF+gRyqg08eyD5LjrqXb346OYAkhem4maWUqMZFtObrqTAMdnJsvdnT86NLuozR3Hg19x5dpgdIC wAQg2odrTHGyaO+tnkAOxLEWIZcXh857xAKoeo7MwlGFi6Hn8qNeWDm43BDiyeFCa/eYS+ZHg/wy +i+84iGIQnrmenGDEoeM4Uiq3RMKabCszufhZfghWTLLg3j+LGgq8a8vkVcjxDBF7Vvj2EqLkWSt Ulyldoy/kIg3e9GdRR/Mj/L8okWov9zdVOZQyMzg8nocGCuO6EF3ySNirwG0/orcvY8cj3RtrRS9 O4iM36CQebSle9+Y5+4NY+/DQIAymkdS5CekQbY9nwtV7wQV4SkS4+VuwOWw6ubOPAsgdFN8Xfrc +J1lWZqD7lE0osMbPzKQOLOLfbgUVj/S5yDul4E3lOop5sW+oK64Wf/6cDDNezuyRT/q+PzK+mZv EwCtrH0Ih9iXByN5s9MbNhoD8om+GoZUq+a9Ll/pulMq/pLJT5v3GfvjyF4toTcFdRMWpjDgDtp1 kpUcRHeR+w4eMu2FeortXH8b+BNWlUQPeWMXSWI6mj3oFEaPecM+MlLd7vSd1NJr4jVmKmdHdSFn do11CsCAmVHpLqlRMELV6EAL9Pt+Vby+obY0C4iOvy3qZUmaJIV979A7jk6NjuKDXtP/gCu8zej0 l/cOij+UxtLuKw50gExSHhishJXNLfgjq8BcRDLZ29g6xo+6y85zp8rZWRyzglN72O9AF36j1VBE 9o1K9B3ZrSB+J/6VxaWQA+G9CGYUrpg1DeORgF1oMymvrF4CAoO1MAzfTW6U3BOrKTE+2/VO6aul 3Jrn6TYIc2xsCpeXmGJkWZiYUBKgomQFlxQ3G/CuJweqjeX07EN4PEvzzbDpxP7STG3QqnaZIUuf 2mONhr4Jg48tGB5LnGl9e+Q/GeNHF1dz6Qs9RwvJlz4Jm+fhgYUTQh3D1/tyeJwb6b3ypOARV5ky 07KelEzJ4XAsLHwx7zFff3NLddtD6P225gNYClgpovJUAogt8VQL2U7kOa6/oxX/khK2azRO5IHB YjiHFPVZM4sw9RJVJg7hdQkrmT7UEGkZwidOcnAohgZN2xq4X//0PdU+eswSbCE9Fh+QY33dwK1e yKhMbyIUaHqBvGb84cPR6DLSRxzTd+WXkMYepNkL7AAuE7fHWNAgs3c9+WjevhG3PsmNQdg6KYC6 qy6rUhxjc9gRCEM/KRKt8IVlX5eIwRA44qQezTNPA/E2ZLTOEDceNbkjBNO/ZicDshQ1ZYUeeqgy Txq0f6VlgzxucjNJv979s/sb/SoMhKnbHp2kS/F56yHYUNJu/WlLWKCm17EaKqOkQCGe0WFzn74S 6kYbOMpp7KquR13ZK+bksnGVyk7RU+xJAq6sjfIawiuC810khbgn//S/j2G/6/XIvYGf+FNITA81 GyTc7fszd237Lc7m4QFrTZNrtbNTv93ddfuw3eYqFtXHMxRtZTZza9DO2ADyl3pasUXpiDW7W3MK BBe7floBGzhcjzbIiik1dGdvTeipSLup9LvwQC1FREm5q10otqz5QqNOg7iBiIpTBCjeiPHZnlby ikq8Bc458R23a+xqm1wLKM3GywU0HhvHaIOeDlADdhjHgGCNLZU3wkC+pFBdtKhXW7xz62Ba7onV zhyVdeD+zbigs/0zuBI/lix94i1Mxd0UDqTRpU3Xe84Cd5SSxggKTQpGAnwGB/T933eELFSVjYq5 uw+EEpo6FDWVtTi6kOBXxLl/1XbZ3sRBu3g4Fbg3pq5Qq90QBJ7Myk8rwY+x5HkqzqihaadUdtmW kfacPj4lZbbgmgTxg5iIjNRRh9mlrrY71cJAcoJ3c7g+xlC7ZdLKfe6jnEnICV63/w3VqIPT/H/g z1h8w4fQ9C7Nza/T8PoksZE2UXMhjpKOUj/l3BK05tzqGbXGHRNxmf/ehYiBJboGHjQSzCKILzf7 W1/kUcRpChWJ+UPFpqgESLl/Lk7pRj2yrO1iUZkvpjOgLnff27NoIIQ1zpvYRpfXM/mME2Vv4iJm it8VHowivt41012UJZ5JG3cLAPvHKJZIb7PQ8YVRjvMyco/HQZwB0OAQ0Cipm7VBzJ83+sYjDvtx VKTMZomio5vab6DpJqIa2pd2LfD/po8XS7fD3SvMmVjFEfLLPGlcA5ioOZf0olpPduvlEfF6Rb2k aJLGdRIoklXoQZbalaENIAMwAqy0VpJU1f3GYYtl54uzplqJdzgtVavVJC6fWVlZnNKrzSdX6TRg vr6GTlclXwDFhqq4V3+yM91dorkTyg1Ir+GrSZnB1Wat46+v6L6VwBrcdBOYqjPHorPVIbRW6Y05 4VDCCEzvUvfhfS4fKAM8onrDh/q1ZnBV6UCDoD1GNzl5fbrIbvUOsUHnAEHxdI+5jLHj0zULXcje 9py7XLqrA54YAZ0o6bnOhNTFiQg6H8UA4VRg3Low4zbhb0ahXJTSBuYcVIFq6F+XVBJMd4y2Ks25 AC7lNC/bBt6VcQQbIOQiZVWBKyUPvMJ3siIbKyFPALR/Kh53B9NjuYetUtZdyty3D+ttbnhV9OhO xgpOdd/NAmNpN1oAumCKZhuRDj90WiJofVWX9K93cva/751p2YWlKHkgEKP580ezOuR/ciEtyW9/ +FVcECtZ+2ZBxx509iVv3ZFa7rAmCyICSXUH5QB0UEtJMlz49wDsUWlrT4jo0Ow39RgCwv2f/ICi knXaQ7UGdKz/49jgc7MyVlD1NKcQReskvi8xwJ5R0gzV5WbIaMblgfUHsxYNjxoLhzAH38nn+XoX 43kDMRal6Zu/Wklro9ANo4yiuOPzPafO4f+KCHikboNTHGMMnbSMc4MtQ2eFYxpr6yJ2BNuMwHdX nWGMk5XJ4qZUH/3DMglnfD4d96HdNLUrM6GyMXS+20oJoYOj5De6Qz9OVVg3VOKTv2eCiJ7nD+a4 nV7B94SYQV6HLLtv9hcJ8m/Tti/HEDfB1lj3BI5S0iMCGWJ3ZT4fheA/4ywWCpPXzo1BVDW5SBEX b9fQ6EiJkHI1sGTdc6jz+TIq0TvoxTO7hiNIuXBOEGE4H26+N6I4tX21oArPP7i05qA8JPLnMASG qSuqKbaOp2PAcI8iZvoun3mIUe0AnaDycOlzq5G+dRsoAlnbF6OAyJSYRcvf2hc6C+/CtkHzgm3+ RqWmZNs8J6zseRU3aRXmEvP6zPWyVdGMkO6IBo6+2439UGSm9Gxju44alFuumHmZZgkFktLYr3FO C0Ct436YIwV0WuwL8NQl6pNlqFkxe9elrFNzSdOxUHCMbf6K7V+rmduMq0ZcoEHweZE0AnepDPxY Vu35Cr9chnYsAXd0MX/l3Zd/7J276nWLnOiq6Q5QqICnIm6gBRek2OxgI2KdYTwMm/NKALzWX2cY cqOeSbKYoO1U225U4kFY7yuX6+/mC9sJ3bHK/DWlUzX4zPb4Jya9xFt95nbDho9uXKpNQEiIvNXV FdDO1ULU8aVwBQ7TzhjIn9iKWTxZajR3ZwyHlewssVtonVZsvExrUZU5bmmxpPuPYl+R5dh6Pc6W QvyggzDs84sFcyeC/ZhG+xEYOCfw0NRS5CuB56qJOjIN6yYE7o8mnM0RoVc3307wtrkGBz4O322N +8Wa3BxZ05/MJHL8PHHO5vLwUz8lhvmZ5IT5dRWMcBLFTF/KRilFKHsenYBhJQjYoMCFz5L0mdgZ iSTtSurEIa2vGhuqfk4JRgQhqas6kdJx2d25UYhn5fE8kJFZ/vtsFrJSvDqw2HeurO38GugemEfV Kgq1l+ErNmg102j+Vf8lkb5MBS6EALO7+P+4AwLWdLqwiV/xDqyq+1lZS6FJrKwchwLE/J4oFRzY 4WbgTUOkfjxUvszF74xgiusjh0KQIt4mlCh4cE+gLYDOhvFBAXNuRfV10etYXU8WBpVYT6f7PKbb COw+dfY8djvsXVv+Z0q05bmLcFDIbD/SrMUx3r30mmWFCqsrRcwFIXJdeikNmWCR5yYUk5aKxwKJ f5WcL37c8/UIDWZh7XZG7oMBIWNAn/76aMn8rd/bsMZ2SQyZ/WRLTILJTYloccpc4XHUsnl3oMnu nXEiYUS5P6LYL/H4SGxK1i7V80eDwFWltWCV8gA0y6nQvA3cGoJj7Yup+OoHnBtEFTrnvGrCDyYd KMlj1/68RSVczgaYqX0tM/b/ANbxHaJGx6vsITORBjnr0V43DZ+eS5GsSyRF22yJDYGxC4RDQQIF Y/RWuEglC1Q7isGg/UHLYNS1GnMSca3LI550zp+QudFXReCmpVYsz9cwue7OnenjJRNn54dPJhqb sNdRuO3yf6UDDNGMUr1T454kFiYQ+C3AU67J4nd0b8/OdpGjR45v0JaHpLfleHlTxznyAQyJN8Gv ZmgI6iJd0ezFVWEDbPHkzmqM69XJYMslnLQGKrZ+uVa1nQBgexKQVhC5+nse82g+JxSqUga3MaWd jGE0avARRdpKclOgM8VukRvQKJKpGIzltdHiQllzALO4qkIUuGWoYqvPZZSjtUhP+hVQis8ABcCU vzvUo8dAorXex5mWDKzVwlukQa58mppnG9UgZtxPOJ8anbT4yCXEbGDs6in65vzShwRTdisXTzyV /xgrAtrn+CT+dmqsvELO4mHiigC9Za2RmPSbThPvskmO/pIw6TYc7+Q6H5OJoa5GUonBqqW5163D TmrOALi2Hr15dp0Tq02aGqsemVVEILim4MYZUTc1fp0jX67lC0xtYOHRGwhFTQC7mH9YOPLxYgwU +qgUO6gcrv47PJMrosnHWOw3qK8r4p67uz5PgjxqNp43A8SN/SpNtinWP9T5aLNSye/htjNzfkJD jlBQAVhAcxZsS2xZyOjMl2hqA27Lx4Ogfk01OaoSPrEelFN3z6m1nfcMc4WugVeYSPhRlfU2fjE2 K8Ix7Z2tK/JUw1ggSsRblLzHloCRib3BrLXWaIoAgYFply2kZT4pOVroO2bbxJ5ADAHXTsAevXwE 1DNuL2GYPPbVUl/B7rleYS6lTGOVemkrMinmfN9VSdcgZmLTrHr8urNTQTJbNaaycbbZYLBmkBQH iHJ/MtT/BdUU+33vB3XK7WwR32c/pcAwIYkHgLlV24vxYKbBoHahQV0L1Wx03Ke8/9+0IoJGd1Dx OIy1Oa9aCVAGbadWnrcMC9OqZa3scKpTkwWSlsHog4zs+yWkmAeJCGrzbhm1wy3DmfIcGefXTqHo wBBp4NdADOKrR2fAWxW9kXzpzeMC2S72EVXSgkame15AziJKCdVmr3wZZY6+jqIiWWWNuecaiTCO CeT+8Py7BjuaS2wQPVtYS+2LsPzUJAM6PkJhUFRJY0Dut3wnAGIJ6nPKciDWj/5GUy9npH/ny9bU ZjfJbtGTLFmGLtlJe2TZunbQyw3S8mWBocMdww8y3CebiE8RcC+zUrvoRgVuFFt56/TWXWYwBmI9 ktv8W1HSgShKw7MiU0pbiX4vpdIzds6fSWE5MZBMgpvFOoCC1InWYkhT9AYW6gxPUQSAWNoIzXhh 6QyGZz0NfClDHME3xlRs5FQ+Hvd9beFbknhwZx3sGzCE+oORsV3ucZOQTKLWnyuNsRhz6BI2K0vD iT/Qqq3Xf/XJbzqPxqwPoYofDuBf9AZFGNvBPM03pn64sFDU8bf9gFLtfQ9cXf+P8RRpOj0CBDiA rG6cfhZZR6TK+mDHksLOlKha2xeAZniHgJfrifA3Wdzmbg+HHWtxznx33jbwKJ1dCFx7k6eLKLYD 4Uj1KdTJsTX6PKeXGxGwty0JmoWBjxYBSom+9KoZSy2I6bQaoyTGWYmcMi1nVPUW2tW3Bo9a+pvl fd0gM69FACGoOWwMDLvXJ8Y7HbCeHfGQlcCVFlTDgZoJN3i4b0U/r1QSbrunJnd/nh6YY+ruArYp qcp2AREoJdKC3d/O9QfoLNlSW3dPd4IcK+b/9M99Yh4TZfJiKc979hF9xa7NpITYQ+CeYR0EOsC/ zwh5MWt7G+PHnwf5Jb9FMJ5lKe+eR8lw+BLDFexIqLOjedhbpMlOJkljl12R326iEjhc73kh+JVV FJPcRPjB0eZinNMsNOD/r25ksqxNmUw5HSVOE2VTocxtkXL/sy70P2FaCqt1YnP4Lw9/RxB0TEyh b45ijN/Noq5HV+k/dnvKVNWQF0rthucpeGAPDTTyQJhPaS0w2MUzF5mgCk4EyvPWoGsr2YwH6WKa rRHGTLGNfeqmrMQyty01MuI4H+Q3Etg+I68XW/KWoRaXwXdeBhsTCMILbSeEPPBM2ScOMRyxXQZt 65K2iQhnJWfaB+VtbWHa/OTM6S8XWEsDBba/cvhL0oECklgz/aZXy+6sj34EdjPC6wMtVqUlC0Jg ytIVPxjDaVe84GVAi4Sio0Ba4puRguFA81ew4J4C2PuvJ+hExMH5MO4V22Or55XHOz4iaV4ARFri syVNH5dTtgrzWnJv3Z83oQsvVZXbsjlyB6HQuiQxRey4SHsbxRka+l4lAMWi/dDTH15jFxpJTR+s UeZmU54QdJ6IUKlS6Jb7Z9ZZ1OjtpI6gvQ1OdXPmybqUzzRL00Tg8ULG2g4agMvmn7M32kzCTR/n 2iD+NPdb+ukXnVk6zHW8n9JXDJPOCvsx8jLtOM9il62kiZ7VUaf9bns74vVCSxa3KUNXNETP+t2b kSMVu9lOkCOJYzoNYv2ktcLmx3JrCejN/pD4mCVXq0uu6tZ7HBtg5tl0VfbbNj4878nHHnbphx+w 5+007nitJmJzaA+zilZc9YkfHN5UZ//iXLlKw89vajaJ0srA20K2HlnX/ePw5JGAXQUBBCXkQ9YN SQOO7rFQjZt9zGDj7UdhrHprv8Ma9tPMzjLR1Vt7rk8kcsSYzUvH0wqD0uWwhoFm6DQW4yMxDBQp +I7rAIwkx4V7A1HrM+R1QKbV1Ktg6WEUwa3Xu5lEAjwmVqRTqm5OoskTNg6qKHMjuSq5T9p1Dnlg vpxoff2Rw+cMeHKBZqzluFvVOK1WQ/c8QFXaFuSkuMScqfZY9VM2OjvZlMerg2IB3A7eardDb1Ag md5jaWNE9mZWoPAtD7y1wHDcZe9U/vKR100Kkh7/sNKrPC47i5g5ECCOrmn5MEC6VsFgWzNkJK8T 3xuA8OILlulx9AouQs42CqYk+GdCuDhRz9lxlpmSDB6Q54Xvsj3Mhw+WN+QN0B5e6uqcgYz9dZqb G3tKb6PJUCKzILhMecQbcAWeLzUZjKUJaAeIgwQvdUZsQYlOf8ThybXa68Lua6UhP76vYqgAa2Vd xtpwonE5V8GImUZkzML9IQuX1GwXtM1md0r75Ipi01qFI+flOTHdcMLBj9pb0b7csrXZc+0lZ+hp YtpA1ANL1QBi217J1qqICyXIk1x6qTejM7G88A5YRHZGc46rvrUB5TSS9J52Z17vub+ge5fG/p+W t6PmMUIHczHt+jmOnJ1IRwsTaklxzTL6WX2x/78L35069pf8kPoIA0Z4bY9OAPjhrk+NcE26r1Nq twmw6L6WPgKfSKGegMvj//sdeuGXpel2ppCaLTkebvnTXGiOZcMg83sUI3GVGLjmMVDkbGww3GSA 23twhhLBlSjckOMSKvJv3jHCICbnWXr1WHP0WGRayPttgdHzlbJ+OkrxYJ7w6Z8cpq27GA3qsP/A 5K/u0jYRaspE1JGoXjLMagL4HnqT59uXZsFL9Al8+9EVvFz00Zbj/Vv++Ks4oz+qeRyZU2HqUg32 ogN6Kt5ErwudF19dNLyRLNnhkZQhBN7pCUSbZ4n5lDySi9ZgNkqPCltOj28N0dY0me2e/nvjLG+U 9MsulZ+4gr4cmL2ZXBx/xF/kYzoTojIwG2idSaNk1MUdj2PWtYgiuKz5H2nZ3NzUw5VqZ9C8LNFH F4z4+ZQXXBeX2vsZbHmkscs2bB1XHVc4ZfJ+BPMsUg73kqlHT467T3pDUxVagWfDNWPgq74TnL0E xwKgxe86yue8+SLSP69honWNzZQv8PZqfLGQrGAS2NUbvD9nfNzSTQgCU+XUPh2ex5kgOaQzdzqD c1cMBVpCZlSNnM0ujE5IglRfKuvckIGMn2x4MFIGjhs0WlZDVO1zOpP7yvHX/zMbTMbWw+74AWep v8Ti1QOAVzUpnyNw4pU+2ZcR1lhRhyvrqadvl+uSWto/uIn6+YS2huoJhC/Cmkkb5C+ae5Dh97Oh TFFBHyEtgZG9lqSeOP9UDFu5k74ZDSA212r1W2UkNAgTleF8RmG56VGcTUY3geuEc9yn/CO21rJf PHS2KzT780DX/0X5YCr0BhN0PyzZCuu07407A0kTO+ar0LiJvxOTLHqBwJ5U0DqfWQi0Mjt/L8c2 bk2rCU9ld7Ra9NgusS+NL/urGHzP1v3GFe3PHrUXdThyjTyFEyI5X9ZYE7tv0y2bk1fYf+0P/d74 X8PLHJKGM1P9Nh5VXrYdz12QMMed81JsDpKGLXxLQiuHIfl1kXuGGl5+sf/sKVFzGFlIdcIFwAeI qC5W8dutzV0EYrvrWbotysZGFnPHdKRgKp2tFAU0NRdBB8bReI2jmnjHXgnwgnnCqROyWN3RPq5B RCvvNWXFC5bmSetLhJ//PecG6oBkmIC9Z5cielZoWYyZMeDWkHyr9gQ5E6WzN6FytJJUzxUvd282 hzBMAG8WEXMzTnbxYflLb20SZijwVc6c75+pEZ+ciJ4pmFlwqlwp7j/3hz/SGFnVhcYzfAV+R2Y0 4PC7qkvXUo2rO6j55XveAgUTEtEgiG3kHPAMkc3ntBjaUW9lnaQ6y9Q2L/o4GXHgpXsrWhPUJ/rN RfR2IHfdPbT6HNTld+9BDJLV+zu43x+ipdS8h6kUGS5gXjRvRsjdUvFud6Gzg/gA3RxgDLaTxrnz 7D2cbdPW2xD6k4OkyStXx8IcX8C+XgK183uvg7YOa42moW4+VXw7qW/eDQ9jHN3g9o3dqHCFk0sv bojCmhpRCsmfwVx7AFUD/66/G0Ieygjw2EMDjalOfjTnTWYGDx7uV/U5D6CYFHmD7a4SWDU7cNcC hBLvFjsbDfBbdjwWJJUZZMV3udGZuixdSEs4CETMohfn3p2Vkp+i3QjEcbWLOj4MfN++Om/6YX6h 3PHqbY82/I+Lx7wRGVT90+qjBxshmtooJLPF3HWpOXXcI0dKP3qH/R2NU8ugoRUuC5yEEfFDyUF0 cqUgEg3TNn1Z6jFsMRd68u0JB5RcfH6DNiof9tkIr7gAd90kd92p2FN882vtgsUPbkEY7bvaP9xL fZgI9ZP9jquqvtB1JfIvydUi2NC65QrH5k7EXKoNyZJJ8CnRV1u8lwgkPya1S8dKPz03Z1UTyHhn tth/hhEov/10pkMre371az4R/u4V2Htv3+Vn7mK+RzayFs9zPS6c7xTTJJZDs/9J3EaMrzN4H9z4 72Ho8vC7uYM3VFkBhLq1TzAXYn7/hOZFaRJlk5ioq0hU8+A5U3ZjGv/fHtKuViDw+947ZY1oeayk 88j+nUwxLjj4eoSQsaoI1gd3cBndeYaXiJZFUachLNyqAnl9JhHJohSnk6cXr4pNj0ecb0j5AaI6 dGjA9UdQlzj4cAtnuaa2eGv4RKoljsTTpQ1ofiRGEZY6P45Tlv/gQ66LiP92KSOMaorhuONXsZ2l lLkVHHfe8R19BVsGffTCvEcZPw2UPQU4mva04eJJKhWWYROF323CAYqgPXBgwFQMUGW1wlQPHEce 5UI67U7FYlxeSwA6a6bS0tTG5x40v1yWv4lzC1/Wco5WV4x3heSE7g242L9ivTASwd8tmcKRwEIF O0TjLGvxF3KK6ABkn9F0f26SslCiKN+rafN2Qi5bvViPxGGaNM6ACuJ5+GtRULG2SNfp9TrFVTdh jFpTGPPQk7QzwpqeKBKyLpXTf7QApm+BavsT6ongScbcyFSO5tY/v2RMaA2FRvWn8ENUHB85GzBE fjd7hpNBiTVyJcxOZRRlLZi4ECxe5lZKB3xrsmUAjpSZUkfykU5rSEXNz+ZZLmJXSeEFOz2h3Qap yWA8sJqhTO4M8zHl5xXrhhKed43SBbcqdJnsZYjUrLodb/V/iqBZGtFQfnPf7QiLuNzodRWz3oe+ UkMod8/nGGVqUKeTy3kHzaW7muGn9MkXK1oHath4qPOE40wWO61900gLKMkZ0YSOpqMXVdPdHfUE Y3R4baK9cQdN04fp5sqqyh3EtFdRLGcOwwpTphKuRr38IVmKfthbwjKAmlaicbAG14TYRCg3UiJL mVJ3qh/P/vSkQFhTbCUYuiRzFP5mx6Xnucx99CoJGfQw/wpKv8IsqGa+gKzSKegFuJNEgeGY6gxG w8OBmnqHqBdNaU/91gNtaQ2oKODWliuLUrnKyWid2I+xzjkl4I6Z18CfA2PMi+w0dZcXK6+F798Q ofm5zBiAqMYm7+HZp2B2w/ZUm1qENu80M+37HqHeOghZoJ0atjhc4WVX96Y2pjNDjaToTapkvCri bCYoAOY+DXph46c8YsHOmCrRAGa1wufi7Nsg85IeKyPY9jSr3HZ7N0KtFXEUCwhyd9reMxJRrvNO SSXpLEpEbIDj8RmvbnNyWaikTddIwu9GA3ZA53KzM5pUw5KXefY7m8+GcOjkLOr1jjh9EUnc8qPb iE5cl9zbjyWau6WF0WBUVDlJlTM7a3judiyi/Eky+WzGznjB6xzIkhAW5NP3EHh2yCiDBt90E4C+ adLl/uXcdvLOhp4dBIJZdTM1aCpDuQZtcfGWthzuWmK54NigO3pzcLvkaXMh6cwxrKMPOeqS6Ebx Ll6cVLGSpZua62hft8W6A34na32FIHrNy/8Stzp1bZ5EnTWbomQuBnQAuru+GY95nvFyeO7tvvCU 9bnTjschSMxBVuo65CgkKLa4KnyyVPM5YWY2tEXLfP0onzmZ70wNk29mtQ+xCmk7EIw/6CmaK1vg jAbaA5HkohzLOLUdb1WlGBUhFUR0RLdoRn8oZX0AcpB/za0lqGxVLoSfBAPGeFgsWdiZxO0gyGjO qg3vrpnXeDgO4/v5e66xZAkhnfpL2GkI0stzPgIbLniouB+j3hVzWFBmqUsOavJxwo6HRnxY29we As67ZVtwIyCkrQZ+qN8V7IxYzsZBRJPNxSWaFmgEHOWf0JMZ0OEpF0r66KyXkyrQM+vuA1vuOhu5 RyJ8QSlfA4l2AEwydVUapTIy2H0Hm4kO7um8gqDR/78fBk59C9P7rrh4MrMPc2m5+gf+31toWrXW VVpdq2dc72xMoDA/wodg5aBylDzl+Up/pSLJtuRYsY38FmokES5jJ84IwLrciJAFj1F/E/q0TXM9 ylcDOR0sHbTJ6hZko0YFAXPMSnWAhQaKYehA0rQ+hzUtwlhsCEMSlYeuHf9U3POUm5agJZZofkHs 76lmljZgRbYWzhhTzCK0Asm4ACzqqFP7R9ywvMVwEWIYDf7wNlbbfvg2WsFg+P8h4W6iX2Tf/B7G Ep8l4vqq/b+9QdLsQsulIRbMi6H0mbCXD6bPWwBFwgceFD2f5lH0oXNbMCZLPqMlIMvJ4yviXATe YudxXyFX142Q+8Wko6T1GoHI8Ecrsf1sr9Au0ymNI6oQZrzZNVIrqqINE4CEy8vIs1mU0iP9Qq89 vk1m4MmYF9jX1KrC+u8V7GQ7xTxZH7QVvpeGZvsuy0pJpOVVrrmcEnRqIwMS78xUOSbPrkMzsFkc AFrfHNKM0PEEZUA8qm1BKkSkCyEWxn9ZOTyyLYL0U54PRMqNyw94/simvNqrw3XS1IAUt76Dhz4j tmXx2+j2JpihGeMoG05DqBgBy5sipkK+i8ohr6Mw0f4niQvkhFhKwGeH3kIkNEjTTXXjrZeEYnoy Kj9CUJA9z4AQ/GY0jDGZNMgXDRpSCf9AE1bmIAkFCTn74LdUA7NtsGpOLzvzzdsYXTQmXWZ433kN lVHIgUZYv0RkP5pEf5JgiLK+7dPv7RqGH1J1anN7aXJmiOlF/c2+yCZ8UdhGddmb9FCHgqsBDhrE cIZe9Ll5j8ZtsdBThFfQwCyMljhmP8grsqO3nu8GGryEXJBQ/cTl5RSitie/t+aNs24rz8xixh7U 6iK5K06/8fKVp9/GPINm3AibdtPahGUnWe3l8pmq0wf7OGFYXv1j8lYdhiBxoFeZjQEPqPE7B3W2 Y2e8PnHV3JxZJT0aufQwNhaPUveZIfRY/fk64JhKPnzbNFKG4mUVRf0fCjxqTSpVDtLSxzm+LhT+ 83X7a3aeqGXXhugf0/MA708bIG2F2bxaMN5vV3N5yUa638P9k+dufr+kh0cqzlm1sYPg1KkwvDsZ oAcx/9Ap2diQCkcnzmXjvm31AwBYQ2dPfNGtaye+wGvAOT9U4sPnIghgIOjINny5F8MzIQcoVDHl i8mQslznuPp2o7lPK5pqK5SvmvrDW9a1nah1s+BmVAcEURC8Dl9NOaSo8GWj91kS60V23vVerXB0 7yJQDE807FevcSATrFSDzO/gK8C1A1eLWHqBnDsOs6hZknwc+V3WxRYDPUHGzE/JXaLSicExAdaD 6NvxCn2AR/un0V97pmZ7oJ3vEeojrOA+NYVKVlztsUdF61jCbHcECu+gITmO3ch/rrQ548nvxExk 4ZTaq47/Dgcpl1w/4iOxH1h7Koq1Tp3GHRa3KutaHktMvjcbno1m9/S2nLtDLVlboIjBeHzcfSV4 y62jY+CdLOeMyeQCJpGjE0YxQeCT11voTj7roNk7wfuoPby1bTXmYaaI6oPPavTDv9A9i24S6rca rorJaNpf/26XZqIS8L+e1Mn2PzdP2WlOGQ82O0l9ZHh1tfX5IlfIogUS4V+R0z2fbbSKkinkXH9w QzRwRmnrW6R+5FUu0meCigMfd93a871LjiN/gpFzkI3ycgwIDTvB3bxIt/WP0nU40SiV72oDuAMc mHZyg9WbOGUTX5vPkf5Bw68pNq2v9w6DlUMRy8/s3D0tFZrdJ8ilA0IpMK2XlWAMIHztbcMEsTir QQ/ybX26JZ5s9gpTvVJHMjanZprjrx+/ROUJhkwaSNOTlPhOmftGnemNCJ3zVj3dHc6uZ2JlwIMD 1XBHXiCFkXeR0FWd4QJApPMxj035fsmarAouF6d8BjT3ha50wenhSUpq1h7kUKl7q8v5hct6ZiEo 2gcU7+8dRVAJCuy1ZOSnPU6sK+LXQplmfDToGJLagl8p1tBiLJOs29g0wi/29BnCL44YsttsgSZj AzHrIy1juYJevDKGxAnvHlg3RlHlsq/RLwSaeRQpOh8/cWlQYEJvp9h9P+A9V/96CQOK2Mf38W7R hsqQjacCKstDqtmKNz0pV0b0sHBZOJYB4FaqMwoVMm1iQd7mDfRzpUpyUfbqyTADHlVZ+0Bhdx6E QVe9jsWa2XYXMIGZ7BMegjb198+PHha9qp/1dploaZ6VaFtXkjceiMCOpMDqofSAxrF0ckYZVYww 6tguZ80iQU/1S4sQfn3eQqD039oJCc2pdFQVlLLcLUEn+UUL/wQG9IQBZ9uZZ3nisEvmQXdn2k3f v/9MRgG1iiHW/pxAxQpp+otKIyFLWz1rlY+bVdKOCRMkIPlGdzEUyg7UR+7nBDken06JHjv1fEs2 G+UlQE16PYdzsjHg5JmUFJ66o+5ZEEAQaTTI79NF0l+woDESGaOMCbdqnhu7OF0PUZl2Yvk0gKti R1iIVIRZu5uDNpLQtFBxRkG7b8+0DdeggSB/uqd8LdSYL1PipIth09owGH8SoI64yt/Y3mnRdlMC r/NWTZ8HohMgQwMHIhNBnknE5//a9llZ0iZXsybPb4DrtCZboVXrtyoW/8O7UFmEKwLsgFIOBUVa m1V97uLzsjgSZ6XJ18EyV9AzeDpu8nYcA9NR2xIL2V6aoJfrEtxz2rqK4gUvHAoA16ywH9NvG01E 6qnTQGU5IHBPv0BgW9oKCe2EppfKppTUONksNfj9EakyP3IdiNv9hDPBdzUVJ6SYzf+3EGPrcnJI QKciuDVz3fJFDS3thhIsHj2OMjSt1dZ/lD20LQ9UEVOHX69TUmkJD5JeUGNKo5ofx/IeOP6MM+1u drqLWzXceG/TalT6EbgTIRPUOSvYfe2Ut2nLR1LPbin7HhCI+dNdc5l/q4XW328eiXHACXR8TrDZ fOHrpcBJuQ4QIkcVtuvyKII3+X1Cu87RG2Xwa4y/boii0ivugwHR6kZKQ+L3+qjFxfELVEkMzhhS aK660DRVajntGsZPJgJpJvkt1O2fNy8MzWfQ6AKCVQTRscPkciddvY3JLfgwFUsxB15ZzlhNNHC0 BKUERLAVhuHn/lkHA4a4XJmBpVT7n7ugFytyMUdRgMqSoImSkAXWbw33fUd8hxhJaK/Ik72k+7d8 xAt8E8TGD4D7qGXJUg1AoGJdYfZySMyW2jYRGMgbVNyCUaxrU537jDAsmhT31ZnCM6YyN/2szl1C CuHzTuZWURRdAZ9dNvNIaWYJ/JgZhZhDNvZtIusNbN1V/I8BEPc70lVZssKohBDZq3dsS3Az8v6y Ngz89hhV5Q61AxoG652gO3rGxBtHUkuoLNJcm1SGgx+SNjGLe+SEI1Ip3gzPCg/kZKpqCX8gliSf NXQyGYQYXWzmNYMP5dMcq3VhczG/nRpYMphbCpDqUVchaAoJTbBboMkS1FDnATANfnpYvxVh7ylV +x8JwgtOng93Lg4fTl1Gh4fR9G5KSf1HI1XOOm4NDVFl5E2A+k3sHn/SPLMayFCkNeXEKyo1Zqid D+27DgW7c92aGIshzwKm3PF6yQgMnOeeLJ704bBvG01KKnDnOvt0GDqlp+W+UxmoAaIrX4CQ8ftb zgp2MSLP6cby8gd+OzVbFlTACZCdOP05laV3k4viO9Bs6FnUscVIL79j0s8KDkt4HFTG9UTPFqFZ Y0gQNDaD/C0O91hQmlMS9k+ZDedildiZRek0pF4wx1L19XIlNjJw78bwv6qhY5S1iPFCYhWw4zVI T57ZwEY9I59xN9krKj6mLhs5EjAU1cWkVTcNqNf+pig5KHArc95yPGlOb574Lc+4T/MyRXj8vJRp fwtB818slb5HFIeDl+dZAcmW6evns6ilvqAVqnDBCG9GvmN+DUepQmzM85/5j5tbOg/fVF7Xv17D pkKNE6Az98vxfc/j9AqtOo9dHCKadsYlVkPedF43qU8d7FMwpJBG3FUPA5u/dhOHYOua0H5+VGB9 iwcBGu1yqKhpWRUmt6x8TR3+t3z0NXcTuivAoDiyuVinR1j3qpXtz3sAgwNtqWOCVodBSFL+ctWd EnPV2Gs3SVo29mA/S5AdZJS5nwcIyMfmP9UH72otR0lpG79ml/4kM5jwnONwUXf17Tjb6pGnjqH2 kKH8OHN09AGbMATorny/hUQQp/VL2N4WU6ny09Wlio6iiePYHQpUi8n8w/Qtz0hVfHizk2fxCnd3 r8fyOpikiXUysVaIUqhBLYy4pwSCSn2qV7NpxBRxHHnQqmmerD48fKNqNnh/Wplhx6cBL8E6DDZR XcarZXfAhQWJ0AaYVfUPJ70GqRS9qZV4VT7TuG1fEU6VnqtHgcUIvvrbmI00tt29xr3ZDIitjeDE OZOUFUpw4UoZqWAR2aZYQ3ew4ESlB8amz5SqYLbt8R/LX9T9Gl0T4CNjGS/+gvAZKbDO1Ib6wEy9 wP92hc4QIvkPblu53mHK1h6v9H8pWUFDVOnCqRdoptbV/IM9I6rttShoxNzqy89kmrp7oyQi0KUc H5R821tJFSFiA9ZgKy03BDYuPGOkCFIYtuVCW/Uiuw5GlvvnIRkFfFXyzrVQJ5k9MncTJWhrFvdy GrFhxuF4frzMqelHOk+NTpkWtnIUgYehArPTNTajdplPSSCVVoPLortmng+kyhMlHRNaFgvMd2M6 mIc7D47sy0d+qy3xgD5l2eDMrExP26+pLCfi3cPEIqYoxZDX2yQk+8oy50znYSUAiJCdiiD2DDmt /LKSzK4B3WjMghd4RA2eK7nQvKn4j+FzgUN+Lfl3/lWN2llDOE0Co8Mm0db2ZByiSr/bXJNuZAie 25Z7ELbNCr/LLatCkBqbPGsf6vBXZ+ui31QcX1javYn5mSpefzo7j9NWsAr1mGCIDNxVlqtJUmpy VamtvjUQFQAkKeFTOAKU+u9friwlM0VAkI8kt9hi3Ga/xsBPpGXp8WRrndZCHwHYGoWuMSe7KDCd A9yLYCnDF1ktR9pu8/kezpAQBq83EQb5tfGeXFteeiYlCjTVeedOqcd6cTda6ZmpYOgDArucFLMl XYqr7/f6txXFA3TPsth3xTzUupZQNrWd7n0LwfwRbXJ7uLhnbuO3OJ7+0Yci6SqDL+bpZmRlkrIM e+3Z/G3c777kdoSmn4TkLcJv6Hgy4m+Plthn1LIJY3x/4qdCcUAots1pkfAnXczD6D9OP8/xmJkj zq6OCRKk3kd8caMD2YfZB+qiws98ofKCtWZvSwvo6+lgUgj/nT+Fu4yrJBALwjbOAdBOWVdTC6J1 lknx2jkmyMptG5akg8unoREpvsWqsyZ/TZqQII57PNQPFmZhqJkOPVErujAxyaPoL8wUjIZLUhNL JXKNXD4nTDZ5ib20cgn9pDx/VPUey01gK6patEsO7MrUFK8ez3+vWwaDo8zLTEewn+ljPMFgqiVR aSHmPidMb8/A9RIYl4lXJBwCRVE/hPMFqk8MemRgUPQf6Mg6LU20WyX0yZiLTLIVSLfxP9/CNmJI hy6WHb+KtLBehJ0YImOD2LJSBdqrJbNH7GOEzzA75PNK6yE92WptLk8VlOvTLygGw4+xOg/+GOqK sdP2jkg6GiSMsKem0chJ5wPPY1S1e3SMXWmhdhW6ll6UFW+bMTmc0ZvVMV1WLBEtx0wDTftHMV7W +jBzm929epDJZIBjWh8vtSgGgBP+5od+6Dnq+xnZXgAGcAJNnZ/bem/W6Aqq6LBYHivRSC9PCSPN bWFRJFbTqDYaCOlQDFgbPqS5jS144HIOWmW1oOq8ngfgCJp+9MbLVv4PFRARwFcwAABIqQ8Vp26C mbDmMXSqgo4zrY25I56ZbB2yGcY44wA9SOQMdogQSPiT6CXiXZ+TyZ7k3h5sdH5vHgIPuYBNNHt9 ewW7IiM3UdIG13MjNEmQ+t3GX8fQzP/FyUoqmOfHSlOpvkDBMmp9fSKHNrZBNOxhxxcwNWp+q1K5 SVbWZ4eWQPQZ3417zpnbv+8OcuJ+S7Or4iY2jQsndTmco0BvdDTZ6edw+mvg1Jh0ByOkjVzhDPW0 g/nu8Xx5U+gzP085JEXORfY0eM7eYu+hq82Rxte8u0o6m4D5DqSf+C4NDdpvmpFrWDueOEmtuXhR nW1WpWpq4tXGwnngIjx2mGox3bwvWm5Ptrk8r4eKgibVHrm5BwTQ37uTY8IUx1QNVK5AAD9zmMaJ qwrKxmJP5jEe6rMDqx7gnRMLKloyVBd+93Ur0C/3oFXKMm3KLdgHUmZy0ypts1O6BQ4K85xi3GiV /hOgtw95c3zWjlsGuSO+HSusuxgP+SkYudAEP2l89KeTel5L3X4s0dIhLTc14DzWVWvpaoJfvHe8 98qZ6z4vL9TB6fsDzL8Ka8KCfiGZOw2XxhYlHU2/ImOSj8twlvJNFBy1QG/ETtQX1l5qgaepOV8J IMPKUY937HRL0BdJkMtm5KoZJK51bJs5ws1XC6ZLhGCjgBH3OOokx2lv7YOuCJRgy6Bin8HE7Fr4 /b7yvunVJxEsgQnnCxQ27p8isW82H5uoX9c93oIDYplWQ1xBtSYKidICFIy2jd45pTDSKV7OBC91 LG6GbM+u8/eAFF3EZ/Ts898YaGxZvR6aA/MYzDVos0TboWAcO32iVMOfuFcw5wc1nCMHGIRxXHFZ KvupT86j+3Ip5FN6qHW/BLUrwQRK9REW1jZLxYw91bubO6JXuoSaqiE1jfKPihgS32CN07Jrcyfk bMqzi7rDIMDmRZA1Qq1WLeWZTjf7Gp7oYxGzBTPwiP+4UUAdS4zddAEEkmy9xheI1f0TLFnOtdsK yzGIGcFvDjMTpgJEkQbS1q6ZWAhy0rW47+6U0kvklbqtsap26PnUHgsxeeE9k0OY/sYGfZFKN1jw XAot1AAWUNRzh/3oG+4W5gPm7jyM7Uu4wqAyXW+GLsVZmVk+Xk+3FhwSJU//yB5yXtKWOKc+VsLi GUOoUXetGUv8fFW+6QFTsH4uF/5I2XhaZvLVvkOkVzGP41wjGoD4rzdFpWYhCnUElc+xoL1uC8rX OrxvyiXMJjJtkCB4Hca72kuPvlhkIvMuuhNG2Qin7TpaI1cA65yCWvs6MKVcU5ilJHLYXTdiIEYS 1nOZr4PgadHtvciL4yYpaVOYmbqgoq0wZaODvQdVYjeG6D7bkX1c+fI8r7LSXVIrhb2UQBDt78Bm XvOhbkEhzNzj6jhoyDwfK3G/ahUkjuR9oqYRQ+h9lT0BWENjd0RfR2Xx8TBgKODjcQzvwUqd+fsX ApdG7MGSvAr//NZyBfq7wh3CfdoF0eNdAQmN1h98iXY5SkNfY4++QjvtWoNDiGMQFTl0C6Xt+BOk 3iImIz1+/6Gj/WQyzvhiDy70i+yFzOTg/Iw5YThfPraFfWjm0MYWd1cKxQku/WHwgLNJ8u28pfIH t9DAuZoBXN6PuTJpreQGJWDR5cl9W4LR4HOfgU/ejxCoumLuo0eV74pOXxF6efCxKtO5vPxgkHzV nGhHikmSPLhHOD1RJKHCPUNn3uVSsS3qPyUQOZZEU1r/+iAqUho6I7N8/5rK0opYC7MIebuVOYj+ 4wckZj1Cfq3p8MhtBNI7wRPgXzKH9WeV96dB7WnlN2xOzogOCFMkkl39GardCdKYDsY0/NipYn1f FZ/EsPe3WAhYtTN4eXijBHLQO2lNm96NeqGti33uUS6ZNZr/BWO9pAHL1O8m8TsOVfqQor3ecveY 1O8CIygbCfB9jDbf1kwh6tFs4KU2qVGsjmb0gDbC3mdh9lNa6tP8EbCUdI77a9A7GRDYlGy3y6Kl k4arsvDfRJ7SJ8cDRZMOxpihD9PpigkiUMON9V7W/er2aqZ6rCBEUBOnJ+9+Xcu2Wu6xAWBYo2jW Kvg+gbN4dT4BleMEBo328rw/RxRPLcUjT/bg5nbRMFrTkN6H+TEVMK5Xu4c9UwTrsdAs5vVKJLrX ZUWfTdFRUkHNjeQLkPv0sWAe9Xzm4+IGTfZFNqOJOTxmZA3r/7DPacbnE5TaA6QdCV2qFYFr6N3o tRn66kKr8vMgJOI99K9EdE8v5X+IcZNGEKDhILl8t8vkeFernvAyqxltI3uuxaqlYrtvIk4QVyEZ 6tBTIzC53D6zuJ1YhwYG1s8qb2XywM9gQCGm2CjJc/wZaNuxwbeZXgvkP+lOKFAaIDKZQTJ81Qm2 6BqQjxTAhsOlK7GxueWRIbY8IAvbRPthph/BgA8SYckZZbDtX61bvMccwGo/dCxBkuCk1B0sT2KR H3HzEdeAGI4gnzMmy0W9hyaQYRlez9byuJnHAkxvzzDIK/9dsmJ7Fnz5lvOBxdVV4hJQddO8K8VW CFle81CiPuAfyKQEDTsi0rm+9zkFU2ig6rA2VwpivaEI/qTFzbN+RF8TIepCeIZkmC4v3XhOOOGG C8IQAa9+J4cDCZKVi8oBrBpWCqi02D96zyL4mkjrXwoqPOBI57dkyJrYWLW32m/QJvKb7nBR8fw3 KWjoZuntaLtk0nHet6MX2Kdhu3qaQ07u4pbfiGaDA6ayAmouyRR7SdmePe1/AsmjE7ESBpo/hAQ1 AzO27k2qkmwVOhokb7dx+0Km8KHjsqSnCuN01LevXoGIYL70Uc1XMnJc/+vrTYu1Mol/KQ8xkpeF /qzoXuof4AWXHpRF5DXdpOut7dDfZGRiqcFM4/8LDRmqr268joppMiwqaGCKAxB8PdUzo/ItXEfb +qvclqJa6BY4+BQ0icoFkuur6ors5mYrF8DaiCZ3UTAmBFcsFIiVoh/xm7WRsdRuPu1gUWVSKA7t bz+8jGfYlhsEuoPZ1YIN9HH53Xy90M2LUtPvLGS3O5VtH4iGPqCLE7ZlAthYbY/tiikQI2BMwutV cYf6aqVXMxfAENE3XYSCHwsZUrZHGWNN0G4Ha9ggunXjiUZ1Aib8MqCOlT8y35luXlZqCahqfsb0 wzzh5AH1N9GfmJfkTNxrh/8oAHtl00Td3lX9WuySL8w5wBbaHDuM8MReYimA/cQY8QnFDvHdzhMy FUqsPDXOah4HTRt3BGkkUJFLXFrXWqj9G140QK743bR1Xi2UJrb+YZPCGOFMEuf1X6V8Sfkroh7V WjRB5uIjnWFO4QWZmDAm/1pR8p7uNzjVO88UEAnOXMADtBYvBbq5Jaw9hDFAemPhiQw/33q+YOrr RZrv0flf9lu815tT1pNHVyxzyDYNMmDI4IdrP2Oe1+ygCNI46htovrFRrNsoXXEcB1BZLtuiHsJM QZC8lpDfZDhQ27b6OankhFooO0VsvW0k+SAELJPNBEcCVBDpKicqooREm+/7aXplOb5oL0n2lnK2 NBXcbUtyFKY3VTiXVELs3+JD9YJ0L0wRJrVOW6tbsqsHG7svVXyuzDs7tevyjT1gsm04kuZeil6H Z0QVmpHh5PKfSzZ0MMPuK+P8+8DU6vHmRMFCMzg1sH0yqv1V2vZumIIt7A1kS6STINa5XsVYiHkO qMFBm2yELroekHLNkUR90+wG78QAIYi8FSsBaJuP8qd6FwZubdnp9UQb92ATS9pr0r6bn5zX10CM L/jYGgRBgdpuswrBjhv66a7biOaBKZfJCNUvG879s3o3OunfeZfuP9THAcXJdFk5R7hRBZWu6A2D WRqHuE+3wyKifU4vfgg7wuesx+eYTiqjrxbA0xInOzKEi7xm0lTiVHUHWFhecDQhuZBN7anpzF00 ZRmHqvYVD1m15qFJVsehZZKS1njUakBCcCxxavxtE0Wwf1fMe07VWl8nk/bXYW02gbv+D2X7R82q Oo97ieZ6VIhnN0WUAmoIwdJeoEWaDvqhNGe044UsSsfoyK6UQSmGV2YSEpmqQcfDyjWkoQogOmpR mSEb+Es3cscTUXNzCF+UxDkXaLApe5Ssy549DEHU2H8Nd588Sv+PgbahbB8dCy2bCF69FgwznC8U Patj1yNsZJVLF3cZXO1NZZqHTls3Ijz8QTQ9yvl+gaaXzBAtZHSZTYGzJBljSAk7U5dckLnnyVab zYLEqVFq7RxPbee6myJpM4bCRgEBhu4ODDm+Y0YbdZDc2W5DYIfNyHGzeUEdUQ6esCj1cpXj3+LO LkQxP1tDn/A5Z/rRIgbhonA6TOnV46D+pcmcnlrADOqGCMBXyiP38P437q+yXXG+5VoyrkDe6hPr PPMEJGxm3Ew5K5WQ11vjy9iXksqaXkMaEkWUGGq2ZAQa0GJtWdDNizZaHWhWko0+PWY3q94R+ow8 XDpgj9+YctQmgOqyfBkUZlcYFWrQiOgXHxkMWaPUlywYdIstB7a+DBnkmE+TT8VR7dOhNLvFKMqD mJDfJOqzpJwnjTqzXfJSVsUElNZ5O+Lwq/2PC+JWeeY9TVaaK0Yg22xQiBvS/2TrIz9zq/kTV2Nh BbVcfuAd1Tp6iBdNijzubwQy60hn5COXI8TNobZXxpPnxLO8cdsemtbheGS2v66OKpsbWiouRFMg rKzmBogoWl6OjyWv6ULjvYs0PgW61ymdZlEa9Y/nw8FHOb96wmAg7TrlqGuuINZGY7JocjcDrKQZ zRyQe98eZgNgcd7YmbEHX0xHALqTG3uJKZq3siWN4rlmOSLP9xfKby0mjBzquJU4cR/JvAaZK9zJ /rot6KyrTHM7Q3QOVFb7noTZTjnUg+38YjXhfjIILGL1EL/cYGOxyMSkJ9uzfsBdNxWTZLElaLJ5 /9fvs5T6EhRP7XxLijJlYmBO2VjBrPqQ5fZR57WLWCmjOfUd+c0TmPUqk5aM73varfylPMtOlaAw nprZqaVZlET2aJlvTWcieGU+Ygr1LPBosEitH5iqyFlGGAs/Rh2eocc40SFM7Ld6gxIxuR3xGnel ANXoR7LM8uJsqmNw6l1JT7UBfyThwn6zA7GTRCMvOFmnaOT2A9TkY5ILD6UV187WUhMvcJe1bzmt 16pP6or7NwZI5Nidy0gWmH6Ebxqg/qH3op3mb5qy2kcYGzY33DB5nA6POCXP4hxaKHPI6/w2dEvm jxymsisGxl8UoWOA5clOoB+GlDtSE/LvrIKCNjF/6QjDM5akTS23OJw+wDprKtihZGiSET7qpEO7 2DeK4JkG1eMAVYnh1R6z/Qfuksddsck/tQvvBkz8vGT2QtmCHKQ1S5/oZ1WB/n11OxX7IPwW0AYK QfI4Rwb4gAAuDpCUXHO+KgZBlVYx10XVbWn1wnpOaOPXCMk0hnMnDoOupLI3ohz4G0RbiV+E1k71 3fe/9k5IbhwaYamnEcNQ9uT6MdJelm2fjJkr4cNe4G3JH5hO8h8H29PaA7OYAy53RqqoKKCI6cnp if28wrYuU45ALsuQU5fZdCDyrVh5gs56E56ZTVWL6Bwfs4sztLUzNQ5zYmSjzaQTpijFAnAg0rWI hLGExO+Q58h2FvTHLC6qUEhHxf+NJhBwVXKC/P/XWzeOXS01APkBN7GhLn4f+pCHxbWL7wU2RPxt lWdkIM6fYBWUzZlqJ9uJNebJz7TV+I80n5f6N2kaHBBp1cUg18R7gtXJr09QPDH0ZQ5pw/Xh4Pss JrSnxV0FllJa+xDyUMmqzZI7zXqQ5pLZ5FmIC+sXi6PtvNokakXO/NY3mc/5QXhumEJOuJNUmRq/ b28q7QkXtRzXLpOu39eUFnsqB/i3Fh8X5XayP3aD7zkpS3/juB1bRoNx8owHC7yzgilaeLFS3Fsc Z7tiH/NaVPsVWdumlZF3AKiNQBW83gCjHPjWV4vCCjrrts0eTiVQi2gXEBkivrifF3vl4Jxi7BPc 7DkYe8t5QWFiy7f6q2z7ce4EPZsm0/gAFa8ijQANPwBodI624yBbZuy5PlFGHdoePML/ZAm+Pe0w 8WW24hSjKZVxo+669xYsq/fXNvC2wJiiooKgrGp6pQCAQb24xjaELB4YCDOAHdzCr5tNvZ7+ReSS pBhZwbtfFVlIAM9Nx1SRs5AknlH2r3ljtzKP34Z1gKRnBBdeXbMVbAMrcgLIdda6CySVWihAVVfR uz/Nmhy9Axxmi4/9K8D0/A3k/wlWM+gU6ADxlD8NK/w5w9Jhk9+hrtUFIwveaeg22Pwg/E1ZvsP7 XU61z2lBdrQOosO5yxQP3tRFT0qT1oRHWnvB5i23a+x4TDQwBDuD3tms6Wh5Wm//3DboP/HmUSgn Yqx2OhdpKvOC7MU4X18IbamHf2HxPXSBa9ch4WkLUYkAoQeNZXIC/aZxSDCclpEj1yvmsv9P0xg5 svFTP8/pvp0e3ghj9X4iAr+6FBkFfECuVVwP0CU0eKNSCgIM9tHc7BoE7hayhFbt6JBIVeD4xp7M L6ad1QwbAdRgUXY82L+CcZvMqJfmdRIedhPZO+CgCKUNeB0f72+yIMJtvax+Z763IEtqwq3H5hLu UgVE/oHX6Xayuw21UR/zgg2ZnfOB5joG3PqejPIP35XNtZxddx4QHBkOotkwEfNhLoq/6n446jQ5 Rh6dzyp6cEUyhmeYNXD22OogmJqHVuVqac38xyr6515AYUHFD09cm0gg+mghRHteyzm9ozmkh35E hJQdIk516pp6dnEk/hYNAcRim2Z3vhOKbNsXwBECsh9FFIjnKGwcer01ySRSQb8F9/oOAWergChW mAKIJWAYZsBMXKL8hSGwX3Jgd4HWrF7xAfi5FDqGabA5AM7C5UxFEAPg6zzrHLnFFHcvcD6EqaSU iCYA/rDLRMULhpe1HpMVcdn0cSVR7vrx8D+SClNEvqGCIvpUh9O/YK14IYdG2qApYA07afI3epJt oPZB/mzpmVUOqzgOJJHI/M/V/9eg3UZ8XVPMc+0BwdnQOfHOg+RcpOekjmTlN2FEmh8PncFJ+1KY WvEReD03Xpcn/dx2sK+HEg3xd/Z3L7hZ07KKldv7c9I9QcGJUmYL96njTikc0mQqhRbqzPclKgZv qkMkUnr/MQBxumtcCyGqzQXVcRiPnFIoMlPAwUNqlAJ+Wh1qrqsJBvFqfH0gGEKIgqqkngtXinHK /iHop2KCK/8pOBypoh7S6qzFETTwMQhTdmkOzhrESlCZslAvXM6fJsIN8QoJd2LA1KtY9C6cU944 YlYpwzu8PpQpvPvJ+6gZ7aGR5/eG4YebBRifIOtrHyWd+bdHgH9zSKKzIbqPN4mmjxAhtXZ1d6Qa iJHD4Ync41uekS9m6Ozw/hOxN7JUR4WzWBzDLiEC3kRURjmOnV1TFgkxq7F4HdMHwTbGpQFEDr3F yJzAJ7iGqcVc1zNKydWYA2rW4MJf7guEIw2QRPg8jdzTDOmMoG3VgqqeV8oADRg8dHR2OOnIZTyC ScbV5g/0MTkUZyXXUsoCkGnf35rxHy1PVis2UFCTkBNlRm52zfZiNASK38iyGXmQRT5Y0A1gQxZg mVlYYSqJNCMKD7Av3QFVrWKXham5pnk60cbFzFLHiTQ0d0xIB9YomP4i1NMi01muk0Y+VPdgrbjv pmEezVh1nSgOntDamlbGHVxDI7iaFj00yBIuAEjQgfL5k9/1c0y+sqj1DLluS5aAo1zRjjVDlRaE YeCtA74GaLOyLbdDyjnSxkIhtkk3plrfOipbQ2hyY/NcyYJDEBkiZsgFG62mWdEAW0HlMPeoLwhT KYjoG8kLE5Gx593EMg/fG+cn8nsVUpPDT7UWzRuALH+ODoMMKmI+ErlCllidvlhWTxw25IDEcI+y t5553SwEjFVFCjcdqt8DE6t2kzXv7j4h4evWbB1wQ1+bi7ifNJp92tJM7dF7zTFtvJzFMylhGSQz JYKC3pPnGI7zwpcGotzJv5iuvAVHVuN3H88ZMzCp3cDKzHCE1E/P+mpPP0apmobkCXRH9iiETtol wDyz8pRelD8irMpE9NXtwwfM5mr29y0tOwnDEicIo8mPNokyzuMpdYYezEMwMOOoqC6mEnnIv5rb 99nVTUWQNQuMXce5vTHQI4gFsse1uihiv/THnh2hRwKU9lenzxpBKkCvCDYN1UrNkmVXnks6ugAJ dTGEuPOpsuW1EK0hvAjESd8gJEui4Idw7Vf0y6rxFOMxt2EFgBE7mJuPpu+IFr1TD+0PoS3ggb05 KXABzhbbYaZgqfx51ytN/5Spw3tjh7/um7cc2X+cbG9vTQiYkr0tGZYtXwt5it/K9dU4WsPGAjhO hcVEypUxq97b9YPC+XwPS1wXoTvS5S2ah5Ccmt0lRhay385epAVAjljJ6YxVMkiX/7APGwPPNlQU 8GrWUbnT43U4kVNdRE3IGCSm63wGDkVVZ3f6cAWFzBo/hJt38RLRtRcfPWKl93RifV5bETJgd1vo d2FCa1Mg0otSRuEQHlpCTmej+pUzx7a9kk6c4tdei5w7lxBlA0RAKQHxnx/woSasiyoLWAP0sHPN /0QAi0GFWQohesno5JVGclOf1LhDN4h06iIDgptlHiZ66CePeGf93AFvEQ5R0VOysdyfOGj6nk7U kaNn46BNHtuD85//rMNhHeK4oDPNy2XG3ZxtKeu4LOkpfu0jdxXDfM1i13ZfMpYnCxeh4fwyQJpV s9caPNJiicbwlFKZD/x2I4tOoBiJlLt+9PzJHP7Cj56aPHwGkPaRrUSQC/ns1pfFDdUeQe2VGWuc vXS4uwi4JzRCAL3cYIf279i+CSKFdDbJFfX9qGZ2OnjvMn8PgOv5p+dnPjOoLeS+Rr5edyPWU8d8 JSVQDP5P/heazT53HPVI/6FRbk3w31ikTSnP+dU2tdhW7e1WwDHF/uUe2Vi3jCTkxYXYWdFdbUeE SdTOwgWVXFCV3klBQDDQFLGC7LV8nMsPf/wHIcdG6Da5lTwim82mDGjSQALvRS0vLQieNXFO7oJ8 VRU03fYIX5YkR6D9W5JZRDtI4wVIoiXZnKkCT/mHMbPD5WIw28zoMTPSf84Q2lrrF2rUptBnNuzg T+aKBCPqSdXdfE9dNSbNKYH1UdXvY/TsE5lgoW2ulqjYAFi/933jRY4RFQztNhM8lonM1Qg4npE4 mLCmyWiKrFelSYm8d090zrk6OO21VYUGLUbwT1/t0H3WuRZc5m43cRrSJIZrcgXUiBmrSiWC1H0Z Cwjmu6SABgZLjMaL1g0BDH5mYw7DyfmOaCikPhJJZeVIZIazqZ5X/GPIPH+EXgEjmmarrtdGs9B0 qop8dFkZe7kMaQb4E2OcVhFGqvbBgZb9K66Mm/J41SZBhtwpRtFXvMx9lgmvRR9Bi1J+IYyZPjs5 YofBwwF8MnLcQbC4y1fzRWODIlW08r1EYegtEYDXEoraSGi2FZ3kBE7RQq+JXjwlblmI3DrewNTi /biaFoK1IuEmpqwbtfIZ3k67TkxDveS4qp9UwgoICi4VpcsWcPR6mDMEZbkuAe1fNm+Es4nc2kQw f2xIlLoDVVntMSDkh5clhIuaHnItsh4UomgPBBJUu6YzQyH7Xqs5xonAG3cyHnXJPE0uBWvfg7Xf IVuFYdVs/Bqk243JtRfQdM6xJ1okTmrvytRJUzku4/7uyufMFhC/Dz89N/K+Mee1SLcTI2VFCRuX QZeIQpkkKewWQDy+Ce+VEuh33mkNownIBE1hlUL/beWGbBAIY2wX9qUQTR78Cs8ezekka5qUo+XJ qLkx1yWI6bMSYsmzYiKd/6vZrPsxgTJpA8NLKdgLNlvbIH35ePmhRLXyHimBLsKNIj6LiMlR58ue ao5NLXgBgBgSNv1j5jeRg6+NWuhtOlV1nT0ELBxkJAF0BpK1k24tNNdmV92SG63LTh/JlR5k81XB U0Ah8/plegZISQyaWoPdv2+nTM/sM9JNA8CSVoOSjcRhhv0IOKrjxheYANZY3g6y6NfMRlHQ6hLx D7lH4DmomS+K4gz6ChZ+gj+7pgD2zZV4c/A1zf40SOgcCDpb837vk6S9yUxNkU7ObV1e9CGT3Gzr 5V5nhJ632Sg2qP0bcVtF5zXm1h2kaf2j75JS81qYks4yPSWUjhilb/dO9dv78to7zO0xx7qrrPjN jioNoG+/dVYvCMJVUuPr3vVqG/4uUA2ka+ObJro9HVc9bSyKrhwosWtXmr/ngYP0VREUd5mgB1ie GCJnILs73bL5pWHDjbYxgM+LkwnyIl9OhcxeNJGtZo9RkuIRCablBxBbHWrCf+/my50ZHMCfs/n6 sP6Q+cORBPZ9Enw9Ti7edpO/o76U11oW+xC3Tctaymm/dtpDPuHtb0FuC+8j99uzuuU9JBUvB66+ FyAV9oOJG2N6/4dLfMSXAgMBrPlZF1LQeaxK+rAIzKGMHVDPEg0L/qqlbZ/mp9U9DnAUriPgwAhv jOPDzMwe+J9Xb0AD93XV3CinIG3nOoGyPdmwphzCzrwDPwsnNKEKUJLGUvwMqdD38gTGJH+Pdfdl XaVa96Jes0cB0lmboiVqmquNxp7sXx5iTLtmtph2dtR8afkto/530WYAMoCT2tmmTnZhxrmLTiGr oMk4m70mrw17by4MiEZaVN/1f4lsSrzx7JudVUmVTexUh9rKh09/JrCLD4TwGs5hostAZJhBd7KJ E1x0P67cQIYkzCUvq99mLJLQIzb2NenZMIZJuSip9izYmMIQ6qXHSos/7GXVHm9UlJTh7Md47uta EXcDDpSKFIzVjaIB9XrkoONDw7q9S/cP6313dcMVE038zyzxeXBDJU64QkqI7uZk2wlhkkMi+089 kYKfoLzao1YiD6ufa6VY9Li7NmkqrKU0vvG+VajBjhbAkZjqyyD3MleX0HfBBTXEVzizaXQ6/wrK v26xtoE9XDd9cA17htKpBMqkWn5LElcoh9Q1iKo4V2Y0yxoowiAtAJ06KZQTDdLUHAY5TGCwXanh 06ahZrnkM8Mp/rB6M+nWiknAegQnQW7OUVT0+NPGomlLPXDk32oN5MSU7QOG8awa+Q8aNiFq1LAJ 2+GDKGZ6F4aEpSVyueA/QGq0Zv/q+DPf9ACkQ/qY+GwBOrHnsL5yCrKTzAdH7Q5vRQ0ZqSs2Kmo9 lUScANpH+gq/p3XwQXfN/fOTj7iuX0LueqC5Uutc4AUYOoHW5GGP4Vw7FDuVQETgCVpw97QPUS7H yJsESbjk0MgoOXGMMxTzZWG2XtoEvl3WUJmBbDw0tMcAiHzmjOGsrEZrgC9F/1DmIfl+GSxtjcbr ziOAXWBU/KG/NQz+xYmbd6HK+cPL9Mp219scbRra8xmnekPLmTfL3a9CnNOWh4l3S2L1/98Azp0U 4hyGYpoFHCsOre8FNpdDVWd9VrMKJg+dCJiZ+PodxDGE9OTlVfWcI6GUrpiYEqP5lHWteP5M/1oB Ax7fSGL+M2IbH3vXcNjoIDIXjMBZGYmsCfvO0hXO+us1tooMPkXTk2DYsBiKhylgtGtkWeSblztR KtknYPV4p1sdiJnQB2Kqy5ZSnSBpqNCD1OozVkHVkencPcTqgwOX6KU6LJZuBrQKhJ2CYXqrjXtI lzkcNR7nBgAyVFQJMz8PVuskepvDIxsVINMErgZmjFnr8368kp+snUBAksuOEmutf+mtRXvLmaak VfEjBdQnM/piy0+UUZGvI5feK9Pp4MINBcPvSD4I2CFKCW4KYIiAwQLEHqzkBZEaD4ork6r1nDhD aIowzF1C78ksA8fk9XpV5vGCnmMOnS4B5qZtlukXLZ/NJf77Sc0UoiIK4Qv3o9JPHlZupehC2jWB IyWSL/ijM789qO+Yq3yEbIY9BVcN4RXhlKhSCdIBGuZa445FRQUACST8IFtRt1eQLuRHl+79oRPb VXoMlCChyLwAQt1DhwsjtCmtHEIOFyoPA00ZxMBnYO7t8hKYi4q+FKqyEBjQMLMRbx8pqhTs3SFn WCzxsQWpcBZj+pXxc9xpLzFav/BLI5ISwcGKBxAVpRYZjXOenmEmdPoEMEvtwAcu09dlQh/ht5bT I4NTF/+tz0KyohNZcV2jRJnjJqgRcCG+kjCp+lcZbQWIMd0Cf/r3fhWgarlfbJssrg/cubwUTaTY 8p7ZAbputb8NDndS23p0TdRywLnyDho22ipQ50as3e8InkGwT3+bocFRbGLCgzkGUOOFTmAFcsNq hxe1W3CpJJ/JonXrKmrThLL8JQDgG1gwG5NOCoVGv/3L1YRGBaeb9oWIsbw7qNa9F8qbV/AGa4eH xyihGmnIAyH3Df7+4ss2wxmtEoKQOf/7Wtld834JdZivXg7tSItYDcEEsT621QEx4fldOLGDrBv8 KYmHBlaNTb+YBLfDu2oQLhT/GBeKzVW3SjQ6YvKdtNy7gQJdzb3WcdqfkiQBTZ9M9oKcnpsPQZ8H LUz+v6eTy0XVQO72HjKKc5ef1dL5cUhlmG9rHBhNBTVKLdpsLBfHzBMyRJ0RIZPNig0pmLvABG5Z TmiEAZZYHTEILZUxJOup+jLcycnqe38HVQMlQxDfJGexloZQ5tVyV8RNMRPiXQkRy/woJDLiCCWy Ag9Hre+FYkI1gb7+QQq7ZKN0dLqGBzXn4IQlKH57GRzCzTcLDzc4tn1or2YCsYPtLXiRiHHmI3nE ynRhILjapfV3fO4d4VQ5EuLHd+d46YqzR9cjPj79Mpw8LpDpa07ARgDau+3KHTn6KSb9wkfvFu7e F15fSRndRWzdQhJRa7MnHnHbKMwmMaA7C5l+OHfdofIwNnDmQnl2EnTnboGIBdmI/onpIft+7VJZ EgLKA1DLMKP/Ck2PNjV2sr84L1uytcS1DWI3nhlOHxy9f8VYoAn4oJadGBdhBxuGfkPvy6D9zN9x QF7LHKqeyfUWFdUdsm2Pp1bpta7Nl54TVF05n3CBM72We4V/DmekgCYGK3wbncje2VNq2ZTrUyB1 fowtKK+jTcGwT9NjFxsn8POBrwS9HgzU6U0ttQdwGT1qcYSEVKb/J8drrH60oYBomnNOg3CRXuCU xyumCeDwK2fPqTaxRL3S0GAQC/wFHj3mVZYBcj7qEJtbO893e1/DGdkSBz9hNUdGDQbOB3dzZSf0 20sIJCmof7178XFC7Ye5K5W2C0gPTyDU+JsIbdxbx939bSAciKOwTf2LmHxEEsx+99tHcorjV0Lt kYSVt0KAbqKr7ryBf3OC/ly5DQGdbfQmUOtfmehfRNCm/Kv24WAmHZWaPEJsrljSWA5/9g8KrFND RLqL8sE3RwjcmOKrMVjFzx4HBTskeo3gMTRDyKUM1Y8sB0+BITEmtrCJVoIQzyAHnDykL80E/Mm4 skCedsZINmu6QWoMCpWcXccHXfRIMQJlSllRPdpL+3wKysRWXsrKVdrHepNDwVQKXjqllQ1RjsA8 j7OaXt6iXKKraLkTLSd9ufqCv5jzegRbLXmEEO+L0Bxp1bCkYsBcc2kuXObKHbeZPUbR/QdO3j8a tKyy+1dreWko5+X/sb6/rcU0hwG9NSUtXFYBiN/2iox06kh1SuX8dRjk15FOzJhq8PmZZS8fWyOE PW3HUEZjQat1WTPxk12dw8hE/bHIBvatPFhq8xQUIphicYDz2UBMI9LVjfod/Bp6R2cIY+Y2hx/b 5ETV8KdX4T7qi3n7zHLirksrGkkGnD+6h7G9y89ynKeZdX8IV27QaJp/XejPqAqyzXPmG280YA8c rHuZ4nJEyjBI5CnCqhiE1HXtPnn+2PlThzhRaS8Pkp7B19E1VIxfwc5pJE3Y0tkHZmdcRauAAeSw RvNQmyEXSAAcRy5zqJK/VeFSZI9tjZaOmr/ystn/2Z9EBtX24nq29Png2E9oDzFOkiXPl+5lgrXZ 5oSRN6ZR4xAJPsp/GdOd8vx6jFEdNBbtHn630a4xm/MJT+YvQbu8LXBCmQAnc8YMkEyG+CgNN+fW cMFHLjdict/F3u4ezzHNpHeoK5PM+BQ4W3I8iF6qkdvQg3VntxwEh+eXRJpWL6Uo7phIHiaHlUCT FfpmwPJYs7EkP7HSYcAc2mmAVoACdM3TaGwQO5jnkkg4fChJR2yJxJdL5cPBH30R3ibEpgRCBEe5 HcT0E9h1mugxqEWAWeciSMgNWHVBLcsqYRCHrc/xw8fFm4d3brLgMd7BBOawfzjv08ov+AdqiipE tjH9yMW/udBZv8/lQmIL6kC/rz1t7hgJUftaz80o3QHnXqZ4vgV1Puck4U/f2O5Z3YX0vjrJnu+x veb050suP0m+LNGAfIC6f7c92aLblOJMA0wNMpH58KgPIc1/jcdSbDr6f9KkpmJ/Vg7hZAS9OKY/ ejQO/IoSoI36eT41FTARXxgl3sYfjtblJ2gvagu3haky6O5gRA57XbCG+TdAFvqXUkNRc+jusKb1 jca1i55pvb5k3AWVK7ohfeO4Y3ZFtX2ZJXsXao/8ISx0uJ9c0yT6B+aEECAIOYsSRjmAdEeeY9Iq Aba6KfOMOrsvouDHDblRu7gBrHtiWyeeFi4KKyPaVWKTQ3qVl9lmJ/BnSwOYeXMDmkHLGQEt7aGn KaYDRFMePWPJT6G75WuQsKKbOUYqFMbSKv444Xio+cOE6+FjQgKuhpBbQYIiISeJRRzMZDwRIRf8 rMn9KAe6hndAnH9hAzBvbGa0GIhtg1BvIXmNyUZEnHoIzrxBePy1XTnRVctFf57gkvtuZfn7o2M+ j/FDzdbdhTqawvsH6/QJN29Wf36bquCd66HwEBtMiJAPoW/sJ+qyvhbbGbDJTG1lUT2/jqQxABhB omKnZY7JlLQVOdwEqXU2f2WXIwLImKjnUfkJfmGrhgNFnRdozvzWxq4T2E4W5yoxV/nRNzeU5/cx lSyeOCuQD6eWQ1Z6Zsk3D8xgLlpUF+o3/A7HItSSt6ZdGMYKzGwivEqL+LbWfKE6A/aVbsKJMf/h 3vY2GgAm94Mmu2jKAxzbaHBHRjjLKvYdWIuVNr6ss+rNII3gwhDvnAKivKvzGRttmAsoR0/1fFhj kyfkpt1GsrjOAXNqo9X75AKcupEhniW5DWlOpL0zcbClMBRFLGxcJxhypPpD+QBduIfF1nA1R5FO sZfVDVhf61XAJSGUrLJCIIOyo1k5fj6J+RxQ/KZXPEaWuLzV1ohDiiCNsUoLvuk4ObENZGWKcMcG ozTiWrHeFy80+usDj77AEsPGjE2X4dOmZleM9LT7kSNAWmNjtADCfB7FDWIbj3ibTeSRrg8MG5dv dDVTtCyPQ8ytZFNtdf7gCbtAgDwdlKfXlDeoj3I01e7Mo0S7SMNi6bCtM0eN3q+bFCTQiGQEBRDo ZM+CqrT7gnoGK+jnk0YDEpTivyZHI7kYiD2drfAUQUaGR++ZNpVrlcT2gXEw+GZfzlMJ2gpDB+Fr CG4lxfCZFqY53kya61LOrqzABi9aaILBMj7CEyE4hFUDhx9YwoFdrKg7szTmVt79lxN6zunnyqC/ o5uBtWxM82seA4fK0zi4WJ1ysG+zqGlPyoRk2tp2tpjWh5QTfIW+jzc61RZHBUQV/GVDr9G/A5f/ /CdeFwQQi4SJtHw0vVdluIYGrqMOCfpiJ/tybSh1utzn/v7JgPuKqU3uiIOvet7eYfy+ujnPdRZF egQ+IqRqp7DsWQURMgtAhK/0JeuPdg1aeVbu83iklqeWlDXm+Hi50PnZnWZJ4FTIFQRzfyx8buyN VqqT319gPOhHTwztDatisHy71UMbscpHr/DazAr2UuVea4k73Tg0wzq6+GV+2PNS1f0XN3zcCm33 yH3IaWI26nWN6/AtqB+yX2Jb4rh4PZreC6ZtCMTKeuGAw/Zqo/yOenVZE6//hu/1M3mWnIBcfkIa 5IMYdYfkTBzWucwgr2TKOVarId8fRZnV/ZMNevgtgzt8s0kOkAQe3gHiqEShd4TPT9JPIcd6+gag RZhJbuWQqWrmuVvdyUU+0/y1ho3+Ux8z3DKg5Wo6ujPvRRzYg4U54cKw5b5dSbh8l93spoSZZAxF dhXcYccXi6sqyT+kuG/TZlJ6cImc2Q4xXU+FUoiSN8fMRXFiFrrWVgbysoycn1oE0hCle3hQgdea fC1BgajZMazgqLHGnv3U6dq9xYDsFzrzAZe9PJ9/V31nSlfZzvvOU87rQY1qNzAWknHA6evWgF/o ueM7oVzjzVABKWhWyb9jk3MuBI4r0hjC8N1VO1Hsouvxii8cDCtNXsHslJiuM0i8IFi7qw/LjTe4 in/HOXZ0qI75Vi7KdmVq3JWyD/yh8HVjWThWRGPJxiqEArvSYS6wFSLP/fIt6PY5+b5PkxicxhOW lVYwQ3Ug/wBDFBhX+9NKFVPSMsncfv1+Ef67ilu33aAe6iPSOuu8HNYZ9tkBbL3VVASuYvwPTN2U ezVyR/jxnKpssHfO+aSNsB52qcJPcQvtSIsh8//qeRBiEBHTtB36otPHCl0ai27YqC2+sKI8WUag Fy+vb48g10OVKpqlqUZYx6HMBgQc8dCEYMDbgHMZu7EE9eJD8NOvu1g42T8zTy3Q7bBz+DuHkwiv +iF1kwC2S4jpk1/D/CO7UtJvkguOyE2Ke/8gWtzUEu3iayFNnYc9y/Ec2I532KNLSoaXFEiHrHjs SEW5fQrOBHA86DY2S0MJEZvlS2X7h7qDqwExOu5mhcyel9VQ9PvMu2N8RisN/4n7W4Az4IXf7RKx M+u8Wp0AnkeZkf83dI+rSdxnXRWKwZMwY69ESjrl8BztdqsoRe75mWoiVXWLpJQmVM7uDjmLX+Fa sH3ufouYtfsZ8uZ/b2SzeAlNcBOYJHmYcZjPaaEo4DpJJRDvBN23BRHWMXXymMaiys7r3bbE8n4+ QFRIsQF+faqsXBnCyeRTlQMp+0dE/k87Fkhd8nFtsntH15F3gJuHd32U8ey4fgYi2qYDvCZE9UlB iwNSj6zcAvE4yIyA462kZrDghEtt7Sseh5QXCsDZlAf0MdIZvvMscZYhFmEYOXjqZa2TKZWvW3ln QFyU33Rvi9RTB0F9H10oUMB7C/QhFArUbl9PEE4BrdhArrz++rEQBhtpNVIUDkVX/kmzRu1ziuF8 I7h3wMBIR4JK40bG6+wt+HAm8vw23iFa9qhElLb4J0IVLaNSGmbm4HfoXTYEVXxJb5fT6TGKSHo5 VITpE2trG550CN786o33ufgcZEcfyj0LjzBgtaRrbWYFPMVy/O748HR/d6jORjfBb6D1YSW59AQ7 0s/YUlLUbcF+SW+dftNqeX00jZPnfNWZETxaOmnJ2BHaBrJpdVjppAxwSpYxKw6pqplADvR5cxX3 XgxBOloDOOfLdpMTIcMJF4i2f6lmiajYoxpxzY+iCRyHcxYLlvMeSfL0+tffocVNAR+cUAJ/Kz4M Xe0kqljexzd7KvUEN2S3fDO3rN70VR1IEeheXVbvSMTb1sQMchkFzhFTLUrG3dCaIlpKw/pKMwfR bnTtr090LZXRuVldbfO7dzeB22I4BzDCKHn/MwaSPL8YyxsCqWfJxNKM1HzdZFyZGLxf4L0aQ/L/ kg6+VgZbTgOdUSzf+pOq6vNP+y6tnmN11Z43aEF/HYqVfjIh4Wgdc/urod0xDpdNibS7ntGhYjzg H0d/OXO17TKnsYh98XRVBTLz9S+d4LmqZQ4D2NcNMa+03idBB9Q/kXmwmN0618EJqwgT/lOoZkCD U82Mn7Zf/UuZoje+t5EtPmEIFD4VpJhkXC9YTv3aBWnehewVyGvbpF5k3yv+WHA5kO0YbrBrDdd/ aUZClB0ChBepftramdbqL0UODKWJ6euQVU3rah6A95dzkcGKRcgKRw0llYd3wlPJgJL/WAfmtZO/ F38+dNVnyFUy8CZBS6Vb0UMFYHb00QfNyR545Civ/GnZS3imTrs4Nu389qVl/XlEHaCmRRd4p+lU 0ffMs1lculpI/60Ao32zvXMlYNX1ShDjhiFsljggcajWEWzasr+XYD7ZbzO/HfR2474zCaix6f5A XtVsXKlLlFo2Syd3BOlVRFVUVVSlVScP1+sausy8U0fc1/XWrgYHMgyjop+yNSLyEwJ4//wrHP6n O/2eT23r2ceJEiYPF2VWOSZ+Vue/KKGZAViW2x6I2RCqerHe/5xMPF8loyOfVw4sdGEAd9JEqA0M umZr3fQgRDcVs/ptFscL42c956x+qw25FpITpKgGExfcx+ID9kJDCaEzbttZ3nRruH7RvNp1qOho FDssj7Z0anJvYWfFZuYAcBX+TNGk2vrtR2Wd8du0depOlPpo5S01Vb3pa8El3EkU5F3SnrbP0YWI f1s22l4UYMMFpioEwX7zMyuLXb4IFttUyLKLGxxABTpE1pB2MAGVgIPluv6WvYZ+3ocP+T7xeGEr SbB9WV0ePrn8JwVyG3Wfc2fs/2T2ZaTGlQIte+m2a1jtcCNEn5hU1/o0B25BZDXWh/4KDs2tAiJF oTo3n9X+oLpQAbI1rHjmq0AsraaKK+SRPTrKjPhCC/g7yu7yZ2AOZJyYJksP6B2xvlhCJcnIg/e8 7ZWIUNkHOUfhozB5q7URQ4eqDXd3xJGiwnJt4aSGIiR7gb9ULZQClHrBzTSjAb6WzGzFHPolnxxY YvkUiqQOcuSq/TzkOSQG4P79Q79wuVIrzUoxKqvNnKw4K3fBYN1eoC49aZWq4dUVQfYSoqCDKDME zb7XmarlWd4A8N6LaOxsI2fxNqXzOyZ/ojul9ScyHBcU7alH5bstmDlpVliILVHuDEf+a50dpHkd kE+LhmU6O89bGBxtAX5HhkKKp9Kr85Q3sEcH/+l7AVkPQ653+DHD/YFE2y25Kzehj+XyrcfVhOnS f5ISkZw9TErWFyQcGabKBtP8woObxeU3cSKEW1lidZvkLh+z36PUqMT1lkc8V2tPKOSSTwm7gWrL RYFCeEiwkn8ILirAK+ETC7AlqBbZ8Qx1Dm+OKD00ZuQDH57LgcwuOfzPVUViawt7lb3NDldGixZj BoahmERXck5I1M+kswYuUJxamOa9JgldDGxCmmZ7HcywhVLmROHLY7gIQ72CFHGYhbaj8OkqEv/H hiNxUkkQ/v6sga/cXc5fGJpmnMSIV3G48ghMddlhfqqpyHiKZFmWE01CARCwJfG1nEgOQxzK/I+8 LD1I0zzWKjlycuzKDtIfiiwr2n4XqGNYqaonxOR0M5LfGihjtxP+8v0D90t3cvdxOW09tV3lHZf8 q+ZljftiN4IYbOKuNFpzqyqMgnZ2tGjwqr1qQK7+T2YG2VZP9OfJ2Zvi1iY5d7ZRBV8M31XhDpOq CAnhgApgsvTzO976Vsta8odBSEQ4TmYq+G3PSVtUH2L3Y3yEM5ZXDr3mAtPDFYKQO8ekUMNEKB8k CMNQUaTU2uYzZCo6jeMyhTgkg3PkEDnRC8797ezC4nsh4WOOm0fnt2j2UOlXJg/pYOQda8WSTvI2 INvqzs7hNYx/ZyiI7fKBCzBvHVmN9KLlfjwVkiRDAcUnT7UuoDhada16vMQgxvTd69/Bdb87akQK r8qnT0oCyfj24/1Gl/4yX4KZLjY3CYz1afs0P6hbyxPFmTBITfyb8MucsiuDpeQQcI+TECvguRAt lbSlBkXrSORlhqIw6Jt2kpvPYfZT9VahJ6/beRK/TZaTpMCDfjACi2oV+aYVaz1CZcuPx7O+pv9H CtAl4q2RA9seDiuJBghTeqWRImQfRoRSxtnrFHsGHXleIYWj+V1uuvpvHD09rL/DnXNkkuh4doIi lfLvivrRVYYm8B1XVXO4qeUX5ie2WsOPReVjD6HLzgFFDaMHb7flKkbinJK9C9uRdEiGIuoPWCq+ 1OyRxZz3x5ZiktUCQG20qhHA4BJ/X+VlcHnQI53P6PiMniJKP5w+SDy3J7WKYC3gqbQzeRr/cmmp AjiYRFrm0FcPhDkL/BKZqPkM6q4Id/5F34f8Eg4Zk6XnqVmyQg9yd3OyNP4oXswJpyUJrUNOZxH0 am2F6i3yJzqzHGyO9ATs9dXIlC6wPvfkrAC86f6FMcGXW0AxIs2TIuyRHFTMrHc7+6yeGwUb92Fs b8hErn0W+XJJJ+CVYqtfWkc3fEItMnrlUojnkteeDt26w1cmGADuz71pXlhFNqNn4G9UhwtGPT93 bXdSzlYDhDGoZ/cG4eTwAm9uUuohPfJzvJjg0txjljGH4TpuWx5GOKU7z309/lS97u/p1WW6qd2x vArH9ymHTzyzCiecRVCXy1/zkejSkfOMDM3kx8xozDafv5Dmfx9RQnZUGekSOUVV9DHNkaxkIbne wKo7YjJxHes4aXQ8vt5Q3z0z4/ZzyQEMoO/FhfGIxUJrKw1dkvBS3rNLHb9sIj8n9TxcL5EwRUW4 X/j/RFzTyFI7C9yfFFIs6h6n6bTVtx1QaaKd14N/dDYAkgtpDb0klkA/Gqf7XF6mquFTn/UfsfTC fz9jXtJ8nrw4Mkss794DO+AiZydzACY0v/QBvAFYjIz2cQdAVGsR2JQvO+AiUIsYt5rI8cy+QwcN 55TmYGwnq73ykVd9SM8oVDCRicXJuz+HrTbGghU88LB91hR3nxDcsQP4RQcLIw4DntCuFUfAcyQl hQm8iVlaXVA/IUfEFYH7ih1EFx2U5cGbn9J1+PPefi2iOqKeeOkEiucpEQfrI4kwjRo22Pc80I0o DQYIsSD7qojIXFvxkYnohCmgU3XwJKJ8a++wfL2jn5sIFvz1fcrqUVy6rdz5Mv9gOJsIKE/4LyLR wG2BpX7tI6Yrg0p98e4Mk7yJu+8XZDkvGEOQG1yCKOByum0Ub7eGe1jhqbAvErLtwwBulJQt83vi MzHnuqp8nNQVWFZnUL8G06snytmCepzJDrEybHyJ4nR+QN5PtW+DkyP8G+uImRNOyljzvEkqM2iL UxA99qdrTtbpIW4KtEfIQ7owE13ZxGw+iNxNfDuFH3s2ji3FxAtl8dwBheesSNI5JsJC4CURAhPm nhD++9yDOJthRrIHj864GwPbWlEunjF8S1G09UGRnLDy1v5L2bXv7NeJX4uOpwnjMmb5khxS3JDa q5snGCOn699P6wIAAgGXvvffnwiHvcxgn+3gXogvs2ropjS8EMOx5Lf1z3o4PcBw7HkEfThGdpdx Ou+iCKPnbIuucQUpjniO0ErPwa58uQhbYQqpALhOH/BYGrJ2qRT28jWA8HaE+68glZPoF5X4oLPW Ds3YW/kO+MxbsjlYCTELFkp6TJJ9JQSbUhMDgy81V68ADTvVVY6ZxS6hXH4sLLiPWspY3z6ZHyhY HLbT7sXrPx6Q48HQ2iPsr23qNYiwxU74kynLKk26+CafM900izukalahx6jifrPl3wbnfmQ0g5e5 mXdhk1vUefmDC/XNdGk2wQG4lKmQ2+8KTGFRfwJDJSHQRvPWjadP8X0hPTMOoOEGBIX6iV1vMlmS crf4hiQON/0Ifap/pw4nGMBkyAhZbQsGxafu8ZEEMwLJBdSkGPGdBo5cnk0uUh4myZ5VhvPXbxiT f4wIYgGJ6Tt3YzImES03VOlTvaoYDxZhuK+6E34PJveCz6Cx+zuFHHrgOHVDaBSBiap3h9UirrZf HMHAhXr6jxDd6Bia09+UGdl3bVPySHGn7So3wq33d1b54/4gJiCUF9Ssom3fXXIeSdOz/1dUaeX8 9a8Dgx66ZCod+kGoAbHbWu8l6hW/fczzxcI7pKB8KrOgKlrvkuXxWVz81JnJdPx9UEdTBWMuUXeF fP1kwD3G70nea1Nr8sZBvWGJuU1M2E/6EObthz6PXEmXMCiV3qOG6USRc1x+PQTuNN7U5ckVpL27 4SJvGMBerirc25HJ9lQtlVjEtAWNGUpkLSW4dnH79LH12GG3twRVPMKooBDIByLntOq8JYDxgy2G UABnm2q2MVholLL+wo4ur1tOYnW3Rh44VTJbpYEjap5v6uLNntZ5JYLKL3VabiuKgpTCE3wz4orx 5AsmQ1/yeNtgFuDYIW5oJC9uU78iavEKwBhOveNRnbpeLxdLCMPP7OUthZHUhcakolBNqlY8Mr34 0z82xD+mWQKZGvmoQhcAbzJT5Ao0Wa4YbkUKxrFQCV6P3RoKqMre7HHvLo5KKpEeybsnxAkAjZAP Xcw28Un0Y35+y9hAClvxX7uTMCkYKjrYjB0+BbzAZ+Ks/zFm3NDkGxYtv+4iyRMFCXpRui2Fb+LA /E5cPF9tst22ZeE2MghMDnL5Ex+6H0AC0iLy+IEKaKZKu6rKsc83CsrVMt2U9iTOFDOsIZ5S7vKt vd318ek1udW9mj+BRnw019lASrT8wARm9c5fGEcwJ8l+Km2VNvrlfz4CZrecvF2gswCNjbSvXGlk byuTbDy6koCbGR5t5XdDxIpW8nBy5Z2/D9LHwnyDQaQSwUksbAChlk9AMxv9yfAVt5USy7fwzqKf NQWP1EkKFHD0LMGRVkDXexNwW3SZEsABJ6SbthO17e14mwGc60g4HwM9BVG07N6n//rAQ9iFePTK 8mO/2TatI31R/7wEU+X7yS3X2gU+SBqtpjxCqW4OggEUOJJBSoPvfVIGDakdBAs52qiMOvKojRgE Upr8pdVmkJY2luHhpwDBTodPRgefUVNWklZa66JN4pfnelDqw2Ftlx2FU6h/GQ9DM4W1j11xqVjP EZhaK9v5bt70uzvk0vAVuG3lwzBsx+fcAiwxpu+nZsxET6SpBdfYTwcaEcffQBHPUQOSKYvM3MVt xxU2+zggFTbPaygDgbsFzkeEXmO8/00J3YCZxrGjOjM2doHx/rCNvUaedi/TdXfqHNxodAtjhJ2w zgiO/P9hTWgjSwkb1dAKUQZjGV7PEhmG4PqOk1OmCCCAyb6lLJo7MmkKWj4LpC2CEdpyRaeYpT/H sH0t9HGglVenIayStHQ0m2Y/nRL+GEJg73raRxyvPVZlO5x2S0o1zIXESvFhHKaNAslcSO7qTWaS aszCeFSPjRaU1AVuT9K7Ko8s+lauGjb2fm/lR4eVbp0Fhe31Vg2inrsrYWavsNiZKqNcEoJmdDGz xhpBD8TwAOtIz859tTZL029993Kz1qxmv09xH71DkIeQSXJLJ/WP4kbyguQ5fhhpge2SP/9IRUyJ gQv1cbpKf2o6S49l9KXmuf8xApQrCVYVTv/m+pL7LZBtiqxE1AuMUWgkr1gzeswbAdnCYE/lAmJR vqxBlxhjy6gZ8llnTsMCdosUpWR43+cdCup6U2te2d6TD6R6/hu9lT/SN4T+v8YikKpcyea6UpGR eGOnOBN1R1tuJE0qVlFVQ0O35B/qTet6gbAGvf9QB/KW9ch0aZEDt6iBmVs5pcZYaLcC1XosROkB hMSTL7avLvGONiXy1jGu/SeXHA+61EYm98O0366198IgRTdiB3tPVskgIAFJWoW6O7RDKDaqHEvR YHystXR0ixf/Qryw1wTdO6WxlHQ5TlJMcDG/qN2Qf40LCRjPt6NmRMfOla32tBqyipctJRhIon7P pe40LeEkoECls98NCEAuxF2LpdgoN/YWQOJyNyUqejIc6Qzn/hbJhyYyX4pDBQwRvlyPc8I/9bKi pEeoz3W74jtrTi8JZiroGZnQdpMkTL2eVBe4V6mVhN+s+bL1jq/NE8MwiwtrOIlDOstPcuq9wnv0 rhvvb7hB+3GzvKvap46CamyWYyCgwypQlwV5NxfwVVdaKXvLGw2qP/f0QnFKSa/9J9f7wVqHriXt JOJXLTZzJCWuKh1Mgtgv4wMb8YvQ6uzGoUnDfwvpi0QNFEapux9qeA65rzViU6HMJQLpsnSphYpF bGsLAL2pVYx4vLUJGYmp3UUlivKwBE08REMz6GLSV40Fc/8FaDN+cwyDrMi9wTlF2mUhZkMbx7+P ajIFOCb+/6LTIG19L2ZorxcksehdXAr59F+dQei/blWTDRnc8sbgyYcFQ+skOR50IQQ+bg/i4uhO fvwic1C60v4ApIT4SKaBevNc3AaEJPkcwPtH6yc1Xn4xBzwqBI8ZzHtcAd0NeSfN2tIHzlc6NQyB 4kqiBF4TL1A+Ir4ojlWA4z2x73unCUL+SwHK+tENNQeMlZIy3BXSZwRMSU7aUq0c9Qv07t3CENox VBfww0iUxKhzK75VRljDYO81Pj3MZIsagZYJab70DyMbIiRQPtNHhpF08fsyaEjZSCFvQ73ArhYt w0YfztSKelus96nA46O9p8ZNVZREb58/DGLItJjlOBpNKv8nxgBmSlytSg+KbZdIZk93E433v7ia 1eHzjS+yXl2XgpmYFNs2UeRCubRamru9mBsA5K5WayRPGvz2SwuBAF4uAcGXprDDk4WLMcEWlQmT jmRzsVDsnVTX6hDnn7yT8+lPFJO1jBY+qS66x1M8JPxjCptTqSdJjvPvJQiV4IpJzFW9ZSYB9f7x RZ5/r/P8NP5+jSgpvLDNuE309mTkcxD3eFoBl/2Ws1CQyChZvr3M/EZwuEpwBohuhL0qBhH1Ym5z UIVqTNhZDB3sSI1zBOfj6yJlJ8gJ4GaT0uOXyhDIgfCflMOko3AVEoawvYSsHzjO3TWskWH54e2l 1BdHOKeiXPAj0AvxewPVb564HRhaWG8i2VCSECPPoPZGzVVFZUKPlxFLdC3gbNVlIy/mamD+DvTK B2dazUXybUPZJ+gV3n2gTC8bGBjDnpUDPRRhxa5aZXfFjDhQtAxjqVER6S+XdQ3C28m6oed+HgrZ L/h5on37nsWUb9l+qegrfeGwqclRy0iEr19JGnUkBJ6hd6uTdMU/s8JWh/hrEava4LD3qmPbuJMo ssCYeJP3mc8O9UwVsgYME5+mtLuPOShI038Fg9CAeH99jswFtW4wQ1k9B5xKfF7a3mUsFcO8QDMR bebCBW0G46XWir9EDpobJw1/RV9c3cItxTndFS19uU1rvJ6s6EtfuckM7hbqKCvvE6oT5HN+sGi7 ISIX/zBA0Z9+AT6K6jVlckOcWWNTLERkabKhv8Nf0FMl+1RRZ0Pz7gDL8JDveAjicElpJ5quxY8j y0Wjx9lqJT8/IuivkUgjFXYwDe3Z1apxeSgDiSuDTKDqGsYRzTM8+lk0cSq26N6McolndmIVKmNn ey/FSmUibce2vC8kmptWP26Q69f2l2OnOAqbE0joKX7Tpc6X8dtzgSfZ6BmL1dYQvcZ9KEnE8aPm WRJKcarU15o/expEJJNziOkDjemBvw/Dq7pH3Q9G+p/N1xbg9dUes35jlJnNWaOFat+7j0cgBlgC dfkmsml1Mxg0+4Zf+1tbfavmkQX+7YgyD3aVwqa/Jxm1xyFJZcJb2qGcEjrmQBFhFZkCEgMrJu+m nwD5+qOrhyrIKMYTf2U11+8zLKYbyj3FK6RMHc3mUFKoJSh7ivFDzhXRHRvZzGChNHaMARidX3qC 0HcfrwUAikk4GQ3yJaIlqNKhT6a6vThJGYwtrk2fvlEr4d6oVCBGERcT0LqS+T+PlTLtcxr1F/QX QZ3YhfUGA8vhDXoHzs9mByRqH9Q8ivnNMp6qx6DqeIAHSGVnTICToL/YLfNeevcrh7bAzW4LTkf3 UnNPtyycKNCDQGNAv/82g3RUez/a/TMYtyy5J1oqfwF/t4MVYMlZ+6rewp1Fe+NvGrCGaU2MopPy KSGNnJf5rSvOsjS6Za0gMP2Md3jfXTDxpnbtW2ipLorkD80jlAG5bljBzdeH7uWJKUkZ8jtUsXEM LFuYNwCdlIZ5XBbbrE+71MMtgbHCAXl8M28E6LLne3RpnJCTBOum+vbf8hRenaiq9jjQAe34/YFh pKl/IOavAVT/2XH4Si2PeCtmxHFKZxzkKq9P5F+1yEvqZo9mxIwxVjroLN+xuSMwStQuwt7Z7CP0 Hdv6QHHyHLOBhlP5u2i+ZyWgP2vjq64czw+UHhEZkw8yZ61iozxiDpkYkz9aFxhmLafNw5FvnmrG wJiVfuI9NXrpwpf4tE+OgazOgoHNq/7tS7zvc6NKGWIIP/Vl8D1qpbHKIIxQPPG3e+YkBXbZilnN pfHYShF1uTweq7N7PvgyhI3F3yyPFBrVNXpG3dlSM8H9ui6SbXLH3sobmqYoy1MFhGWPMblqKe/1 XUkZw/ytw9PA8IE2sMZhwy/LjuthjUEAG1oLD0G9UqjitsgNWxJhqWdiij5l60LnaQGoopabQEGv 0mM389tCtyFG1hjl2QK8GrfmCrxzjofnV3Cva0savwby27M0DUs1BpGugJB52YbYp2wAtGyq6AlY RpWtujv0sd3m5RYAIbe+2BLbqsCoCYCB+VIGHoUdXm/S23UW6r8QveAO2itjJ9qQVirHwcNCQCVX DSaKxljKQem3CPrAuEBYzIlWkMLo+Axdu4QJmx1uwvAQmzufxqVeb+Au2mun4i2G33pbcyk/V+tJ Wuz9atckqn4l2L6rBB2nswuh6xx299HNeT4YpI9lRuTOhQ4ioswhzaXeKliHOQCRXBGWybsxpOOU W/QfmmexvepVlsywFMsYEL0lI1oJDUXeocJqzQ/qi9yZphVD9U5YwPIorujvzo1jXuPNXxyYOLxe R4oUn6QHZtRAQ++w8DKioYXE9RV3HHVevSFsez5DiK9kq7042F7EhbHfepvkBUpo9IxcI3c7mkKi 1STZJqcL84VLFIDg/I7+hyM3VLSIbpf6CjAgipDcHLW8AI3xYapONClBNMEJkbSSvSEcTP8dOoMP s3wlCgBUL7YyS3Ak+W0sNndDdF6xeg2uFldPzvbgHobCQnFdKLHmYDSVOVcaBrkRO6G/vQ4E7jP6 0fSw3Pc+1ykWm4Cv0ADvJGEu6z3/wxlGULZkIQNWQBng5UOjvsWUORuh81WqcQZ69z7V0qeVRf+o BPC7eGecRQ8e9GmWeOoZAzQuyk6TjiaBef2p8TmKhs//X72i6jjvmxqCtqZ8f14dzLfxObJ4Dbrn ITq0TB5Te8caKdzXDYufHxifP7+wqua4LGO3yzbUj6d4h38TjrHnntnunGqQz9Pr30VNHiRqV0/4 vN3WHIfNTD/8Nu3Crvxi6BPNbXKhLUaUN7QuQpUoXKuXefYt9Hcvj1048PVxrmn565ZqPzdfmQwo XlJ7yndrMaM9FlPMX3iUfwauA5vTffxy74x/7061nHtU/LbSQ6sIm4GUycj7+7LRiLfINoxRJLel jfJaOlYLulUxW+HIcr3rXMNshsRHavQ5Oy87yEb2jWJvp6rcyRrWtZQEiIBqfNPFQumAVxm19nsi qB95xV44qfirvMRkmpKEpMSgyAehVVS4IiETO6HyBfB/imG/FuCdIzCIHiW1lVS2M1mcjF4ZT3ZX zkq26yYJceJ6xSTxUacbYviPFqrWf+AQeyvHpJ9Qfax4AQySQgIjTYC7w3JlapXNQe0nd5GSPFKD F+wHsIZmvG33f/x/CC+QDpVGFg9z6G+BAKsfZIq8BEbbicQsM8BX8cgld2S0YkAjSwkYd/VMFKQW gNAaz2lfAc9zFWzG46B+qxMD0oS5W+asv/4DqmjuzffpLwdibUGVHeshJMl8VKydJcE2DyU3xkSC D1nS9OOrqKYbK45dZtcUbnf+T0BMnzgrkeSvg1gESfSuWf77RPJ4vLFqHRW8HonZ2naj3OhIJ3pS QDQQrcn18jftM7wAMYevCyia+W/xJaYjOunSRfc/ty2EvHQB5iFHAz1cpSJQUXWxPMyz+LrtW4bf lVKmakR3boogHyzXoCoEqOg9bP6fQRZgHc+nihE8JTWR1tc+8ODr8eqg8dE8fzfE15nyPwDS9x0q HL4SAFgSpJ0yBVpIC5rk/HSy1vMdR8Hn8TJueJ5uzjFBWiLhrW4vjss5pf6bPjK3W/ysKlgnEGdz 9KcUyAwE0BXS50uKgZeyxDKb1bVBZ1C3BNuS1kqAkebWVzbU5VUTZe/hyNw09mfUoVkKXChTRc66 pVBE61h6Ao3q7Go8jguw8r27kadXKK/fR8xzaZ/hK2yEie4imjGs8qAKH3y4J30j2Gt3SyOT138K lKeblONBqyX8BSM7canil8OqWz189fW1W0hej5b6RQADrXt8Sh4qF5Yqu9Zhq9yWzrhQSDnEAAOU VgbdGe5Zq0jPnxHM0CwJ5wHNO3srn5enhY2MxIaSEF3SHJ45UJ9ymeozFIalkFtLmrDS/l+UpG6z EmD0cyO4xgq9ZLmPYYI4npQnKUR19eaGMceB5niTTk8Rsbznb5wTVaUbNQ1lflnHzDddzPETBsJ/ 94I0Hc0xqEBg8YAh37uuarAr3PiFJtDTkiASUCkwYsLiQNQrTgQzoWLc7AUhF50JQj2kh82J4nxt LqE5HB7boefqFQGkxXeAwGNdQdkw/1+cL8pUMuInRUpk7Qalcl1XhTlc1VtFltSdryl26iRCgF2p wPaGiyBCLL7H7hYQCUDwlHR6Ux71YF+m1fe+InG8bKXbieLwKUnNTYF0jfyzKEdUjsZHcPbCTw2e VH1QBZ7MfvMh0e/7McC9hLzVoBgUKK6puSDPCLvPji4fbIhbf01bc4893nPupPvIBzj0Frpw8yZ/ RTLYu6MpuyvyoY5zSqtnnY+7RImgFT07DnNu4PW3thxq7zg/SrIeJNSsS+iUlpKSRiYljvpM7+Nm 67s6cIVM2swW6jOq6+it3l/Fsi1qN4UIwXChQTHfh9qWt2G7cIsWaIqc2OY/RChq0HBHFv3ZmQvq ro3XYqFeYeHjfjPaLNNs9dsBuFku4J8wcBcPXQN3BvuEgnS2/jJJSOkHMSNjPzE3MWGTFnV9QtWP WdlWX2mrvHgDJYaeB+jIGhqQQcHfgFoZ9cCw3ff/ayt6ydINZ+LgGiC7K4H20GoEkzeRCyRbCMsB k6vP6dzQ9Jjcmshngw5GG/E6qqGRzbpmBOn2BAMFI5cX2bm/Y4M0WDZFaJpZMy/BKfwOSdwkx0Pr h9htZ3YdfbeNJZ5Ua+5DEwq6Qynmh1DhqHBSAo1Uun/BrgWkUI4HSVOAocx+iB7Sv3ZeBgB5ZB4p elAO7GaygDr31VeoKqGrXOKXAXp1wh7WPsLysa19ABnMHzw0ZZfRHD4xOH17mcWM9HjWpFhOu9lS pdwNLdzJufxKgb6Wo0K7tbN00MtM3yNYL7nJauehdV5V8c1VbGhbTEUOUGG9oyJNytDbiBoou6PM KVe8DCeh8a8NyU6TDjMXeUVSHcs1h9jXwjwnBiJAZMIEAUuvxLSubd07OGpekb1A4X2wOJu94H31 DZ4avP4DqW15wKbk6rc/UMoc5+blB8BC4aY4h4Tzdqy9UeHbPNUq8qCtNHUv+dMNLUzhN1iRO7xD 1B2HhQudTdh2i+dmQhvPoNkmy4ZZvJ0g/tiDMneE29BPfIf/uXOkeqYZLzzZHmkBY1lqI8v6IR5U nuUJy+CDldrYQQb9PNgoOdpLSbEjyeD4EbSY0jJSTwFAaG7ddIQYlQcWVZMw25i6+Pt7xhrEUH8P HKXecswks6lxuAq1yUBYB3OSQpi5LBN1AoPQsbNFiVhQoanTkRVN5GV1a1mPopWBeiAQ+t43wSVU BFNFOD23RZarrD1/by4c4l6ZTr/vdGsuNcDauV12H256MaRfnlW+UeKvUKeTCAXzxN1Q4S2gWb0k VsSwbkeURjmbiYCs2/39IPi9ITSW88Fgjbo0n70ABMOBA0NiiKi5CUG/LvZ81LFeQ8TIe8w8g7jL HoV41v6yeN+lu8mZR79NbvVUjjMteywxt7k7g1Wa72H/w80oAhd17VGshQbBoB5YEqzI7N+30aMy eT1QXQJMHOaT5lhQN4PRGQKaOW8BiCfEbK6FyjMAhE600qriHMvf+dtuW6g95BWOwwJFUDwFw23m KBeWeuRb9rtlgxqf4vQW4TjgXwClBe9kH9ygGCGS5R7Nq6bn6ptvNG2H9xwSQqrdL4GAwoVhjaGL vEpIU3M1X9UQv5HzuZyJVoskri9P/voeM5zdI9px2AkI2rPRcKUGcaOYqhHovPS0ScpNn7CM67i1 bcSOus0W9hbs+LLRJyFuluIXjwfbCd66hZArwsqi9CgC0irOq6D6z9oLkIle+fuvJiOegDPdWWPG 0bKDOEp38k6FhxQMll6aR64af26pD7P7AGEKIDeEOy+zFJm8Lc9f2VFcNXgdzUrxkIYch/NoqpC+ XWQ9UbfbZrt6lIikUhG/3wLSeztTp7DMTjHJva+/mk9R1U0t9oP4pxs3awZf8ipyzKJd6sBFg5sR dtk/7E4tPKLKjJZOBe+hLtkaBGenMevDaK6LDKCuSZkASoNqRv67wNE9DmSQFctwIvm374zytMmb iDBCi7zVi56wWubqR/Pk+uy/oAEdXXLSc/MdDD8MX8pUgEQoNpkvvlAKjLR6ZWx8mVVwioAYpB5K I4opTxbrZUAKcMVHcLTf2zUsDwPVDkx7/OOCP8++014hd/a9vVUdhu8DsyOFd1HIeOPdlIsfA4D/ zLJY3agrnOGKZP7dHv1+z5MjOX2bBlWJsVAKFe2gjHSmddvs53LhXmb16G1w1Isl0sq+Ee5Oi84o SBQfJDXhJK8RlQUQ5eIkVOj40hyggd6RgqEZcQXalMFLFLwRd07a7Dwe4KEHgnklKYtA1h77nMi6 Ndkj9kvNw8Gz7JM8lk1+1PM/PRLI1A/BxikOcBKVrY7sxiGywMCu5hJJlMTuSKe0ew8ICEb3DSdO gOYsA362pdTh8NBvKKq0JECuKQetD05KGfpdzKkbMyA/p5VZy1PUt46zo72yBPKr2rHtCLWJg4H4 2eFXhd0rKqhwrbxLt/NL3gSYo0zGVTC1WnFbIxC9DBSsY1vSJnekaRUWLfVuq+w93K+/mgk5/eIa StlFPtEmm6ADRecy4cS85cMX17Agw/pyfhnk5tj8H/p6SYqGGw0o8YalvKZ1NoRYo1YUQk5H/MxK d7WNGourOL4WOSVvhTBGYLVBsGMYVknkPgSzmddbL4/vUoAgJRml2UjNBr6VDIVH9DLuZntNEUDz Hojwo70DyyJ7Ne5vlHv43lOGPkE646rlrs1jq0bOgIqB/yCssyOWPr8aosQonjoC+7hoSJWe3vxk YUanxsSVohEHU575SyPWC9A8IkNopQZuUwU/+96aKP2b4zVj+nOaowv2s+bN4CQHkdJ2fjHaYzFU yNMZX76jFaq/ZZ+3l5pjzL3ZZnQX/OMiLUACKM1MEteLbvSPNk39akxTvfMaslNXwzFV/GphWKmV UZvgU9PVYTydV/bxRDT5BnWLJKYE3F/l+/ahk4Bv4eW/+7N4GTKOt5E3op+LBaY6kqvHoUHsX8US qzCWldD85sZTComZZnyWn5jrBxL+3vzwsmNiV9jAVF2Po29nPT4ezxIcl4CPxn9BUvHQU6TQ0YCB TMaYKYv0xafl7zELwRLXS8n2MAQO0ehRghCss+lDX1ct/1Hv/kVJ922iSDNIDP+Cr1eJKOJR8/8P XO7ESIFbyMvapEwEOUIWnaAA3+qk6q4wPEaKtK9FWW6B0cOHc0VVH1d6YVmyEIeGuTl2b9aTmUqr Vu7Z1GKQVclzHmbkzHD5/vLEpbQzC/cCC5wptthL6bRCRnlEv6tVKVhYSICctllCV/hsgceCt6Zr SqlqWaL+a4QCoK0Xjls33sIfr0XSUEJcP815a2Z4Fy3Nl41OJKnMNDE8diJ6wKgXuCpLJLLQ7/W3 ErIUaJboAsKrZmhaWBUlvPV10lpDjtZ5OTsCnZPqiGHszRiIVmr9x6AIS/Z5QRiOF53LsV7leJ2B EcD8d42RRdNNe4pqfUW4NdZZKx45vuPdLm6G8mM6E0WamocBZMRF0z0+woHzSMNM+i9TzBRWYndk x7umej9ny+YxAfZ1+Ld7+t7DdANQDfKqVbHurlxQqFcBxqLgYF/etlRtlxHsIlhaqcKTV5PLn0oQ VE6B2FiKN0heMG3fvQFa3ZUz0B9sXVpoH636g3MH/5/R8Q0DLsUWZFbzJaoY7tkrDCQ7HOcdCdwO A9tHMdRzfL5iX5qqQlyFRtsrsawJOOGxhPmSQytPVC2UGcQd5WPMmARPd+Xc5Ku/LiG/2uY1UVAd STV9P3mx9oMvq9SkpxKXzbyClGvt/K/pth0K7kaEfdYL+DhECYfzoHne8+EIAjtpflQoDI3VW5ei nXJBhjyFB0uKX31PMaNQ2WZvV9+U3oSnHlyhp+0K1cwD46PRDiynhUpYopPj0/ihpkX90CQJemdo BQyAqciDhUyYCuyPJYPHO5cXne8TOUFPdbz8bvsJ+yfozI8D6HWPhhVuO4HBPDPqvzraTi5fpUmG bZx7n0sm344kf6lYZQ5ci0JQ2PZtNWRvTm5aV4cDp+2DqcSe10LGH76MYiXrl9a/S1GFDby++FJQ BN117HAQJ4n2BRmQ0k9jpSpzvfUEVfDNedvTAUkNaemDXGreNELFAk9L8Ruokmr12PvGU3/92uEY JZWCVUa3ZRr9Mh/INhxCwqMV7NDpNlMdfQK7VqYupinzhsx665LoHU321u1U3bFH3gOUBAJR2HQg T0gjJDfssxOt2ojQ3AEg2up88Y/5+L29xjguGYqYWsK8t/+4FAl/JRnFype0jf8QyH+uZ1NZCsnR B+UwBu8h/YfLf0TGGeKITE80JgSSUbzDchLJ7QP+HJSToCPQpXTkfmu0qRHp/AZwf/9FeclQban4 ra3jjbFb94lE0JnWaYGwg2iQrLn5DGM8LjJY/cOWMzKAx/P2Lw56h5Cu0rfNo1rdKqNs5LUwToqx SortwQ0d9h6DfeElbHZsKrtceTTvJZBIr3MnVuH4soOKc+pdBAQk4jr0GVnPpf9tHTnor2t9IINj EQSCjJ3eeuNOAFgKqoNrITRKn8kEJJcPVroNcey4vV90XQKIESc4e2yQOZXB4fT/IcLvyqU8UQKq dzqAXA1YBwoZTokvskQa1dIiFPGB3paFlA8c+SVUpwfl9YGVGak+RR2uoIixinqZG5ILrEZrOWgr i+sKGLQbQxVNR+CblFTOhWEjtkk8tGQncnDxTJvNpv4R8qo5nijCMbIyteuGT0QQ8CbPHbIP01sv m/ghpc9Ci1fdwt2cfv9uinYc5PZnN4LG/5vkuijfYG0rdP9qgtPAVErltwfDAUjJPybfgU8uQitg WekALyFt9iJeGrywmDzMPlKwx8WRgVhcUOMtbKYegqAzcNk/wjqCw32+1gAyweEUjo5xM/Z9qTYx FAWjuw5gbyCFcOgFhK0/Gwkkg+nhG6hOlsvdS3RCVITW9UPARkWUXIN43BnEomt4C0yNnvzmS10M tzhqH5bS9eQXsK48lzynjfpTbY492hREBl0HVk4GjeBIH+VfZfL+CXX70uGvrIcXg3p5wrB+HGGB iuzF662+w25rq52YiUt2I5PERSeeem/UcVzYKtBROiJewvO6CJahYRETqx5hNl6/HrtmJoK5H5sL 884lohv9TGoyyvA2raAGmzwcI1COEnIRy+ZmQpP5wX5wwH7fq7hzW5xAcaWJ9dww0EXbrgmRHtn7 eh87UPpUrSUQxwYcIlZ6pFv3x+ui2YDq6bpdScb7hyooCPtSQynBIrJJMg+Nm5qPwOTMtwf9QeJV Q3Y1BOpKRLxJ9l/Ny3Qht5Rgiulsl6cni9FShVkpKwemnkTgnDxF+dJPMlHn2XojtOt4BrjPrYkk 5QuB/MbFEgJxqYV2s3NxP08u5IoZYErqNhcxGeKC31nqfBj2mEiPRdBJbtgyCA6k+Nz6+RlvTABJ TSafQv7Fx0WapU++g+oWRFEDsGBXr538dX6xbKDlFhQ9kWSy84RRWHxwBHc4KVl1WvRlNaE0h5H3 OECvnEGx2wHpmQeKypV/gjI564o29Lo6dbCYJJIMQQkgShBJvZ4eAw8XwJbeH8BOdiBq6OCoHfVd PYdGGiwpbTKqOPXaArNMQhSfJK6umsaCnKZQLRYIDBED4CGooVh8A+OPPeP8wUzUhOh/OKGnVjn1 qdq9ew4eZ5ylrN4uFVvXsLFZ5Hy94Geu3FXt7mnD8UyUXtrHnUsDQSEPKsN7K/ZzVWjRGF2BAJzk nr4zMjK4qIsJWol82C3oyHwmblDSDnuUPPS54zMNlc+ziFZ2dCKHuqvw0lExKbdocKyy8SKuRCPn 71BzUP/6SSl7sf7MUgxGO4LsVtqdNuLdQatz5CXFAGF1A8K1lfWcncJiyRO2clu/c9iy/MIg2qyr wA6SfV2bSyVqdNnb4JjtU00kjDid9SfbB/VpuGD3Y0GCCWrM1YpyWDoQ9/Zx6FV/b+xkifovuumR QnYB1EAKpqfTF8MeEMmfYWN0tezj3xE7w+VOb5nK8JQRvE1afZzM3qR5RItPdblRp7Efwb8y59n6 oLOz0AjCzXE2iNUVGE2Hj55RB09dg1Jq087I3tiwxMskL2XtZHY2UwLOz8GXTRsyw4+R1isXYSOa CW+nd+biXq4EQSgCGieV3c703fNQivEJ3qpPpoK3YLl27D8chtjmQR1T+lZ2BZ2djQjt0YcqcyIT CEuoHhjkU8hO9cldoJRyD9Tuhesh+4DNFqNXDoMK9irwnvSrMMTwm27JNQVucPZozei8gzmyEd0N yQY1TlxN53EOg+t28VAK7xxrIzlG2KYKm45FQ5prj5ZTkaZp9Enh0ZtO3MPsRADE45LE3PqDdS2O xyZSFmqs6fodZxtxKVTVcHxIjqQPm9CE14R3KcxDaqiTxngy+kR/bOkkW+Zy8oJ5s2TO5JXWl4O6 N64njAPIp/IR7W1X3g527mkzhQkjsTtsJWQzosMobRb1Dq7YILTCs7EUoHeiAToNDlnw13AgNE/O ueIPIJ85CodQC3BuCs5GgWGoW2ByTT/hNxhmLw8BRq9qv5Lcu0jvLuuoDveFhGMGed26B9G249Y2 685u/JRLkd+/9PyN658BlpNByE/xlBHIjFQ03o1m+RE72dblkaS3umSR6U5J1OHBUABl4k8RWvRB qrsFE+8DFayEN2YfFzRtG4M2/0R1sUqP8OoFpcUI408AtM05lfSZyamOYRTjcBz6vVDXtt3tCqg2 JOTbSD2WdgotpP/KEf4NbH8UVltjaCfGSN1FsD/1W70aXTfsv6ddZ5djMtvMtUCCCfCA2WTjngNq rvd+dY32xIh05ijd58hhCoGNrPsDP4ZlUxby1ZJ67xtU5zd7PwGBmDBZJn5YdmVTTI/7lcO4fISY seBITGJToIEeX5WE0EtPvbQ2y0JygozKYMQroafcAcDbH/JSOmh0m/ZKskV0FQUyxlMnKUtju/N/ 1Y8fWWiqUadKhtYE2PXJjkmKOGkmbYig0oVZi+EkRioo9/6UwmjiXmaACKSmNoEfPIgEeGD3n7t/ 9xTByACZ6g+UdSAGIxKkIHLBiiveNT+gCenmy+j7dtS2LqJucSvWRkrEXZjubTpNNIMDKDoyu5vB iaaEV09AxtyR89PhdxKKqTjeYHJeDVzLvgiCFu3BU5/zVN6Ezcl9xalsGC5C0PTfWNze103Wygi8 zaOG1lnuAn42cNsvTn+uLeln3TVc60wgKm0N7F18IlMUN1HswD7iFYmD5364jWsc2rq5XoKwfqYI RxRaICV9vL4GaE5OGfA94zOxauhdrnGgTsRrybHYXwi/KO3tapn7AjXYSw4rWnuKBFiDjEPdQFF5 mnAZQaETo8ONXM4t0MqBT0rvayszUdjfz6afL8kuNfGmQ7JiKbh8UxbW1FhbDO1ULWHzNhVwAA4O mfulgkFw26ROhDM5hRhYd0nGSIFkHDRb8xFYgBjw+r0SEn4BqwoLblF63Ypdqa9OT0Fjtso8vdCY Qr+kx+zzOJXih0FYBXkdchzX0ZwDtDCMDAUuBySPbjT+tYzOUUY69UXAo56zu7yjygkimB2l4Adr 5649TTnCXveEAC7nY5j6PG30vbyYZ3FAK6JVBncKGax5Mt/2T6b6z22UIbXMqp7gEGq/DTRYUOCH sibVp7qTpQpjNXUg6DF0j9hCPRICxpHqhwcfsZwXgmB+6j2Ut0Fr7AADi7DwzDcPVUuVATqi60uY WmUgaUhdgNOyPD9tPt9TYbtociYDqkVptigNr6gHpZTDSOxgxJyZByrPCitPsS0e1ROzFIDXclCk OSnAC1y03UVfHHTCbwcSQu3eUzhE6SmrJylUUpq+tkMXFCqV9GpEFXH9de0huMgKzowO+kj+il36 adtUXVJCZUVdPd56naMfClgDeR1ME2lAHFAsDA/DeNDIWnJ4jQ8QlMGAziTxOs8tU+kaAtnKJmBL 0C1+i4F3rkxrvbeI1KGNlN3vQL2xKW+JtMvNDlUXb0H7zuBqoy0Wp5mta32I4iL365GGfYcmrGtS sHb8vNgL2gwMt9dB0b1wmVVwMksKJzWXxM8ZRoo/0AOBicvoZCAON3QFSB/kY0XT2rCO8Q1fWZ+B h/mEZnbXydJbN3Fcn3FNpWcj0Pp1D6wDAPN3aorJYAfjBtEL1ZMiJeMVZMT+c9dOwepnprBb9C+Z juKk5czvLSwrKq8eXz3CgS3bR8q+VbJTXRdJj/mz86maI3ms3QoukRAOvIEcCxQVJshuXInI592I rjRuzaSJaw864xxpDHlK+tQoe0ed2vBmAMnn/fHvLiBy4E8yAq6e1zJYfxItR6o106WHCAvYeUAv nzie78MSRvHwdhgB4ZpBBsoRfMkUvIaZRhO3+8EOJw9q0U8tQ70BJO0qiPIV6rNju6LTYGGP+I5W KcaktgcMGwdL+t520/9I7cuRLQK5CY9+iclnS4n2oS6d5IeTide2rDE2LRhyoHxRQSrsFbkTk2Js RGltGZdMaDswfppOjQoJ/Jxh+kCKW//cN6HUh/syQF2mskx1p8rZ/VFAM4uXTSFYrQVHPcGE+scH bKD0TzYO8a18omdH925E3jhvI9/wm2Gx0y9WlQXxEMn1nYxfG90aeqgRLfpS3hRTsI4aC92QW6pB MrnE9iI4eb5csph/xETPl9XdTwMWf9OwPSBa7jC7A4pzUqKQpgu42U/hjTW75IIt95QlzURNcXeP nlaF/+pfA2NXC/jqXzfoliyHUsocIw0hMpoysk+NNPwYZsFXMseAZ4+eIWdolml1QT0z6Kvg8ukn 9FPWeoBbHJd4/BAAZxx3IIsZblBdpZYOxcQkHyaJROZO4P/hNHtYOluIUwdpgCDtunzGV5vBgkbO Hr8p9H6DO+1OGMX5CyU5FF+jUMxThRaFsVKHYLXAcdszUgNjV8MrOw6N75Jw4ZJeCgb9DaR7QcfL D5O9Ub9PF1qgGDoera3Zbcx/M/9/RY/w20F/aOIzBYWNiSN5keEvsIQ4sKp4qZf9GjpakNpUh8uv /YjLDOVUs4SQF7auh9MNKDsTVbT/IlS56Rhkyb1EFMFPyGZ+NuCfI26a8qi03Drp6TFuTPae7sbo vnFv5yOHhh8mqdZGXor16lFzzhSO1thX4X5Y7m4an1HyCEQuC74RlkCZRlwPnPQ7umj/+gLpysDt 03l2qf5pwj6szNdDkhgTPToop6YTEDaf8vEcRLU3hAXf7p6T3XrEg6/X2BQmwXpLRvFAvtf1V5+b lGLh2g2kP2HeysqLxGYIIOMQzL2ExQbHn99yZ7x2kIvc+QBY+PJnNjt3scRMvjSSXqhPQBaOttEf faOCbZai3B1XyPqOzEs86FJenuQ9ijaUeEklfCPxn7nV4uGVfFF7o0MdTYYOAnvFKXhvNOzpvgFG zvUqg3M5t5Fc5ZYVolUtfC3vPlNyn4gkBAHxf+G72ddcWfrdBTWWIQtcTFXK6aWmh3ZLA+BlX0Yw YyLcXamlIM+8xDs+GOtZxLG3Ff0At+b1F242Z/Qb8ymy+9Ld3nwwnio9sFY+sFYfhX+bkmZM0Daz f5iQ3I2r5nvjXKGhs8n+9Z+64K+3eq1ZI+hKj5A/wfqtfqluqzz8dH3S2pIiYs4UYPi4AHJGX75Z 72pWWBuYwVB8IgoXn+xGfTMRrmB9MITyuvCnSlppp9H+1yE9rFmgYU9khS/9HlY2aw3CTTmrkYPN 8v6OBQ7+2eLFjFIeSeqKAo4FagF7NCDXa1c31+40vm3VbpwRyR0LgnG+BSlST33U18yuqPLmdQtz yGjNwGqKYgaYFL+BQ7HAsopMttGDL7QPKy7N8mgVI0v3qGUJNWKRf+CRCiHvf7ahV9AvfHuu5ebb xlOeGIDQzpHeLFy/w3JFT679b0nKGemCxesYSXmRmKhfDukMemWPGTDFfNYUKdnf+Lp4ov9xo66t dK2Cam5UYMo+zGDt4k4kXojjDMcTfugR69OF14SLx0JI6xBFhePLR83vyfZVqrJsfNQR30oHzKDz oNyKW8GkVmYBdPpZ0mXqZ2dqiFWzhgQffmoZzdbWhHvEe46GMl/7JE9esLhH/ja8e5jMv/P6sc9z +zp6Qyd6K8iqVDykg2WNT65q7OQ5v3ZYCjEeWbdAWXj0sqvSPr8DjQlcvN6dLqBrfs7kuMoOMJFn aCZohk7w4Hc6Kq5WaKppDXwlyFE3QAckRgwN4IC2Err7x6tPVnVB0qj+6jXleAcrRZTLSotZm+hI Fr3BrRfOgsovSR/dLOXbbOK1ZWmY+xDMSjkV5AYRtK8lnmqjexGIoBMAF371Se0wRriOhRWbvDGZ 6oDKP3oY2o6GnfLQ18nQcxPgo5pHUK1cOa8+8nTGehl2ZllNpmwcJQ6E8qk2+UX6vgmiES1l7ntO endQKkQOShAo54RFD9BodxzZz/L4E3pnqF9aGTjmdjgSzkXRah2xf98+U4pXrJa7IEUQtEwLTj0e CCh4g9zizCC9HM31f1APxWSuWODPZP/4IneolTdXEv1jV28h4UH5Q2G5Nls3pUo9MJ/9wq3xaFkd JD4aR75sLuS+hjSq+tRI5JxL5WEUgA7xWR4QD5MhfxVb5d2CbBK75hegqybhFhL5qbQe8pHi4QMW vPH1r+VUcBB7Panfui7t0X7s74Tej+TR+EWFK/nIhSpklhRlTcabm5GSpzrTOop961uWEQ6ZcdlZ RUUID8Uh9diOrhPV27QlfGIHko1Yq/B06aofCm2gz7dli2KGY7IyPuUm9PiEcZC25D5bCoPu4BsX Iw6pUdEcqnq3dMRLqok9Hh2E5LZ3xRdS+1BA5pAJjR4Fv2TA0u3j4taGlFhJkJ6zpyEHwqQZKGT6 Ginnqcc8MMxUvuqJhgm7TBibMX9SZF7hJdaMYEIiT/UMOzKtjTKFfQuvJeYlPrp2o3WydbtgA+aV g1AqGutYdp4BsaiREhENHb5RC6Ic1awFBK7HV3A71ZJjOmwHfNz1Hd8wrb8KH9fU5NXB/gCPWiF/ 2qZiqUD8c4QLSsxjXLXYwAO+DvS9w/IeZuUOwqTw1RG1noSiTqMGLWWQpzcqkHvciMt3BC4q2GWI FBjPbtrNYvFD9IvN6UtBgBm3kDiQvtBffZZX8xwS130qqwndj0IyVufvYLXY/ZlfYUO+mPetPRXx T03WVfHXzqmtkLPMh0ms1PmmlW860OQcAqGMxpNz+SedSpnRqSdnpTzjolm9O/Y8T8YeC2lAnnKs dOKX+/kn9tCV1IfusIF3Yg+C4NG+gC98BMAtGcg6eEzBNzp741GIhnqxYQWa6wQT7sQd977nSUpO 6SeM8NESTaAA60Qv7mUC5+LAgZ9UpXxE4gbMm6vsBPVLfFjKXbcD6MqA9eAeIdNyqr4KfSPgCvNC 4WVrM+16AI347hSvoZR7FPfwlhXywAXLbWeBHeF6zq3j6OL1NS9PEORwwynSRafQIdzNg36KFksG 7wgmfbIls9NY/lsu0T6kn0YG/69j0HbcCHTjR9VldTRAjGTbqTZpsdI82vYrd1u9sOOdWNSKYKBm oEiZe+IWnrMidsicntWSQ2lKQT/M8hdRf/JCUy/tPzO3GndlaWdyqh7piMYSNlO5nxAFUgnLN4gN odcuZ6sBuD4TBq2JATE1zM4XxsF4TvRKMbU9mDgQxcglvoxcYoAFsFUZQO3HSrGAFLmgawfKt9vw TBT2KcHzNmysjivp8eOTHOaYakjYZEBStaB+DCTLijV/wqpjABqa4syAkJTeE2pxiWEWsjjKBVx9 7SxPeDmxbvjua7Lq3arjNo/qBqu9I88kh6ThJPPp4fyp+F58IrOQcFyNVX0hjhp+7USBOx/p4/57 G24hzyhJv/bDXoHmcaVU5lraK4DCZaqRMIfs53e80iWPPJb8Mimi2cZ17ZXzAcXpsV2VubW/q2s/ tcV5iDdpz/YrNRLO7GsVy/bTPOBeuVMq29QLAt2tNm/Q643Os0GcdBnEOsuyAp+jbVnekKhGBmq1 m038LStfIpxGCxHUJUwmtU+6pMYWbq6UJTZaT+6E8xAJAqxQ+IrlANorSpdFH0it8leJfpzLWdJk UPCM542Zr8el8lrUeqAZCaXJ02Po8jtS9C4c2XGI/ekS3ogoesdlfivaAR/Z7xmm9ZlvL73vvFy7 U85oVjOcQsR526WWvOJnhm6pWbzqzPfKEbWp2xFUGHpg3l3ekCLrI7U/3psKQm6rwZbjIzj87425 zdbq4UyLYEsdwvD2nRW7aJaNO8vF0FLpALQHGArgr6ScAfm1FhBvC1EiQHbgSA5REYTb16xG98Rg Ibd3lwFe5kT1x1htKQn0HbBWmYHAomho2zBcDBdxnK365cpZJKaAJW/NL1UefpczZEfEHjq3r06F LxB9C2dvEt9sim0WnoLCFyy8JbgS4hfQQS05GuMmlVScm0e5TjZVcdNacuS5hKgVGv/9z+TvP1WX cuB8aCn8kffrUCn8SMOqUVytwwHOFh/bSEpk2FRG32NoKkvoX1Z2PTZ26RXWDf7U4cj+lm1IkY8K TiYI++eRrP+TIIg5N54bg4CO/Y/DyY2magp4/Y/E7VxYIMseUIjqsRrMojtG6bgz+3zgfAq9trXA 7WFTV2+YaI1lLySgirOmfOWgw7zfKKGDA0h6K27bD1uIp+8ET1V5NdsLKXW73IkmY6l419FUfsZY DZYxh5z2YGQGGq4UzsYumU/vkzltT9clHDctLKumFpvqH9PSkhv33T5KezxSvuqbuqyrMil8oQd+ HYFEa5nbwZxkHgnmC/y07scxZI9ecGpCAR8jq8noFqNsE181Yny6PbS1ou6gVZiZx6zn/w9WjfXt T3Gow4j6Nb7mgNCHsBvepNnbd1jM05qDWkaVAwK7x48akHKAp0y/nWrXHQIocVL0pwBQYUxyuCxC BKFsWO9uWAIJkOW5MUgzBhaDwCKlG2VpTPARo7RGiuWUKUPg0sDgy6+FCAa1cYl2KiUvysBJwBmD 9BeFWuVUrjOOmQJo3gyaJc9k5UdzCpGTAcNURYa5BwWvS5KVWGn4q9RCjYR/bA/exzD+Pd5cTw7Q jvGZglyelV19URVKcoAcvPHdaeTCjyRLWRYyd3xLatpGW9HQN1L+jHM4LHHYaOoTF7BHTgDsmSXm PakfrOFEqqiewh1JdJWDoNXs3TzAPqoJANIps7PbC8SuAa5VIILH9s55mHBX2kXFLVH+p3HpV297 ffuIJWTnOzPf8voo1KmHzZ27uBzc/BJt2QWmskAhPGh4/oTtI25fX1ftqLoZxB0hU3tc43get79J VzxLdepckxWJHSkS0wjqZ7yQB310Z6L+mSA8ULAXKVUoUOeMt4z1uNrmRYmWVniTonPNJnl6JhC5 varh3SgUtXCkICbOHBCGPrFHZ4y1CfjhkFQcxiIPbBH3tLgLx7j2tV7W7uNeQlzFilskCqrPBoMB 1o58k8h2LmhAnmWL0P07ysfgPPS59rT9z15Mqx+CkgwLXWPIU+V4Rwy7GFQAuBWkb+/P98pwancu h/v5Pr6o9NslbR2ZCM8pC/6OkNSHevvjvfMumGG4RuhTSWAvRRgBe2ssTi4nhDr0DvRjwJlJZIz0 FmyuTdewGcyf/DpR8W6sGpSQC65Ic0+0zQQ1dacRZagoeOvR6iNxaXgOsn9mdOvK4cezvpSXgv2E tvh8Pakm8CiCZmB0i6lBoBLsSSKtHrG6Tg4MXHlQnik5V26AvjytucuEpzPIt6orU/griChlIQh/ WtxlvwmSOSo2giSOnNByWwvHftnpF2p2B9YJhlQSOKMJcJ4fqiG52VYxh8znSRnENQp4ITjxp3Uw 2JGLLqWIIsLpwWquRhWxkddMZ7/MmMvxJ7AnFGnsgJV3/7xO22aGP3/yGmnVDmyi9JBowl8s3KKN ljTpFlLBtpn4tOfP6XX0eEsp9pkZ11kaNFOA/wGExZKPkzWJuQFheR8c2yPkMvGUr+PyQvKpt/rg azRQV28zdYZzYu5sFWukTxO6z6SCHSFQxp5t0SnEaVPz5xE7cOcF5OVWWQfVsx5ejhoyvm6u0Ik4 3N3k/3/Ip/Mip0iqs5T+b7iM+qphTk5hz9onuOVQYV1NREArZai6m3ZD4jlOSlrJeWGQikQICTgv FaHfaXg7LYC0BfTZjuGhsyx4LAQOxT1xzl8DG0muj1hHeK+lsZqj/CNvLUzi/cIXiV4xOB8IJOfA 6zexzblAPHl7Ep0SmL/STW16gRRc1qyqdokzV5pZC1h2IbR5uIKqSM5SrcZRVMfQ+hw55u304LVM f8RyTIg/wK4Gne6Aj/sVM/G/YY89QVQyZFehN11ZQYTtCzuRAYAzQfa7Oz6QQiPz1pFd0SU3ex8C aNt5G1Otee3yHYGSLW/0umcc7HFBTkfjAYcbWgaXoACQ1n99Xxu00Bukl3vcu80i1fTi6LCkS2mQ dzI92KkT4EWdAy5i/OmU9aO87Ma43JVwCfzE632uMQ/AUBHTHydA0izaqo0WL3iAx2J6PdDDprwz f/BMTz0Kkd5uTErf8iieqUcPzezIbyr1amZw79cWLdF28pA54BWFvnO3zxa/9IMTUSNWMS+UTBeW xUfhnYNaK5xNG/VcwKjb0BlZtU3ExCKXtq9UZDk3SFuPnvZWjwjG4xN54guoyauWvVk8PG9dSINz 3O0SjVB8zFL7YC/7v5ylP6G6u05VNHOHUHOl3J/B6QBqwwrQzQSHFEFQQVN8XGHfUdcMzptP+kOS xXo1N1UoFM21SHkctF1+8/sejbeuYn3rLLfMRlj5LH9YQ7y1j89DHAqSLpNxJpiNB7p4w/QW6mUK EcMjDZrcq6uRqkN75fIJLhovjt5oAnjSyXyKzbRq+vzaCBCeQuT+B9nSoMSO1bU80IEMRtZLAEiK 38mvaoHncVvKt14zYwesEaHR/G6W4tuLrgDdLC6E5lClpzG+E9ardxnbTSbbUOmXMTPcSS+4eNVA mf72oSHz6vEqRbCbZtL2MEtOGzou0zBAfbfXwo1ucYFJHVqv2vCh3DgyBUccEsoL43/bPZ/PQ8Jc 2S/47bz0mN1ycpv20YBeqceLmuF5bHYnN1x3FAkgxMgnLW1EvyzWykZz/JRmEKGgIggtVkQDBEYW /E9mA1nEcdVHngO3kLmkxf9277CfbtpBEr4970pnbTSC05vE7pG55GxeJYtXgh7Nj8HCWHk0wvA1 CXK5SnNoq8XQbCIdz+EjrcIJOfnohW7xuOf4DJR+2PzhsDf5fhFKwmcubOFmwZTBeQcsULH/9Teo qpDW7R89SfazNQcaVncuPyo8X/uuaNTnoGxuaiRLElPGo3rbpyaCrFmXnJKTDra+a9PANbjEwzyG dCSrNXAgbuZra+5XSGMBpJvLRJXPuEuWfntgn2b3fjYLBuEAEXzTYd/CEbCDyh4XtoCjGrQRzsD6 ezVpE4W/rgVy5UXS3BiEKsijQ/59fuzlEb4SAnj19rjlxtizEuR0lLu31Ba8InvwavZ8WdxFPBpR 1LhN1mB+y/NHGLJoEeAuMwWg/pQKBg4sPDi7b310dFNbhHFAqn+HkiRUZ90Ac82EMc4DmVVzzhmL /LYFHI0z3VWwr/cWxd4N2P2LtdSIa1Hfr1MHtgBWBjxVx798i25ruIQ6sLXA8pkesXU4RB/+/1ua R0ssJ2SJHBSgr7MFI5dbJvH64dAOfBxL6O9Kr1/IK6j0hdtRgP4nVs1wcx0bWeMjONAJoM3QeXYM ChORGIKCp9Uvkhx+ugVia92pUNqrGuLvP+RtnRb6vy0WszHFNCQmhPa6mtCULGRRFTplEVFDC4em u+1VnB8Bio6WYgVrVGUj2u1qCfe7epT/VHPrEvcZZUkQSfOPl4z+3+1qGuuS/gn0+NbiiyWAJrUK R6d86Xlav+tEz8Dlhz7og81UMqHV7qOdVvOwIo+RKD6Vs1nB7I5dHSJGfHdLd1neJtW4hyUu9zq/ lVyY0uyD+3wmeTTWITDVaFE0NWa5kaaF6jits4Xc31nCGJhJ78+LnSZafy423rsK37hbWYVdEdkZ 2+B4RSkaP51Z1ykZJ2DO7K2nRtme0iuzYldJdutbrIT5Qq1BiJIvUQwFM6Xb8IPULwIgK0LvORKR aJItYNS5bKOU9EcDtv3D4IcLTlikGC7Ipj5snwpj51Y/Fjt2eL2wxGjcxwpJmF4Sl21ClGOZiEbz CKv7VwcMlU3svAlwnzJnOhIzV+NKU3SgxIKFoXRUYDZg1lVjB7rjET1CwxS8QlnjjukiGGIURk/f 71Ou+4dv0JTm5sq0NS5ReevFNVg4Ow92fDJwKQZLXTX+CJfYzRxuhLyI6EHuFipUM7VCh5lysQMX BBAGoOc1WaYG1NlEbUvpaaKITH9OUlTc+weKJHaDcR33KWLlMdPt3whtw23f52jChnmVgPXvUjx7 cPIztml+fDBNGtqkFi9CWcVzXGAK43fOyRKssrBSzxSLpOQFNLYfda5tDoJJS4cV3PbZVVCr9z0m ZcXbJfysSuNzCDgaU+Rcn2+LulUFDmv6gUdEHTmGxMOA6zbCB/2OGQTsct8ahJXQFuLlHyBCZj/Y Q7lgwiQqUXq/Fsgf7LY6ig1f2hDEFpt+4HV6fFQGa5kT4Xjq4E9E1Mg4OVKy60A1hiWAUy9EnMBn YvFmlHmFFJ+0z09+QWb9I1r70WSmfr4HUd/uQpkX4GllHKgjwcDF+KNfZnDsgJw+EUaElkxQvizx HUkiyhYIm5tx9kagu/oFklYdvknuhbhA/KCjZ5n742Sv+scUAn8Xu79/+tINK97G8jMBePT2esxJ G35wPEoBHwpyavRwnBpqz7EmE6PrvCOMOCaiFpwbRm5F/dGUsL0+Dmdw2HB2+yGGYzQofj57SIKw CD6doa9Jx874NLO89IKQd++uMBZqFl6wP5HaIDCoLLwLRW7RDs2ibQ462is7sDUW/L5wPpeAMu2t qJJX1SRhCrmOZFe4ad+gl7ynTT+yZPJXSfHNpw7XUNKs0yMMbMMVvupxACXJKEiSc51Zm6elDE5/ rK2ivATkUkyJfbUbuLQhlQZ/jG6Tn+OLP/UhWrGPYQGPOyLSU41MX1hrnl3C8luj40+CIpCF4ZHs 3hyiMimp14NIVxrfMelHYkfW0oIljwYItKn8Dg42Kt/QxSDABn0n+QeV4qe5UdNAVkY39v/Wk2IR dS9mGHDhvOIDZ90+tdCbReLeuuJRRT53nBz6anXz0YGsUl0e/mIsay2AU1tgJzYC+zRwR2M+HK3c DgcHsWBodnD5VO8fJ69ptZCYkOyw49hSAV5dgr6bVcps3HZo2GuehzwBlEpiImOBrqNvbpgJpR3J jiZlViPxGgEQ97B8NudJ+FkKX1PSuf4fU7v+8YfvCyQcXROajY8eSq0cHprp7kKlBusVaJCRsC6U LBYNNELPfSrwUSBmqQsPEC+iUemhiJio3mgiKdJsm1+XRproJGfbekT1XaZQMAH6gGP5BqwEwPXw RDRTD7cBTOGmE3ao2uHp1HNjbInVn+lll2K5R1sUEIiofdItjGvmkz9XzBCmdI0TOpWNUVZyuYqj cVCTEk5wbeLKAGgtIPwCurpTHKTx2/H5dnev30BtYuVWU4WJgkQtRNrhiIme+ktLRO3R5H+ocRs9 +zFATl//Szb1Fw5G0LjtEi8qv+C7me4Qp13WW81+xHlCP6AnbNRiTlB/E6FVPlARIzOPlRpnmEg1 /roKc/ztxgfyEfkTFOMyITHTx4B5FXP8W70+Fz1+fFs4SC35MgFaXc2M7xoNyLCXLdbFawRKm7xt D5wr3AmEy2cBht37nKz/FwJ5BGm4rwURL15s33YAO1HyX3vReVk3kjc0XK4C6RR3Ybv1iwZkEm06 +N3XZ626B4ylWfZQ46c+8qIgnE+aZjXW7wCot/Eo9PwI2UbnPUkfvCijiIl3WkXC5bgf4c2IdpDw cQ8ZLrnwhdVVx6vsFh9V57gluz3iWUD0lTDxCXCZ49/TpkEfDCnWGQ23gHaVEr+R3a+W06psTDRy Kr8P9fLhN3QL5cXNS5yQZQUCibhZu7b4ecAf+S+Fd5bzjdjxD5NP8jNxEmg5GGThvRi5vacorRXr iNoJbVHdrhg0w3EuTHLpGo/HvpwVSkSAuWzAI8vl8Mm+Gl6sW9M4RoS13FXYHsC1JIhXG9eQC5CJ 5spUAmZ6U/bvl0zNRJIq8bbfuMMF3bL4QmeTSDhRCka4fkjf+MV8TAtiNA3a0KAzeFbAagx+Ic4m FDhboaecmE+RbI1ENsg/8KMT6H8b7hlHZXYgrPGVOwPRc6Lkv205yMvb9L3AezqPAla1Ab2yXLWT WI8rNe5zyafN53ncuxBBav4d17SlBZ2J7C7WRMkz05e9u9PGT8QGgLgsDrgdVoMjaFHBj2NIjSlZ +IAf8cjyPzpvB5yOOXXraTJFoFD89Ew0huM4oEDmMSMz7464VlASsLCWebFkB1th5nc6SH0DAXda IVqCFrWUcCUmfCN0OJi2sbhLjKijBsJw3uJs/sNcyrquv80EyMaHtzaz4syWrhLHfY5tmc3nJJ/I lHDImRlfMepcqu4IZpuJ7fK+7jqB8kKqOugdayeLLsxsg4cADSpRL4AF5DcNpw1wY0PpGkWrgd8I OkIycPHlxLOrNhAhFgGJ3d1Bxn6ioRGKNXGvw5dlsZUK3JCFW26mGQN8b6qUM4z2JP9p6wpjrpT1 5OglzBg97ZhJXCLJPSLdu0KvcRymDPqaqle/ltIw7GDExjsyW0o9VKzYcWkbLtFnERUabI8OYFcg gLgW4iHWO/dp6l482is9X8b9M83q0Nb6LZrXfWzBSZmU8EhR4BxM7R7pfM3phaX6i4Mvfr6qVi/M qTq6zxzfc+75QEF4lFX9X3k95wBah5LEkwxwYzsRxe21OZqdqlpoEjcffQB8iXHqF8nDsOdXHpsx eU9oN76D5yiGhRWR4VbcTG3hZQJJOeN+/mFMUQ/5+D2+c/VrRGwFFyrvwMsdKttfN35FcLgr9MCl FvvgXRn13zg12ZCyUuSKfsZe0NpqWllqKOC8+vcR4bK+wgI0OLVuWAuILPkm+w4C2K4255VpaJGW DYLWI3VfQbm75u4ZybYzEsUk4S7WOOhJZ1MjFQQrBwMzrsbnt3uPSPZK56lDHKZwS2aHkR3BggGw Jr1lRoD2ztcOrDZe8rRQo2/Lt7LsF5DyAoSRpGbgdc95pkXD2ovQ74KPWnOo8gSNtCt8Pl+ZYiXi vcx3HgopsVUSuvb7jlvvVW4v+6CIumrg3YQYUbu1uP/oILOgA7x/tHKzboNzAJNmc5YyUMOvY+5I svhvB6gNwvhlHa5Be3M4Q/AecQEmI6qgkWu9GDoAh8TjuC0WWMd4+bL5c11qQQMXPCjKLtV73pLu 3ltmrCH8yt6QmHwHTZfn2q0nloW9saREcrXzYCpwLzKTBXqehSQCayqtfe9b9gUTlBw1bpL3FlO7 iZ2w06bSV3YprujhQjYYkpS4uF6h/PtnxaE5LJTYI9OqwXxv/aCLHURnoMm8bPmL1r232adOCN/z 97xco5hgbCHoqs5YBC0JCBlQbfTfRua7nxpYKQUb/ODmEDZecy8np81uV0EwhNe0Pygabo1ADmXY jlp25f6TcDPSrc9lUY3O0Tkg+WewSXTjGlBJVKbLqLh+PZsfyFDJybx1XpPk56xu29GH2iruo+55 gezNdDUV0nm/ptAaCM043/zwAiDXrbuDO05YYBHYtEHHQfPF498Mx6flkRfFNjdvyRgwcImfBVTk CDsOgQg7UW/HNtXUetNKb2xdmJH+B+aGMIBK8Jv2qAxCvuIcXtV1mafHvq4oISvLB3qsoVEP0+mN 2EOQSwSQpR2nkTGDw9q6+aUYEKf7aTFEs0yssuBfZnlM4BpX9+hDb9vhJvCaeJbhMRr9flP+S1q8 w6TJJN0YrsqHomEns+Iiw1c3hyTMzRp8fMi1vPRh/mVNgx87zMqJrhUuMp6JJLYdXUXA63jumfxH engAt79DZr5uTOov2SnckF+4Fyyu9lj/EeqUj6n56giIANCmcM39cYMr4KbmLcDNyp2njgijxg9M yZP8homA1q7F5FOZ0o6fHJD63K/ZZ9ekmIdi9zg/gywPrU7bV1wQxctQlMSrz/44vBEghPHDcTDw f/K+vWRtyz7b5HUyN9B7MzxkZDWXK2Fa8AnMb5fziWz0XlVZgSc+1L7svnAsxKrN/NMGsITRzN/+ VPuPBRL698O5qHwyFLufiIHjpmcC2OIzNnf756tOj82j/qVEnG0dpeSoei2MfuH7W/pblZeTAjFC yyr3B61pXEXH7j35ZFq9VQvL3kUU/c3YnakAbwmdj+0LfnNxhyXO3mFeUCyJ7Do/UnDObbmM9m1K v0KVk/ZJEJaX/Zf7VYQag4lX6OHbHhZeRKZd6lQA6tldL6on11OLLWUtXxZdueaUhwiu+BYGnM6X pFHWeOy//fP23IYtlDzLSCaXpceEp4fs1GoLNUUu5gi/TeqGtwrMdm5eKxcitMohbk0GtJxC9GOH o8/4LOMhTQG/gqbjRfyxpbSSw4d8rUz1W/M23O4gEQUY9T1yS7LCU8Mqn4Y3TSYT5qKd50vpfSPz CypuH837m6lk/DW/7JlrIfeujlBPuguBm42MKBi4Db/SodTdTd5p/P7uE4WuDHTdqXyIkv8V86RE OmcMrohI6ndyex9T/QnkUq5q2PhKX5LSN7BtKcKxHgB5JgZ3EoYHRmRFBzI5olipQuxQJUsiD8GX hPpMgqPrXvKLyeoT3rdafGBkxeEZ8GVNuTQlIKU0LbQiE2eDoVM+S/OdQStbEImibydu6RvPoTJ4 xxPK4K/L4WzAKTYnFFQHXJY5Yf/YpoJJcXEhNr98sDBy1PylmcSAOBoWkuhRr69ElwN1WmocINSq 4CAXzGu4oNZ+xSXWqGG8CGpDeQXPKi5dN7gcc/i9GtFZ20wcLifOfzd0gqAzvmpK32SN6HPFinP2 YdegLEnC5jPHp9+RWOxu8d4RABrQSTLBa8fRYQsr795zZYqWhHMJIk7rAj0T6/S0fV7jp1um9Kn6 4IcrDjVxdT/T8Q8pbqMll+liJ2IB2kt+DlmQf56QauO9S1lV6JnWGmgtvULKOiW5NijnwPiSIoAw QAXM3RAnfZHFYA9bLqUzHNbWmu+wQyxdhlbG6EuIt/wo+4GJPHcrWCyvVA1Fa9P6Km8hwYfhNx2L UJuBe8RK2W8rNDuE5oHVVtiZOO1Y3F2dfPRana2I7MpCU0AQFhSEuke8pAizzu5hiQpFor0Z8u3r V0+IEoVZ9QGdWR23V1epIaP9GsxbnYG0zOo3NIlRv9IqTU/tyvNUYJZAQzpTFgGgkQek6f9rQ3tr WK+P94jLda5TY4Q8XRDf1pgOmsm1i6RJjCMysDyKy4mzD0iJudTyXkXktgQlwyC+Ifcr+A0hSSpT uvuivuK4NgbpiP+H/+0rJv197QlQD36ZzWjUpKIKg3JlYw1LX+Cqi0JOxgk9+mruJLvSPxDvKBEX cPLDcdPSg+86dPUwwrLazszvCQa5kU7WTEyVl/KJPbYMQb4CohZPI6yDVgqgS2I62hqaAjQaKjRk Iw39CDcMxerJqc+/usNJL0tzux8g/bnG9aEAbBlkiXOjdwAhgLiQ4uP9halWf+KLOerQ5+Dzv2Zy fSqELCymYCe3kHtXQbUycyGnhlKCn/64KrR/SmzG0QsoOb/Vu0f2eLKiWpYkpWSlfTm2ZvB6rPLf 89udKVXq+uVTXcv7bq4EkFiZQMmKVgfmPuWGo/Dhr6fKr0eBaGuhycripRs2Unvth1MDDcy2qat7 FsvllaFp29ceeV+6HRDL4iyk+tJu7TjTed6g7A8FVmFSJX9R4edBl6beJ1DUrmsjFR2n4bVwBp1C 1RJoLM97ljCHtChMKEV+r0osD0rjQPkGmme76rkJD/3FXQ1KEFONayskk7qGK7JgL4La8Lco60+M JzAD2Hc/GZdCyeYmT0T68YveM7SvWpqn7MsiEhAtiWktN47Ox+eV3S4SEi1En1+vc+iGOXvkVBdj T+ALPDaVqdyHUffO5exbIM7MwAMoOM6EuTkTJz9Hut9HgiT5YBeO1ObPdXds7Hdu2lqE6oIvRyiO U8vm34nL/oNTcWS4g7ScNyyyoRJB1TtB87O4xLgXvuatve3yoV7S0GWtzg6Vy2hAlg89hzjwqgNZ UkrucSd/4DQnG4HuRbCcbgQEF7kKAZNDnOoc2mrwPXzrpNUFJruLeWQ9dIPvkw4GiNeA8uqMHjFn /5Owi/rYjDeUt6vVHjwPLDghosPBGAP+mrlyDDfCp7NmslVzljZXit6eMeQAXArEV4Ypoc7W7G/I IL0cPQ5ye3kJfdP/z0pG+/rJKNiXoQ7iy2MApfX21dMKpHM9U+PfWOcMfwhCtkOSOvlTjJFWrtPC eHH9a1XkbcUd+GWThe2n5WCBbIPuaX5FDUXYHAY+PVw2Z4PoYlRoWn3YRtw1qayDHJMe+Vb9P8XJ R+GYoc1U8RWFL2rxtOc0Dd6x0HU8LIySOwVpCYUMuRFteahH6slfiGpqLiCc+Im+f6v++nxMfkBM r/DLx9qYX4CMD2MU79THQCcWzZtyNrJ/XtXdf7G2dUbryGvDHAl5eaEgfpUg1b3r4gHq37COkSE7 EzsmwNwxSppdxxz/DlEti9chnTRLUT0X3ykUWUGyyeqeDMenLVB5Q/8Xxf/NWpUzhy1ooRCJ81za 8aTyRr8Zei5xxYQYXASLOUocdnkyLXp4mBj3XN1LkbQV6qhOOuDdbdPBVoasTX1IsORDymIi9IgT g+bppKVPje4kOZEeGnlmU1mzpHRp+8eE1YRl2iRrqjUAVIG3UopjzxSsRpzZHCUS+rceKZ0cXs9q foGj1pgGxLdqRy8IBuZzMP2+gESsLcBOVh4rN3HKnldr3HHbxy8GXX71xYwGb0AIcadTb7363dOy 13KtFM6owy+ahA/xqhmwKrnmJ1SdKku3m+xx5u4D6aAQZZMoHcBsY+e3hayGuIw4aN1XFf4l9BVC 2RU89/h8hO4Q3nyxv1c+3NLpWNVUBLM28ldWa6lD+wz32DVc7nd2PE5tYfGDGdlGfHsMhJblzpGs kkGQc0aTONmNC1PBGKGVMQQ/2Gz9eXUVXZvhHZi+6k4ICh2cZqzr/r57Icg/8tT4olf8BC5xz7TA QlN38YO/qWuKjnThmCayl2ny3vBZ71pdCeJkEbKvU8bSaOYEIsakgMvhAndnAUEw7oC1Zj1fRWew +NtVkweS+Ol/1lyix+TlvF/0m2igaCNaxvrF6lBE8KlseJbH+CCCgKffUvOQ+zhN4vXd59guFsLh nGr8BcujFQE8S5hsPLTHDd7VJxMfwyGHT20gq5KMTZHnkdpdzhWlr6CmF89jcpngWYakotI0kuv/ CJ0TezgIKhP9iYItfRoxEtZx488kEqGwM/SntqY8RYpRESq3THeBJIcn9z5XIAizpjIx7Qd9LtHe bK5nh1Is3/cBwf+7H3x4Fe+ROAsoWC4rt43tZ8SVs2K+a3eA+6iJSx45Rm9pFJmOIxNl7aR3UhO5 YNBF9sxUBf8hPYSqlekc2USkNFuFpTjsnFgGG+Lm0pfetCMa/di2y6ttOQkt5zitH9VEX+343Ttc dsldWV14a0opukK53VwaXEeQmKwiPVy0FiErRHAyIR0w2zvhCwXDwaLTGgzv5ivbnCFevWqPHcPj MutxPpO49DgGD534PfrpMcVNTSGHUUBBHDfRPytv7Zr8lwDs+6rrvLE2+K+Va76agIFJGRr87iLC jo/8yL5cDa5pA2WHY15XIm/nF4FGtalujdKtUOBmWK7V0Q9YvL0evRu38tVLh1TCEHh70d/8mpZ5 qj4SlaHeFvqwJk7Ah+jr8rN5pEXEdayjc3DfCZQlWJmkEanDHs8t1H0QLwOXrKTqToAvqOmdC/N7 +gHrjOnlAkuEHztNecUUYWghE27v8WJiP9cf8/zXWGEMdf7yDRFWhlh0lbWQovxpfK2lckYx299a BXc8aLU4xXRGD4HMbAeVZZQQ7tzrIjYg27ePbFiDuAVHpOFZVAwHqsm61ne1SWZ1jNYeo2gOhbDj dzFKGVVopidu4Enp4V7kqpbNJQYP5iTrYJE7kq3Lau0FdtL2ji5CyGh9BQ2iyovdO2GL8cj/bKDP QNcxa380++1R0OzBxbdjUR1m1XV7XPskQRUMtgiC7aqTj3e1qIbo8vWFrzZTgM3eUkiUuG5THUj3 tgwUkOjsPFubPdGN/cvMroH9kBdrMDaNYS6Kb2tfrZYpI+lHBfCX/dE8frwxyiUegamL5FrXtRQu hp9FY7zz4SOCvvEBdpUJ3Df05fixJOCF1KBljqis3A9LObnCNgIrpPTea1STaQgEkoE4T817EMJq p69tzlU+opIzWL9FB8U+KDqFRpce9bZSFPtk+O4kq+VmVZVinJwZoUwCwmmcwHai83jMiMUNem+u tbS+f6qjXSJwRVG61ZCtfRtedlg27JQhY+NtKKhc04Cv3ocoNtxkzTVB1CXEE0VJDaE1ldYAYwaB uq7ketswIGEvYU7vSHOUzij4RHpaQricESvwmqhv18K0TDL9Ygur9X2p5aZoxlxvHePTRnMHLva8 W8XE0qsWFfAWE9Y7yLiGcmuIfzJ8tQUeXJ4yMyWKvbI0kvuGUyLx2ghhcTSSkN/vIyAD7+7VPwKg YdtGmYwpBdwU0WoXde3aP0QOe8WOOWFAOL3U7kc7NWhO2TQKTovtcOAJBoKk/KWq2GKUO8u5SpTg Y4BX8JWpsvZakUwCvaau4Tx86jRx06xa1Z5sR5NnXmeME1bVkazbjWdCD6PX34Kg+afxWWlK3dJ1 SQv06Annn0cdOEjgGX33IF7t3M6U0sBu5NhQpVICGveHH4n6ZDq5t7qDg14DuFF1AB7jFkF+MRvE PvF2nhnFXbpSWKfuVIpdgoZrrauJyMSKX/cXYzTwjmSOgI0ugvEu6MulGYPLGnH49Vg2B0UfmF7I UjF1gd/UpBDq2/E83553pFrCMouo+BI7NIesbbOZrViguZ2sOyS6NhpxjJiLdfHvyZi/ewyaz7vs BOGMfJVK9RYA+wQBMKJyeV+YzFiYVoQurH9Z6brmSUbijiclOxpCLzCXW4pAWNLVGmX2h4fU9iOM nJBnHv7gNrQOTEUgNBNSqt18lzGUxca28kDkfSESTjpaPwQi5UNTWkoWciyBeW4JucEn2/aLXsUn cl8Kn+sog8EO8QfZvl0fs5MFR7YiWxKm9ZzgHzugevGEUwbbRvxkBMulcdXh24lcRhJZAOeNzI9Y NZNApZ42GfYgFrBNx+fPGYfYsVsuYG5kOPUUOr+JtTTRDh0705Geor1CCHReC1tJB3tNUEV75Mdb nmjwldYixKbz+qWiUKq0p0gbSH8c25/munbxMIhRCzdJlqYM48oGeFKbG+P+Z6QjZYFvzFztOz7J JKMoJdKjqSkOfHFMHKu3/7tCEMh3rsZUc1+i0HKQMGthfXSfa8W4SdpCJJT0KsMNiSYmfnTfV12b 0IViHrnUxkzSSodoNvB4exmnBVbLrSXm81BMwZYa3l8be79o3bSBopJrpmavjmA+zwV4D792ewJs J+3fVMdL8Mh+eXjZSNyVjIB1o3b/nUjsFuA9hj8Gq6ck5rgEfr39HtBQiODut6aPxmmx82Sn8veX QfIgYNcKO5cYzawN3ZzfzJ2J00Gsk51eeEg3Ua7zgjVcpRIhG+rmKQixVycMjigVf7/4zetiAo0y QK5iUtWavehJuCcDpk+WzgbuazfTMStIIJ3SnPMQ+l9YIlEodzudDCHe8RCt8x+WxrAdTpJAgONG rd6gFbHE8jaoqprgfA0rQ73Y+0Of95ew+BuuTyUcZRAMHHWkcXI/VN0jdGq5u5g1BeBynvfpVcuy JXrR6lX0GzgD3o1A0DGvsZwm2D8uR0RRF/tPO6xy2Jai/HVTaF+euZXH5jljr9cwgv3qhjb9PGkU tDO5IE8HCK+WJ3TnXodAwsX48bAMcquHtdOdJ+itGDMEPO2XMAZ+010y9f/rGeQukgqBOkptjAEV eRDEOgCY45uCPcVXr0OtmTM/YoZDPUVgDUuQgzWSttMBS2POoe93ar0F3aYGo5FRkg/EG828Ly2X Y23RubJ5UjlnRF003UiiJ3jeDZxM6bbLQCjd8MNyqsCnz5KPnd85wTHCgZwSNi7u8OBp5Tkcm7cD 1MuSx7uFMfiKmLMpmSNyf3dAENl6lJUWhaosIozfJeHB4tZ7ksXx/woWVV6wuNIn4B9QVAVn6dW+ pmejt6akhrAMM28jIMTwhglHk9+wp//NAkTd+wMTH36Py2jhjBl6vI5YEfpIxdkoBoMJuxg/H8KO s3A2E8tG3ehbhmO1pFmzfz2+eoMzZZPFhM0lxYpMwSEMuY60zRh3NdnHtHvvTWabTOaxhN6Ja3jO gbWxb+tlS8vHK/9MG6zhabDX55x4uiqPIfhLT/TfhMBAJ9ib0ODIq5xDVZl1iLwxo+rd2qzY2u6k XeeRRLWjzDDmZ28jHbvu1fjMQk//8ZHUTE7l+JqepX2NKPqoT9WdCM9U+NwfQ0jgFZCuJ0luFeuQ K1qMmnna7Opzhh/0UdHaqmYiRbQBDdnCOIE0NGraLWjseu4Sfvpw7l/bZURyfgruNjOih2uduikZ 5C+APKM5PKS4MSA5v57y4+hYW17HqlFc3aRzME6zR4xhZS7JNnn2SLemvF0qcuoVgXXq4qD+JkVz q+qB3xO0GiLlvJzvf7GoIjXZYdECWmJf9X5rnVo+/N8ijxYS2BzesPWT8IxvvuUXKyB6rdzEIY/A 5BORHWviBWOS4S4AgzwqbGiKCUSlOdrNg9E1Z7ceXcW4e+FC6SJuKykl7vMH/rZHR0wyMpGh+CSc 8HnyqsJWuz9oTTwQkfbeTHGIQAS6EXrdtCH+xXYKC/AqAXfkO4mZ2jWHVe1DD7A0pY/NRrSftiWh 729lT63xmr0EwnRlphFqhNeTj610DcBOqXLm4KXAnQZYwslxs8kKqbKNzc+MnuDQwSSyAnPt1+df 1e5a655R1Q0SQu4vLttkeb0OkHZNs761N+28oS1jvPWxEg7QTtP1xn+uqms33u/9dAJIg515sfdB qrNJRGOd3kM9RF/r1irTQrGuIhQYCWOhxX2KHsS1L1kQAO2mioImwwBsUuD5DH3BDCyvEY3nSSvX GsG1iv3kYgjjjRvdby1eG05zpdxoiytLr0YujakLlmoUwqkji/KySulLbcySB2obi58j/yymiVr0 RJMDGuWSWJHm0+rkgNHPVFCttKRHK2EOW2VthW4dJcYv0K4v3kr0yfpzhCsKnlxuwt1NLnOOA8Vu +9ApBhA8w07UNV/gRC2xyTXNZ9jDjzaxiy6BqFan934caHJY2y3f0FTgbnq3Y5YSqPJM/czX28Tm 0pDvhW0xMzLKTRsYLdBp2AitBiWZ0oeJ3PgpBlCIYpVubP3VSt8mmdtuiIMXN2ypLQTVOFS+gPXx EtfvAFbyx5e86o2ytKsAzlM++tBKoa94juQlWqxWbmmq8z1Xf8tMzMBOnkjBWpkYRhu61EgKHs+d +38lqiswIydIrQym/LAxf3fVChgusEQ8669SvqpjA8nYy39M4bVCPshotnBh/PdvZc/HIo8RnsiW FNGR8rPewMqoLkNof+H4RQgLlR7XHb5lsyuQ9pQmPfVIaM2BsJAzaFu3TyOsvXc730Yydc4k9UnE HbOAXP7X071Ybu5wfJg77y7EhhExM9AP7Qo0oD8R7SFl0SO25BJTZNAa5sVy6G+6XInKcMzq2Ktr JmwJWzRFKiQR7lldt+EPx4vfk2TQ4EAxfbq0K3MxgstGpfTPPFmSP15/7tz2cQ2II+Q019NuAwp9 hgJb4YtCLJSKppiz5wV2qktzPZ0qmF2RIbb8LLCBRKZFmVtpgMn6y7J9tKNB2vEotYbNd4KV75mO StuKbKcd4gwd9PjGWbyFtFOnaNLVV/LzG6RKewHVfk9Tr+HYTeFDvW/Ivd7ryCuVaXGevnF2qITo iw0m0wU09jD6Gdc6JNnZWm6hvGNlRtPcPt3JHU/q1CuDRvEeT/PwpqBTvXg0uw+kWkB9bsqNEfx+ vKQuZ92+Y8yfKJ6Ox16WB6ZIw75+kEoW1j0nPhw3Fc3Wkw+G5K0XMn6n8i/i9PdLNH9AzcfWQDVn FseJNLPTQYpTkv84uCylWy0P+d31vfrEfBWtuSe1ezp9+jtKJb2kqcRm/wdhys+mrK2coB/AqCJN SQjr4hXx3PzjFMwyeJQlG1SsKWI8uerFDZ8IuznlX6Ss6BxnVNmoX/uTf61JWD8mB0f4qfeQlwS7 2/z9D4j2QIDgzw2AGsv6lH/cb730UE4CMOxN3V6i9ygsidYEuyFy7Dlx7roU0n8xvx/Xw+V6wBfy LkVDxQSrMeASCJ7iSqXZB1tzhFMgXoM11JERLIeB3eZT9rbhFVGHP8i7Q9GqdaSJt8Oau5IHnF+s e51rPquM0ulgO5OhLucm6NKPLFSvWjCEsU8jLJztaH/CXS+NEt8McbEtiVxfikKpcNfDOPXPyV0G CG3X/3OMdW0Fs+2hA0k3uNV/6pJI3Cz2wxHW7qtL1iuh4Il24h7uS4KfEt9vIyMXCBBXo3XJGhuo CsExlTMEb2YMpLbpK8W0tyPVEtDCPk/izW1x20qr/VnE5zlmIh84JxCddQ2AGwtiIK9mEuMjk6dU MnBFbY264fEXeVUuOyyOlCdlVFV98L4rXindu4YZ7LhffQKpvACSB+J3dNuNIuHqiTiQfCfMS4yK hVvfQUZqn9OCdifa9CHwnNHeF4f0aUn0GHxLgGGBsUNmSB/PGnI7mJ+0nSHM0mFGq/2TD0/OBM0H Kko3CX5hQwOFvf9m18ghuIraKJmuMxDCAp9a+n4/GqgOcaP4sr33D3EYiiEIyq49/9YR6kWPIzWt iR8u/TMk1T0eyqX5VETqc7WIT4Y376whsE/F0zjuwCBgkKjXP3PBW2Ml6zMJfYlAP/Xlz3mXsHeh ooXfvqcGyxMJ6p3ZareLo3N6wCuOcauc94lrttRqjTAODEFMMQW1tz0CfhuN2SNq/KjlSBQnSUtV RQnKB0IhYsvJmJ9bOU6TQlyoPliLzGdaPUI9/lPAwLAoT3JE+tz0hwp64p1S13QnvSOOFUPGyF/V a3JVuhJwuZUwDC2ry0q5V1P+jtdnZCiHsec1gkQqONg3PySUnQ4KEe8Uqm8Ff2ArREcnLK2yNTfy RMflK6aEjb+nZ9iaFQkbO8W/r+iX+W1mK5LYe4HFELrLPBUoOIf6/+PBK7q3lTn4wYm2Awfur05Q g5+AHFAfdkWChmSaXkRpZZraNZN7FBlTAPXJCWnJi+2aGDBiIRdzj892CNIeIQ9XH8l20fq6qvnp abVVInOTzePu+dufDGXySPu1v1WdcvJNVRX/8Kgtu0WCc38UI7tC+KRttn+z5OSIfpJ/tlwExw+B 6OtPQT0L6KiXS1cxEWuT9ULYjZacQi5nIR8agXfcI/DqNX9Ev7HbzLZiz4pbCEQdafDhqR8LPhJa scw489HCSPomJE8SAoHzlAAJC2n9+tE9Sqyozt1X7wTroJf83msLFRbEQ7sgJXJqTYXqdP8syHLr a83BTT2GJH5RaxzGzP0vNW1MEnq+6KStU6KKqMk+1OJniBH3Sam5e9e7LqzRv6spDaOJ7qPgf/yK TanS1lE/jKFlpD2FdLi6a24zb5vv96GXeCS6XOegCrCAZgcZun5l8XtH4Xzs7m5XNn3dtdoQvzJC T/ayA3zKMmhKIEGO9lZPjCEGNmYMmYnR23BsiqtwfsY8z72tOofxtIrv+Ks/I1q/cDAurflA4HP4 kcjYOs500QpRX9YFD5GXsY8oHnvQdzLFNGYw6dYDCcxbtCklal9Zsl9ply3fv8w2Z4jwA3ic6lM5 vcjH4ZL14GFO90VzY9oFUx1qfkhHGf5o20Zf9bHYvk0uyCTqhZH+iU0MgbhgBnwKR+ZUUOfETFVD bcWYq1GvhjJBxK6w8OLfvCEaVYRqoRrrFtOs3gVM0T8DDca0TixHLH4C4cK7LXuL6i8jHqP/BwyZ GuTLTEfyYcW2eoHETo+JiMeCwx5XRQ2z72IH40Yx9vuvO+/l//pAjRn0FC95We6g77/mO/AQ96Zv FfkKxe94bIfuXkjFpdVtopmqXQe2+qq3oGvY9TQR8bie1kpxXL4Tgk3ZmlglwD4Kfr3QYaVhSyST Z8D8aeLh/CvUH+QBcTl6/y2Pa6iCf6E7huKG4hJfReG6dieI7Wp86oZVH1R3he7BVBIVMDnPXYtI TpA7wzuKxJpGXJV/SNx8/qR+ePnZY3WSchwJKW5UqMdQToNW87WGvhrvq2T44KF6BRJM8RivyXQI 5Qb9ZwNOcYmLLUY0fhjClCfpr8ehShOmO4DDf0Ix5UKjWypJQ2bVPLIjEYhWxj5DHyuoV+eY7LLg SIJUUVqYQ1UURhelM6/ky4Y18+xew21CJdch7dzLssTi1E4RvS67oYguK2qhsW7tWk9lj4GYHXQU 5ExTo4AOWCsaIJb0VMdKqjl2tulRzPH8sirPDZ3JL3ya8yPGqNezekALsTdi0bKZuccFEYYJW7wQ k1YTn3gHm2oNPYq0P2OxRUr/XaXNbkkbLakMBqe4Klunsc3fDOH2U4HAnW3IasJyoeUNUs5Guhks HtWAlSK1keuIMA3FK9Y1ebzwvTdP8yN+nIR5GScG7Znb/nS55sghwX4ujr35kIJRJFm50dIjpmOm ehEguVJzqksA4jyIZvc9I7rBkuiVVOS1r4ifXbWO22Vg7FuTBeWsnThWoZCZUg7cB05zg+OONsXe CBGntpjnx/gjCmjrhEte9JvmhnPtcZreDQjqsWNERBMsZC3zlC6YKXXoUKANyilY9lG2GQTJsDHb 2AAy1Wew8oD4r7z/doENsq/cRPlmGR+1STD2HTDwRibXoG4UdgLL0+BHQRXSsTRfqdaTN+KbzX8c 8cfUaL7ad+1mWxK5+0GtxdnRC0ZgZi7j4Z1kXk8FKkRgvPcvCbem2x22gpjdBLbrcsJM51UinhkX yn8qTFXgWaaWtBeXuBukYQxBJA+UXyMXSfQ++DxhzrzP3vMbmdpUHymTcojk2Sp9eJ7qlXjCyCUh dazBvNxlVCRola0zc2TRjZpUVi+UZzqT+YkDGlbNjnb/rNISpGdLVxRRa8A4gn38LrNWd5x2M4O7 w3CzvUQdnqJBHSTowA1vdV3+qyS1AfI+H0+pRe69q14ZVT74WXlhBvHEZK00DYeWKonTyUmx07kB VPMYiVtuXx0NGvMB1fvY1aJ+dQNZqO9jHPKDZkZ74/e93GDznD71IBxofxSVSElVmwFmzLqcSa+t i3xD4dOyEASTGx5QJMDYKojVqZ0xZ3aviqFJEBcm8S69zP8mWNSzKLht5gVQbTAWghep/AlIAAtm jEhvROvBqME6zZRTC52gWDFQtWA/Vfrxf9bp6pQpm6LTTDOAZ8XPiP/xG/lP0Nn/qxBAWuwL/A+L CNuNCQtLRdwIGFVeiSaN6Y0HDMx6P5on5civjmeqPpQOA/wKDITVUwehzt4GVlZSPrI56eXpdZcy 3FV1loKYteScbRFs8xLB4KQR/CYlM6B1CgeVEJYjQY6jBpyQZlJKnsT0SvHn6USgSdiX+SfBXhUI EEqO2UDqhHRhPNfO2n5ie7KFr8Mf+er1VKrk4HGVbv+xRLtlAN777PoYMTL61eX375ps8s6fzBs2 tmHUGcpRpeIMLdagzvHE+qIXDoYZl/qWX0zfKIfbmvTUIDC+vAbeKB/TuKEq7uEyBdrkIHcRJKqP 4B4NuMpo81Hjq2CjfYxVI/wYJZ3M+e7+UYKPRaCCGp780RJOZfWjSH1PlmsmJOQK2DLuM7hocjN5 /3gn2Moj6FV4ICMkkRCsVxTFl4H/razyR04iE8Z7RODdRlLhmDkAzuog8QG38HQzxsIUWdgAUkXe w8BimxHxoBZM9HZ41QxpT9EigkNgocI5Pbhwq/fXt26KR5XoGKKo6pQinhPs35ch6i8ufTBmKpoj qsnAQAvyF4xESfRln0p5ts/fxU0JSwHFJCvV+yimmfv/foRllddBj3LZsu4ul2DKfE7D7u+XkxTr OdERuTc8EPlKNQOWwejnE4lbZ8JyGrdWN6Z7llT1BeMyqO3XZiCuRNmORp4X+DUzKzP5jkU4ouF3 MQLSnQQ8e497zg3UTt22tFRtQYDGHQeFdqE9bXITs+ZYvZdYaxXozkWJSfmsDb96Kq1A1aXq9gQD bxAA4O4HwmO+YfGgBu0yYERoTbpPXFF7rsz5sZYxGI8BOusrgXY9MaFVfALVm7xYuDoCHPZw1++o 2snXp4dt1LsdXuXbI4gwbrs2pZLgtEwb72zuLzBgTIZUcXafIQmA1hydaSVH9mX+/7feEnp1VjLT ZCAV1JNRl+3vwpoQVRMOACuxUFMHMAqY6NYMl+O5pqAMc8LCHWq+okzM0/MrA1iq/f5J/LEJmL5o v71seUcELlPu9Gjk/TJXvc0MlC26LrpHyeQXysHI5zohDjxYKyOHVoAz8rKdreJh9nI90B2mknXa EBXoTuj2Hixb33R2bNeamNtFlNGGoDA35C2SvWVG8DB6dPY6IwrSyJDOESVL7DzB4pV9gHypM4vx iUEhysKPufptTlLdJIk4zluSI6VErDphyTVV+gXgEkH5yVK4NVaQ2YS6tfCZ5dSTsi58duz6EtTl dVcG2QBQ8TkEu3A6weECX8V/FsJ+AzrJBZ7Yk7BGY3c6qBInQzjP4Ckpt7DHUcu0mGuZqJfgVI+o o3ZBVwRi78UWIfwKe1WqNWivDeH4UjzMDJuuVloG7CcSuFCtb6YRiAxjv17XkFfUrjt7LQ5o5nfw C6Z8pAHnAtAMfR6u1mLJlBdAZiNXHjPDVMzgqoHPASBY1mMrgqWbQRUUREhokm3UBOI6yc4srLJU h7Facd8R3gepSn4IPGTSH5xqgCovZJQ3cDmkVjnzzSI7vaJRjlCP2OGr0cLsk3hI25ry/xzIvCgE H/r7AyehkwtLritvcNb/s+DKysX46vGYitl8igF2JVu5tTl/TNK3PA6vXv/dGOfPsMbKld1/HXeE ud3uWYMkpEN35Zb2W7Rb3seEJjglCXIkCtU3NGAlQZkw6+9ht8lS+ffK8t6gxO9rDw+GE8JznMWc w3cNNXjNrtHctAQzQ1waXtOzAIvojT+x7tMpf4RywSZk2PPJbwf32Ti3aXM90XYoIqYgVdoZvfmt bWdsJQbn0NRLvUKVZJzu71/Sc7krM4funujSG0m7E84fK9XRpCXyY8a/+iHsIFPrMexdMGKLsRq3 DWkdIVCeFmiJ0UEEKlDE/7rvY2bVkg1KNepKkm8bsrAdC9qKJoKkeBsdqV8nBblyQHV4SA0QYJb/ OPWIX5ywtsx5yKWD9VdJoXDmlHcw17zF9XMhixxmsL8G+XYaDbeqCmNiIh6ZakNbsm/MLEu9XQiG NUglQeyDbzLxOIc77chMld1V8fPe7EUTQaf75K1TWie+cd5nS6UdzXPJiLZILmIMqE9acAMQEPHP lWZKrFPdkJ7WzWU6aPwFJcdVBxq8X/pCFdPp1PWlLXWfkXzBlEma+UKHQCFD5dmLfNM36Cizy3jQ UcpnKXVSsQLLCIT6ApCRm9TNhtSBA/P59jXIIWs4wIAa7WS7ujI+qFm6OU3H74X83JmY3G5DiUK5 5cRmxgHIf+5iTYSvsnsvtC8ePWts6rpezIWyqchizud9xURULwVPjoZrf4XtXbIFz7yjE5lOM2S7 vMIzmWXy+UliQgXg3FecyC7zjpENw+SvsObAyhuJMUEwVq/8icPbmaY9AX4pF7Ihn72Q3K5Z749U L7xVuot5pXbeJ9E8brYzkXwCttyo0+LAWzIAA0C/RJFJSugJqXAf53i2w3jJapTWZfP503Nv3Iv3 KtsnSXB0Xg1KXF1sn1OP9vsAfQWEN3+PR6lGmWim7vivqE8zR+LBSdP0HtI5ZcXFg+KtROfK/3KD awtEHxqXy007e6escVgHllhS2Hlm9PMgGtQC0XkKGJZCohRH1ZtZ+fxAHurbKSz+IIOHALq1qi/p wO0roxWYY6xBdQvVBUGRJf9lfMRREJ2j9CqWaPm/k9dVSy3A7js8+lnBmeAu3QPi4p7ofV0t/Tt6 LY3s3D9jrJV1ohbNCFh3EmGsyrsQhuO8OCt08Qaz/wSdrCoN03aZL7NHPT1MUMd0Xxlk/6D4xrDN My0wSoxk3azNaqXcdkJsqKyzyL1TvS/pJzbz2XbFQTlb/EtLPr+o7mO8ljsPSR/qo/gDIlPJMGwu E2TiqlD0e2lnUaeQEcgmN6lfudcga7+ac2VD78+KHJH5CAvI1on09CDIgC47viQhWkEFSPKnNW2f ji65zx2BoPYVouTBD7g0prP1E0vVBtOQX8JFCiQdVbWygs0WSef+tQ+Cp0pKwuB3TczTD/6ad41U 5TeOaYX7Ge2/7v/TKejf4sINwf/MzWZux41fMzDZ1VkCGdDkWD8HNFSr7L2tKkuVtmHiBMPQFW3/ s+7f3pP39IUtIrDwsnS/BOX28nT7+4ZmoC2XuztWAJYoY8M188e+Bg8SdiJkTDQUFrIcSgKShGVx ABh6pbwDdVF2FCwcJjrZtoD70RZyNL0LMLrp8ZXOyO3enLL+SWyyMMJDHbp1fKvdvyV5ID+5niKE AILG3+jvezoDTUOX5gZksgMnd5qlkv4a1aY57a3yKF+F8WgHWEXMgLZx/0/kj5Aa/K0f2MBdHTXd 56f/udhHID9zddDgSujnydgJvMU50H7aTl3fxbXUKNqSPcki+iO4Psp0ssMEoPK+rTmVrdI/U6wa 0e82NoLGPg/aPHgTDfjTNcpBfXU423ULrlgeOGx65UMemwLsz6B46kKrDfE/qmeSaY4GInGaqUKX MWvfCKxQcGp0o+0m1zpreafkNd6/5OwNe8spsuAXBZgHZCMyRnINEsv9eerFclWMNihlWHv9CaTL sGz4+uJAvi+YT34WsATQHc3ivrx7pH7I+jGYXhvJNg5+5JppC1zZmxf0jHUfJtJw6yAc4OhxDVeE /hoMNo/28MIZ3jgncMQFfahUDe06mgExclslLbHiv+OiGKWaDkjTKyvFYFFtFIlB8r6qVQYTpNLh zONFQ6A1R3F83Dl/dI4j6/AIjmygww0fOMiBrzdzfJs6FnQJ4Oc5gzSK1CpmGuvfBsQurADRvuLU XCgWTItA0tgQ7MBIQwdiYWFKFIFM05ZQYqKPbhVVOQ0qt5ec+CAoWHukgiTK9P+/SsNRbsXBGhod YlMzVmvo1fhiI9pjb5MbtCljmjq5IAzr5t+lYJ2s3BnmEo2Kd2lAv7dIVBRLehYVbfI56nkQH6d0 CFs7VHKvcomwvb/04bHjMNkR5g2eAVKPEiBhHMLW5uw1qD04pddEDPs85+TcwHFmb0H9yJ0bzOhF hcuSzq17GZbkv0p99Mye/fv8dUtnDF6flVPWv6M/WuX4SbjMHMK6rXLd8u06NSUvyvGM9zwupGaL NPVMyavBegnC472eWm2/sq83a1ISCaIFdbpchmfriPqp7pxFlXioro8e15jOwNz0l7wh4j/N2zlq fo/xiW+VR+cPelt5fGZrQJO4s9rbHZoG7nb0Ft3PNTDWQFGh9KXAAgd+wyXAeb4hP7uslxmKW5QS fWcE6TSib74q7Y0zVbJp08N4btZ/n2FqdUP6vZe+tH1p/GdANn2Fa8YkNW/QxnKcKlLtPiTkwhig bo7Pnfnwxr0VqjjhRv+v1yJ4y2aaUis0npGS7TngsA/40Y8KITl2Ycg2rZlMUHg75VjUPRFkmaXu 9e/nkYlCMxnFdwlrjkYBWSIHtMbOnEMv4mNll9mM3O5dAGE5ZuG+krpDxBWqTEVv766UPrT92A9b 24G7z0OfbMkAAAbbSokLBVNmMHj6b7YrVHoUcdWzPsbQjZg5yq86iJ/Uv4qKXw5tktYdYi2+N25A kGa+tDoh1p6X0Cxx1f+DBkGlFXVCTOYkhS1Os6g8ZDnka7cdq4eT2uuo2mNnhDFXIp1QDTgOUn5X sOAcjKP7j7kKv/uGJaYofu8DutTI7PFyGQq6oPknhWiwly4u6RA0Efth2+c1eo8DxPQyZge5npyn Qs/UZxMpmYnrsrDcbE5PpwO9gBIzsiJuWnxK9Eb4iwpT29fKkM7q+E0RUXFPOIBU82AnYvaDjZY3 Ns3gL1zdnPO60ezu7iBvy9AipTmut29WBoN8JU4WSWwTjARtVYYtkGKIxLSM0Dcn5OtkANX5OtDq YkD/BRsJUYkAEGrKYfnU56zbaCZiyhuMHyQLHWPoTeX1/Z6UnicbHuPKT2It/S+ecvBOSd/wzO8m TzbKbulaxwRxe0Q6Rfjpdxms2ru1auBwOI97Z943ef78QzUTgvSCfhIzTVn+Jl2YEL8+txGhSrAm IoY0hRgVmlmKmC1nRD35OECP0ZZMdGB7xdaU5Qs9zkIkzq7068RJp8MXiUZK/ScpPmOQLPcjkyqS DWKf71OSSvKKfU/JfryDymb4snkwDl7DGcbctA2nYunwtPab8Z1HqluxGLfL2lcrcGLb08gU9lAq TSybrFPMjDAgavgFC5Bd8RUxKlQ61iu/OuR2dKaa+oEFRSYJXFm2xkdfv4qoARYwk1ThCNvqJkJY 6xjEGyPCJ0lmbf/T7bM0z6ESzi6CnV2iuVucM3iCq8VMPxcf+H1zDQPOozTlyLlg5gKq6rOsiA0z Q17OcU6XYOshOQBq1ri9+Vx3Ev/QddsunoZuRqKbiVm9FaTyGSiOAu5KXOEDFEqI1xpzDHn8U0GS zkyhhTLGItNhBxS/4UAmfv4uZ6wliqb0J+DlFIJTTlYdeZPL8ZXBi5Aek6kY6tIs8gvbPw+Bhp0a /B4lKk/gy4Gm7S0HEIXyoBbBj4ybhtJtrSIrEIuaN7AElOCH7d2/LssMOBFRXz5decZps2Hg8DMu pYUP7bZEZobtroIUG2QJBAmZLhfdizItm3K79o6GN58BtChHuGWtLuAGqmaNu9H7VXnQkOWSAeoc TskG27x95UYUly1aXZ+uB9R4ECjUvz2Nowdl9AxE9wWWdbPKZW0DntwXXXbT4UOEnofGNxY7nvMN jOAEnXCK8IqF/YKuVMK/Zj0tyMw+3lf5VITN5Dn/RpdTDfKn3V6npEQGb04Vi8ynq39srxfD0Uxk +mPfVIMSStBKkjjIAAn/bmY9ObaavZE9QsbSoYuAg1/E2MyBzQVF0hWra5J7LH66A6/M9ij6S1JL CE7mkiitCAHFxzwi5g3iQd0bHy6VJQ6VEcSR64RgGt8WOAivxRscsY8+aKI5X/u3VkJzA6cJV1hU 9eBGpB2OWFFmx51YNLdIxG3n1zbjl7lO3tZaQrWynzQMc686NqKDaW4v3RYJr5TaJ7DNt5CDeJFP 9tY5FrbXk3hKTKGdmB0C/e1mHaiT770sgkdz27Tdh5/7EU8ZoNnpnBExfq6BTubt6KntAMscdVyj T8mPFuj5X2vAeECswE3useCYOZYfPFmfVQgwyT9HnRKJ8KCOuxluh5CbFGPZOi/eAl7v7v+Wc53N B8LF49FwJBidzkaKcgV/c/akDddw/5nqmBURg++g5liyV3gkeZB2GNzmoO+bnArVCC/G2htY3/PS 5s+saxafPLtX/FQ3X5hnSMNWnhBSYvFccIfJe/llVEOTsqoh2OokdcFGfLZZEUa/KsJsssKAaUKz FEGI/ChzH9f0R3JP0+U6KHybNlY31bPQvZRI5Hg5Lq67h7cQnB7Vx0lKFNsxWS090cHkeBMrg5X9 4s4TGg4MlDAiNZO71aKFQi3+S+dZ/QfjWPoIpQ5QU7Jkhf7eUVIRxgL13FGiQp1AfvhKUj7mgpz0 zZu/ZxaAeFUVZxsf91Y+srjKFjrppfNU7OkD/qvS8l6T8x9hbYQzY9cbEExBBpTNKBcZk2IaF2Co HYN4NRCUu+W3d5rOKmMFFzhF3egAcEHFEp3OxMjL23uzKH1TNMGaUQl0SMnTJB2fXdQcb/dnxpA7 226uqS1oD9wZs1RzNV2EyWQCsUFZK6UqXc5w8e0QwoJVSuvcSCiAfrUDzZkpX5Ha/8S5bwTDn2fG bxFbJPfQoJiqULJP5il4riz+A3yIPI7QTA2F8On6drvR7P75rtM6pbSSydPvP0JiZPVrVQijo6dk jocMq8on//11q98OzNvQd2fdvdObCpazHTWyqrWA93rfnIMwsJIfLQXsGU8IZz+K3anYAZdTztsG EDfjZggAi5LrTfbLilwkuixQsa7wSTd4scZ4VC1cBC4bp4Nxe0iZx0mkpJ4sHBkU2W86B4mgATWf r/eweAV8R2kSUjys1feuo4Vq0T+0jDzH4fPUDgMBMR/BPD1Sj53veMrhPPpEEzHk23Hm436dPuXI MBKTMJDqHaLiVD5gmUJYgBY7Pl1GfZBeyxNTMw5LRBw4wIk7QSu6TrIS28PQQ+NS6wcN5K9XF/RO eCxTdM4JQfceR6m+mb2qR+rr6QW02E6MeE6ryqhJiSZTelIawG1FAqh5pH2PCcaou87+RxrBcJLW 2nK4FwuPIo4SCrJP1nKyQforObMqz+0uTQq8eQnVpwpcALABDi3QsNDCyrl7ESdejZCy9GumkBXN VVkeL+jeU2UgOuT2g9YVXN3On3RPuuHmzTRbycMYORtGD7HKuyfidB0cZ+6m99/dVo0v+RWqN9DW lnbdSc2iw1g6mRYx0JYttMppEG2O/GrUSB2s6MEa+reBzgFtWsVM4IB2Plk/zLjDVV0mRyvYmnL9 qwKbDAdtWFBVEDjaasGtd8Ln346COaAi4yGfSBzxaPcOqsCooXpc7RfQihblldxJCoBY3LZZiO6Q Lu8ix15/N3LMKo1kGYXyXQkDiv6C74azyj4pCq8jWSVh4u6/Nr6PxXavbSMymTKDzQuPtOUuJH/M 1Ofe8waYspbc6BcU4WrAERELsoYgCIuga0vDLrr7/NPQdPx6nWWyVmei7fTCacaLeYc2dIpJJLYX ONYK7i+Z+M5vbz0P9Ge56tuUGk/Owy7HTza0qW5ddvOgP+qJAUh3F1zjoWZ7XFXXgGQ9vvzpritC siwRs7dsfWKeSPM8pDRxxrUNHc/T3y050BFlrJ7Bn5u75LmbdiDFGFlTdU76ck2tMEIsKr43opCn PIPxIOl3OYf5JRiK+lqISMMbTUYJAmUPj7WlGR08a92xHAo3DgO18ycK2F80S/ggzDbnDh+yZerN aMpKPC/R9LMn2zIOZJDbhVglyP7nU8w84J/KD+Gw+ixdYtX9qvOSxCGfjsxwcbgI8/Bw0gGKsbIe uffG1Lqz/znI8CsIHEkGwYx5OHU4AJniTKPVKUJO3ZzjjcMmwqStQ9wVFjn51akXh5wbe+RmtK92 qOrhc5B3ypvH5RuwPn67i2n+tUZc4xTZlWnNo5j7yDrQomnbfoALAW74EjeyiXrqE99ig+L2n2up Wr915B1LpeyktOjUtiRmQD28qk2yAMoOVpJm2b1lHfvuSdrjZYe2s+j5d+KVEUccJY9o1Kr1j2T6 llACRzj/a0Xhmrn0akbLwg1ye3x9xC5EKsje6eVw+0X+DPfTGzR81kuzugQqx9xuyqBnnan6Pwcx SDdbCFt0b46V7l0BsAsVVbZ30+Rpqu1n8P3pGsoPKfRhkxWW2122Gkj2MOO9p7GAF+lGO/FAi6Li tcl0KRBBFpJeOvzAN37udFpjvHMiKRiIDC1uAj5D42QOq007PkQqXfGzp+jjK9wG7spxUb3NysB3 Sj3v6eCDDlPiSVb37z7+o73i6g+Rw+hLy/NPsJHYCyzaReW2ZIj+RpDJKpfQdPX0f9CaLloiGfWC m3P3QqoQQ3GcNqhc/Vagd0kaQqcgGEoqLLJFUwyMWy+lbzCmy7b22TjU5FelSbgPyFDH6euTted9 na8GWUOHvdfofxITYfOW3J3jdvCsyeNiDAY0tHZDTseQGLIlImALdKWIFfuDNAaHYYfh8wX3Cocf xcbyVLHyXu1FPO1ypI2EZO040NUbKBgfV/owT2iH3AbvEOw9HSCYIFy+mqqxcw6qsUPPith0GdCq ofSnL+RIfkCjcynlnURi42EiPj2r0uJqPvqtowdzwcR20WXHFwVZn4gtx3lRCxSaLba+9AuecQ7U WO8c7U5/ZCWTWU4M6b6+cidu9CTWJymzjI2/8O4oVC+oVV9tepYZPFph3HVbVXxRPiOtnZmofQwI lnu+63NrROssUhd2yQshmJzkt/4OaCadjcD8ioePUpIZ00blfTR3rJqusOlJH+pZ1jLkTKgftuu2 uIiXErhE+aN7EymmzXJWCUkzN3sH1qwo7N8LbRWKaJjVxYRgCF6PxWDP7kKMML9UsHvlfMSLcKdG pe86gdWeKDx7RngGMQ9CISAOuopzquRomOWzDOkC0jOQCntaaPVP79TexMZFySfRX3bHH5KIHzty CgRlnbAbi3QqBJq3lRVeDhYJtowdB3dvUzPdiZ6ciJusliuVyv3eRLAx+BuMLAMb2jyA/wTfBjFD D6WagJUVBS18uGeUnDO3zKusung0HoLbBqzUrY4Goi5CRUbWPiV9/BJR4QPr7yylLMU0st7h9iHg J1nZShgTxSrvLqgP6eSXAYczqxRAu+I1jQhcEEGXuH/VVtnIXmd5sa6EREf4+zTXnP/+TH4uVE2/ Npfe0a2kB3fzZdHqJucIZ7Nl8EGn8vQ2EzsWHEjnlOHR8SnbeOTky4r7ceJihXY2cXF/zZHUJSIe 20VukiVT1XmjJqBwnj/4FG6e/vb2CABNnKr0397KD2VcOPx7lbMnwBg6rzXjJAbI8qQ83LsSq6X0 Tu0Ge6HhSk+7S1inWwYXmIrp963neGeGANEpHop4iW4PBYCtaKaJy7UJeIs/mO1XW+36m8z7HHtL aZ+XL7ALjWICmGVkVsrjtMSZKjjCDtUTkkeWMOTpOIHVMNHyMj18MtTurDJkbXyT9WUZ+HuKYHTY RIuMyuIl5fkhIC7zpLbEJWa72cjRW0iV25Vh9KC+wPUEzAk8X1hL1afAnXZDvXIy5HkwnbdV4/H2 bdMtj5fmrT3l6R8xwqCYNM0s31HWgldOs9f3B9QPCM4oSHxVJEV2iDIJwE7RlyEVBdFmONeHawyP X0jtG3sfMkrXIu+MmDG7qs6F3RvBhpmooNZZL3EIClo1hGC093JX1K05DpM1dY7tvSfJ8LA0kQOO heS3l3mXb6RtcpYu0gMNmCWJ/UmFpkN15r4WHd9v/N02CdMj4p8/iT50HaUy/AhdbHJKlGUEAO/v c29zoWaHMYUrSc6dBctCkFJkoQM1P3YJOwuLi5CEO7XwAsVJVTDuLVJrgb8+QuvjuDImnzwW3mTD 0pI43EnnZREp14NSo78V4wc14t8phUVT9CZve4ZmYByEkVTutwN7jdxeTai5Mn2jaCh4ceaH8zuk juH26Lzeb5mFA9+V4hPC4az1/9oeBmx3RU2kfnI1KHYEnQJjGp8qyottlfkCfe+W2JnuEqNMj0tQ GzWq4NnxWNnCsK9rKzwTPEpNG5xHev/tFb/K3vHIno1uptgO3UIWXR5IW64zQTKrBAbA0okHnyxp 6ZM8RqAvlCTV4rGE6FHXI2priDC3Ru+HTFVfTNpPPMmGCu+wzAJ3/hhCYWPtGWh4Z+/XalhjPd+7 5jinCW526XxJXrnpduJEYPkPazYMMg5w/nW2pMLN98eUthXCL29ODUWrMUa4No1tTHAUFONnLBpC ztJSyTky47zfu3GfY1aLhjy+Fgi/SPSkCiQUl8BMFljpsoFizDMJsof4j4b5fT7gp86Ou63kkmwU enux/McDRucQ4ioGIiMkHajW/XfRViB9xATLr5+Ry4CEd67l3DK/oLtBNbMXieJBkoliwKAcP3P7 /zgK3Om0Ga0goqlktLHnuaDwm/JLk1qMIyfz0rlhy33PPj2eRNujrHfvsAsXfDwqHucS5/ncR8XA psxaGVCHNEJFqExpjKJNCza6yYDEjh2qdBoNoWbIRB0MjMzgXaEE/mcZRPEFSLVwT1t2xmTnCWCB k4/MH9jn2210YG8DMexxrXjWi5nsJZVDwTEsmpSbY9J/1OmQaOaASJeIk8hGf159QmgbnTA1VpQ5 xkWQI3fw0RtPy4EMq+fd8R0ejShhfxK442IDRMydLrMITmLr1y28Tyf3U6/Pwu5v+sx/bKp4ex27 lW+TH9DdoLN1e39evKcQNGrk7i+8dzebMZ4WNbxmuknWvo5R7JIqLAXNzrRr4HYvmolhRlHEUAYf k2miRFLzz8Qaaw4r68BPBmcLn7ZHGZRgWs+24lmo0NaX9CwfvfMPzjkVZ2fv8o9y2CbA+F8yZ7V1 tsnePLBDUFhFUwVxZ/Fwt5OD6F2UUNO9+Ab2R6f6yRVGb5WSnRj5+Y5CoZSYAAXuo5Pc2TJ5cKmS 5vulIeaq1fnb7zLgsQzo/9A0uEL7S2+xuboiKDlmD+fZBbUAt9zDfqhUsKC38yhji4jLbaZkFrMj GQO9INyba2QDU6NSZLQe0QbYYV2351z0NT2Bv5na7rg//yYHk9y6/MPP/4g7bB7JNEOnISyrXC0v JSX7ycQ8KOaGNug9S0W8w5bKSLvFHSd+SvyQXsbrvffLqr+KK1HxLlDx5Cw4U+dxWyUYEYzX7MBf u9UlEzdwVdOnPoO//eAhQ78ngY3Jk5mCy+09jJM+WWWnAD16xQpYBbZKIrPNYmA9QsWdQoYUClGh tY2FggvR4dMe31dQMTrHz5sgr0+RWmCJWETS+E/xbIQ9GDxjSinv2DYceR47L5Y3QczZxmNHZjff aHxxlc9xa0ifxJdWZbuYrVtSLuWp07EF1+UxTOXkvyqXAs3nDwcE1PtQ7bvRH1cGDAbIRs00dOE/ Xh1M2hG6u7i0vpOtyzjvpzpV/0xFfuy2Zq7L2rXjBKBzEcsUh/fMOKChuBm/p+x4jYH/4itBldOK tCcxVV23y4Dx2IweWZEquKCvUJ2A2asTxPU1arslWemFxOU9JuLXM1uhvyAnq+WLUR0HejQc/Ko5 GX+5R4pkXdYzk3rPVMA5ifH4oHgvdtxijGZWlRhoI8F0SX5QccSfyTnveADFg+ITk58+3gzWnftT KILA4MEQ7qCUxAjXsfzdHNz7dnL05d0jbO9ONuNLheFdoavPmR5jsHlDsY4MrdqxKe9Ww9CypUD2 Mbb5vMwUrJTELzfwQYmYFyRBWcKYG8e5KOMM/aGpKb8+vdt/qDdNuu2k0gI2oDMHo/7PJGADvIxI grMgZuDZAdYP8FS16/MCAIzZFSO7/DUNRu6KupC4C32W7VPaMt3qfzcizlPIWkJfewr2wozQYJsd 2qo0DMeuaUSsRHL8nxon3WgDIwIkvNOdVxMyCRezz29DV2l+ALv0asMviuCc+wfYThT/2Ht0P38K m2OJ6y2/qjTiXW3HnIlxJEc7+t2G4WCA8mTFrYrvyKVAgQ/qw91cmPNUb54ihV0aAnw4ujgzpKi6 8/ZU/I2ZPS3f3GEFaJvLyNDX7aT8IcX6WS1KJgFNeXG9bbvwe61i+PExvpy8O5OyvTKZky3HMd+M DmARTwWKDUn7G2Asegx50yv4qxxfDJKKWe9T6ODgPiPhF05YRZKODGqd81szEPcecQF9U3OS3yr9 3ll8TDxVXDzzp0jDmttB614CCS/Nf7yhYOtFrP/svRIE6jxLyF6iGbsmS4D03BqqEMVcX1p1Pn6J 9WGGUfFErjGuIwwC7vUTnVgQScEWPcrQH8wbAU8PMwXFKNbAWimE3qtSQGR+R4F/1mDI8PnNb8X0 V9pP9XwSnFFQITlyrg4R2fvP7ZckHjU3oPq1SVuIULaG1a+P7casCR2+L/e4UWSL+wV9TS0ixyp/ HDERJs4lZKi2WrfZK+Ohiy98C+Elz/IOifbvlccqjgSrzDEnDQ3RvMyjzrJz/rzY35R+jiCfiRvG 6eknRIJyZ7LwaiY+iHCNyuoDjxz1pucbRUfaPuolGdS5NEgZrI0BBwvnqF7ka4j9XTWnY4shYUC7 N0GxlapAg2fIC4+zjdoC4UMIYUTAxHmwALmSZqcWcgcPIURR9BAQyCZk1ptsXD44nBsbD1rK//N7 gOEDapdXlYe1oi03HEwagn1X7Sk7yO3sbYgRdub3c+hcPW0WDpTt1aMhi364hNMqy2h4dULiJcc8 16SuxF/xQBlpWNbdjbQegjAaJ2xZ1559E1GRP5J9ajPRgi/cr13lmlsGLQJJ4XHHHjyOHuDJE84o sSFaqzfZYhSONOVosWXg0uOUdzXWtKvUrlOr7qRH8uifoIc+XGgN1gsdkxY9bZIfE2OJiWgXFBOx N0/2kUvzdn1rZXjeyyYYCUyF4zEr/c23oNyexNd6bj+cY4q0fEfwzevScghmfYAtE0yXOm7ao/KF /Rj+NOo38nImfnlE2CDH8DV5OdWunGYoc/5Y130/iQ8kTMCl3712lENpg8XKBKUKj3TZ/7BQgGJ4 A90k4RYl9bkfp1VQJEu/654aU9BBwNkjgIQPbTpZLGEPhGsDgip54w+8Q89jaD8euqc/MU4z5aME +nF3DfyFEZ+4+3KyNTP1EcPVmN6/s3b8MjZH2aPpHp/3phQI07wtrXbv4JT+Dsg8NZNW5Wwrq06B ZGrV4uZN/9Uz5qKFR3j1iCZ3EphnOvKO0CbuUXuChOFWqpCKlr0XvvERBdlhxwdJdygW5twQgIPl jbr7i9cqBXZpKIg7sllD+JJzB7zphqIM8yTDlLQdiQDUjTR/12F1KSXDyFPD4a3gTJV7gYUJ6jPD xJDf7FYJshcmmYtzxaMf/KxIuhEJNeOLfm3HGCX5u8MxXdBDFlH0SUkmcmpVd9giydUnXxuiuG9B Var2uiw2xHh5v2MS5EqApb406bxR3PTRJ/cwadT+JBl5qgqWYsz8YqBnf5bR/dWIwecZr+KO6Znw WRYCBZ0sb23AT8v7y+5hNRr4FUDC+ljmkNV62pmY7lCMf4i00RxAXfzrXL2Q1AjrCu+tqlDHs2me KQLX6mUSpzqKxqcpGRFqtRk4URptiMIiGEIM4q9zrac5nnAy1A0q0BEAeS+xLYRsVwflpICmCeGW BTAk/OJfjDpoWYAot6XoHJqrVJVu1Du2cnYIrWTa9CbTtjb6lqeZUH2aHzmzAMEItHhsjXvHP3j9 3fQxKycBUt2AhtfwS1hYAArUJQ4J2kimfl493eAvU9D3WlD/UgLJgCR3JYN7/P2ZhF2BvGOoYZT/ YFe0ph0ngj1u0J99Pz5UjA+8KEzqepq1/6jpVYk9EHlZvrZxG4nRwoiOMhlPUKa4x0Qc5R5eKgUp dcx5hdPcbmCLAlXj+SU4jfgR4V9segpUiRl+TrLQNk2WfqyUu53UuXBUMmbV9jM1tHH7PDCC7iUT vjo1CNELQ4EcSPJwNwxHVXmlH/oiM3o3UER36M3ISfizohrylwTCjJJ6Ja8JY7YDQ1HCfdxGpEG9 v9OapQw72A7RwuSdmVShLNZsoHTts/ScHodNzD2IsJJqeWtpK4i7oq7I1iT2rIEIrczhTawI6Enr H/gLMZu6LGyNF+/YUAfMPqmc12Gxm9/3aSot7ZKkBkWEErcnB8uEusBDENiOVEAxvPVSKgF8zeJR ye6qBIaSwHjLhBnfhnU1SEV9cD3yBc03Pf3cHzXLnnq+28k66IpmfBUDm194nlOunSk1gfEcFaPW uMMbdeElF9I3hkPa+2y+StGZTcSPoNaEXvxTS0NSQNmKBQDRsa21+1JxVjbAFxN/cEXL6ZLxpUkc 6LK3H3z7BXSa69lWyAzqk9x/GtdKBHflJtzPl8AXSMhJAicD88COWBaeA9xFIIlOY2Ov6yoSaoC7 MAHriwlzW5QrF2AlNOYtObE2zwuAx7CBz9r6Aux/7LqJQbzDvm6b33rIIvxbvBV6k4Hp+4toMQkm 7aL2qulTDpJuT5AO6fAYhAUsSMlQTQcPmDUCvLk+nCOvN9Ejt5hU5JZwJPeNx7TEC1shSBmdXvOs 8/BQsNRUcCJOpUrAH3J/rfzEwaol7wgzog1MPpKkcsDAqHDPrg++xOPD/kMfra5upfkdRqYTCHIP CauBlsl0l2UXTxiUEK90h4yr4Uk5tjoHjoYpgdvgnmTlS+efs5Wp/yG8zeZ1NvmiR/iv639mEfjF MxdLF2GZhu1u6WAfXVsmuZWmHQz+NFohJD9jR0OhPy2OomSWjETC7ulOmYYwMisWNOUb2FZOzaJ+ ZTfLwAs7ZM6ScrPT2JJ5bzVnM0cXyBh+JaG/iIFPV2GgDQzYqPtCp/PYvCffJCCfJZBrf5RKWIKK dSdLzbI1E34NXarMvsIcM5Ixs74Ot6uR3S9tSqyK45cutoGGOJbL3xFZ/30Yuz4sdc5Ea40fpkCB enejdzgLLVy3bp1oRypqqSHMJu2Q7zpfNG1if4omCjT7w+KG5B60NAahvTpi7mZfKcCSKcV+4tKd 30IgP1jgE6CbWkxkgO9FsIB2l3cMHBFBwX3xsrRa+NxIZFnUOeuVDDCn7XZ+2F1W+81ySRrLd/5h LxfM/Wm+O43HCnZoSlDBhK7Ulol1SPEBjJF3c2NFVW0d98dAbl2cT7ealo2z+6kTAfdh17wB+xUv LULr9yEgl8yRNGks7rbH5qoOABQrSdELHTkIou3+p0q62155xGAMdbYdWbObFY2IJ/5sd1Q5Uhsr p5lPAhHgsQauCD61OYqicCwdYgmU5fsN/iSlTHENusK111WcADxVEcH61hporRf3qSjOSyqUhkjv aGcVCxkfwH0UGgfKIvVGiJi3Wplh37ZhNHGZtBbSFT2FdYML0971wEWnZjwZat7+H9ShaWjTRU8m kbk3A5sAWhXihGlpA23VDlsTd2dimITC93enHIxPlWVrX0tw8mlo9Dv5zL/IvjN4P6/B911Rh9Oq YQd36gdllgmyNi7Q10RwWcFI8XtTi4lBkIUNnBMIIOCZSIVzbcbsUgY9Pm33zY2xwu36TZ2IjxRU e2Fg6yQlyasurgDx9mDjT7tGlLPfw/cpPDKOtJOwDFVn3VTC1NzMAR3Ynx0qlyF0ZFi0WFE1Y0cH 4wLfPDfvKMGe3sxamc4jBlQrwqj8AGGKt90GkNMmdG8J3gEKhaxDFWxDeTb4rY9WG/cx+Se7/ghq Zj3NmpKAb9I8CkWP0bUAmxhQoy7R+hxFBX5VXsZ8EgU+cPdPI1EdPonaeC7powEDqr5eC2XgdjAQ gdLNrRrGS3E2vABVQbh/VgE63q6/KVk/SSsr0wK/xeEksJ5Cxt2HPVQqkgPSyvug4rjZxKPUS8XG GcQIFSDU1b5Wv2cCSIu83DHu3//ZDxomxKBbI2yRoN6nOqFNK85AmirHKbncwVm+pJfW2Cb51OOw QOzJaFoQBIq7+h3l4fxqpcJ/fPySvm8cMcYMWRO99jAb///CH1pl+OSSvUC+6oNKzL29p6TidTZK 084YhxYGCmZavJRrtWNe2oAouqnVhW4fnywEg5jWfzR4GzwZpxCUx/2lkgMHCJ4W+oOE+4qelZ2q +Y7Zac0bY5j/IQUCNwMX2ryTuC/zFKayR/6HHLiwgCdAu01/UAnwIfRg9Y+AVe8i7CqFJipGwW2J dgWOgjvv5YtBPh7i/IfvGA7wQvD/TZbuZBdVNk/6zH510zLkZdoMYcRMMKyiWxOFMbKkULsMNyaz Il63zFHr87Ogw4iTyVtF62rc0KssH3HUOyf9x5AksRySANJxOVsUmaF7xEXHV6wZuHv/g+8Xb1Ql r5k7qT6buF45cELS1B0vntWLQfbGRArvrwos3gVr/FXeuUxYbXMlCOdx62gfzRiSv2V0U2Hwl1R3 dwa9oZSUiwWnApBnWhe3SPtJULh5iCT2pW+934vTILqddyx/5yiQfTYATvfxqFDViDUO8mBxtVjD dMd8uPY8LMlV9To1DcSE7k24qnt+qpSSGYf9vjHOk2CHf3+LU8yhcfqCPvQumCeZH8XvUM7ZllS/ BPwYlpi4zm4rLRhMoI932KTf4swB4xP4rYS6EYcGZNTu2IccCmjGHYJwh/AIHmP3vYB0+nI2dtx7 Ojqiu7ZtpQMWMRv9S5M/X8YtUSMs0hXABbomjx8dVG09LbkqG3gj8gWc+57e3dUMzeWy3sbv4nui y3MkmT/ejZwOSvOCGF9n2y7JWPK0+/NClq3rbCMbhQ46DRyY98TUUmyzpYIQrHAUBw7Z0NA4Ld7S C7HlERpCxFeedpuygTWt5az8TFx1Sf1du6YUHwSbGp1IPC+i+vZDt3+7h2iZ7oRqXiDwl6v6u/R3 ZN2o0WRikBjSHNDHiL/iH8Aazlz7UTWtTTWZtUI6uM+nlJ2Hp3JGAd33wp8unrTme215P5VGuhZo zdzDiz27e0RZEYnyFYs/S0LCYVX8BioqL4vF34xh3k1+0lpm5zyzG4GcDXcmZNQNvRCNm9DWCTnj o4NKsYoOKNfRr+GHw03PB74VjzXVmYdOskLlgBqtUUF7rr7EiDLISp3vvKXpxi1WzdvCM7qSPYBi H3W9jBqbe2W4E8jf7E1Nz2ued6QdDfQNjMMwZE7BzCK39HjVGojvsHGriGHAAExGvwtc39MXPV4n bTZlX3kOZs3o3MCOcjXYMoKCrzGRXoFvx2IMmA/aZokfB/99XDMRsA2aoBDZfA4Go3y267Y6MFHV m/UlmKhC6mLa06E5fD8DEs4d7N2sFdK9zB4hOgZA90ibZ2lhsN62WPadVjlOBR32hvDmZwyfufbR YEN9LG5vE0en3RUwKmn2+OdGkNhdCcVroEpho7xiGVhoKenJT+lvKBGmLnaBTwBLaFGXvJEo0D7z LIuzBZgAdx6J7k3qQf6Wr+RtKdL4Gk1a46NWdIG6H5hdeNSVmBl2b/xoP5Xxr7L0H/6mfF/iaN0W PcyfzL94kkJrjAyUByv8h+4Tk6uMXOFbOjN735va81+WjTXTP5yJ7sMVgvawVQ0AfHxfEWftxq6U 8Dyi91D7dCqzlFRVv45xbXOU7kVNVo9hiX1a1LM+Rr9B+QgMNNe3n7TA/B+5hfeFRapcwEB5ShQD yRAzxTlXsj7EZ5fcvZHQ6i+r/ZFtCKc7VN7N5RoHvaY/DK5s9+rtKuMvmPYidrQkH6dJlOMp9K7C raCLp8jauvOdnj2asZiEm6/a0C6Sq9ryywZnhrsotOhJ2ZqhU4lfidvN8m3jkVl0s+M41HYNx2a8 5VsWlE7czpoFOHRKMWhdb2l9F3DKIR4NVUvC6VhXF1Ei9SvfY67Vnqf1h6uyPsVOPAPdH1X19924 vRli8fNLpYFJfiu0IuAe5/UKLFscQb7crvkGXTnDcwnIzkZ6g4SFIl5GpOM/gouY/DllWpkH2la3 9JTZGqm4uGLLeDR2h2FrX4PrjqD8+fCXyUXW1U7oYqMdbZWA6IUB4Yvr39/SEgyoygnQO8D+cSeT v7in1Oz+vRo3Y41p0t27kQV1/JhDUZbsfb1ToCYkS/oCIPm7yQqcohPjTg8dT2AM+/OCTQSu9h5T NR7lXNjwnnq6/MxFI6d1/+sf+BzoeGvXysjlpTk7S+XlyHXFacEwJZkdBDk6WYvXwn6EekhMqYKW zaH55jOmCgwoVjtwJphNy+8lqMjUZtbdJgVvNzbFGzRctPjm2jJVHJeI35xeNhANACcbfOW02xlb aEc5Q6zl4+Qt44RnWPlgIBFVIleU3GnFxwmgSvKqkJ4eEB0QrusBvk8HZPWxG8egUu9XoAiFBTT2 to7eOh+VI6YyynML/+ZrCEH0FytKZPCeYc6cUzWcjmZpIuGg98Y+j0/+iexHqeNnokRf7zE/3vNS /j64+IzZ7kWL0662/zqOGa/g2aLRNhsvXBKNPPh+O9b3KJScGBFt38pCXth2f1rzCrM1MJ4gpGUs ZOguEO/yI13eorfsmFwta8isq6W9g1FHbPuBOlmDnfb6mJWr3+HW4/W+us7FB9mXAnOw15p8tf46 VAxQpmNRa/dV9grIQG69J2UEPFVLIJegXOPsHcaZaUsIQNXrtumXekITDuW0Y5x5L+na1UXSpf7H ESW8TLiFEgeIuJJ92mK3quwUgMceLvdBy/lWnd3fqzvPtk7w+bNWKI5jVTsz6LNmzVgWZqTruT2x dujsKWnTIyro83DxbtmWuk7NHJkNoSf0J+JKCX7uzxbgOGSJXw6zuCapYaOvNR5JddyXptVGZNGx wHt5PNfAuDlXPk0OIRc3HQNETCmBbteDhHr0z2iI4zFz4gIe5OJvg2/6gaQOzUExKCFBrBSKjC/E UVQAJiwz4hhQqBujHP4IkIv/ZP4djKYQgfKI7yMhYV1LVAeJcTKqx4q+zCgaP3qxw0YN8WO1DyKQ slbwGWnRM6BCa6E0ZNY1s47gpzcmsf1bXbvxFLnIFGXKBHFDZsRzTdyxgDgF/wPbdnpbQIBDkwUl xrZn2vD7FRE/qZYhPY90urQKL3BzUiOVdWJkO4A04jw9qlwVclq3o5dQ8pkXvxSk9FBl+DM/DksL 7tfwYUZY0dSP/oaNTT+PA0LMmZuahuX4AeI4Nq0D8aRIa+ZzkqmqTqDp/utoE6PxvWLWpUwrGh7W YRFG+zSOfz3rxUQBCBAjUkS2hlH7xNt5qEZff7p58ZcO1gyvOakuhrNiF75CbHe7wqFpmqAhuxBv 7geZWvj5FLySyo6N90VSSrgPeyCsHvBkd21W2FgkoKO8nDV108ZwhtiUxmb6K72zyFvI5KOm2Ys8 rNAPKDeqAUE2FutoK+sjLuiVvauL9jGxnmLdQkC9s4Q7F45YUqOz681ybT3tKw0NsN7Ld3UdQY/b IisTowOuSTcWeIWPuvlaWN1KtewlSeIqV6MHj5MtMH6bV81prvKYQSZvXJQ+ivthldww955+tAMR ilUdrmHYLL4xjhQiCGT9qmoq+SyXSy1ppn+QaP5Itg05ssw9Rvi5VHd+6TBdAEGlf5F8BiUQwUhc LwgctrQfL2XR0ikUk4crGHtrya+wwh6ScvPo9H17YZEACf6gWJgGIXAqM/I8KfToIMXQZa4VsEQS pXTCyRLfEBcRiUC4jX9sHCAxyPvd9pps1Bt1UEBOGxD2LfksbVzduYqVzia05htPk95yVJjuNGLO 7Y5Y4A8BEZhJFQREq7y5HVDmUkBSikE887dTkQVbWlIwpITyf//Euex6UpgXX00rJvcR/rgOOIvj X6oRBC2Jcrw9c9kxUPkCh3dM221+kKlRnUys+sObR/yWoqbIZyy481+Qz65Bnkvc+Ys4Cq62pTp4 YUROl4LnNl47OVgztQ3WHR9l9fpLRB1DYJECDvYjOX43FYv7gOwQnaqnq7cphTTTFd+nOdLeJsxF ygYBzN+FtVoGPDpX7ONTD7pd5vhm116DaHxFRsQyO5Ys3x6EDZaGsh7uOE4M5fGd2W4z6vHC82Dg rp00TbmupHr6DCuXrnIJvRHwrftUPFGYGoJyWhL8bdgljEHGkHAhwj9L4UgtzmTOuSU+MnkxfifF nnJyDlRy8D5dtHXSldfJklgGqwb0HDz/EIuNsWHIbsnn6CYi9frnf+Pob02Cv/hVYz3ulGL+zQpz SN0bvEMP/Rmj2Kh2LzUY5xIOryqbgtWw3cRVslSvYk8IFXoMsrrdHk2sHrN48CK4dwPFJOwYSrJo 5NPymt5f1XPbnv+jCJr64Fg0yBDD8G10S2XbTiV40H1G5RrJHrMj28ATLTs84ZRUK7MozzySyWgZ Oe/WQb9vf1USubej96cACpEFTFnljQCniKDs+BRQAydfGh1ofwtBE2MH+yAdqn3Tv7+SEKvAN3+w 47fKO1iHscRCmSDpF4zxf8HLOq5FrcxriYOS2NBESjZp4K8sSRksmZp3bW4hND/JLjVJOkgyOU6l MN/1bMzYgjKJuYLb956S0U33nMIw8mhgQ8fPYelc40VmaadtlWkTsti2xjaNKiQlhoMWdnN4HfD/ PK0tHKYO9hVZueHISqeSaKr7mHya6WR0KCQgWiJ9g85QTxnT8n0HiI/ETTKo1XDhgSZywaxLxLOD NmGl6Y5X4/a47b6P+ysgpnqZvs9BhBof2092Tde1dkBO6S65dgRAaoUl1cjqFylLw/4nSlsmBQ3Q WxZ0Z3HMDcpw4D7U6bWJlm7GLz3TINKoX0zKOf55VxAkkspo2z9ZK/2o/h6uLXm5INZnyq4c+GwI oYf7PRPo4DsIOSkSm7H+AWvYoGImj9N+sNRWO91uZpuXBg3aKLJ3yS3dpwrPCVP7mal8/1oKyaAu QbizpYxkALy5WKuZIGrl9w0scmWQxVxv81gMUVrsAlmF43m2BXiZynYsxYq+IL6Js+yBsTjc6o0m /Q4tPcs1064NyS7SuC/tYodPbo6nCxgKD9qU93s6wnY3JJNzNLzDsuHmRZH+curas2qT1raLFtrI xyFK9vbbgG2FZNL7KzXk6a5BztQSykNOEHzA9No8xd8G8KUFuBmSmQz8Xn/nPwVnh6WRWBb3PUqG MBjPUWNzfNDNdru+IXp3YiErNXiKUxOzn5hFM6ch6DSEy98OWhkRqKpll9ubPbnQPJJd9/VJ/Phk enYHdEiQQG3ZBIqbXF7rCx1nw55HDM3mc/PVFMV5wAvO77tvcjbkBSN1mGy6oQJFMZ1BR7yEYPDI 3zSHWdW2MHh/J3PkZ67wtTFK4qIFrciVZwpc0j87c8kNlevO5uiiZnNJ6/Jk7d2z66Z98MmoSEJ6 RjEI4ljDcbO82yXuRlUGdvcY0CaoMi85i8MkzmnhsPfhzuVgAPfGYhRZRyG/haOw77lxyDDhx3uB N/V0oZu3F5HsYpsjN/7VUy4a/yVk8MAoCQU9ncpO+wFyOBsi0pAtPOszE0AH/EZHjTdA7RKiI0OH YfdFUAq8kkOOOoxgd2ujAIOlctcF2NCD68zzOl0BsZrepoFqCj4yq1B6UitYgqbwc3HS8i6eth7w S+Pd3dXgKh61HReEmoZCvp44S9cwNbLvATCeuzabU19NhaSUM6TeYbM+8jAuggyT9ImNxZ5qtiF2 7p9xNK551TSOQ5VdJIMoXtRWfyUvq9+07x0fC8vwHmeavHPF2Q1uC1Ia1Gd93eJ+mIW2J9yCB6vJ YtPxf1g3VqbWVyncVcYtFwQABjVHI2H39aRigUwUXAWcXD/qKrKXXiSzBbgifmV3C3U7NRK4vhVr WGQlKaP5M21o0H1X5f/wRE20sU1dduXQg9Bn/Nb7oKjL/TXrNsFGpeuJj2tHqVB+N6IS+8WaQy07 5XRxDN2cR/3yeiuV7syBIaDQvtCbIIByenADkZRmHJ9lpbuVRWRJ4Vk7ePPNtTw0Zse9k13V/MdP kby/+k1kYzSe7pjJz4nCfOaVdxVh9b9Gi+OI3EhxSyMN2ae61bOkJO8CUzo6MYDx3qWwqvK3LOsW Z0m463xseDshFKbF+NEsrvsKQAVad3A2Gl0cxrRzfYnI5LoVKvUZz0B8+HnVxwn1FlpMkrqkf8qi 6TN6syOWSxXB6967zHLz8nUhCwuZi4Oea7W3F+JyJogGCDbgPOEcHRqhcDJZCqY6ZXP+fH2TuYs/ EBzsWiMbAvja21kTwTRe7wqPOr2L4i3XmDQRg9wnq/w473STM0Iq9p2uj53FZAitcHAh0c7J513Q bBy/XK8yWi5LUXFsySWylozUCLUGKJwncRFSlTvlwOeXC70SDOM+fKFow21fp+1Ax+l+n13ZqDSa FN2rkvFntR6TJL0EER2mMeaBg3q9rUU/aeJXAwsmU28WQatLgDgXTw80NBiYd/JOD5b823CQTIiX FryLkpkvM+WK3MLPI0wmjl+hn9d+z4PWpagWuRYT/3U+Bk0HryOtN6ifxMaVZwrGfEEZEa/f+92q 34sbz2guHK+9yqQiQex/9ozk625VJ4uczUXiSM3cjvZ4YWthmgA57FeR8PMlmFZiuWj2dCb9YevX rBUGarZxmcXmzLwjJ86cuABUBaoysU1TdjDAdLzlcP6XkbgvardEef0JiiTd+0X7tbWZDZAYL+57 70b9Oenn34XUXnXc3IC7EeMrWSQdJe5/KGYKRCfZgRtKpCHs13o+E4I6Hh7U2gShYcF8zJdcBmZV +eka4VFC288t8QNNpV6JLlM7d5EaXlw6pxM/SCHvW+f1tS1HU5j7jJzO+aLvRxvlp6JnoRvOqNzE 17PDC4vdhNBfSn2qbt3utTurpy8/M9FpSsPy0KVYsxOMmIROlB6jrHQknwrbYlAZqQJoZWQSPXRC d1SU7HBSeZUgK8/7sFFKDLykWSQdrn7jjPwhCk8fa2EubwOE5Jyd00N3KXT9nC3k4lGRVVwqAXc8 7BUFW2qFxvvb1/z1ot7JJYyfILjO4CT43kwA47FlsVUztGdr5dMnBh08MUFXQZ/KFTgyXig8dUd9 IhT0z5jYtNUYQZDaVWyUNs29hLbkjZBZ3Ad1pvu6b0jJ+/pq85GDCm4NAq8qAbBFmVeuAumDTnON UWj3Xu5WVVTWPaEHLqHAHJ4qJZFXhfy/wyE6EZCzXf0N7VW2bafL1N0IMOAP3npCgUbouyhtDT1M KpTvKM52jdhemuzy2nhh+zZMmz6/QBxLPgx2p73q9stCpjaS7Qw+YzL+ENvNZ2/+SE5qiC/LZQi4 V+rO+nX10emg72brlr2h62CY9it0HEy4MQSOlj65AsvKuQexg0NN60z1Ed94UeanKkUZ/mkstq1s Ca1qFBIYecGWM2RChuMD7NpU6Wj5oP6Nk3rjuByNqol5wTjC1vmoVzWSykQ/2U6R1Pxqk4g59OHa wg2Di6b+5vrRlxAqhX1FnWDTMeUDHYmlc0TlW1gkqlw7pgbvlPtD+YGO077DF1fdL/2adusK/ozd tOFWmKf9GIOKFTvEZ6IeGDRPvTfmoSK2UFnZ/A7pbmaQGYbhq5wsKkHJfXq+4PZrN+vRfbAQ01nB SQaIYMTS/66HgzNS/rgZtCg81rt6R4JDAlW2hRPW1SEjcnQuZSeAP8lDdUzydytOWYKrjrT5A0ef uOwPeQ32AtIdLXOSDjuphuU0KyxMavXYgUdQiXv6TD15PKFv2LOaj3QBJLswAOfpuH3tHkNuL7ea WeWDY2NbVoIW0jDziRbaGMVjTJxfYxF/WxnokSKFJfwtYHBk2QRZsHusUCS6ulL/cxE3zrPPWnID YH020EeJsGrh+Tvwyyd7umF9iGKYQ4ZACzidtdiLejYjIoR5yco1V28R4rFRJyR6D/q3hWRHpMwb KB8YCMSIqA+cVhsCl0wYQVb2dSPHkQ8Aov+Hve1NOBG1w7dgqLex99Y8h2E73cAtcOIa9emEA5SJ QI2iC/ETNhu1/TggKUZW442iDdGGJXkIOnsfS2WvioIFOse6lxScrB/PXal9fIJvRA3OWDx1k8q7 Y4bB6s06SKDROQ3Sk0pqobvD3YZpVYeWqV8VwYF0Z0sdPIG+yssou3DZaV+n2Kald99dRvLqH9mQ 8WQwU+d42yhkLxpkVtd4MeqfAuhaMBR67lK511wyvzBmAb/36Q0c96xG3rkQI139oVQRSN/iM4M/ mhjNcg/BI/LVbUBO8+8HhjhIcCruJaSVOsTfZkEGzGZOCrxgDctNHa6H3833Hmdm83cuVuWH/H7U hdHBEhQnVEY/WRIs6GqlP3tGbjXBFjA8a1HWWDj8xbmllP5dnywnciB0qHvOti0edemIWVvGjWu8 3UhP9n5G5hOFwnNJbivrzzwOm3EmAsMBKWGjwpDgNvV+QJWimnLUsJAdiwsYLyBecoZ6UcmTTz98 tAfoU9qr49uGZsITItkchEODmVlTIMw40PwMnRKNr0l21gYDxEcotw+d/bOTWp9g2kTZp/8DPa8c JTT7IOCdRz2hVX3VS0op/lr017yK4/fGDFDH3OgO+Ry/Np5VBMZLTPHA6Xm7S/fDBC4u6Unnfjbv qqjyTmCklfIvSmQI/TvRwXQZG4STJhVP2ARKECGQkZ+vA/4wA8hu9dp1BkXYtOh8IAUmsui0JmaT SBpWljiaBKn8sdJKr+SjE4umHUI5SNfNIsXzGceMM9s5mRtOMSqfEY6yT3WMRm/pNVOaISTWSKmS JHS8mZOP1NJKxFLGKkrTSyvErK2ZZ/j1Y+yHw21DJItTKpbsClDr3Z0yP1DH/W/eVVuIcWYuHBAj hs9RD1L0ol85xYbZP1SbeNlTDC5MqtpSLVHDIPiKyaMupV5o0rOUSB12ynIREBYSBX+GdtNmrEal FX8cediXiPxVVkq7YWFdfeXjJDOWcwToorUBDmE/kFl3KGfXw54OuphLQAfxQvQMTjjJeFYLiDYI jr447UogKC/kYow+O64wfqCzHMsFkct+rAKAiswPexyXm6md9ehaxBSG3g3qtYLMkuxVyMW8Tbf4 kOy8yFAeKTQBKVcrFGGAGxiP/PeRyaw3vrOvxd8rtLswvulkfMHNvVISmxZGzVBpNPIajnxSzBsO uMOTtfmrqzCoy/17r66KFVbUPKwY/dz99Bbmk8Zew+dxSV2clZRBc5k3KCkZxhUNe95nXXGSZXGa i/j8Ovqro3Sie3NRip3TvBBNn0yazlrV3bGR+XXnakzVfteiG9xkDihOfL+xX1m+g14zQHb7s28Q XomTBkru1dr9r0p5DLIrxFKcEe0naOUp/PZDpRvfvIst5z8uelCn5MpAYcc/HcWhZZKPr2vLapyk ZXz4n4sXuAfRHG9DDTQwVmoIygQXWayaWXiXR6pkvNeMjXjwTzcN3utDibpEqvTefMjK8chxfz4c qI6WnSAIavrlNmNf/sgtIiRGWs5Y9ksio3PhgvSC8jdT+s37aEoCix1ytCErCLGdRkdV9CWCH1Hi YPA6v7Rvi9qNZWWYgFm/OtZWzKrAvIHiytiNdpTlici5XxwM2qFzcV6wv0uWqMgEsW1j18vBRdbz RyOHTs5bo/o48Juk/HZwlNeoCOYKG4ZbVow3kPabQzEhLPnGPVLh/OUrThtkRWH8TI6Y72DS34iR 6yjvA05kPwbUvgHq/S//D3nCGTsvZsWeevzpYErt6aJq41DBWTyCBW77KUGWYdPeFvVV68CcbTv+ qobkQAbEANyn2ly7uFpZN7K2HEsU7Keslsh5IA8fZyQrv6RNS4EJCPzw9FnvMYiz7A3wdaGla541 FHfC+c/oba60/TYl/sJ7rKV/xuLmRyBshPzj+g9qI15KVC1muSVsJe18f9SFlUd6aqwlzqEyIfZi BW1QH/FnninYDp4tTixXLThR62Z/5U59omSbWVreK6NrBwRjmN7Zu00O3hSHLi91bKFRQ1i8EyLR 3VaPpUmL3RX00eVZ1lYkui0lsolZJMwqpotzbj+PfH2s6e5Sll9PDwy9Z/hkq8IxWBND6mgZRLs3 hZ2J8cU/4OIqce6jxn/bzLFb1adHL1+9m8SaoeiijVXTZDp8wNZnKve4IYtJaRIb2SDUvFuFp/6W IfCY7Xm7VLbD/h0oJ7WOGyb6PPzelMxug/L09fhmdq7Pj+UkRlMkPA1Aq98dYPGylS8EXAU+Dsyi 9D3TYxz1/uRpmhuYBxf5rRqdGSGz8Pm3xzK3pGH3737aaX+8GMrlkPfa0vn02A3W3vKzpa1Xv+nC fB+7Mu//SyZFznTOL1QN6iNX5ydpDYUoicvugmdiY5lflAzNDSmvaJI2Jc2dwEWsZCGEutUkJ2Jh sV/LrCqm2q4FRJ61VcoF6W+TWw5mZyyvWIsM1fggVpJad+tejPmIqe1a5uqud5EyHGio73s/lOpu 4UtYfsf52yDCFVJgWSBiBX21ihoNZA88aCAF7Lil9gk42iBGxOoNU3pM1Vjfem//QSq9nE26sQ8h VwZCcrec6+vStH9pTiC76w+bPz4vhkY/rGJ4LITfz0CvWYDQWvdx0WJPndS2EjM0LEYLXOH4MKBN 4wRUiphgMdLxaiB+oBW40ZeSJGTZNHSCgE7BEPhrTK7O5O9SuxrZhjLza+qt2jZ6lDmExe0z3nPV SkjXvcLyiDm2yySrkwtofI6Jl9KQKd+bCOR9THYoVe6dm6NDCSI7CYd5qlZllrNWrIhe1NwZpaib 11GhymRzbGZwxr48PyU/mHlX50WsAwA4FQSFpK1JK3VNNeeRbyauNcnrWSO52K/a4ZjFyH+/uEdi 37CtD6HAUoSAOeXkHLaKHkt9GB9oeE3eA7itz4x9fdynqzKLmSqLExAf/j5GezQSN/w+lvQxRGnB T/pA9Z2zCwkRkmdT75uWSouGK4aoQlb3RRKbfgxPQ4sSwAN/iFXCIBD3+0zQtKBSLyVvspM0WLdS Nn64MdFD+xVJ8bB5brrefiIzmG/1fn8suYsdu7PwjKYbcHmZQfcv/DpF/1nTUMn8ZVPSVOvUzIvz EyCBGL4p5OMeEsmjNJK6f+Vc5cXCZhBOddG9Czi4KxzN6udsSPo/rn0k2pLF/ATNsKf8Nm/wpJKk wrjohMJ6XqhlmVSmBSNxGY2DicYDOg8E3mrln/meUcRLHYPzr0XgTfNHi5eaM1OhVpYJYy1ONjEn QcJgHEEqHNTAcq8Fat9Wvlx5Gib7HZjFLAnc6uo6dFyVZa4KdNvWnLdiHlIMPaSq5zd61G9/G7xa 99A8dgLUKVTRrGGh8D/7/6wVryynFWukE7vN+Tq5IkkEOUkt3atLx0fJm6IjZV4bEtMA6yxzXya4 f4NwQFFnk2Q/Kkx4bR+Ds/hjBgzSg7eH9tKmvQmX5S6KUSgsHNxky9z4v8teOEiDOcUH3eH24IgL 1lfD4ZxqlGamwQlCdLY/dGnL880j6+jCdLwVNku9HHOiv5AdnmtQhR3hJBU1vFNhPrrpx6ZdwJGB LT4CohRMV7iEBO1daHg1UY1w8SeCFYWnHi1swafOSnhsahhmIb75LmntvK0svtFmUYK4pIm2gTsb ykKm5xPLMVG+RKzpIyS2opUDPFrdLtAeEvDX91u/uUb/VtazI3hCJK3ohMKN7jhxWv9mgowG2NfR WABaHv9lh01TiS7LTFS6VwB+u9O9jJRfJxAXam9Unucm4DtTaQcVh1Z92FfVFCR9j4gy32NRoLon c2+3dOsro7DDhXwFNMDRIMim367Ukc6lrKeuSIc/AWp3J3APnoA3rS06JF5dUdAqtQ7K9yvaVu75 Ag7VA8A5UOrXQaZipozQu2Z22EHOgeTvtOG9h+0uAiEIX90o0DBj6V+CkFzgjA09vOxqe0nzjsZN uVVSf9qyC9hYvWdT0uWv7XRMfYQBHgAZsphDJ1sPWGPp1+FPYwi6nRmedU3E9YANVa4QQKJ4aD61 /lphCSYtHrE4MW7o/rG53l1BH7NOwiM2NPM348oXPRE+mjLS+/uMa14TNGdQ6LyphbqNHbI/JVKc tA2M+MMUjVhj79e/BVQr2j3XZST7HWhB6M0z1mr0ZWPduoiu85XSF0E1CUH06fJhg20x+6NocpHn ZRxg+EoqFS+VovUwOvqPrWEwJh6gSs2dqTrU2n3O4F5sv06ZcDjbv15a0ukbnhBrJGFm8ITCkRrt ALoPKwJZynEoyFpO1I4SWmU5lXRwGWcwP9oNplMFbBVXE2AOPyxAeGiPkyJzittBWKXE47K+tkje H7g7KR60WQ9hnfEu/rD/29YZzx+8sCWH/eLOxnh0x8+bh1jQAnkUYDYRBMscIssgSsYuWZ/rCbJ7 DBoTT9F3H2emzOLM6co/SggWvtAxCl30BsRmhs1XQnVGUagK23dAdrePMdcKzoBXL00jkmK0dOOt AAfmdJT/2vWh01toiUwdzpOmcdGR9d/BLFl612OdV6uDeQciQQANY+ypOdjdQzEVQzbgNh7jJdy3 MRzuvnqu7c+3x88OxrtMt/VmoypHS8jxw7pH31YJG27eedq8fypn84iws9lrJbSOcQ0Zk3548Hrk GN+5pOQkjhhQdIZ30M1EVhvOEBxQkbAc3bFEFka33+V8hdLyrDM5DPd9S+htu3YQ7D2/qWKv8Vlr Xo4ridIvjusAZSPVmOEc5btuBNgk65Bp9/rIHSMxQAdkoAIe7haLbFPEjYSxZ1thWY3FLn1svc5X P7zoSEQJfeVJnTyXoxI0Q2LOtOilEwgKM/5MfhB1CJo1NwVOgjelTtUYXY5W1Z9vYYPrjoJNdc/q ABzMagxAGmMHWZDX0lMhUA3ppKhkEpTaqLORbd+/gtcKnQt9IDAy49glbKX8Fnw3t7SBcj1z5mQG 3Oo9kETQFNLCi6P+aQJbQRo53RA/kFUM+ig0DxWSvkX8NAm7xjrR00F5ST07xK3Q31rBM6FhjZCu OtEDa3annnxine5ZvZYnYAHNzSfKezzhXIHD2950TaeGE1yd5JCNyQReVssk+crQATt8c3HRdz5w TMu7UepBvZeVJzvcN2YtCjpVc6IenVdW8ZvOp/o9/9B5OenV7hZ40iskwn7sezdAuqLkF42/t/DP i2aNl9Q3ZAaRRoCB9oSqCB14MlnhysyB58jNp2pUqCOFGc8Vr4fd+dSczR2DSx7KLeVrlEG2mC3m 4NUmvOF1QeXwzRShvvXQZXnbdx9AQ1RW6MfBhneIczrjCCJBY+JinwCUJW5nIkrtcQmF4sI/rSXd HEPcr7fgSDJAQixC7eGiLwH1AbR2R2ALs97En6k323ibj0kof+ZvIdWh5fsY1319dBpCnQ+vg+4F rjBjEJ3ZDqABPMc0s0iESr6t6DcBR74LiD13yJnP2vP9b6dnKbvdr46EGcDwMqcnFcnCbT4y+RJ1 Upd5UAqxGMpUu/C9fFnYxsmd5+UT+iTBU6dAyaQBABWvxjrcmwVOlvT+7d5TXDwqE3S8m1u4oAjI lRtgy3rfnnMJxZeyRrK0Sg6iv0GnDTz8U7/uVN2drMrFECSHQiMaCTaHwpoVBEV0kzdHIORMo18U T/XzOkzsGkU4grhIFO7w0t2RqdWM1hSSNI6GPWuiLs6SF1J+0gvThHfcl+9yE+CfDYWf6iBImAqK 7lhP+WJpaiPG1c2CnvTPkJkGxnTz99JDGcCXmgioOfonBMO+xPP620hDPnImeIg2ggQ5FALoD/Ko NWFsDDazIpjeZ+1IDo+vV+mqsAcr+nknPWAn1IHb1dZC20cWEOLTVeYrjoU4zgGk35aqz2Tng3rp sdsjcaD17fBF8gmXIsX+xxQ4Z/+84uQddi6Rj4bcFSvAu0zaqZ+6vQGhhEeMS5FPYDqKsyUwDpJ8 mL/uiadFMWVInqX1KBuowADNyTnyU7KPQIddxQhfaG43mtwxufdCiuNRR9eCTyL5I8dWu+PpKe86 W3L9UM5IBlT4pjeXdABfXWZNrvv0d7r/dlPFUqtcUFEelgh6zvh+sLlCKHeRgFLSnPjC9PAtEr+W UiBQOvRG4rafU6gwYxtz1xeEg8pm3oL1Wz3S0b3tiBq4c4koYdTCJDK0gGGPd/Put27f8vRPE4ty FgLB/fY/hZUZOHGaCEuv1ZJJ7BfrwMXIHi0LUOqkAq6w9N7D1o2Jw9r8i9diEsSWvoAndXEEE1Gp Pmc4mszEHzF+WlGzq9JLR7JI9o9mDy6GWwzNfBWJwKGRiY3eQWDMAWHCZLk4rJyP7nOKe0qa67R3 8E/ruCSDoJkQeML+0WMaEzdLyaCgL91FXIH30Lq7+zbfW13FngcEvhDeSc+g10zYivOtBZdjh2G2 GkMYUiRyqxXq9Cp9v2XO8EeQeU5RdH6oQdVawzVdtptONqgdjoAXRTsuWKRX8pbTtdcK6B7F+hQT lhcyGfDuiJuOs11I0UmnO8aPdq8izQJYbYXqgzjbneE8aUPY35mg+fvfd1tFNOtdgu24+X6HWC6A g79V/Y107WYsH2fhb3aEeTxm+USO+ITRJXdgsHyLqyFZBDOrwRMZgbANdzgIJz/Su9rhc0ahjeZE k61E9FZVptoPge0vNtD+XWMKFmvHzeW05omnPDycHvSxAftWl+j/IfJidRJDx26FQq6M2jIEitIE dbq4ngw8Li/aNVCkI/9YcvC8im+1gQuYXM9zjc40XT89RlYKBXUpzeI5uBQ1QXsadCpXK4888k6b 8oIvZfhriMRmhJHxKZErA9XFwCWPV4zQ7a1HjJFQ9m2BFR+Feacze/pXQ9L587apP26NN8zd2zDM RrZeot7ZP8mQ4viFCO4brB2iATeR2sa0weUI9iAtXAvwteoj7mOFTCxBWMxBAgYWLJUtSPbqCJPF cyN3m5oXX02yay9arriLRhu2DNS06yIH/FOn7rN+HvqdxoUdBhgaydBO86mKiJQXg+ouM2TOyarV L5Fx2sdC+2UkniyM+B7ZvTALV0Eem2W9AB0wAbf16+JaBrAss5AHxjKCe3u3dp+4SBUaOHQ3oBwg NE0+nxJPIWhUSd1Xsvq2euV8q80xlR8nOUp9996zKYlfpnVLnD8x4vyFY0wRm7mntEjbAmC3LwnI QkKlaLgf2UT77j5aW9w24LucWzjzY6LayMwFVhZg4lqTN5gUrx/0j70c1YWRixb9xzWNvW4FbEqY 2tuJx4N1PRSNqvT8A211ZDw2CKjWqaRHt/+DxHvFK7qULRS3nc3GGOOTezCE1lzkqqMqwmSekAKT pxou9fzjpYWsBbhmfiGlj/kO4J23ouM139C+GQXiGAZa7Unempjwcm3bi6tP0hJQHb8wTqBHBCwK HLuU2HQk8/IGzcnAEwbugxPhJeFgRGq4kgwSuu6WrKZQUWZxCpVYzZBuBAMHXCmh2vDdKH4xGE1a fcYvHsfrUmBByLH58wLEusJJ81CbSWju4e6bwjkLkq+ADSKrbm/Z4+pOJVyy/lccR0dNH/thtX25 +wv5/YAfACHmrbDE2D9MkZytmBKiXZoe8hnNo8Q7deFY9jqe1zj2/mmm4yYK0/MfIMjDNc4J8zOV T1o7QRxayPvZd7e3XPoZ6Zcy9aeSEoVjSOwkj6Bwztt2M926GlN3VhD+YfLpzZ6ktQJ2Q/gdK3wQ D4tzV2qBiQMDHxKlR7CCTZSr4ZPZFeylP5LFoNoCxeU4iln4V41N4g2la4UMGtvPQNBkZauHtnFp OUL0ESuagenqc5ouLJHFif02K5OopXxC1CNkF7D7LPdsJFtGfnG3RXyPKjSLwOfze5NQQd1QOrcK 8rTgBtFbCDcVQoj01d+M7NvNvXxz0EYI8ax9PlKrtcRX4VGh5yA01cTfkVC6KQvkFqKf9RVgg+O1 aSV4WmYSaV56mek6TSFO/GNXxDfYKaYM6ekd1uhm/vnS/6Acl2rakPTOzxElSuy0PzBdp+/A660G oepKGZJYqTwsMkSSvRxx2tNONY8FoqWOPZW8vjz72rhDcPeHPVCf698oRsankK4Fbx/aNXyXSozK 6rTDgEHfn2E+9z2zeepKYw10dxNVFZNouxpWV1PnsvztqcxGL/cMMG0mV/rNfdPRF6MRhoY3ITiK T4befyIuRfF+B7O0ExwhMgjWvTP3PXDkHLVo4oeLd0h6+9F3BDhpIQwYHtOo22CWmg9SaaIviFwa kTQYgX78CKaxh7y3fko2JsnRkdK6x5Gi3CbLPYn8uFmzO3BVpMhybFIIKIuL+Ypg34zAYYuOUJA0 cHQ15U/QSRQ8aJOwkyWfSgq9uvvZBHSR8/Iq7ULIKhVwOHLil8RoC1xGnJG8FmTDdBb/CfFvTTzv C0AuLinvyswFouE1D5d9MPnCpIhEee/fFGnt1nE0XdieJP/wvIey1UIQU6ZKUPUA5ZroWE4JAJhu o4RsnQ1AOxNZiAWwwN53wxlGl2GF1Y6fYhw2TZhbx+JMvw6FzxwgMxQQOMKO5BlGGRx5Uyd2/Fp+ s0+H7BjhFFqa99YUIcca46L1DUbDHSqcUBtAzcCvwLLsUn3Nvx4lnPOnn2MCDy/p0k7LG96TuFI8 122/DDmFHCjwoYeqGYOxMvinGV7vOnFoo2jg07+14PZAWnK2SrPreP30bwiqhObJc1PoGOzAP8s0 KVGqYoekPln9s63E5u3zzPO2EKDXxHhCjP+CU001jPYHpjNPA3vKy4fjxPD3c5Vho71e0RYZky/Y 1ot8Q7rQFWg6SNMMB6i5tmqvWWHvKKpQwdesny4DpYZGM6WnM4bEwCB86JZy3NdIyIdaWROiOWm3 9R5E/z5llepSEVI6XxT6FtPL56Y0afk/gDhMmykGKf9vne8zbsG9MTpFPrMjnOjijfkHakzCtsye LG+jMHSbNtSUKH+MjsiyrBHSHZrZm5FAkcjhcA/ifQ2CQ4O8s0WUGpWagBM9Wjwlaf0y/dvx6ixX Hj2bfUFTCXBx86LThs6kQcpx7Gv3hfuqsxHyaWQ++8eBFU6pmkgtX0ndKyZVxi21t+Tkin8X7bCs vkYRYGYTVLsdMBCRinNxyigA0o1RuWYmpaUflSQZcixWSlTfpi1cMFfp0a4yNHUsNAFqsN+koOa+ ikMzuWfsGjEOh1weUQsMV9wJY91vnjF19ff+4PhaFqIqGEbyusKPZ6PDqqDgrBhANqty5WPEs2Ra 9rWGUNsd1FW2D7f00zV8l33R2tmX0S4BDOlqVSrQ736OhYECnAnQuW6j0ewvKqCQlRkNqlTGrFZG kStw5Kx+//ltnckvvf5h7jyoYW9sdK43evPygOgj+jZA+fQzXXSC40FKricdqZ2vNGlXsAY/CrvM ymjD3UJ0zNChYlKaxbZOPTCQ2mt0EjsK0Ifbr/JVOFsN4W2ChtVHiAFR+c5fe6M9/KxJw6dbXifD BPkdZ3nUT+/TNbnb777nLy0css7vXcinEQRTlbbaQ+iPRIb2E0mNwmjLUld63x54phTMmg7tOZoG wQZb1Z+U3WGPHQ7+ejKDIMwTHJ50LeSKRfhqXsbytY6F2SwErEfOhsl9efU/v9iNzGZQIMRyLuT2 LdCUFvglj82Hb8ep4akdD9kK7l/pS3h+Dk4ESa6nZ6v4N3DyX+7gQw+w+S6EmGU8Wbo1BR0HXvRX vpXm0HJff/PYlyJH02QmgHw4yqlqHx/nbWemiIsmVTSfQ1o2pXu+cDLtyCgnHdepf1USCwx3B0Wq 6crBkmeDchturCu+H2qRg1Kpc0nCOD/f1SFVL6ZqhbWwGU1Dy9JJ1IN4NDm4cSgUAVE6kHZxRqrd LalYR2VOQBEhdnP6p/WmwjDEqfqTDwvn9PMpVKL5ho3oh9Oq8boZA1ov8eUD0Awqll8YAUMCeNU/ slMSUC2IAsTNm7UT2g3ZJuvz2jt9g0ih9WClLTF/YU2WgiwONsYXpS8ASAQRgzbz5cS3T6BK5cNE 2vCtcDW0vIq3IQ3JpE8Zf0WZZxnp3lYM1Whf23a7UlCnJAzWuV3C7Txt9r8gbKncP/7bVZqH3GZt AaTdIOiMH0sQ+nIvVlQ5YFzxt7wgB7jyOgIMha615m77pxOlw7B71g1sf8H7eGhUH53j+rRaeVn1 WtiMSaj++WPF+B/nT08FwKYRU2g1IEP1C8hWNKK+SkPJIIKpKUUr2bLrjqf/UbITkXASmKxoWnKq UF0ntOPumIMl7IjhEhg7GCM/1BYhKO+MtB9FYVLoWxFu2GipsT4bYJgW8PkAgmwVYhcjSFewXuQo 275SdaJdtO0HJxT3pFAVAY0eIlrGhYSgsxTS1SRQ2Cqb2u29ww183hMOBbGKA4aZjj0J/SOcsXGs MZo83bkIOj32w+En0BaWhPapoTcTtpv8LtJxIIjTKCjzYXtdaa518yBncLjNDjjqz/QJn+bAhscD PeqSTP8bonFfGLQ/o+f0uCW1wpgKhuOgtRNGNoS44dXz1cCatIVu/Z9R6qPR5keUKMN3RC1eUeeQ Th/jxTaZUyjORkCZsXLqleV88qnbcCMTCPqX1hbEWLgtjyVN6kC28aVDIAUNKkS6Y8l+PKl7+9A/ 6cJ31GS0O9yN6RHkAgUijz2/IN9as3/cvoO/rVNhqYnCioYHEztDU85MUt41+AXNrTkp3eNb7app Cw/q6zqeIvIX6QAJ7xRZ8RW6d0/oiFIcUUG1FRpD0Zp+Dljgpi7GIH3oghR5/NTkMKRZx2T9cPUi Kdp06zO5YBzJqUaKhADPLjDInqJ5564KeM9eMfx1No0VRivGmlug0AEEI0MnC12k1CM8H+3uYRa7 e1ZKOvK2HmWSqKROiHgOil2DtSDnbSTmgXKTvcm3ZMYxOGTZpYNGnek1IifKCKGTtmfgLE+9zCwZ mDcQ6IQNgmArJRewbzWXc2lfl13ySRZct9zi0hfr5l1nEeBuFMTsrR07ZZg6hmVJl341IvIj/Z2T k5xIj8hdD2RuwPe57RXQ5jf1gOIA0s+2xr7scTTo7UhLVltHNHOkMj2xG4zx72Ke4izp4HH1zFFD 0mDWXaRkYZSsyGeKIFVPteNchgfpcH2JpO50dxmP/+PuhYNZiPzPNTa8unmj1h3PKJi9RWdRb8Zn QS9sGeF0PkInc0MC9ph/IImpkNedxOnNYK/0QKGpuiZPlZCl5NvV1a7U7cegSCOQqDylci6w6c7t 2xQNNUNAASJ+wrOeGuRXIP4AfayfRCZRfGEziV1a2h51l/N/U1d8cWC76TMIFeoD51pcu9SBEprk J+thrfbZ7/Ux4saw0WZvuvsTaFdm86aItKjgtQqdegLvC5ecURC5ZlILS+ayS9UPmzS+nnNCkzQ8 mainLEdVcefYZVzXUHbg4Db9zZ6dyYsjlXgnShfuFkpTxMmpyLYUi8JyuP8wTdGV+e9xp8MkVKTS 1wuZ03cYXVjmxwW6Z0+Q19EQXGZphNa+yVG0IiyKhxnES8+c95GeEiKbE37FhWrrnZBQ/l6p9dYf 94/IO+xo7Xd/HxS1hPSRowhmdL9DhVz5kCcAaHihWzhpTKw3vti1OvEC/3ZZ7mt03cK1gz6AAC2m JUPaprZzf1U6aSoNmVAmXFnjQGcULPT3Ywo3qS0iZ3q2NHm9AEXp3/dlTntSmz6wIg1Tgidn48ar C3YhqpcrCJIOd+ThuHzjdjL3lo51wCBlSlymUc24OOzn5O6LikLKHTmak5f3713Mbja6Tohv3Dw+ CoipnMS8dM7J1Q2f7HX1/Ir/vF9yhRbLa2AhvMeDb7Gsxcjki4PyfM+KjXqebSJAeJefP/KKNl61 BToLKOX3jnXPIECMekyHA0s4V3/b47+Y5ryWHzryBmmZ4xSi3+WAGSea6fhw7m4rMsr4v49mI3vV K5EkyQXdRYcqAQdSx4eR8tFNCBxfJfqvhx5MLRDUvllz4u8pvflQPtDIJ/7Z+FmP3qd3gNiKgVkT d5Uy/wvCYuG4g7bswX2V5F09HgIcNg6QklJ5MftzmImnds8sajxjwqoR1/700pJpekXHlYFPn+h9 akuhOh8y1S7CbBQSW8li0pVnnceCs+bzabje5t4gro0/P3ScQZW+EvKtc/aJ+GM+Rp/GTjEfuJX3 XUyU2I7GI2qD7cGdrTfNzDT22hMbDmOLL+Jt/mtK+DxYD81VneVCrFCsQaQaQ+k+5JDJ22dLpYeN L6lsSBgmVcB68U6LZfnx0M7ya2CKCtYNAfBtddht1K5jtcUejUM9MZeZUy7O9fvoaXvjETartxj9 iOpIUWvWfgjVJ9TZuJCdmdC46FPtjb3Kl3Noe8dbrNCS+pe2+8CpJU1bAtsmww3tzW06Nu7EAMUl AyBtyM7qJMu2hOIPVqXGEDN5VX2IiLEihk0peBVuSPrigqHhDKRLR+J96uqmvilm2CM0C3ZbuHJO hoZRgYQpnPARt8NmQgXU5NElT9A0MjAwIqmd3jE5D4X142yyGN3L7LQUasdg4d3Afm42TVCsVJg8 qp9q/gyEZB6/35Pc12xlTS5mb9W6heoCFC61nCmH4KQbFI+HzIeqcaVc2GONZP/O34XZ7BxRnJ1x WsUFXipSDpbrYJVzrnS56GqF504oP3E1e5zSeXU07v4uqR8Q4VLiDTRWu8byno5vQouIKRg6vygR okUKZtrjiyRbhGOR5HYTP0yt9Ny7VyNRM8ia96v038c7Q964ALAcz6yrq5Sty3zgaK/qMn4IQo4l ALXHLU1Q/4k3lL+I/MRuj9j57g9ruWSyozZ5H/ht1uiM2HiF0q62bevspDjRZDe6aVCoY1vqAo7j TL6XnNDbK44xP+BpQFf6+HC+EWLMHIKBrf3jmqpEVdPAB+2MIy2n2dZG2FpuHinBf1KgnSc29YPp aPmdukVjEw897+WiXHAQukG8GqBNKoTvky5xKNguaz0bTcjDCaaHGtASWO20srT9AVwKYNnrtMEK ahj5HYQZ/HDq+3cZuVlNUtPmUqQpl1a8I8Tuq8UzxEiWyo9z0L5pRAuNCvXTLPrp/VFTKNy8KYdp 6qj6GmLJbR43DrCGf2jdApnMpaTY2AndnCMawYhDtpXuUTQqdw/37xEUD+P294uxumSNoPweBsj4 b4nW1IyNpDVwQShjyzJ3cnH8V/x2LyDoNFGlLlDy+sVf5puHu7x8ig8p+FXulBd6zW6VMF62Wx20 9yTFQSOVzb1fH5ATxm2Raggc8Z3ZJ686E0YiWrhYfBznZC1HpmfkX+F5ZtEjcjQE2GWV2ojIq6x8 /0cXdbdBbD85UsdeH2+MjLM9bl8ywEFnLqgR7ax4MnbyGA4uSgiRC8ugBuqpCHC+0qkm47J4hMSv h1i2z1LUR01TJHx0lfZ3j9nDK1HFRRCctljZYP/8ayxg/jyovv1nlnZmx4t34d/Krnp4vYxavS2R LcKvNmcCtH7Ta+B77Bvkgie+r36SkMDb0eggw/kmt+efnL7UJEh2cI405A3maHHtpCO/pZKIpm5E z27leBaq4ObkAlHTtAo9wE/a1UhUR9eR49FvDN8RS1k/g/N1tPq6Ast5MbFelGC+tIGKcEemmakf rC5eQK/KdHChZzNNKM1+uk+MKFPHf17eRjSHKCes0ld6j7AMvAeDjJfiBMyABjkARyJcvUE+g2rh /NvIBps3ASXbNyYQpVbmVQjZPbLizyVzaBK1a5Wjwoh486yVOI74EWtt3YJbGC5E8uMFWQoIxT7z 7iSSd5qZKC4Xw+RRRJ0UqIJislVSZMkIOV7x90uxf7FCmPK4xi893wJb1bWjEUoC53ZKaEvQroTB clxsKkilXQmu1qhKocGk9iudP9fbJPL4opXPKB7NppPf2+DhGU/K2l8EdRu7277BPB0L5beS0rrz RCRc6ekuHp7JkhKN46qoYwFCOrxY9Y2Fd5ydS1kQJ/k9j0vl4Cqet92HmbJ4LEgX/aK6Uapxd2nx cOpVj2J/3nK2DP+wtlyD4prox2wLxRgGdelDM2esSikaJIWhjNaDOQ7c7YrTKm90qSYaMJD2BghU SWGs0fguLtrpuM5DTHUrA1It7rxaXX0u8WAutflic0DzF0LPdQcppa3aHXOjdswYXoMLjZ8qzWY8 AaCya//d6w+e9pW2s+6KGyWnSi1sZ3ptygcOH4jAUp07IztvFr0BninDwhnUbwcJ+3/2NqBjS/X4 QGvY4vxhVPEXMCWln/dCaw+uBMbJeYL3lCVNuipZI988637Dh5xQrpfTxJpmL7wsWa46m/DTPgwL cszQ6p2MqDIMxRN7y9BAUon0CYG3XCQX/2LCRb7juTBAFY0xsrEG1FBHMDpelcf7r/ObDFzIwNoQ Vep8kt+3GVFR22CQHN68xaYj3Dn1fMxz8Va+GQb0lwF4S3+1jp12UKMIi8e0Ln5L4hfmy2TGhkTR QCcOM5KM00BjfU4qD7i8PRIADjUb2j7ZXpHpwvQXvuaEOWWSp/bcCWvXha9dtFqBr4Az7B1zely4 ntk4mky+5uFXgM1PQt0sh+++MPIKhz63S4RwTwfYsw24TCDNEvgiZGzqhl4W02quE4mU+hulZ1jS siWMioHjxlv2iZ7cCJToN7f8y8pDW+Y0AccUvReDSr5FROMhjuGRyjTO2pgJOxZIyAdkOyP5vgRB y2B12zYhGgcwaaIpHJZmoqfFqqrIC1J7+ZMrWi17zUk715Mx1dtj527F2P7z12zRaVOm7epMF10t gUn1Yl4dGzalWlz1bPqCZwCdBEz7fhTgRP01992OWs7fgzRvIa5akzTDsvrETkLsYv3YQaF/MjbH uoagH86GDd/Q2jMQ+qeZKXJK4zCMu+N7bAcGkhwjp9PLquwsnSBD16KX4MvzCEKoMAZCvYBEgSIA 5edK+AczS2r/N3JIaco4AFnwgsjTLzs7dg9XffeAZitIXNWm7FIQagvPNSedeOO+7JOsx18Xvl9c 79/aeUwId5f/+jm3UN1BXZei+/ZGEnOhpWlzFIDa6yt2b3RiPvVauriIaTXpM8xO73LZznrbEtKj aHbiiDmdlrMFmXXP0GXdLnq/7G2KL3/y6v1rodZmwjY/K3xYlvx9ssjbSyo6YIQjbmIhP6TGihn9 5TqanRSAsCwgcKU38cyjGoKZwswOyWNrUbj85S8CL/t1YZIRXcjqO8gwRQasWgqmJKUhKfnpexvf HmbfInrQZOb6jPDjUHYmSNKqKuRyiMy78bKSox+eAvlFVbJLL+fxRQnwiLN9xI3N9WajOv410m65 IDuYDOwFhcX7K1H4Y6TeSi+JSnt7SmdBC4Hc07aBxeA05I9nfDnqJN4T973bpCeR3o3RrqbgfPCi G5SvoVxQ08325HSjtnxuF2sGDjEXIhleqvCGekzHMZaMVaKupiiywtchL/5+PAZOEScdr1/YU+Mo s/O1NeqSXLcvty0q3Q8CPRQ5cjodE34AuXi6rN3VOzza4m6NS2YWzihUIfowwaxcL9uqp8XJ1C9v T+wSElXGIUXIOWB512TTyiyQam1I1WLmV+TT/gRZXvuUv3X6zflnYK/b69hVfKG/vjwdwOFLbcwe WNEFjAByMl7w/PB3DdSvfXFPTRtXFWSOCAqlGK60JyyXL1hYGVit6TdbevRlzLflOQlnl0BjD8Ff Qz0tBGYwiKrZzX1ZGINSXLRqTN3UdKMTgeDCl/5DMDtr3djsU7o8sYJ/H1DeBH7hxutEfAn6Cu+C hVK/gIEpkxcI9IVlJpsiRbwmjsQ2SHhQU+GLLv7UZSrjdhtrbJx/25jCiXePOjv1cKpzUlqoPDcK dWoQPlIgLeosgifb0B0FTujDBiadW1utiHPekCZ5ILmuGJbdX30cYed0UqchB3fcNZXipXaKyo/0 LtyPmG4CDk+ummp7QUvrGkKhA43F1wRBItmt07o/QH6eX9hw47gED7NswR3/Bv+xkZMG0ZUmaLUL kftsNhOSwgc5yWy0CjLzrYkeTKpUf+6KdM2zwwXWBQQvPk9HznjUFAH0wsumWAihue1L/nNX/v+V +UY8DYCisxUCRAFJrv4WMCqaqrDazdAq0Rqz03uM8Qkrycyct6FzjB3SwCPeJxonRKK1LL3BPQqM nV2GeTy3mstaRnjS0KpO6PtgBK6J5ADNXmH+fqnhsY+mzHQ5hTgnv/L28q3G33dOyt3XbncDuegS pm1S2wWPYX58T0qwYPlLLEDCflr9+HW9QcxJQVUNOUywBNCytH5xD2uA0WMXOFPFgNXuqURyECmQ HI13zCt829olSkmn7gcwXu0qj/XCQHI+kOWX92XEibHCM0TZ7AehMELB3RBAuMAQmbBjKG9rs8+R cHcmabd9NLF5VRCxqeCxapdvL6AmQMRxxAOBSzqAKx3Pdc2hbtfN0agT0ybQrTHA8sBZtRz4+lxh ICZLzdUzieSo2g1J3/2ck6nuL7H19gXFGNP+LuJUnuP7oUpcbTr19Fj0FiJI09rbdsQWH97ATFXK rxPLodOdDhA+HBS+NMCb80Kj9N4fyhw/uQikkGngc+VKWAeBvzRhh3hCAoW+4G5b+ZDZLc4vQ0JG /HTadJVhnQrkmOObA9c5J1953qt2QpyjtyTpS7coKZkAn9Q0Os2FJbFC1ltdlPQOKiOSc7yLpdL/ +WybaPNatTIgLUSCfW2dczOkpf47ePc333tqGPBWrqfgR+mk3WzeuxYvycIkUVJUIjKWTz8dFrZA a9ARqouCPDdj4ifo6vX4xqaUGqlaAqtvhH/nvz1ex/OaTpkzFTK1iyjM2Q0ucRBtwAkweeUWT495 P7vzD4MbjyeW+T+Pz2i12YKnO1gW3XwMoyUYrWb/Vq/O+KRQpqTVCdv9HoxtOZDVfa4XAGWneKdq VlmMi07IlyzZYNwmIHQNjIGnvt5ED+ym+wspZa/NaGzsKyG0SVD5Kfg8gx8YxQi/eDuxPxMgDvsE ZUAhPG4VzTnX2hjXF6UhXgtFZM5xscWAdByZerNqcbZ48qs6PSpVZ5LHMjRfNSHXS4zpeG44Zgxr q+BNW0MEMmLb+TEX0anETCeNIyJFocn6ZQUhlu0o8auGcUL0cl5D7Uiaa7MjOOXkEe55+rkUxkVO LUdf2BYxFuwjD4HoFUiOZWpsV3IoZ+iZTm6ID2o42JxXvXbDd0r3+KIaR/PoWkR19GPSG/GlOgOp qh77K657ecvFNzrNUtA3TddbrawIaURMblPbiZdwMFuC0mW+edEDFEDQZUklzIss2iGO6MdNtUDi KpBwRW3xqabWCe2Zl2uEJHh9RAtNexq307imhqKMgZoF+y3JO/nrg9XAV8qhGOWulNckP1BlAvdZ tcqkJ9WnJKIIKu9RjadaX1b/oygu/75DauVlIZkQ+75yEND6yxLBQU/Myec4PosI6KFFuRCxEDal 4S/ysztTgOx+nNd9yTPVwOmGNL87pcLuZiGeUNKC1EG6pKTWRCJsyEcDcCjun/ZZdf4dHTFHMc3A Hn9sNE1ml0zmMB3SskUHMzs0KdsjwVfLBjT+9e1A7WCLfjO2PBh//9mj7H/gT9asYtBoyok5HxSe 18f8VE3q+ltycg854WyM4b4L+PNXrLfDPVmXzljF0FXZ7nGzx8+/MSbmRAZ5Cxc5WLa8mB7tYLnI NFGFKKHN6VNgvLnApq5Ev06M90PkDVI04UdUyBtY+RrflRyqLC1ojt3FDypO7XPYK1QUfhrV462q R4trQmTfp0RN90QMxLvRF2gQkEAU7tS8BeosNxBp+55Yje5qATE3/LPU9uI7uWLRJk+XptOFQSd2 j+a4DutlTMF1aSmagdFxS4cwB4xFooImYUnYyHPE7fnaJlGjiByC7+J+FAtWsusINTOd2c7MbjwB zL64op9/mcCoab/urdD8bpMgDa8/zK4uR2mJpsTovX13oAcc9+e6Ne8+Q9fsBI7few1RdOYAjrP5 BYU/qP0RgCMXoIJPqH/NzyWK0FmGFJ5PZlU7tpWct5e2RYMWPVQevsSVXDxIDJjtnbZZogRKmeWS jHNlr+e9i8Q+RPfGl1FyRFds92e34gJlxHtRwLJhXSwBkKy34IXE4GyR3ZCN6pCuj0xHw+o4dWN8 lAbwUuP5xdFWjJM08DGdFw2qX+zBFwFr4lajg/Y75Dl38i06XQu/9tlMPRMFLOX6yqioqma0EjW9 o5WyfBG7+vumv8YrW+vUGfnNMT9hO+vKBxd18JzM2/NwWMUhLFFGNVvhsGl/4pEJx/3l1Etb2SHW d6ly4rlN3wWE22qpaRLLxSAwUYUleqBtdpJjZCjwlgSAe4dsTyyzuT8GNNQkR6akBSKxspqLN1Xu bkqyjhpsItOCEl/5gjji/Yp2yXvvAifUbSEejOjdEiuD6xHkcv+FStPMNlJckIus74veiBwOTPyp 8nu2zUvJlG9PlYb1bd1j3Qof4MH5SPkA+SwOOK+aJjllQFA1uEwCs83eqFzcQMu8bCmvw9iQ27xz WE5IES59IKwUx78a0in7kTJlkhkC8HT6SVVaNtnycSGHT+LJ37RlNnnQJPkpVmqYouF9HVYW0/bW 4RoCZA7plz7qQYbjJHIadrmg6keVLMA1WoOSvl5DIuH24HxmVCiDfsByMHrlWPeA6PpsbosJ+JWJ kPoQ4k5ONID/4vNXTs7Ff8yuWXsaAOmKIGDegnyHcuSJz6WPPHaEJ0SOvxO/rPW5FCjno3A0kBaO Dchxp+iT2jaBiywJqAb3Lj9XyiV1YeUtOE7DvdyhnDarxzSLap4FDoxBKhk88mk6jpBaQsNZS6Q5 X+xu0M3VkcuAwqeTR11wJ1VPNqfFEHslYKCc6Qx+6KVmai1JiElpPCzoTLANmsQ4d+jRLgz/0hGL wfwc9Wj7BdBLwOkd3lO7fvKsrMzH5L6v9QoSH3Xq67AZL+S5FEfV5ziWu1Urh9VFJidJ5/yplp7S pDMBS4BH30psCdj+eKOEwRFVFm9A6xOhH2lvf+Ee4wWFM1BxeTi2pbsQkn3AgWKnuRnAH83iZlC5 S2b+ZuxBkaMwPAyeK+9SOKwii5Ib/XhKsuVn2UvwzhmLTKkBYQ3XTLwOwv5fZHYc9ZZmV1i1a0Qm mlnuWt/R1fXcL6wuno0enxEYFTcJKsK701QdpXwTlnjpZ3SSKtOzyCFAbc1wO2pI5tZYoOU5rgqz /J2uitOdgXG32nuxnxcRqBFTZxeZwj2sOTrR1eS4iciDMXqyzdB7DxVRS0SOrH+D4o6fxMU8TCU+ daiPyAqY56jClcBFZW7P0P6p9jSlkNHSfyjqBePUM2QtqJvtCFQTwRi5ohncDZgtzoTzvnwg4nGu bgSqdESsGx4D5uU5pCio1Mw4KKRZYx9kswoiwdNlS+zOBqsYfVT6kymTYz3hydjhXfPE7wPZZbHO 5uQlJpSGNHr+2icotqUppcizDTVivjCqQdOGCyiEL++5teHWD2ZLzdWzQj/zQbPbbwRVCmY4TBY7 7EdHy+JKp3pFK67qNN+nYCX8X/fg0BtAoX/EcEQ6i5YpwKDsYmTd81CiN06yDh0UzAjauDlTmqEQ jU/UdqoqruPxlNoNGrEE7eqnze6hFX1Mf2Ty4fhxxIUV4oIsnGfXFqjqKzS1X1UFkqthceAWTXSw VRrKdP6HmqKME9PSfZzoi5mFpEtHzVAnWLBPjP033SdR8DypnNZXw1fgbE9RnCxG3tVdu0k86z8s b2+TvgoEvoRCPeDX5zsSespz/YCY3IAdBZKNybJKomAx32oIw6aQacbxrBswqjMTFwmY9jXwATvH j4Zv7r4iUMNUrWZvbeFwDthYEbCxNg1RotZnmbiHJdzymvdQ5kGEy5qCZaiWkn5JLr5kr1wS33Ax 4o6BHNTCTADGYCbhro3XbAdGzr2DGcKdwcJPNa5O7GnCAnMPIv39bESTY7PQVuNTMxaZQLS3+B/h Gmmvjy6cBpzuNvp95DIdACGf4g/d31Ax7rn4GMjocJ6FDqsbcwN5cA714ofMnHs2AgKe16D5iDbg JA+aTe6AFGFysA+SrLCGbYshdtd3L6cX5taJ/PO4KTXWymgcK368t/zRpCht9FRwv+unF0XZ79KR p9F9xk7wPqLAQ3Uj6oJo2wB9WVvEJl2B9lSScN4JHSMA+EXxM0x9nRjGiPd/tNMTFKdRbz4xQeun CsemMnuwmgKzq+ALz3MUg3J5tqXYX3gou1i1vNIWPNb5TLi9hScUP/TmYIwuv/9k/KPiJ8yz74tc o0dxqnMy3izTo17stU1wCNscSsdK1ncZ0nsYo00bRJSnbnmizeC3RBxMXn6D9qveDsbn5fUBsGeU wIOH1rfuu/nR0rJdqJYFglq3tHxd7g6OlYshQRoK+adOpZJnANDl5zUNyNAD2lKD +3mDLkPP `protect end_protected
gpl-3.0
3ccfd8a1faa2cf21ce2a27d87cd6dd14
0.955696
1.807129
false
false
false
false
timvideos/HDMI2USB-jahanzeb-firmware
ipcore_dir/bytefifo/simulation/bytefifo_dgen.vhd
3
4,525
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: bytefifo_dgen.vhd -- -- Description: -- Used for write interface stimulus generation -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.all; USE IEEE.std_logic_arith.all; USE IEEE.std_logic_misc.all; LIBRARY work; USE work.bytefifo_pkg.ALL; ENTITY bytefifo_dgen IS GENERIC ( C_DIN_WIDTH : INTEGER := 32; C_DOUT_WIDTH : INTEGER := 32; C_CH_TYPE : INTEGER := 0; TB_SEED : INTEGER := 2 ); PORT ( RESET : IN STD_LOGIC; WR_CLK : IN STD_LOGIC; PRC_WR_EN : IN STD_LOGIC; FULL : IN STD_LOGIC; WR_EN : OUT STD_LOGIC; WR_DATA : OUT STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0) ); END ENTITY; ARCHITECTURE fg_dg_arch OF bytefifo_dgen IS CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH); CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH,8); SIGNAL pr_w_en : STD_LOGIC := '0'; SIGNAL rand_num : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 DOWNTO 0); SIGNAL wr_data_i : STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); BEGIN WR_EN <= PRC_WR_EN ; WR_DATA <= wr_data_i AFTER 50 ns; ---------------------------------------------- -- Generation of DATA ---------------------------------------------- gen_stim:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE rd_gen_inst1:bytefifo_rng GENERIC MAP( WIDTH => 8, SEED => TB_SEED+N ) PORT MAP( CLK => WR_CLK, RESET => RESET, RANDOM_NUM => rand_num(8*(N+1)-1 downto 8*N), ENABLE => pr_w_en ); END GENERATE; pr_w_en <= PRC_WR_EN AND NOT FULL; wr_data_i <= rand_num(C_DIN_WIDTH-1 DOWNTO 0); END ARCHITECTURE;
bsd-2-clause
06da2c04736e1551afd7373c5cdc2066
0.600663
4.264844
false
false
false
false
fpgaminer/Open-Source-FPGA-Bitcoin-Miner
projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/synth/golden_ticket_fifo.vhd
6
37,388
-- (c) Copyright 1995-2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:fifo_generator:10.0 -- IP Revision: 128000 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY fifo_generator_v10_0; USE fifo_generator_v10_0.fifo_generator_v10_0; ENTITY golden_ticket_fifo IS PORT ( wr_clk : IN STD_LOGIC; rd_clk : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(31 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); full : OUT STD_LOGIC; empty : OUT STD_LOGIC ); END golden_ticket_fifo; ARCHITECTURE golden_ticket_fifo_arch OF golden_ticket_fifo IS COMPONENT fifo_generator_v10_0 IS GENERIC ( C_COMMON_CLOCK : INTEGER; C_COUNT_TYPE : INTEGER; C_DATA_COUNT_WIDTH : INTEGER; C_DEFAULT_VALUE : STRING; C_DIN_WIDTH : INTEGER; C_DOUT_RST_VAL : STRING; C_DOUT_WIDTH : INTEGER; C_ENABLE_RLOCS : INTEGER; C_FAMILY : STRING; C_FULL_FLAGS_RST_VAL : INTEGER; C_HAS_ALMOST_EMPTY : INTEGER; C_HAS_ALMOST_FULL : INTEGER; C_HAS_BACKUP : INTEGER; C_HAS_DATA_COUNT : INTEGER; C_HAS_INT_CLK : INTEGER; C_HAS_MEMINIT_FILE : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_RD_DATA_COUNT : INTEGER; C_HAS_RD_RST : INTEGER; C_HAS_RST : INTEGER; C_HAS_SRST : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_VALID : INTEGER; C_HAS_WR_ACK : INTEGER; C_HAS_WR_DATA_COUNT : INTEGER; C_HAS_WR_RST : INTEGER; C_IMPLEMENTATION_TYPE : INTEGER; C_INIT_WR_PNTR_VAL : INTEGER; C_MEMORY_TYPE : INTEGER; C_MIF_FILE_NAME : STRING; C_OPTIMIZATION_MODE : INTEGER; C_OVERFLOW_LOW : INTEGER; C_PRELOAD_LATENCY : INTEGER; C_PRELOAD_REGS : INTEGER; C_PRIM_FIFO_TYPE : STRING; C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER; C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER; C_PROG_EMPTY_TYPE : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER; C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER; C_PROG_FULL_TYPE : INTEGER; C_RD_DATA_COUNT_WIDTH : INTEGER; C_RD_DEPTH : INTEGER; C_RD_FREQ : INTEGER; C_RD_PNTR_WIDTH : INTEGER; C_UNDERFLOW_LOW : INTEGER; C_USE_DOUT_RST : INTEGER; C_USE_ECC : INTEGER; C_USE_EMBEDDED_REG : INTEGER; C_USE_FIFO16_FLAGS : INTEGER; C_USE_FWFT_DATA_COUNT : INTEGER; C_VALID_LOW : INTEGER; C_WR_ACK_LOW : INTEGER; C_WR_DATA_COUNT_WIDTH : INTEGER; C_WR_DEPTH : INTEGER; C_WR_FREQ : INTEGER; C_WR_PNTR_WIDTH : INTEGER; C_WR_RESPONSE_LATENCY : INTEGER; C_MSGON_VAL : INTEGER; C_ENABLE_RST_SYNC : INTEGER; C_ERROR_INJECTION_TYPE : INTEGER; C_SYNCHRONIZER_STAGE : INTEGER; C_INTERFACE_TYPE : INTEGER; C_AXI_TYPE : INTEGER; C_HAS_AXI_WR_CHANNEL : INTEGER; C_HAS_AXI_RD_CHANNEL : INTEGER; C_HAS_SLAVE_CE : INTEGER; C_HAS_MASTER_CE : INTEGER; C_ADD_NGC_CONSTRAINT : INTEGER; C_USE_COMMON_OVERFLOW : INTEGER; C_USE_COMMON_UNDERFLOW : INTEGER; C_USE_DEFAULT_SETTINGS : INTEGER; C_AXI_ID_WIDTH : INTEGER; C_AXI_ADDR_WIDTH : INTEGER; C_AXI_DATA_WIDTH : INTEGER; C_HAS_AXI_AWUSER : INTEGER; C_HAS_AXI_WUSER : INTEGER; C_HAS_AXI_BUSER : INTEGER; C_HAS_AXI_ARUSER : INTEGER; C_HAS_AXI_RUSER : INTEGER; C_AXI_ARUSER_WIDTH : INTEGER; C_AXI_AWUSER_WIDTH : INTEGER; C_AXI_WUSER_WIDTH : INTEGER; C_AXI_BUSER_WIDTH : INTEGER; C_AXI_RUSER_WIDTH : INTEGER; C_HAS_AXIS_TDATA : INTEGER; C_HAS_AXIS_TID : INTEGER; C_HAS_AXIS_TDEST : INTEGER; C_HAS_AXIS_TUSER : INTEGER; C_HAS_AXIS_TREADY : INTEGER; C_HAS_AXIS_TLAST : INTEGER; C_HAS_AXIS_TSTRB : INTEGER; C_HAS_AXIS_TKEEP : INTEGER; C_AXIS_TDATA_WIDTH : INTEGER; C_AXIS_TID_WIDTH : INTEGER; C_AXIS_TDEST_WIDTH : INTEGER; C_AXIS_TUSER_WIDTH : INTEGER; C_AXIS_TSTRB_WIDTH : INTEGER; C_AXIS_TKEEP_WIDTH : INTEGER; C_WACH_TYPE : INTEGER; C_WDCH_TYPE : INTEGER; C_WRCH_TYPE : INTEGER; C_RACH_TYPE : INTEGER; C_RDCH_TYPE : INTEGER; C_AXIS_TYPE : INTEGER; C_IMPLEMENTATION_TYPE_WACH : INTEGER; C_IMPLEMENTATION_TYPE_WDCH : INTEGER; C_IMPLEMENTATION_TYPE_WRCH : INTEGER; C_IMPLEMENTATION_TYPE_RACH : INTEGER; C_IMPLEMENTATION_TYPE_RDCH : INTEGER; C_IMPLEMENTATION_TYPE_AXIS : INTEGER; C_APPLICATION_TYPE_WACH : INTEGER; C_APPLICATION_TYPE_WDCH : INTEGER; C_APPLICATION_TYPE_WRCH : INTEGER; C_APPLICATION_TYPE_RACH : INTEGER; C_APPLICATION_TYPE_RDCH : INTEGER; C_APPLICATION_TYPE_AXIS : INTEGER; C_USE_ECC_WACH : INTEGER; C_USE_ECC_WDCH : INTEGER; C_USE_ECC_WRCH : INTEGER; C_USE_ECC_RACH : INTEGER; C_USE_ECC_RDCH : INTEGER; C_USE_ECC_AXIS : INTEGER; C_ERROR_INJECTION_TYPE_WACH : INTEGER; C_ERROR_INJECTION_TYPE_WDCH : INTEGER; C_ERROR_INJECTION_TYPE_WRCH : INTEGER; C_ERROR_INJECTION_TYPE_RACH : INTEGER; C_ERROR_INJECTION_TYPE_RDCH : INTEGER; C_ERROR_INJECTION_TYPE_AXIS : INTEGER; C_DIN_WIDTH_WACH : INTEGER; C_DIN_WIDTH_WDCH : INTEGER; C_DIN_WIDTH_WRCH : INTEGER; C_DIN_WIDTH_RACH : INTEGER; C_DIN_WIDTH_RDCH : INTEGER; C_DIN_WIDTH_AXIS : INTEGER; C_WR_DEPTH_WACH : INTEGER; C_WR_DEPTH_WDCH : INTEGER; C_WR_DEPTH_WRCH : INTEGER; C_WR_DEPTH_RACH : INTEGER; C_WR_DEPTH_RDCH : INTEGER; C_WR_DEPTH_AXIS : INTEGER; C_WR_PNTR_WIDTH_WACH : INTEGER; C_WR_PNTR_WIDTH_WDCH : INTEGER; C_WR_PNTR_WIDTH_WRCH : INTEGER; C_WR_PNTR_WIDTH_RACH : INTEGER; C_WR_PNTR_WIDTH_RDCH : INTEGER; C_WR_PNTR_WIDTH_AXIS : INTEGER; C_HAS_DATA_COUNTS_WACH : INTEGER; C_HAS_DATA_COUNTS_WDCH : INTEGER; C_HAS_DATA_COUNTS_WRCH : INTEGER; C_HAS_DATA_COUNTS_RACH : INTEGER; C_HAS_DATA_COUNTS_RDCH : INTEGER; C_HAS_DATA_COUNTS_AXIS : INTEGER; C_HAS_PROG_FLAGS_WACH : INTEGER; C_HAS_PROG_FLAGS_WDCH : INTEGER; C_HAS_PROG_FLAGS_WRCH : INTEGER; C_HAS_PROG_FLAGS_RACH : INTEGER; C_HAS_PROG_FLAGS_RDCH : INTEGER; C_HAS_PROG_FLAGS_AXIS : INTEGER; C_PROG_FULL_TYPE_WACH : INTEGER; C_PROG_FULL_TYPE_WDCH : INTEGER; C_PROG_FULL_TYPE_WRCH : INTEGER; C_PROG_FULL_TYPE_RACH : INTEGER; C_PROG_FULL_TYPE_RDCH : INTEGER; C_PROG_FULL_TYPE_AXIS : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER; C_PROG_EMPTY_TYPE_WACH : INTEGER; C_PROG_EMPTY_TYPE_WDCH : INTEGER; C_PROG_EMPTY_TYPE_WRCH : INTEGER; C_PROG_EMPTY_TYPE_RACH : INTEGER; C_PROG_EMPTY_TYPE_RDCH : INTEGER; C_PROG_EMPTY_TYPE_AXIS : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER; C_REG_SLICE_MODE_WACH : INTEGER; C_REG_SLICE_MODE_WDCH : INTEGER; C_REG_SLICE_MODE_WRCH : INTEGER; C_REG_SLICE_MODE_RACH : INTEGER; C_REG_SLICE_MODE_RDCH : INTEGER; C_REG_SLICE_MODE_AXIS : INTEGER ); PORT ( backup : IN STD_LOGIC; backup_marker : IN STD_LOGIC; clk : IN STD_LOGIC; rst : IN STD_LOGIC; srst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; wr_rst : IN STD_LOGIC; rd_clk : IN STD_LOGIC; rd_rst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(31 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(9 DOWNTO 0); prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(9 DOWNTO 0); prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); prog_full_thresh_assert : IN STD_LOGIC_VECTOR(9 DOWNTO 0); prog_full_thresh_negate : IN STD_LOGIC_VECTOR(9 DOWNTO 0); int_clk : IN STD_LOGIC; injectdbiterr : IN STD_LOGIC; injectsbiterr : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); full : OUT STD_LOGIC; almost_full : OUT STD_LOGIC; wr_ack : OUT STD_LOGIC; overflow : OUT STD_LOGIC; empty : OUT STD_LOGIC; almost_empty : OUT STD_LOGIC; valid : OUT STD_LOGIC; underflow : OUT STD_LOGIC; data_count : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); rd_data_count : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); wr_data_count : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); prog_full : OUT STD_LOGIC; prog_empty : OUT STD_LOGIC; sbiterr : OUT STD_LOGIC; dbiterr : OUT STD_LOGIC; m_aclk : IN STD_LOGIC; s_aclk : IN STD_LOGIC; s_aresetn : IN STD_LOGIC; m_aclk_en : IN STD_LOGIC; s_aclk_en : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awlock : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wid : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; m_axi_awid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_awlock : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awvalid : OUT STD_LOGIC; m_axi_awready : IN STD_LOGIC; m_axi_wid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_wlast : OUT STD_LOGIC; m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wvalid : OUT STD_LOGIC; m_axi_wready : IN STD_LOGIC; m_axi_bid : IN STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bvalid : IN STD_LOGIC; m_axi_bready : OUT STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arlock : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; m_axi_arid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_arlock : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arvalid : OUT STD_LOGIC; m_axi_arready : IN STD_LOGIC; m_axi_rid : IN STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_rlast : IN STD_LOGIC; m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rvalid : IN STD_LOGIC; m_axi_rready : OUT STD_LOGIC; s_axis_tvalid : IN STD_LOGIC; s_axis_tready : OUT STD_LOGIC; s_axis_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axis_tstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_tkeep : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_tlast : IN STD_LOGIC; s_axis_tid : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_tdest : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_tvalid : OUT STD_LOGIC; m_axis_tready : IN STD_LOGIC; m_axis_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axis_tstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_tkeep : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_tlast : OUT STD_LOGIC; m_axis_tid : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_tdest : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_injectsbiterr : IN STD_LOGIC; axi_aw_injectdbiterr : IN STD_LOGIC; axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_sbiterr : OUT STD_LOGIC; axi_aw_dbiterr : OUT STD_LOGIC; axi_aw_overflow : OUT STD_LOGIC; axi_aw_underflow : OUT STD_LOGIC; axi_aw_prog_full : OUT STD_LOGIC; axi_aw_prog_empty : OUT STD_LOGIC; axi_w_injectsbiterr : IN STD_LOGIC; axi_w_injectdbiterr : IN STD_LOGIC; axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_sbiterr : OUT STD_LOGIC; axi_w_dbiterr : OUT STD_LOGIC; axi_w_overflow : OUT STD_LOGIC; axi_w_underflow : OUT STD_LOGIC; axi_b_injectsbiterr : IN STD_LOGIC; axi_w_prog_full : OUT STD_LOGIC; axi_w_prog_empty : OUT STD_LOGIC; axi_b_injectdbiterr : IN STD_LOGIC; axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_sbiterr : OUT STD_LOGIC; axi_b_dbiterr : OUT STD_LOGIC; axi_b_overflow : OUT STD_LOGIC; axi_b_underflow : OUT STD_LOGIC; axi_ar_injectsbiterr : IN STD_LOGIC; axi_b_prog_full : OUT STD_LOGIC; axi_b_prog_empty : OUT STD_LOGIC; axi_ar_injectdbiterr : IN STD_LOGIC; axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_sbiterr : OUT STD_LOGIC; axi_ar_dbiterr : OUT STD_LOGIC; axi_ar_overflow : OUT STD_LOGIC; axi_ar_underflow : OUT STD_LOGIC; axi_ar_prog_full : OUT STD_LOGIC; axi_ar_prog_empty : OUT STD_LOGIC; axi_r_injectsbiterr : IN STD_LOGIC; axi_r_injectdbiterr : IN STD_LOGIC; axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_sbiterr : OUT STD_LOGIC; axi_r_dbiterr : OUT STD_LOGIC; axi_r_overflow : OUT STD_LOGIC; axi_r_underflow : OUT STD_LOGIC; axis_injectsbiterr : IN STD_LOGIC; axi_r_prog_full : OUT STD_LOGIC; axi_r_prog_empty : OUT STD_LOGIC; axis_injectdbiterr : IN STD_LOGIC; axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_sbiterr : OUT STD_LOGIC; axis_dbiterr : OUT STD_LOGIC; axis_overflow : OUT STD_LOGIC; axis_underflow : OUT STD_LOGIC; axis_prog_full : OUT STD_LOGIC; axis_prog_empty : OUT STD_LOGIC ); END COMPONENT fifo_generator_v10_0; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF golden_ticket_fifo_arch : ARCHITECTURE IS "fifo_generator_v10_0,Vivado 2013.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF golden_ticket_fifo_arch : ARCHITECTURE IS "golden_ticket_fifo,fifo_generator_v10_0,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF golden_ticket_fifo_arch : ARCHITECTURE IS "golden_ticket_fifo,fifo_generator_v10_0,{x_ipProduct=Vivado 2013.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=10.0,x_ipCoreRevision=128000,x_ipLanguage=VERILOG,C_COMMON_CLOCK=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=10,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=32,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=32,C_ENABLE_RLOCS=0,C_FAMILY=kintex7,C_FULL_FLAGS_RST_VAL=0,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=0,C_HAS_SRST=0,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=2,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=1,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=2,C_PRELOAD_REGS=1,C_PRIM_FIFO_TYPE=1kx36,C_PROG_EMPTY_THRESH_ASSERT_VAL=2,C_PROG_EMPTY_THRESH_NEGATE_VAL=3,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=1021,C_PROG_FULL_THRESH_NEGATE_VAL=1020,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=10,C_RD_DEPTH=1024,C_RD_FREQ=1,C_RD_PNTR_WIDTH=10,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=0,C_USE_ECC=0,C_USE_EMBEDDED_REG=1,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=10,C_WR_DEPTH=1024,C_WR_FREQ=1,C_WR_PNTR_WIDTH=10,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_TYPE=0,C_AXI_TYPE=0,C_HAS_AXI_WR_CHANNEL=0,C_HAS_AXI_RD_CHANNEL=0,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=4,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_HAS_AXIS_TDATA=0,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=0,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=64,C_AXIS_TID_WIDTH=8,C_AXIS_TDEST_WIDTH=4,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=8,C_AXIS_TKEEP_WIDTH=8,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}"; BEGIN U0 : fifo_generator_v10_0 GENERIC MAP ( C_COMMON_CLOCK => 0, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => 10, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => 32, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => 32, C_ENABLE_RLOCS => 0, C_FAMILY => "kintex7", C_FULL_FLAGS_RST_VAL => 0, C_HAS_ALMOST_EMPTY => 0, C_HAS_ALMOST_FULL => 0, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => 0, C_HAS_RD_DATA_COUNT => 0, C_HAS_RD_RST => 0, C_HAS_RST => 0, C_HAS_SRST => 0, C_HAS_UNDERFLOW => 0, C_HAS_VALID => 0, C_HAS_WR_ACK => 0, C_HAS_WR_DATA_COUNT => 0, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => 2, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => 1, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => 0, C_PRELOAD_LATENCY => 2, C_PRELOAD_REGS => 1, C_PRIM_FIFO_TYPE => "1kx36", C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => 1021, C_PROG_FULL_THRESH_NEGATE_VAL => 1020, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => 10, C_RD_DEPTH => 1024, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => 10, C_UNDERFLOW_LOW => 0, C_USE_DOUT_RST => 0, C_USE_ECC => 0, C_USE_EMBEDDED_REG => 1, C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => 0, C_WR_DATA_COUNT_WIDTH => 10, C_WR_DEPTH => 1024, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => 10, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => 2, C_INTERFACE_TYPE => 0, C_AXI_TYPE => 0, C_HAS_AXI_WR_CHANNEL => 0, C_HAS_AXI_RD_CHANNEL => 0, C_HAS_SLAVE_CE => 0, C_HAS_MASTER_CE => 0, C_ADD_NGC_CONSTRAINT => 0, C_USE_COMMON_OVERFLOW => 0, C_USE_COMMON_UNDERFLOW => 0, C_USE_DEFAULT_SETTINGS => 0, C_AXI_ID_WIDTH => 4, C_AXI_ADDR_WIDTH => 32, C_AXI_DATA_WIDTH => 64, C_HAS_AXI_AWUSER => 0, C_HAS_AXI_WUSER => 0, C_HAS_AXI_BUSER => 0, C_HAS_AXI_ARUSER => 0, C_HAS_AXI_RUSER => 0, C_AXI_ARUSER_WIDTH => 1, C_AXI_AWUSER_WIDTH => 1, C_AXI_WUSER_WIDTH => 1, C_AXI_BUSER_WIDTH => 1, C_AXI_RUSER_WIDTH => 1, C_HAS_AXIS_TDATA => 0, C_HAS_AXIS_TID => 0, C_HAS_AXIS_TDEST => 0, C_HAS_AXIS_TUSER => 0, C_HAS_AXIS_TREADY => 1, C_HAS_AXIS_TLAST => 0, C_HAS_AXIS_TSTRB => 0, C_HAS_AXIS_TKEEP => 0, C_AXIS_TDATA_WIDTH => 64, C_AXIS_TID_WIDTH => 8, C_AXIS_TDEST_WIDTH => 4, C_AXIS_TUSER_WIDTH => 4, C_AXIS_TSTRB_WIDTH => 8, C_AXIS_TKEEP_WIDTH => 8, C_WACH_TYPE => 0, C_WDCH_TYPE => 0, C_WRCH_TYPE => 0, C_RACH_TYPE => 0, C_RDCH_TYPE => 0, C_AXIS_TYPE => 0, C_IMPLEMENTATION_TYPE_WACH => 1, C_IMPLEMENTATION_TYPE_WDCH => 1, C_IMPLEMENTATION_TYPE_WRCH => 1, C_IMPLEMENTATION_TYPE_RACH => 1, C_IMPLEMENTATION_TYPE_RDCH => 1, C_IMPLEMENTATION_TYPE_AXIS => 1, C_APPLICATION_TYPE_WACH => 0, C_APPLICATION_TYPE_WDCH => 0, C_APPLICATION_TYPE_WRCH => 0, C_APPLICATION_TYPE_RACH => 0, C_APPLICATION_TYPE_RDCH => 0, C_APPLICATION_TYPE_AXIS => 0, C_USE_ECC_WACH => 0, C_USE_ECC_WDCH => 0, C_USE_ECC_WRCH => 0, C_USE_ECC_RACH => 0, C_USE_ECC_RDCH => 0, C_USE_ECC_AXIS => 0, C_ERROR_INJECTION_TYPE_WACH => 0, C_ERROR_INJECTION_TYPE_WDCH => 0, C_ERROR_INJECTION_TYPE_WRCH => 0, C_ERROR_INJECTION_TYPE_RACH => 0, C_ERROR_INJECTION_TYPE_RDCH => 0, C_ERROR_INJECTION_TYPE_AXIS => 0, C_DIN_WIDTH_WACH => 32, C_DIN_WIDTH_WDCH => 64, C_DIN_WIDTH_WRCH => 2, C_DIN_WIDTH_RACH => 32, C_DIN_WIDTH_RDCH => 64, C_DIN_WIDTH_AXIS => 1, C_WR_DEPTH_WACH => 16, C_WR_DEPTH_WDCH => 1024, C_WR_DEPTH_WRCH => 16, C_WR_DEPTH_RACH => 16, C_WR_DEPTH_RDCH => 1024, C_WR_DEPTH_AXIS => 1024, C_WR_PNTR_WIDTH_WACH => 4, C_WR_PNTR_WIDTH_WDCH => 10, C_WR_PNTR_WIDTH_WRCH => 4, C_WR_PNTR_WIDTH_RACH => 4, C_WR_PNTR_WIDTH_RDCH => 10, C_WR_PNTR_WIDTH_AXIS => 10, C_HAS_DATA_COUNTS_WACH => 0, C_HAS_DATA_COUNTS_WDCH => 0, C_HAS_DATA_COUNTS_WRCH => 0, C_HAS_DATA_COUNTS_RACH => 0, C_HAS_DATA_COUNTS_RDCH => 0, C_HAS_DATA_COUNTS_AXIS => 0, C_HAS_PROG_FLAGS_WACH => 0, C_HAS_PROG_FLAGS_WDCH => 0, C_HAS_PROG_FLAGS_WRCH => 0, C_HAS_PROG_FLAGS_RACH => 0, C_HAS_PROG_FLAGS_RDCH => 0, C_HAS_PROG_FLAGS_AXIS => 0, C_PROG_FULL_TYPE_WACH => 0, C_PROG_FULL_TYPE_WDCH => 0, C_PROG_FULL_TYPE_WRCH => 0, C_PROG_FULL_TYPE_RACH => 0, C_PROG_FULL_TYPE_RDCH => 0, C_PROG_FULL_TYPE_AXIS => 0, C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, C_PROG_EMPTY_TYPE_WACH => 0, C_PROG_EMPTY_TYPE_WDCH => 0, C_PROG_EMPTY_TYPE_WRCH => 0, C_PROG_EMPTY_TYPE_RACH => 0, C_PROG_EMPTY_TYPE_RDCH => 0, C_PROG_EMPTY_TYPE_AXIS => 0, C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, C_REG_SLICE_MODE_WACH => 0, C_REG_SLICE_MODE_WDCH => 0, C_REG_SLICE_MODE_WRCH => 0, C_REG_SLICE_MODE_RACH => 0, C_REG_SLICE_MODE_RDCH => 0, C_REG_SLICE_MODE_AXIS => 0 ) PORT MAP ( backup => '0', backup_marker => '0', clk => '0', rst => '0', srst => '0', wr_clk => wr_clk, wr_rst => '0', rd_clk => rd_clk, rd_rst => '0', din => din, wr_en => wr_en, rd_en => rd_en, prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), int_clk => '0', injectdbiterr => '0', injectsbiterr => '0', dout => dout, full => full, empty => empty, m_aclk => '0', s_aclk => '0', s_aresetn => '0', m_aclk_en => '0', s_aclk_en => '0', s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awvalid => '0', s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_wlast => '0', s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wvalid => '0', s_axi_bready => '0', m_axi_awready => '0', m_axi_wready => '0', m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bvalid => '0', s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arvalid => '0', s_axi_rready => '0', m_axi_arready => '0', m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_rlast => '0', m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rvalid => '0', s_axis_tvalid => '0', s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_tlast => '0', s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), m_axis_tready => '0', axi_aw_injectsbiterr => '0', axi_aw_injectdbiterr => '0', axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_w_injectsbiterr => '0', axi_w_injectdbiterr => '0', axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_b_injectsbiterr => '0', axi_b_injectdbiterr => '0', axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_injectsbiterr => '0', axi_ar_injectdbiterr => '0', axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_r_injectsbiterr => '0', axi_r_injectdbiterr => '0', axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_injectsbiterr => '0', axis_injectdbiterr => '0', axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)) ); END golden_ticket_fifo_arch;
gpl-3.0
b107216e0eed034922b98af20c158be9
0.612763
2.976989
false
false
false
false
shailcoolboy/Warp-Trinity
edk_user_repository/WARP/pcores/linkport_v1_00_a/hdl/vhdl/reset_gen.vhd
4
951
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity reset_gen is port ( reset_in : in std_logic; clk : in std_logic; reset_out : out std_logic ); end reset_gen; architecture reset_gen_b1 of reset_gen is -- Internal signal signal reset_cnt :std_logic_vector(3 downto 0) ; signal reset_in_t0 :std_logic; signal reset_in_t1 :std_logic; begin -- Remove manta process(clk) begin if clk'event and clk='1' then reset_in_t1 <= reset_in_t0; reset_in_t0 <= reset_in; end if; end process; -- Simple Debouncer for Reset button, active high! process(clk) begin if clk'event and clk='1' then reset_cnt(3 downto 1) <= reset_cnt(2 downto 0); reset_cnt(0) <= reset_in_t1; end if; end process; reset_out <= reset_cnt(0) and reset_cnt(1) and reset_cnt(2) ; end reset_gen_b1;
bsd-2-clause
33aca691c581f28ddf0e1ede757ace99
0.617245
2.772595
false
false
false
false
timvideos/HDMI2USB-jahanzeb-firmware
hdl/misc/rgb2ycbcr.vhd
3
3,663
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; ------------------------------------------------------------------------------- entity rgb2ycbcr is port ( rgb : in std_logic_vector(23 downto 0); de_in : in std_logic; ycbcr : out std_logic_vector(23 downto 0); de_out : out std_logic; rst_n : in std_logic; clk : in std_logic ); end entity rgb2ycbcr; architecture rtl of rgb2ycbcr is signal Y_reg_1 : signed(23 downto 0):=(others=>'0'); signal Y_reg_2 : signed(23 downto 0):=(others=>'0'); signal Y_reg_3 : signed(23 downto 0):=(others=>'0'); signal Cb_reg_1 : signed(23 downto 0):=(others=>'0'); signal Cb_reg_2 : signed(23 downto 0):=(others=>'0'); signal Cb_reg_3 : signed(23 downto 0):=(others=>'0'); signal Cr_reg_1 : signed(23 downto 0):=(others=>'0'); signal Cr_reg_2 : signed(23 downto 0):=(others=>'0'); signal Cr_reg_3 : signed(23 downto 0):=(others=>'0'); signal Y_reg : signed(23 downto 0):=(others=>'0'); signal Cb_reg : signed(23 downto 0):=(others=>'0'); signal Cr_reg : signed(23 downto 0):=(others=>'0'); constant C_Y_1 : signed(14 downto 0) := to_signed(4899, 15); constant C_Y_2 : signed(14 downto 0) := to_signed(9617, 15); constant C_Y_3 : signed(14 downto 0) := to_signed(1868, 15); constant C_Cb_1 : signed(14 downto 0) := to_signed(-2764, 15); constant C_Cb_2 : signed(14 downto 0) := to_signed(-5428, 15); constant C_Cb_3 : signed(14 downto 0) := to_signed(8192, 15); constant C_Cr_1 : signed(14 downto 0) := to_signed(8192, 15); constant C_Cr_2 : signed(14 downto 0) := to_signed(-6860, 15); constant C_Cr_3 : signed(14 downto 0) := to_signed(-1332, 15); signal R_s : signed(8 downto 0):=(others=>'0'); signal G_s : signed(8 downto 0):=(others=>'0'); signal B_s : signed(8 downto 0):=(others=>'0'); signal Y_8bit : unsigned(7 downto 0):=(others=>'0'); signal Cb_8bit : unsigned(7 downto 0):=(others=>'0'); signal Cr_8bit : unsigned(7 downto 0):=(others=>'0'); signal de_in_q : std_logic; begin process(CLK, rst_n) begin if rst_n = '0' then Y_Reg_1 <= (others => '0'); Y_Reg_2 <= (others => '0'); Y_Reg_3 <= (others => '0'); Cb_Reg_1 <= (others => '0'); Cb_Reg_2 <= (others => '0'); Cb_Reg_3 <= (others => '0'); Cr_Reg_1 <= (others => '0'); Cr_Reg_2 <= (others => '0'); Cr_Reg_3 <= (others => '0'); Y_Reg <= (others => '0'); Cb_Reg <= (others => '0'); Cr_Reg <= (others => '0'); de_in_q <= '0'; de_out <= '0'; elsif rising_edge(clk) then de_in_q <= de_in; Y_Reg_1 <= R_s*C_Y_1; Y_Reg_2 <= G_s*C_Y_2; Y_Reg_3 <= B_s*C_Y_3; Cb_Reg_1 <= R_s*C_Cb_1; Cb_Reg_2 <= G_s*C_Cb_2; Cb_Reg_3 <= B_s*C_Cb_3; Cr_Reg_1 <= R_s*C_Cr_1; Cr_Reg_2 <= G_s*C_Cr_2; Cr_Reg_3 <= B_s*C_Cr_3; de_out <= de_in_q; Y_Reg <= Y_Reg_1 + Y_Reg_2 + Y_Reg_3; Cb_Reg <= Cb_Reg_1 + Cb_Reg_2 + Cb_Reg_3 + to_signed(128*16384,Cb_Reg'length); Cr_Reg <= Cr_Reg_1 + Cr_Reg_2 + Cr_Reg_3 + to_signed(128*16384,Cr_Reg'length); end if; end process; R_s <= signed('0' & rgb(7 downto 0)); G_s <= signed('0' & rgb(15 downto 8)); B_s <= signed('0' & rgb(23 downto 16)); Y_8bit <= unsigned(Y_Reg(21 downto 14)); Cb_8bit <= unsigned(Cb_Reg(21 downto 14)); Cr_8bit <= unsigned(Cr_Reg(21 downto 14)); ycbcr(7 downto 0) <= std_logic_vector(Y_8bit); ycbcr(15 downto 8) <= std_logic_vector(Cb_8bit); ycbcr(23 downto 16) <= std_logic_vector(Cr_8bit); end architecture RTL;
bsd-2-clause
11555ca2577dcb3f896d16bcc34cb8b8
0.53317
2.586864
false
false
false
false
Given-Jiang/Gray_Processing
tb_Gray_Processing/hdl/alt_dspbuilder_cast_GN6OMCQQS7.vhd
8
877
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_cast_GN6OMCQQS7 is generic ( round : natural := 0; saturate : natural := 0); port( input : in std_logic_vector(23 downto 0); output : out std_logic_vector(7 downto 0)); end entity; architecture rtl of alt_dspbuilder_cast_GN6OMCQQS7 is Begin -- Output - I/O assignment from Simulink Block "Output" Outputi : alt_dspbuilder_SBF generic map( width_inl=> 16 + 1 , width_inr=> 8, width_outl=> 8, width_outr=> 0, lpm_signed=> BusIsUnsigned , round=> round, satur=> saturate) port map ( xin(23 downto 0) => input, xin(24) => '0', yout => output ); end architecture;
mit
bdfa51cb0361b6cc8721e141a357a1bc
0.648803
3.024138
false
false
false
false
Given-Jiang/Gray_Processing
tb_Gray_Processing/hdl/alt_dspbuilder_delay_GNHYCSAEGT.vhd
16
1,037
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_delay_GNHYCSAEGT is generic ( ClockPhase : string := "1"; delay : positive := 1; use_init : natural := 0; BitPattern : string := "0"; width : positive := 1); port( aclr : in std_logic; clock : in std_logic; ena : in std_logic; input : in std_logic_vector((width)-1 downto 0); output : out std_logic_vector((width)-1 downto 0); sclr : in std_logic); end entity; architecture rtl of alt_dspbuilder_delay_GNHYCSAEGT is Begin -- Delay Element Delay1i : alt_dspbuilder_SDelay generic map ( LPM_WIDTH => 1, LPM_DELAY => 1, SequenceLength => 1, SequenceValue => "1") port map ( dataa => input, clock => clock, ena => ena, sclr => sclr, aclr => aclr, user_aclr => '0', result => output); end architecture;
mit
8562251f14499bb491dc4eab462897d2
0.626808
2.954416
false
false
false
false
shailcoolboy/Warp-Trinity
PlatformSupport/Deprecated/pcores/radio_controller_v1_07_a/hdl/vhdl/radio_controller.vhd
2
38,342
-- Copyright (c) 2006 Rice University -- All Rights Reserved -- This code is covered by the Rice-WARP license -- See http://warp.rice.edu/license/ for details ------------------------------------------------------------------------------ -- radio_controller.vhd - entity/architecture pair ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library proc_common_v2_00_a; use proc_common_v2_00_a.proc_common_pkg.all; use proc_common_v2_00_a.ipif_pkg.all; library opb_ipif_v3_01_c; use opb_ipif_v3_01_c.all; library radio_controller_v1_07_a; use radio_controller_v1_07_a.all; ------------------------------------------------------------------------------ -- Entity section ------------------------------------------------------------------------------ -- Definition of Generics: -- C_BASEADDR -- User logic base address -- C_HIGHADDR -- User logic high address -- C_OPB_AWIDTH -- OPB address bus width -- C_OPB_DWIDTH -- OPB data bus width -- C_FAMILY -- Target FPGA architecture -- -- Definition of Ports: -- OPB_Clk -- OPB Clock -- OPB_Rst -- OPB Reset -- Sl_DBus -- Slave data bus -- Sl_errAck -- Slave error acknowledge -- Sl_retry -- Slave retry -- Sl_toutSup -- Slave timeout suppress -- Sl_xferAck -- Slave transfer acknowledge -- OPB_ABus -- OPB address bus -- OPB_BE -- OPB byte enable -- OPB_DBus -- OPB data bus -- OPB_RNW -- OPB read/not write -- OPB_select -- OPB select -- OPB_seqAddr -- OPB sequential address ------------------------------------------------------------------------------ entity radio_controller is generic ( -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol parameters, do not add to or delete C_BASEADDR : std_logic_vector := X"00000000"; C_HIGHADDR : std_logic_vector := X"0000FFFF"; C_OPB_AWIDTH : integer := 32; C_OPB_DWIDTH : integer := 32; C_FAMILY : string := "virtex2p" -- DO NOT EDIT ABOVE THIS LINE --------------------- ); port ( -- ADD USER PORTS BELOW THIS LINE ------------------ spi_clk : out std_logic; data_out : out std_logic; radio1_cs : out std_logic; radio2_cs : out std_logic; radio3_cs : out std_logic; radio4_cs : out std_logic; dac1_cs : out std_logic; dac2_cs : out std_logic; dac3_cs : out std_logic; dac4_cs : out std_logic; radio1_SHDN : out std_logic; radio1_TxEn : out std_logic; radio1_RxEn : out std_logic; radio1_RxHP : out std_logic; radio1_LD : in std_logic; radio1_24PA : out std_logic; radio1_5PA : out std_logic; radio1_ANTSW : out std_logic_vector(0 to 1); radio1_LED : out std_logic_vector(0 to 2); radio1_ADC_RX_DCS : out std_logic; radio1_ADC_RX_DFS : out std_logic; radio1_ADC_RX_OTRA : in std_logic; radio1_ADC_RX_OTRB : in std_logic; radio1_ADC_RX_PWDNA : out std_logic; radio1_ADC_RX_PWDNB : out std_logic; radio1_DIPSW : in std_logic_vector(0 to 3); radio1_RSSI_ADC_CLAMP : out std_logic; radio1_RSSI_ADC_HIZ : out std_logic; radio1_RSSI_ADC_OTR : in std_logic; radio1_RSSI_ADC_SLEEP : out std_logic; radio1_RSSI_ADC_D : in std_logic_vector(0 to 9); radio1_TX_DAC_PLL_LOCK : in std_logic; radio1_TX_DAC_RESET : out std_logic; radio1_RxHP_external : in std_logic; radio1_TxGain : out std_logic_vector(0 to 5); radio1_TxStart : out std_logic; radio2_SHDN : out std_logic; radio2_TxEn : out std_logic; radio2_RxEn : out std_logic; radio2_RxHP : out std_logic; radio2_LD : in std_logic; radio2_24PA : out std_logic; radio2_5PA : out std_logic; radio2_ANTSW : out std_logic_vector(0 to 1); radio2_LED : out std_logic_vector(0 to 2); radio2_ADC_RX_DCS : out std_logic; radio2_ADC_RX_DFS : out std_logic; radio2_ADC_RX_OTRA : in std_logic; radio2_ADC_RX_OTRB : in std_logic; radio2_ADC_RX_PWDNA : out std_logic; radio2_ADC_RX_PWDNB : out std_logic; radio2_DIPSW : in std_logic_vector(0 to 3); radio2_RSSI_ADC_CLAMP : out std_logic; radio2_RSSI_ADC_HIZ : out std_logic; radio2_RSSI_ADC_OTR : in std_logic; radio2_RSSI_ADC_SLEEP : out std_logic; radio2_RSSI_ADC_D : in std_logic_vector(0 to 9); radio2_TX_DAC_PLL_LOCK : in std_logic; radio2_TX_DAC_RESET : out std_logic; radio2_RxHP_external : in std_logic; radio2_TxGain : out std_logic_vector(0 to 5); radio2_TxStart : out std_logic; radio3_SHDN : out std_logic; radio3_TxEn : out std_logic; radio3_RxEn : out std_logic; radio3_RxHP : out std_logic; radio3_LD : in std_logic; radio3_24PA : out std_logic; radio3_5PA : out std_logic; radio3_ANTSW : out std_logic_vector(0 to 1); radio3_LED : out std_logic_vector(0 to 2); radio3_ADC_RX_DCS : out std_logic; radio3_ADC_RX_DFS : out std_logic; radio3_ADC_RX_OTRA : in std_logic; radio3_ADC_RX_OTRB : in std_logic; radio3_ADC_RX_PWDNA : out std_logic; radio3_ADC_RX_PWDNB : out std_logic; radio3_DIPSW : in std_logic_vector(0 to 3); radio3_RSSI_ADC_CLAMP : out std_logic; radio3_RSSI_ADC_HIZ : out std_logic; radio3_RSSI_ADC_OTR : in std_logic; radio3_RSSI_ADC_SLEEP : out std_logic; radio3_RSSI_ADC_D : in std_logic_vector(0 to 9); radio3_TX_DAC_PLL_LOCK : in std_logic; radio3_TX_DAC_RESET : out std_logic; radio3_RxHP_external : in std_logic; radio3_TxGain : out std_logic_vector(0 to 5); radio3_TxStart : out std_logic; radio4_SHDN : out std_logic; radio4_TxEn : out std_logic; radio4_RxEn : out std_logic; radio4_RxHP : out std_logic; radio4_LD : in std_logic; radio4_24PA : out std_logic; radio4_5PA : out std_logic; radio4_ANTSW : out std_logic_vector(0 to 1); radio4_LED : out std_logic_vector(0 to 2); radio4_ADC_RX_DCS : out std_logic; radio4_ADC_RX_DFS : out std_logic; radio4_ADC_RX_OTRA : in std_logic; radio4_ADC_RX_OTRB : in std_logic; radio4_ADC_RX_PWDNA : out std_logic; radio4_ADC_RX_PWDNB : out std_logic; radio4_DIPSW : in std_logic_vector(0 to 3); radio4_RSSI_ADC_CLAMP : out std_logic; radio4_RSSI_ADC_HIZ : out std_logic; radio4_RSSI_ADC_OTR : in std_logic; radio4_RSSI_ADC_SLEEP : out std_logic; radio4_RSSI_ADC_D : in std_logic_vector(0 to 9); radio4_TX_DAC_PLL_LOCK : in std_logic; radio4_TX_DAC_RESET : out std_logic; radio4_RxHP_external : in std_logic; radio4_TxGain : out std_logic_vector(0 to 5); radio4_TxStart : out std_logic; -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol ports, do not add to or delete OPB_Clk : in std_logic; OPB_Rst : in std_logic; Sl_DBus : out std_logic_vector(0 to C_OPB_DWIDTH-1); Sl_errAck : out std_logic; Sl_retry : out std_logic; Sl_toutSup : out std_logic; Sl_xferAck : out std_logic; OPB_ABus : in std_logic_vector(0 to C_OPB_AWIDTH-1); OPB_BE : in std_logic_vector(0 to C_OPB_DWIDTH/8-1); OPB_DBus : in std_logic_vector(0 to C_OPB_DWIDTH-1); OPB_RNW : in std_logic; OPB_select : in std_logic; OPB_seqAddr : in std_logic -- DO NOT EDIT ABOVE THIS LINE --------------------- ); attribute SIGIS : string; attribute SIGIS of OPB_Clk : signal is "Clk"; attribute SIGIS of OPB_Rst : signal is "Rst"; end entity radio_controller; ------------------------------------------------------------------------------ -- Architecture section ------------------------------------------------------------------------------ architecture IMP of radio_controller is ------------------------------------------ -- Constant: array of address range identifiers ------------------------------------------ constant ARD_ID_ARRAY : INTEGER_ARRAY_TYPE := ( 0 => USER_00 -- user logic S/W register address space ); ------------------------------------------ -- Constant: array of address pairs for each address range ------------------------------------------ constant ZERO_ADDR_PAD : std_logic_vector(0 to 64-C_OPB_AWIDTH-1) := (others => '0'); constant USER_BASEADDR : std_logic_vector := C_BASEADDR; constant USER_HIGHADDR : std_logic_vector := C_HIGHADDR; constant ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE := ( ZERO_ADDR_PAD & USER_BASEADDR, -- user logic base address ZERO_ADDR_PAD & USER_HIGHADDR -- user logic high address ); ------------------------------------------ -- Constant: array of data widths for each target address range ------------------------------------------ constant USER_DWIDTH : integer := 32; constant ARD_DWIDTH_ARRAY : INTEGER_ARRAY_TYPE := ( 0 => USER_DWIDTH -- user logic data width ); ------------------------------------------ -- Constant: array of desired number of chip enables for each address range ------------------------------------------ -- constant USER_NUM_CE : integer := 8; constant USER_NUM_CE : integer := 16; constant ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE := ( 0 => pad_power2(USER_NUM_CE) -- user logic number of CEs ); ------------------------------------------ -- Constant: array of unique properties for each address range ------------------------------------------ constant ARD_DEPENDENT_PROPS_ARRAY : DEPENDENT_PROPS_ARRAY_TYPE := ( 0 => (others => 0) -- user logic slave space dependent properties (none defined) ); ------------------------------------------ -- Constant: pipeline mode -- 1 = include OPB-In pipeline registers -- 2 = include IP pipeline registers -- 3 = include OPB-In and IP pipeline registers -- 4 = include OPB-Out pipeline registers -- 5 = include OPB-In and OPB-Out pipeline registers -- 6 = include IP and OPB-Out pipeline registers -- 7 = include OPB-In, IP, and OPB-Out pipeline registers -- Note: -- only mode 4, 5, 7 are supported for this release ------------------------------------------ constant PIPELINE_MODEL : integer := 5; ------------------------------------------ -- Constant: user core ID code ------------------------------------------ constant DEV_BLK_ID : integer := 0; ------------------------------------------ -- Constant: enable MIR/Reset register ------------------------------------------ constant DEV_MIR_ENABLE : integer := 0; ------------------------------------------ -- Constant: array of IP interrupt mode -- 1 = Active-high interrupt condition -- 2 = Active-low interrupt condition -- 3 = Active-high pulse interrupt event -- 4 = Active-low pulse interrupt event -- 5 = Positive-edge interrupt event -- 6 = Negative-edge interrupt event ------------------------------------------ constant IP_INTR_MODE_ARRAY : INTEGER_ARRAY_TYPE := ( 0 => 0 -- not used ); ------------------------------------------ -- Constant: enable device burst ------------------------------------------ constant DEV_BURST_ENABLE : integer := 0; ------------------------------------------ -- Constant: include address counter for burst transfers ------------------------------------------ constant INCLUDE_ADDR_CNTR : integer := 0; ------------------------------------------ -- Constant: include write buffer that decouples OPB and IPIC write transactions ------------------------------------------ constant INCLUDE_WR_BUF : integer := 0; ------------------------------------------ -- Constant: index for CS/CE ------------------------------------------ constant USER00_CS_INDEX : integer := get_id_index(ARD_ID_ARRAY, USER_00); constant USER00_CE_INDEX : integer := calc_start_ce_index(ARD_NUM_CE_ARRAY, USER00_CS_INDEX); ------------------------------------------ -- IP Interconnect (IPIC) signal declarations -- do not delete -- prefix 'i' stands for IPIF while prefix 'u' stands for user logic -- typically user logic will be hooked up to IPIF directly via i<sig> -- unless signal slicing and muxing are needed via u<sig> ------------------------------------------ signal iBus2IP_RdCE : std_logic_vector(0 to calc_num_ce(ARD_NUM_CE_ARRAY)-1); signal iBus2IP_WrCE : std_logic_vector(0 to calc_num_ce(ARD_NUM_CE_ARRAY)-1); signal iBus2IP_Data : std_logic_vector(0 to C_OPB_DWIDTH-1); signal iBus2IP_BE : std_logic_vector(0 to C_OPB_DWIDTH/8-1); signal iIP2Bus_Data : std_logic_vector(0 to C_OPB_DWIDTH-1) := (others => '0'); signal iIP2Bus_Ack : std_logic := '0'; signal iIP2Bus_Error : std_logic := '0'; signal iIP2Bus_Retry : std_logic := '0'; signal iIP2Bus_ToutSup : std_logic := '0'; signal ZERO_IP2Bus_PostedWrInh : std_logic_vector(0 to ARD_ID_ARRAY'length-1) := (others => '0'); -- work around for XST not taking (others => '0') in port mapping signal ZERO_IP2RFIFO_Data : std_logic_vector(0 to ARD_DWIDTH_ARRAY(get_id_index_iboe(ARD_ID_ARRAY, IPIF_RDFIFO_DATA))-1) := (others => '0'); -- work around for XST not taking (others => '0') in port mapping signal ZERO_WFIFO2IP_Data : std_logic_vector(0 to ARD_DWIDTH_ARRAY(get_id_index_iboe(ARD_ID_ARRAY, IPIF_WRFIFO_DATA))-1) := (others => '0'); -- work around for XST not taking (others => '0') in port mapping signal ZERO_IP2Bus_IntrEvent : std_logic_vector(0 to IP_INTR_MODE_ARRAY'length-1) := (others => '0'); -- work around for XST not taking (others => '0') in port mapping signal iBus2IP_Clk : std_logic; signal iBus2IP_Reset : std_logic; signal uBus2IP_Data : std_logic_vector(0 to USER_DWIDTH-1); signal uBus2IP_BE : std_logic_vector(0 to USER_DWIDTH/8-1); signal uBus2IP_RdCE : std_logic_vector(0 to USER_NUM_CE-1); signal uBus2IP_WrCE : std_logic_vector(0 to USER_NUM_CE-1); signal uIP2Bus_Data : std_logic_vector(0 to USER_DWIDTH-1); ------------------------------------------ -- Component declaration for verilog user logic ------------------------------------------ component user_logic is generic ( -- ADD USER GENERICS BELOW THIS LINE --------------- --USER generics added here -- ADD USER GENERICS ABOVE THIS LINE --------------- -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol parameters, do not add to or delete C_DWIDTH : integer := 32; C_NUM_CE : integer := 16 -- DO NOT EDIT ABOVE THIS LINE --------------------- ); port ( -- ADD USER PORTS BELOW THIS LINE ------------------ --USER ports added here spi_clk : out std_logic; data_out : out std_logic; Radio1_cs : out std_logic; Radio2_cs : out std_logic; Radio3_cs : out std_logic; Radio4_cs : out std_logic; Dac1_cs : out std_logic; Dac2_cs : out std_logic; Dac3_cs : out std_logic; Dac4_cs : out std_logic; Radio1_SHDN : out std_logic; Radio1_TxEn : out std_logic; Radio1_RxEn : out std_logic; Radio1_RxHP : out std_logic; Radio1_LD : in std_logic; Radio1_24PA : out std_logic; Radio1_5PA : out std_logic; Radio1_ANTSW : out std_logic_vector(0 to 1); Radio1_LED : out std_logic_vector(0 to 2); Radio1_ADC_RX_DCS : out std_logic; Radio1_ADC_RX_DFS : out std_logic; Radio1_ADC_RX_OTRA : in std_logic; Radio1_ADC_RX_OTRB : in std_logic; Radio1_ADC_RX_PWDNA : out std_logic; Radio1_ADC_RX_PWDNB : out std_logic; Radio1_DIPSW : in std_logic_vector(0 to 3); Radio1_RSSI_ADC_CLAMP : out std_logic; Radio1_RSSI_ADC_HIZ : out std_logic; Radio1_RSSI_ADC_OTR : in std_logic; Radio1_RSSI_ADC_SLEEP : out std_logic; Radio1_RSSI_ADC_D : in std_logic_vector(0 to 9); Radio1_TX_DAC_PLL_LOCK : in std_logic; Radio1_TX_DAC_RESET : out std_logic; Radio1_RxHP_external : in std_logic; Radio1_TxGain : out std_logic_vector(0 to 5); Radio1_TxStart : out std_logic; Radio2_SHDN : out std_logic; Radio2_TxEn : out std_logic; Radio2_RxEn : out std_logic; Radio2_RxHP : out std_logic; Radio2_LD : in std_logic; Radio2_24PA : out std_logic; Radio2_5PA : out std_logic; Radio2_ANTSW : out std_logic_vector(0 to 1); Radio2_LED : out std_logic_vector(0 to 2); Radio2_ADC_RX_DCS : out std_logic; Radio2_ADC_RX_DFS : out std_logic; Radio2_ADC_RX_OTRA : in std_logic; Radio2_ADC_RX_OTRB : in std_logic; Radio2_ADC_RX_PWDNA : out std_logic; Radio2_ADC_RX_PWDNB : out std_logic; Radio2_DIPSW : in std_logic_vector(0 to 3); Radio2_RSSI_ADC_CLAMP : out std_logic; Radio2_RSSI_ADC_HIZ : out std_logic; Radio2_RSSI_ADC_OTR : in std_logic; Radio2_RSSI_ADC_SLEEP : out std_logic; Radio2_RSSI_ADC_D : in std_logic_vector(0 to 9); Radio2_TX_DAC_PLL_LOCK : in std_logic; Radio2_TX_DAC_RESET : out std_logic; Radio2_RxHP_external : in std_logic; Radio2_TxGain : out std_logic_vector(0 to 5); Radio2_TxStart : out std_logic; Radio3_SHDN : out std_logic; Radio3_TxEn : out std_logic; Radio3_RxEn : out std_logic; Radio3_RxHP : out std_logic; Radio3_LD : in std_logic; Radio3_24PA : out std_logic; Radio3_5PA : out std_logic; Radio3_ANTSW : out std_logic_vector(0 to 1); Radio3_LED : out std_logic_vector(0 to 2); Radio3_ADC_RX_DCS : out std_logic; Radio3_ADC_RX_DFS : out std_logic; Radio3_ADC_RX_OTRA : in std_logic; Radio3_ADC_RX_OTRB : in std_logic; Radio3_ADC_RX_PWDNA : out std_logic; Radio3_ADC_RX_PWDNB : out std_logic; Radio3_DIPSW : in std_logic_vector(0 to 3); Radio3_RSSI_ADC_CLAMP : out std_logic; Radio3_RSSI_ADC_HIZ : out std_logic; Radio3_RSSI_ADC_OTR : in std_logic; Radio3_RSSI_ADC_SLEEP : out std_logic; Radio3_RSSI_ADC_D : in std_logic_vector(0 to 9); Radio3_TX_DAC_PLL_LOCK : in std_logic; Radio3_TX_DAC_RESET : out std_logic; Radio3_RxHP_external : in std_logic; Radio3_TxGain : out std_logic_vector(0 to 5); Radio3_TxStart : out std_logic; Radio4_SHDN : out std_logic; Radio4_TxEn : out std_logic; Radio4_RxEn : out std_logic; Radio4_RxHP : out std_logic; Radio4_LD : in std_logic; Radio4_24PA : out std_logic; Radio4_5PA : out std_logic; Radio4_ANTSW : out std_logic_vector(0 to 1); Radio4_LED : out std_logic_vector(0 to 2); Radio4_ADC_RX_DCS : out std_logic; Radio4_ADC_RX_DFS : out std_logic; Radio4_ADC_RX_OTRA : in std_logic; Radio4_ADC_RX_OTRB : in std_logic; Radio4_ADC_RX_PWDNA : out std_logic; Radio4_ADC_RX_PWDNB : out std_logic; Radio4_DIPSW : in std_logic_vector(0 to 3); Radio4_RSSI_ADC_CLAMP : out std_logic; Radio4_RSSI_ADC_HIZ : out std_logic; Radio4_RSSI_ADC_OTR : in std_logic; Radio4_RSSI_ADC_SLEEP : out std_logic; Radio4_RSSI_ADC_D : in std_logic_vector(0 to 9); Radio4_TX_DAC_PLL_LOCK : in std_logic; Radio4_TX_DAC_RESET : out std_logic; Radio4_RxHP_external : in std_logic; Radio4_TxGain : out std_logic_vector(0 to 5); Radio4_TxStart : out std_logic; -- ADD USER PORTS ABOVE THIS LINE ------------------ -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol ports, do not add to or delete Bus2IP_Clk : in std_logic; Bus2IP_Reset : in std_logic; Bus2IP_Data : in std_logic_vector(0 to C_DWIDTH-1); Bus2IP_BE : in std_logic_vector(0 to C_DWIDTH/8-1); Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_CE-1); Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_CE-1); IP2Bus_Data : out std_logic_vector(0 to C_DWIDTH-1); IP2Bus_Ack : out std_logic; IP2Bus_Retry : out std_logic; IP2Bus_Error : out std_logic; IP2Bus_ToutSup : out std_logic -- DO NOT EDIT ABOVE THIS LINE --------------------- ); end component user_logic; begin ------------------------------------------ -- instantiate the OPB IPIF ------------------------------------------ OPB_IPIF_I : entity opb_ipif_v3_01_c.opb_ipif generic map ( C_ARD_ID_ARRAY => ARD_ID_ARRAY, C_ARD_ADDR_RANGE_ARRAY => ARD_ADDR_RANGE_ARRAY, C_ARD_DWIDTH_ARRAY => ARD_DWIDTH_ARRAY, C_ARD_NUM_CE_ARRAY => ARD_NUM_CE_ARRAY, C_ARD_DEPENDENT_PROPS_ARRAY => ARD_DEPENDENT_PROPS_ARRAY, C_PIPELINE_MODEL => PIPELINE_MODEL, C_DEV_BLK_ID => DEV_BLK_ID, C_DEV_MIR_ENABLE => DEV_MIR_ENABLE, C_OPB_AWIDTH => C_OPB_AWIDTH, C_OPB_DWIDTH => C_OPB_DWIDTH, C_FAMILY => C_FAMILY, C_IP_INTR_MODE_ARRAY => IP_INTR_MODE_ARRAY, C_DEV_BURST_ENABLE => DEV_BURST_ENABLE, C_INCLUDE_ADDR_CNTR => INCLUDE_ADDR_CNTR, C_INCLUDE_WR_BUF => INCLUDE_WR_BUF ) port map ( OPB_select => OPB_select, OPB_DBus => OPB_DBus, OPB_ABus => OPB_ABus, OPB_BE => OPB_BE, OPB_RNW => OPB_RNW, OPB_seqAddr => OPB_seqAddr, Sln_DBus => Sl_DBus, Sln_xferAck => Sl_xferAck, Sln_errAck => Sl_errAck, Sln_retry => Sl_retry, Sln_toutSup => Sl_toutSup, Bus2IP_CS => open, Bus2IP_CE => open, Bus2IP_RdCE => iBus2IP_RdCE, Bus2IP_WrCE => iBus2IP_WrCE, Bus2IP_Data => iBus2IP_Data, Bus2IP_Addr => open, Bus2IP_AddrValid => open, Bus2IP_BE => iBus2IP_BE, Bus2IP_RNW => open, Bus2IP_Burst => open, IP2Bus_Data => iIP2Bus_Data, IP2Bus_Ack => iIP2Bus_Ack, IP2Bus_AddrAck => '0', IP2Bus_Error => iIP2Bus_Error, IP2Bus_Retry => iIP2Bus_Retry, IP2Bus_ToutSup => iIP2Bus_ToutSup, IP2Bus_PostedWrInh => ZERO_IP2Bus_PostedWrInh, IP2RFIFO_Data => ZERO_IP2RFIFO_Data, IP2RFIFO_WrMark => '0', IP2RFIFO_WrRelease => '0', IP2RFIFO_WrReq => '0', IP2RFIFO_WrRestore => '0', RFIFO2IP_AlmostFull => open, RFIFO2IP_Full => open, RFIFO2IP_Vacancy => open, RFIFO2IP_WrAck => open, IP2WFIFO_RdMark => '0', IP2WFIFO_RdRelease => '0', IP2WFIFO_RdReq => '0', IP2WFIFO_RdRestore => '0', WFIFO2IP_AlmostEmpty => open, WFIFO2IP_Data => ZERO_WFIFO2IP_Data, WFIFO2IP_Empty => open, WFIFO2IP_Occupancy => open, WFIFO2IP_RdAck => open, IP2Bus_IntrEvent => ZERO_IP2Bus_IntrEvent, IP2INTC_Irpt => open, Freeze => '0', Bus2IP_Freeze => open, OPB_Clk => OPB_Clk, Bus2IP_Clk => iBus2IP_Clk, IP2Bus_Clk => '0', Reset => OPB_Rst, Bus2IP_Reset => iBus2IP_Reset ); ------------------------------------------ -- instantiate the User Logic ------------------------------------------ USER_LOGIC_I : component user_logic generic map ( -- MAP USER GENERICS BELOW THIS LINE --------------- --USER generics mapped here -- MAP USER GENERICS ABOVE THIS LINE --------------- C_DWIDTH => USER_DWIDTH, C_NUM_CE => USER_NUM_CE ) port map ( -- MAP USER PORTS BELOW THIS LINE ------------------ --USER ports mapped here spi_clk => spi_clk, data_out => data_out, Radio1_cs => radio1_cs, Radio2_cs => radio2_cs, Radio3_cs => radio3_cs, Radio4_cs => radio4_cs, Dac1_cs => dac1_cs, Dac2_cs => dac2_cs, Dac3_cs => dac3_cs, Dac4_cs => dac4_cs, Radio1_SHDN => radio1_SHDN, Radio1_TxEn => radio1_TxEn, Radio1_RxEn => radio1_RxEn, Radio1_RxHP => radio1_RxHP, Radio1_LD => radio1_LD, Radio1_24PA => radio1_24PA, Radio1_5PA => radio1_5PA, Radio1_ANTSW => radio1_ANTSW, Radio1_LED => radio1_LED, Radio1_ADC_RX_DCS => radio1_ADC_RX_DCS, Radio1_ADC_RX_DFS => radio1_ADC_RX_DFS, Radio1_ADC_RX_OTRA => radio1_ADC_RX_OTRA, Radio1_ADC_RX_OTRB => radio1_ADC_RX_OTRB, Radio1_ADC_RX_PWDNA => radio1_ADC_RX_PWDNA, Radio1_ADC_RX_PWDNB => radio1_ADC_RX_PWDNB, Radio1_DIPSW => radio1_DIPSW, Radio1_RSSI_ADC_CLAMP => radio1_RSSI_ADC_CLAMP, Radio1_RSSI_ADC_HIZ => radio1_RSSI_ADC_HIZ, Radio1_RSSI_ADC_OTR => radio1_RSSI_ADC_OTR, Radio1_RSSI_ADC_SLEEP => radio1_RSSI_ADC_SLEEP, Radio1_RSSI_ADC_D => radio1_RSSI_ADC_D, Radio1_TX_DAC_PLL_LOCK => radio1_TX_DAC_PLL_LOCK, Radio1_TX_DAC_RESET => radio1_TX_DAC_RESET, Radio1_RxHP_external => radio1_RxHP_external, Radio1_TxGain => radio1_TxGain, Radio1_TxStart => radio1_TxStart, Radio2_SHDN => radio2_SHDN, Radio2_TxEn => radio2_TxEn, Radio2_RxEn => radio2_RxEn, Radio2_RxHP => radio2_RxHP, Radio2_LD => radio2_LD, Radio2_24PA => radio2_24PA, Radio2_5PA => radio2_5PA, Radio2_ANTSW => radio2_ANTSW, Radio2_LED => radio2_LED, Radio2_ADC_RX_DCS => radio2_ADC_RX_DCS, Radio2_ADC_RX_DFS => radio2_ADC_RX_DFS, Radio2_ADC_RX_OTRA => radio2_ADC_RX_OTRA, Radio2_ADC_RX_OTRB => radio2_ADC_RX_OTRB, Radio2_ADC_RX_PWDNA => radio2_ADC_RX_PWDNA, Radio2_ADC_RX_PWDNB => radio2_ADC_RX_PWDNB, Radio2_DIPSW => radio2_DIPSW, Radio2_RSSI_ADC_CLAMP => radio2_RSSI_ADC_CLAMP, Radio2_RSSI_ADC_HIZ => radio2_RSSI_ADC_HIZ, Radio2_RSSI_ADC_OTR => radio2_RSSI_ADC_OTR, Radio2_RSSI_ADC_SLEEP => radio2_RSSI_ADC_SLEEP, Radio2_RSSI_ADC_D => radio2_RSSI_ADC_D, Radio2_TX_DAC_PLL_LOCK => radio2_TX_DAC_PLL_LOCK, Radio2_TX_DAC_RESET => radio2_TX_DAC_RESET, Radio2_RxHP_external => radio2_RxHP_external, Radio2_TxGain => radio2_TxGain, Radio2_TxStart => radio2_TxStart, Radio3_SHDN => radio3_SHDN, Radio3_TxEn => radio3_TxEn, Radio3_RxEn => radio3_RxEn, Radio3_RxHP => radio3_RxHP, Radio3_LD => radio3_LD, Radio3_24PA => radio3_24PA, Radio3_5PA => radio3_5PA, Radio3_ANTSW => radio3_ANTSW, Radio3_LED => radio3_LED, Radio3_ADC_RX_DCS => radio3_ADC_RX_DCS, Radio3_ADC_RX_DFS => radio3_ADC_RX_DFS, Radio3_ADC_RX_OTRA => radio3_ADC_RX_OTRA, Radio3_ADC_RX_OTRB => radio3_ADC_RX_OTRB, Radio3_ADC_RX_PWDNA => radio3_ADC_RX_PWDNA, Radio3_ADC_RX_PWDNB => radio3_ADC_RX_PWDNB, Radio3_DIPSW => radio3_DIPSW, Radio3_RSSI_ADC_CLAMP => radio3_RSSI_ADC_CLAMP, Radio3_RSSI_ADC_HIZ => radio3_RSSI_ADC_HIZ, Radio3_RSSI_ADC_OTR => radio3_RSSI_ADC_OTR, Radio3_RSSI_ADC_SLEEP => radio3_RSSI_ADC_SLEEP, Radio3_RSSI_ADC_D => radio3_RSSI_ADC_D, Radio3_TX_DAC_PLL_LOCK => radio3_TX_DAC_PLL_LOCK, Radio3_TX_DAC_RESET => radio3_TX_DAC_RESET, Radio3_RxHP_external => radio3_RxHP_external, Radio3_TxGain => radio3_TxGain, Radio3_TxStart => radio3_TxStart, Radio4_SHDN => radio4_SHDN, Radio4_TxEn => radio4_TxEn, Radio4_RxEn => radio4_RxEn, Radio4_RxHP => radio4_RxHP, Radio4_LD => radio4_LD, Radio4_24PA => radio4_24PA, Radio4_5PA => radio4_5PA, Radio4_ANTSW => radio4_ANTSW, Radio4_LED => radio4_LED, Radio4_ADC_RX_DCS => radio4_ADC_RX_DCS, Radio4_ADC_RX_DFS => radio4_ADC_RX_DFS, Radio4_ADC_RX_OTRA => radio4_ADC_RX_OTRA, Radio4_ADC_RX_OTRB => radio4_ADC_RX_OTRB, Radio4_ADC_RX_PWDNA => radio4_ADC_RX_PWDNA, Radio4_ADC_RX_PWDNB => radio4_ADC_RX_PWDNB, Radio4_DIPSW => radio4_DIPSW, Radio4_RSSI_ADC_CLAMP => radio4_RSSI_ADC_CLAMP, Radio4_RSSI_ADC_HIZ => radio4_RSSI_ADC_HIZ, Radio4_RSSI_ADC_OTR => radio4_RSSI_ADC_OTR, Radio4_RSSI_ADC_SLEEP => radio4_RSSI_ADC_SLEEP, Radio4_RSSI_ADC_D => radio4_RSSI_ADC_D, Radio4_TX_DAC_PLL_LOCK => radio4_TX_DAC_PLL_LOCK, Radio4_TX_DAC_RESET => radio4_TX_DAC_RESET, Radio4_RxHP_external => radio4_RxHP_external, Radio4_TxGain => radio4_TxGain, Radio4_TxStart => radio4_TxStart, -- MAP USER PORTS ABOVE THIS LINE ------------------ Bus2IP_Clk => iBus2IP_Clk, Bus2IP_Reset => iBus2IP_Reset, Bus2IP_Data => uBus2IP_Data, Bus2IP_BE => uBus2IP_BE, Bus2IP_RdCE => uBus2IP_RdCE, Bus2IP_WrCE => uBus2IP_WrCE, IP2Bus_Data => uIP2Bus_Data, IP2Bus_Ack => iIP2Bus_Ack, IP2Bus_Retry => iIP2Bus_Retry, IP2Bus_Error => iIP2Bus_Error, IP2Bus_ToutSup => iIP2Bus_ToutSup ); ------------------------------------------ -- hooking up signal slicing ------------------------------------------ uBus2IP_BE <= iBus2IP_BE(0 to USER_DWIDTH/8-1); uBus2IP_Data <= iBus2IP_Data(0 to USER_DWIDTH-1); uBus2IP_RdCE <= iBus2IP_RdCE(USER00_CE_INDEX to USER00_CE_INDEX+USER_NUM_CE-1); uBus2IP_WrCE <= iBus2IP_WrCE(USER00_CE_INDEX to USER00_CE_INDEX+USER_NUM_CE-1); iIP2Bus_Data(0 to USER_DWIDTH-1) <= uIP2Bus_Data; end IMP;
bsd-2-clause
5c01b002ca5068aa933098b14989577c
0.433285
3.945868
false
false
false
false
Given-Jiang/Gray_Processing
tb_Gray_Processing/hdl/tb_Gray_Processing.vhd
2
12,698
-- tb_Gray_Processing.vhd -- Generated using ACDS version 13.1 162 at 2015.02.12.11:11:33 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity tb_Gray_Processing is end entity tb_Gray_Processing; architecture rtl of tb_Gray_Processing is component Gray_Processing_GN is port ( Clock : in std_logic := 'X'; -- clk aclr : in std_logic := 'X'; -- reset_n Avalon_ST_Sink_data : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire Avalon_ST_Sink_endofpacket : in std_logic := 'X'; -- wire Avalon_ST_Source_valid : out std_logic; -- wire Avalon_ST_Sink_valid : in std_logic := 'X'; -- wire Avalon_ST_Source_endofpacket : out std_logic; -- wire Avalon_ST_Source_startofpacket : out std_logic; -- wire Avalon_ST_Source_ready : in std_logic := 'X'; -- wire Avalon_ST_Sink_ready : out std_logic; -- wire Avalon_ST_Sink_startofpacket : in std_logic := 'X'; -- wire Avalon_ST_Source_data : out std_logic_vector(23 downto 0) -- wire ); end component Gray_Processing_GN; component alt_dspbuilder_testbench_clock_GNCGUFKHRR is generic ( SIMULATION_START_CYCLE : natural := 4; RESET_LATENCY : natural := 0; RESET_REGISTER_CASCADE_DEPTH : natural := 0 ); port ( aclr_out : out std_logic; -- reset clock_out : out std_logic; -- clk reg_aclr_out : out std_logic; -- reset tb_aclr : out std_logic -- reset ); end component alt_dspbuilder_testbench_clock_GNCGUFKHRR; component alt_dspbuilder_testbench_salt_GNOXVOQUET is generic ( XFILE : string := "default" ); port ( clock : in std_logic := 'X'; -- clk aclr : in std_logic := 'X'; -- reset output : out std_logic_vector(23 downto 0) -- wire ); end component alt_dspbuilder_testbench_salt_GNOXVOQUET; component alt_dspbuilder_testbench_salt_GNDBMPYDND is generic ( XFILE : string := "default" ); port ( clock : in std_logic := 'X'; -- clk aclr : in std_logic := 'X'; -- reset output : out std_logic -- wire ); end component alt_dspbuilder_testbench_salt_GNDBMPYDND; component alt_dspbuilder_testbench_capture_GNQX2JTRTZ is generic ( XFILE : string := "default"; DSPBTYPE : string := "" ); port ( clock : in std_logic := 'X'; -- clk aclr : in std_logic := 'X'; -- reset input : in std_logic := 'X' -- wire ); end component alt_dspbuilder_testbench_capture_GNQX2JTRTZ; component alt_dspbuilder_testbench_capture_GNHCRI5YMO is generic ( XFILE : string := "default"; DSPBTYPE : string := "" ); port ( clock : in std_logic := 'X'; -- clk aclr : in std_logic := 'X'; -- reset input : in std_logic_vector(23 downto 0) := (others => 'X') -- wire ); end component alt_dspbuilder_testbench_capture_GNHCRI5YMO; signal salt_avalon_st_sink_data_output_wire : std_logic_vector(23 downto 0); -- salt_Avalon_ST_Sink_data:output -> dut:Avalon_ST_Sink_data signal clock_clock_tb_reset : std_logic; -- Clock:tb_aclr -> [salt_Avalon_ST_Sink_data:aclr, salt_Avalon_ST_Sink_endofpacket:aclr, salt_Avalon_ST_Sink_startofpacket:aclr, salt_Avalon_ST_Sink_valid:aclr, salt_Avalon_ST_Source_ready:aclr] signal clock_clock_tb_clk : std_logic; -- Clock:clock_out -> [capture_Avalon_ST_Sink_ready:clock, capture_Avalon_ST_Source_data:clock, capture_Avalon_ST_Source_endofpacket:clock, capture_Avalon_ST_Source_startofpacket:clock, capture_Avalon_ST_Source_valid:clock, dut:Clock, salt_Avalon_ST_Sink_data:clock, salt_Avalon_ST_Sink_endofpacket:clock, salt_Avalon_ST_Sink_startofpacket:clock, salt_Avalon_ST_Sink_valid:clock, salt_Avalon_ST_Source_ready:clock] signal salt_avalon_st_sink_endofpacket_output_wire : std_logic; -- salt_Avalon_ST_Sink_endofpacket:output -> dut:Avalon_ST_Sink_endofpacket signal salt_avalon_st_sink_valid_output_wire : std_logic; -- salt_Avalon_ST_Sink_valid:output -> dut:Avalon_ST_Sink_valid signal salt_avalon_st_source_ready_output_wire : std_logic; -- salt_Avalon_ST_Source_ready:output -> dut:Avalon_ST_Source_ready signal salt_avalon_st_sink_startofpacket_output_wire : std_logic; -- salt_Avalon_ST_Sink_startofpacket:output -> dut:Avalon_ST_Sink_startofpacket signal dut_avalon_st_source_valid_wire : std_logic; -- dut:Avalon_ST_Source_valid -> capture_Avalon_ST_Source_valid:input signal clock_clock_reg_reset_reset : std_logic; -- Clock:reg_aclr_out -> [capture_Avalon_ST_Sink_ready:aclr, capture_Avalon_ST_Source_data:aclr, capture_Avalon_ST_Source_endofpacket:aclr, capture_Avalon_ST_Source_startofpacket:aclr, capture_Avalon_ST_Source_valid:aclr] signal dut_avalon_st_source_endofpacket_wire : std_logic; -- dut:Avalon_ST_Source_endofpacket -> capture_Avalon_ST_Source_endofpacket:input signal dut_avalon_st_source_startofpacket_wire : std_logic; -- dut:Avalon_ST_Source_startofpacket -> capture_Avalon_ST_Source_startofpacket:input signal dut_avalon_st_sink_ready_wire : std_logic; -- dut:Avalon_ST_Sink_ready -> capture_Avalon_ST_Sink_ready:input signal dut_avalon_st_source_data_wire : std_logic_vector(23 downto 0); -- dut:Avalon_ST_Source_data -> capture_Avalon_ST_Source_data:input signal clock_clock_output_reset : std_logic; -- Clock:aclr_out -> clock_clock_output_reset:in signal clock_clock_output_reset_ports_inv : std_logic; -- clock_clock_output_reset:inv -> dut:aclr begin dut : component Gray_Processing_GN port map ( Clock => clock_clock_tb_clk, -- Clock.clk aclr => clock_clock_output_reset_ports_inv, -- .reset_n Avalon_ST_Sink_data => salt_avalon_st_sink_data_output_wire, -- Avalon_ST_Sink_data.wire Avalon_ST_Sink_endofpacket => salt_avalon_st_sink_endofpacket_output_wire, -- Avalon_ST_Sink_endofpacket.wire Avalon_ST_Source_valid => dut_avalon_st_source_valid_wire, -- Avalon_ST_Source_valid.wire Avalon_ST_Sink_valid => salt_avalon_st_sink_valid_output_wire, -- Avalon_ST_Sink_valid.wire Avalon_ST_Source_endofpacket => dut_avalon_st_source_endofpacket_wire, -- Avalon_ST_Source_endofpacket.wire Avalon_ST_Source_startofpacket => dut_avalon_st_source_startofpacket_wire, -- Avalon_ST_Source_startofpacket.wire Avalon_ST_Source_ready => salt_avalon_st_source_ready_output_wire, -- Avalon_ST_Source_ready.wire Avalon_ST_Sink_ready => dut_avalon_st_sink_ready_wire, -- Avalon_ST_Sink_ready.wire Avalon_ST_Sink_startofpacket => salt_avalon_st_sink_startofpacket_output_wire, -- Avalon_ST_Sink_startofpacket.wire Avalon_ST_Source_data => dut_avalon_st_source_data_wire -- Avalon_ST_Source_data.wire ); clock : component alt_dspbuilder_testbench_clock_GNCGUFKHRR generic map ( SIMULATION_START_CYCLE => 5, RESET_LATENCY => 0, RESET_REGISTER_CASCADE_DEPTH => 0 ) port map ( clock_out => clock_clock_tb_clk, -- clock_tb.clk tb_aclr => clock_clock_tb_reset, -- .reset aclr_out => clock_clock_output_reset, -- clock_output.reset reg_aclr_out => clock_clock_reg_reset_reset -- clock_reg_reset.reset ); salt_avalon_st_sink_data : component alt_dspbuilder_testbench_salt_GNOXVOQUET generic map ( XFILE => "Gray%5FProcessing_Avalon-ST+Sink_data.salt" ) port map ( clock => clock_clock_tb_clk, -- clock_aclr.clk aclr => clock_clock_tb_reset, -- .reset output => salt_avalon_st_sink_data_output_wire -- output.wire ); salt_avalon_st_sink_endofpacket : component alt_dspbuilder_testbench_salt_GNDBMPYDND generic map ( XFILE => "Gray%5FProcessing_Avalon-ST+Sink_endofpacket.salt" ) port map ( clock => clock_clock_tb_clk, -- clock_aclr.clk aclr => clock_clock_tb_reset, -- .reset output => salt_avalon_st_sink_endofpacket_output_wire -- output.wire ); salt_avalon_st_sink_valid : component alt_dspbuilder_testbench_salt_GNDBMPYDND generic map ( XFILE => "Gray%5FProcessing_Avalon-ST+Sink_valid.salt" ) port map ( clock => clock_clock_tb_clk, -- clock_aclr.clk aclr => clock_clock_tb_reset, -- .reset output => salt_avalon_st_sink_valid_output_wire -- output.wire ); salt_avalon_st_source_ready : component alt_dspbuilder_testbench_salt_GNDBMPYDND generic map ( XFILE => "Gray%5FProcessing_Avalon-ST+Source_ready.salt" ) port map ( clock => clock_clock_tb_clk, -- clock_aclr.clk aclr => clock_clock_tb_reset, -- .reset output => salt_avalon_st_source_ready_output_wire -- output.wire ); salt_avalon_st_sink_startofpacket : component alt_dspbuilder_testbench_salt_GNDBMPYDND generic map ( XFILE => "Gray%5FProcessing_Avalon-ST+Sink_startofpacket.salt" ) port map ( clock => clock_clock_tb_clk, -- clock_aclr.clk aclr => clock_clock_tb_reset, -- .reset output => salt_avalon_st_sink_startofpacket_output_wire -- output.wire ); capture_avalon_st_source_valid : component alt_dspbuilder_testbench_capture_GNQX2JTRTZ generic map ( XFILE => "Gray%5FProcessing_Avalon-ST+Source_valid.capture.msim", DSPBTYPE => "BIT [1, 0]" ) port map ( clock => clock_clock_tb_clk, -- clock_aclr.clk aclr => clock_clock_reg_reset_reset, -- .reset input => dut_avalon_st_source_valid_wire -- input.wire ); capture_avalon_st_source_endofpacket : component alt_dspbuilder_testbench_capture_GNQX2JTRTZ generic map ( XFILE => "Gray%5FProcessing_Avalon-ST+Source_endofpacket.capture.msim", DSPBTYPE => "BIT [1, 0]" ) port map ( clock => clock_clock_tb_clk, -- clock_aclr.clk aclr => clock_clock_reg_reset_reset, -- .reset input => dut_avalon_st_source_endofpacket_wire -- input.wire ); capture_avalon_st_source_startofpacket : component alt_dspbuilder_testbench_capture_GNQX2JTRTZ generic map ( XFILE => "Gray%5FProcessing_Avalon-ST+Source_startofpacket.capture.msim", DSPBTYPE => "BIT [1, 0]" ) port map ( clock => clock_clock_tb_clk, -- clock_aclr.clk aclr => clock_clock_reg_reset_reset, -- .reset input => dut_avalon_st_source_startofpacket_wire -- input.wire ); capture_avalon_st_sink_ready : component alt_dspbuilder_testbench_capture_GNQX2JTRTZ generic map ( XFILE => "Gray%5FProcessing_Avalon-ST+Sink_ready.capture.msim", DSPBTYPE => "BIT [1, 0]" ) port map ( clock => clock_clock_tb_clk, -- clock_aclr.clk aclr => clock_clock_reg_reset_reset, -- .reset input => dut_avalon_st_sink_ready_wire -- input.wire ); capture_avalon_st_source_data : component alt_dspbuilder_testbench_capture_GNHCRI5YMO generic map ( XFILE => "Gray%5FProcessing_Avalon-ST+Source_data.capture.msim", DSPBTYPE => "UINT [24, 0]" ) port map ( clock => clock_clock_tb_clk, -- clock_aclr.clk aclr => clock_clock_reg_reset_reset, -- .reset input => dut_avalon_st_source_data_wire -- input.wire ); clock_clock_output_reset_ports_inv <= not clock_clock_output_reset; end architecture rtl; -- of tb_Gray_Processing
mit
c46bbd15edaa82cda6058cfe7fcbdb8b
0.58962
3.449606
false
true
false
false
fpgaminer/Open-Source-FPGA-Bitcoin-Miner
projects/VC707_experimental/VC707_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/common/synchronizer_ff.vhd
9
8,467
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Aj/RlqEIbEyNUglQ6DYB4Sr6apKLqpgdpZtrp3TgP9mwfgLTWPQMERHmACeEzTZdBicrTS/WVuB+ wvTuI3HOTw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block lYZLkDMDw02cMhn70Axx+o9DTMKxFvjAfrzihcEtP+GHMiN4XGqXD7VcpJ/GHXeI91qYzb7bTWq8 rj8X07gNdT8tNbUIkFCJ73fO05Dwtfe2hpc7LwTJucSkMq+9Tx4KOQkN1Jl7fo6TAZtd9+L0sT56 heYJaurI7LT4n58FBXU= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block kW8kUv4TiqlSXY2MIqDZeQDJmVqEJvwyia1+Uk8EnUvO9sdi4JXvvsqGSaKuqvObzZm2AyO0LbT4 lxExegEs9+AQWFOHq6Q6taVi3RjIiRQHfQCh6zZ6fUPqs7gT99DzaJmBqfX6BDx043feC9LbaJ6K VfKh6nCjLKzyS/0ZMQ6fS3cdKjQgAo4lZNcK90EmbKl8lUQ8D6vJs7trmHPT5+wNt8iRxiVOWEX2 wBRrA/3PQtYp7XZYDuLhQz1EBUbgNNPvOPp7XIZkwTJkReMgVPvsU69Bj8/kC0c0TpCO/dySzJLh Qcaw7gLUb7AANVyw5iiTYFxS99MxtXrZe5t1Fw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 3QavAN5alebaK4zREOnVfYY9CECl+xqwnxtWZKv2u6VDEPh6kWhgGKXXnzHdUy7GwdY/g2jiM9M1 akGCrkF10z37Wu/FE6GpGp0eYNBTj1pEyxR/+ATAU1lpsO+JU4EK3vgvuBI1IJEuVErQljFgA0+d CGojfKGG9niKGLh/bak= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block q154svWiCICgQpGqRNF/1AhAsHmIIuRH5sn4t7i/su67jtl7oA8spuDVkLY9Yr3sdl43EN/UHFqf TFDJ+Y9ECNTwKZq7oJuiNY599DOf1j5ePH85LX+mEgqIL3GH3BHmDQHkcrPK6ZqB3o6LYMtWGIoo vPOLqTOxHBOIbPdd6VId7HoWDKTyv5MdOn5tR3ZcVw0Wf4h357QAQfnveL7DeD4FuvqgFpO0rPcR em1OaCGPzHHtmc5eFPc2t6vCKBJk41zH4v4v/fWgIMAD/8mYB9/oOWEnr2WpJs2qZ43hvY94jCUN MJVFmXLDbOqOwdSJDBe4f/CPd7uWXRj8pWf0wQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4528) `protect data_block wDNsIZ7zu25vm+m+gfgmzYT9kyyjtZkVnRFreVd5UGYJcOTW+xgrHXmMARD/nQHj1iXj7jzlkuwe WlkcK0UCeik4VYDh+jNTdauQ9zMpaPfHj75i27K6CD5FAeniKOQWdG0dTdnci7m70Yiy+Umyql7+ kDZlgopXytadDU7YZ78jP/ql6ALC8QEoc+pEgU4FgBrEPulJ9LbUqFBQuMetUme6hGMQQUfQnfhu 7IM1zwB7ClZIjlQUTb7sqzZk7cqilBRPIOEjroqDYDMEzj4qC/Cmggy0ivcijeJyqA7mVWSHYvIj Owgp+UU7KMnLF59Yy5mAVNNo9O1aYzssfY0flkJnaNztPe5DhUrZSQ+dqCcZkU45so/nvRMpV1ub 9KEskB7rS7MzjTSL5fnm9Wg8CsO3xY9IRR8NsrxrurFCrEI3BUrZjworJ7mCB4Ijsdh8fSs0CWvq TFt22Ef3+UUw7JcnwZIVmWV/vA+wXl9IcHZjGCEkHLT8XkSTy4OpLCaSq+YQoqoD11LytF92oPoe T49aTI3YOuNqb2zIqVXxmoMpOuqLO4TseZ5Y4p0vtyRX4Noe6nA9EsluU1ucjiSguMS2k2wj31cr 75eWgCmooLeQ4yZh071F956IVeT8yAUksTjvdeEHJKCsJAa8IABY8FY2dXUQdpyaJzm1zQ+l4x6N uyg7mFRSpkQabzlrRpuZclKWc4jXo9UUwMDgersHvkAqb6Jvbkyx78SyvP3xdopoOZ1EvroJXkHO 4DYtAQ+EyD8NElaeXwnnfbiEiyCdnVCuIZO3NKUOGNiq2X7UYz8vCQmhceWuHm3PDRr0VYOeBqej VfE83Dvn3YvyZD3Xm1yVlT5X3X70/0nVoYlifoXEov8/lXkYWqhM74s5rSyVM1YNuPRpKG9vGkC4 CA0bdZqxUexamE6jNMcr93BlPWS9KMrHT5FYCtKffumtegX2ymqoEC928MTRou79E81Z8BLrickk Ue9c4ZQ8gqZEsC+eJqhjYcubuAD9/v/qUi8sdjGh6+GqQ70vNBT72/cFWZv6lS6KHJZYStyZquj6 QsWwCZpkvOhfus+JvNtfvNUf0FD1u7uw6lgCNj9E1cROguYh5mbFh9oL7oj+Hkuzq/TYWj2OuH1X NioJ5csJSAn6rQq98S4mE4CAUPVyTEMEhPKqp4u2F8xYjbxQgjJsfIpWegadWGoEJ9/bfY6sncN0 X3iYSlh3gaKWBK3zkGmD8/W5FYjIZm2CXcGdrJH1S6OUfBjkO+OOz4xpYrpMF623k+4ithmdYFiU zoRQU2LRralr4Y672cX38lnL9r/Xai5ILdX1VJV3WWQ4LiBavE+5JsVIJMaV0dpeHE1W3qoviYbc lzKb75Z/tyMeeyRUnnp6lm1Rw2u3qDxpM2PXN5G+5b+cLmU4Kr6AvYC7zQEODqIAdvuI2lzsgGiC yHvVAICyyttybfJUnGbqch+LNGH1RInAGopad207g9I9AMbbPfKcKT/cCDQjFFaZ54JJwXYIRJP5 YXMAtCFem9ke54vxlSm8yC++nKuqwm/QVLlC3L/c7diIEZ+ShznhCuRk13tcEsFf4LJj6DtdsDgK pJxmEqV9nG65HcxHl83Me/LuBo/x44uIx0v4FvnkDA6TLvrGvgZxk70Pi/xY0a1YDAPoJLT05dBt s/mLeeFcEVVco2e1ww7hZR5tM82IAVwiDN7ZCuWVFwdMWIcGDQekAMPT3tb7nlsly2FLctebbkqo hE6uF+3sfeTu6lin6qGlaFh03+CiGHU0qeGShVn96n5rY12afl/6pNizRYm3WMGky1vUaeM2C11T KZBNPVpHaPb0Bfngs1OCMyP8Cwawm5PBZLuhNMOrzgwGVfVpnmWEh2w6YUNlCzRSO423oB2Axw3K VbxnCtSWB9apR4nkV0A+EF6DznS8l0RK7GxtrkETmyxfVK+zxwYhvAzOBepqesZqB56GjZH7yrUF N4l6BLUlBMCt2zrn9w58c1sXuR7OMF3LcZqBsfC2Y27EqU14mferZ5UizQSO/qmGVwrvIiMh3shm pkdjyOjmd1PcjQD2t1S3ruOCYQ2jjDiy8Fi+QnhRfiLfUzoXZqSSbClhn6MxQHZiRyHOHO5E2EKU zHQaoBYvfjdQILRyoolE+DcEXPqthe+W9fCtNP7k7vhMFYXJccuVJWw57L1BqjQ4k3t4LKwqR8Oq Pwwux2UZf/nxN8ZvYi96lwZkk0GUSwfr82eKGMTpnifFoZGWislM4yinH9vYF0QIJuRda+pJQFib SPQWikNDON01bPOryYqCDiHz8F2HeqsQkWePqdA0fKlLbkEH970mglpcwUru/vXz+sZuf5241bGv Jeg6uChCdHVYGMyvFL5t+EIgzuLk2zba0xvEv6fptBK4ST0LqDdTW5VMEtCzQUAaGeZUvnOLkay4 2gWXgJg9NW3muQniY/JGLx00wUV2D8KrkvZho16vQrPT/oOEn7TWrItSM1OOqj1WfnLztHCRfZhi Yz0Y1O5SsEMZYMlcmyszppKwDX6n3iBEFjXbnjGPNz7Ahe57VYmeMr7GA4f7DCmCPcAGGjFFAcIJ SBFRsiSHgkuV8bXQlfpV2CHJqlPXEhUkMemgRRxOHzAKm4/pP84QIIRiexIm3j8jvwT4lLVaHWYb /PGIaUF89nJK5yKtJ6qBp5VttcYOxgb3z80I4+ozv4v31cCO8QfQwZgEAlnlWwV3UgSCw7qlXRgo WjO6RGrdyPMiYJqXKiw0hm/hqaZgXLmgdbW0U+NLvqzUVdTz7P/zTtUibWUDb8K5JZbozJRsKGM8 5+hvhmQRPS3kMDkQcZl+sFLlGZJX7tOGpxT9dDF54n44kr/2zJf20wFW/X566JFHfbKNxMzW7bFX kPvHgSk63XBIhshKof/60o8p5oSVWbZq1bq+kqIu+pJ7RcFqKEnkXk8kUGOQrJuKC2EA0w64bDh0 XWfIaTCdlHTXaedcnHNEsxKBgsoNhTIaBCSG8ZBe6vBcmvgaLx++ak5DjMQKjkciCTTBlnoOAkrL SQbcYqA/1e71lUpfOSd/E5OvhtxKmQiX2LsJaquUDgP0xRdDnqaD3YmmmeFRayNuwMuPRMOUS+k6 kGn3gstH/JJkoqm1wHDs3ADG9dwFdFmaeT8cSyMt327IY4XKJ1caJ1KRh/Q0/U6JMSEh7IV4Qoqz XftR5u2mE5KM46HIBlHbyVqEYC9A4NFTckiED4zyi3DcGtPG0WQHnVNv/ttqntYMb4XUzubG7rkM lnb2M65gel9Jjv/PiPS+Hp85fat19jyyBfswpTbrVjdrsPa6+kC0ihCSxlGxPnaTBD3uwIDDJT7A VRMl9ef/cZjGhPXLQ1SPTxooOzPEmh8vJNCZ5sG11g/+XI/zp2yrwnBuYSpDcLrBHrZlZb/LlsW1 Hz8ab1D1aHlQJIx9ZnJRJXw8qbQIAzl3rLWr1H1RARAAc8KU+zQbhGtR4IDkKkHdJm9a1h451pm5 9YPXn5rsSCHR1OTzWVKaVoxtRHp+HRyD/CGTEKtNqPvJJkWojzvOHo8k5iq4i/ZqTuG9ed67WoiS gpjQKyDeoYXa1g7q2gH5i/vp1b0qfdwEwvz+UcutKTM5mT9zJtxgguJP1gu+tFgUkn5df9SQwr8B v4COUqyshaCnIhIfoN3UvWZrOcrfG7jLUuhxvmqLyS+1YEC30MvkO+EYyAFDEssHFqSdKEuh99eW hsZNphWmYvSUqgPyg7GRuB/U3p5QmAKMXZWWEf2vZuZs+wETCGuJhKhq46wFUJvincjPhU75cEjS dA2Pjl3DonbtQooi/RLb9/UGG2EegzBXncL6HdZnbsYmTh37JOKGnJinZuRS694muARSqt+32m2F tqV00nnamMayesalSUWQQF/HAWPGncIMnYYdx+K4WldMwODQBvqNLsupuDh/iOONd5eyX6gr1UPE 52qqJYE0vxZ7gPUWQBAb1sytBH9pSQazuKj8/I8IW5FkbYyYMOwE3MC7J9++Z5gIs3wx9P4KyN66 4jcGlMaLia919A9uN0UQyxsswdMvrDKocKaOlplsnvlSAxqhp/5dFg7zJ5oW298YuaGcOUlHiqNy dS6oSqBZ9TdC0JVU97HG+aIUkd5B8/LJ0EWeJqJQyh2MHvVlmONdMW0BEjAoxYL/4glNCAzXE2GV klK01NCf0NvM3I8i+lAo/jD7LLURm/7WcuZOfHQguYeZW+vZhiIvIKuZIsRALo3gEK/LRDwij7bo U6pUEQAmmP3+IgrY8u1ZojTzm8qw7MaiZhh1qOFV1khYXh6T38wFqpuh9UxF4LV5n731LAARV7og Syhb3crMM0yiIiI5Vek597bsmAZkpx4uWfALSnw1ApKbdjv1VO0DgnLW0RaFKS3Jgg8ZMWKCbNkx 9fsFMbGQ3AVU+lCYrcMVvdD9slFpMa45pfoCAdGImc0G7IRvD65W2y2SpsAydmVUlXGWzlAYqevC kQUKWSzE+1C+0E8fWsUt/Pm5fpDaVI4yFbDthx28iV+m/Mj/asBNsmgSnGBYeqMPnGUdQT19C8b4 Q1OyDcuO2869C3phrygOOXnhWNRWaT2PlJ7mXWlmKj6Gou59QXJpLdSvDBJfgCGlmw2GYFlaZWNL gbjVxjWbUn13tIO5+Fxe+PgSRcF7m8U9OkmiW/zDjVrNpeT/OKgUJlA7Du/9xgOZpiUd7JeZ9psn 9mafCKOZPmFGYx3/9jbKbRfurzgW42B2RxvZH2pUGnDU7fOBAQ1jjbN3uqp64hxiVZMo+v6+ZIQr GwwhcjqA4HE/5bM6ICioeTIVO/+40CKxyJi25xqDtUKdIlSVnxgBfYoHQuAkMGyttu3DRZI6UaEr eRO77ht71Th7nJK1ntwpeX3/oAJfVa8+waN4yYZthxr9cxPDdqZjlFUL83wqerFWT86RRFOJ8IAX eFlM/Z/E4XgzsgddvqA7OCe6CovyuF4BanN5mKO0YU7+ubycSdUlfIpNZgHa7ncrNB5v8JUakd5D QyVEJzL8Li7WdHff+4A7qZ1u3aDWJTAW6Uz0MVCR6T5oTU2RBppjDXjUwB15vsmnsmtl2TWh659t cN6f7yk2v9BlL3zidubxcrQAddkE4aMhlzsZQd7YcCqcZ8Nh2yTGxUt5HMJ3ZqSZMFwcVw1S19SX whtV74aN8+JnFQ1F3vCjVQRCbYDXQqlDZXi8mm4dLKZ1FQKQu1LSdsnL5hsFzGwfpwgXIS5+9p+R 1qQy5vzB2gjKf0CnKsasY6/umXiS1Ksutn+peAwAgZ33vIithytUgiaJFH5+aO0Ujs+I7TwDPFoq SGVfgjyIzcXrDfjrqilgbkvQoAJh7Y8eK88S+Du4i9T9HdKqNFMCQuZ6IM3bkTWqfUmP0jIpud08 yaBvo5K1yN+KvoabccQA/LErBrIuL02Byp9C7wGC41sDrAxCEpWwTNIAMqvE87uVv8dpe8QSIsDD E+HE322jrQ/uDju1PB1RxEvnoxW7vQ6gVirX7RstA/rjv8XXGV5Jx/sDTih1ixK55qHRpBFd7AOi Zs1efkXsjhHHhyF2NBMsBQ3zVchgdjxRYfiaSrGJPPykoBdeRZ94O3PbpKruyASQQ7IYaGLN04Em rhszb4dxzXtl6auED2VyA5fukGOl3GY362u+SzCIDLYkIbI90nU8n8R7Ly1G7cpS+Dz/RDUjfKb4 MtrqCz03iupuAyV9C7WbQAtxlKlzKe3DmJegfJ57WZ8CtrGceqAsbbK7g3VuqgZE6Jm4o0xOuvwQ fBH1v7Tzn2WZxIu1yquSC04jamTxJSrgEFnfknAtGj1TEROyqUEw9yxg0F5cjhQKb0h6ksSHqCdc 1bw5zD5aX2NIDv/80sfCNHV0oq0IuA+SPOaJ2e66FN156dggxSYRvvRiGGxJqyK1rO/Y+QBOIU7s dq9rGLClcyXamZ7crHdtTML+pPn48duemYr0vOzAXkoVNLwt6eCrh3GxuK/zFcDD3ZAbYtvuv0b7 MhuBcUqkn8iSQVEEa/jO3uMXGkYc6hI1xw== `protect end_protected
gpl-3.0
5b2b21e1cbb37cb59308e6c036d9a8b5
0.920987
1.942864
false
false
false
false
Siliciumer/DOS-Mario-FPGA
DOS_Mario.srcs/sources_1/ip/dist_mem_gen_0/dist_mem_gen_0_sim_netlist.vhdl
1
252,812
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.1 (win64) Build 1538259 Fri Apr 8 15:45:27 MDT 2016 -- Date : Fri May 27 10:44:27 2016 -- Host : Wojciech-PC running 64-bit Service Pack 1 (build 7601) -- Command : write_vhdl -force -mode funcsim -- C:/Users/Wojciech/Documents/Xilinx/Projects/DOS_Mario/DOS_Mario.srcs/sources_1/ip/dist_mem_gen_0/dist_mem_gen_0_sim_netlist.vhdl -- Design : dist_mem_gen_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7a35tcpg236-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dist_mem_gen_0_dist_mem_gen_v8_0_10 is port ( a : in STD_LOGIC_VECTOR ( 10 downto 0 ); d : in STD_LOGIC_VECTOR ( 23 downto 0 ); dpra : in STD_LOGIC_VECTOR ( 10 downto 0 ); clk : in STD_LOGIC; we : in STD_LOGIC; i_ce : in STD_LOGIC; qspo_ce : in STD_LOGIC; qdpo_ce : in STD_LOGIC; qdpo_clk : in STD_LOGIC; qspo_rst : in STD_LOGIC; qdpo_rst : in STD_LOGIC; qspo_srst : in STD_LOGIC; qdpo_srst : in STD_LOGIC; spo : out STD_LOGIC_VECTOR ( 23 downto 0 ); dpo : out STD_LOGIC_VECTOR ( 23 downto 0 ); qspo : out STD_LOGIC_VECTOR ( 23 downto 0 ); qdpo : out STD_LOGIC_VECTOR ( 23 downto 0 ) ); attribute C_ADDR_WIDTH : integer; attribute C_ADDR_WIDTH of dist_mem_gen_0_dist_mem_gen_v8_0_10 : entity is 11; attribute C_DEFAULT_DATA : string; attribute C_DEFAULT_DATA of dist_mem_gen_0_dist_mem_gen_v8_0_10 : entity is "0"; attribute C_DEPTH : integer; attribute C_DEPTH of dist_mem_gen_0_dist_mem_gen_v8_0_10 : entity is 1600; attribute C_ELABORATION_DIR : string; attribute C_ELABORATION_DIR of dist_mem_gen_0_dist_mem_gen_v8_0_10 : entity is "./"; attribute C_FAMILY : string; attribute C_FAMILY of dist_mem_gen_0_dist_mem_gen_v8_0_10 : entity is "artix7"; attribute C_HAS_CLK : integer; attribute C_HAS_CLK of dist_mem_gen_0_dist_mem_gen_v8_0_10 : entity is 0; attribute C_HAS_D : integer; attribute C_HAS_D of dist_mem_gen_0_dist_mem_gen_v8_0_10 : entity is 0; attribute C_HAS_DPO : integer; attribute C_HAS_DPO of dist_mem_gen_0_dist_mem_gen_v8_0_10 : entity is 0; attribute C_HAS_DPRA : integer; attribute C_HAS_DPRA of dist_mem_gen_0_dist_mem_gen_v8_0_10 : entity is 0; attribute C_HAS_I_CE : integer; attribute C_HAS_I_CE of dist_mem_gen_0_dist_mem_gen_v8_0_10 : entity is 0; attribute C_HAS_QDPO : integer; attribute C_HAS_QDPO of dist_mem_gen_0_dist_mem_gen_v8_0_10 : entity is 0; attribute C_HAS_QDPO_CE : integer; attribute C_HAS_QDPO_CE of dist_mem_gen_0_dist_mem_gen_v8_0_10 : entity is 0; attribute C_HAS_QDPO_CLK : integer; attribute C_HAS_QDPO_CLK of dist_mem_gen_0_dist_mem_gen_v8_0_10 : entity is 0; attribute C_HAS_QDPO_RST : integer; attribute C_HAS_QDPO_RST of dist_mem_gen_0_dist_mem_gen_v8_0_10 : entity is 0; attribute C_HAS_QDPO_SRST : integer; attribute C_HAS_QDPO_SRST of dist_mem_gen_0_dist_mem_gen_v8_0_10 : entity is 0; attribute C_HAS_QSPO : integer; attribute C_HAS_QSPO of dist_mem_gen_0_dist_mem_gen_v8_0_10 : entity is 0; attribute C_HAS_QSPO_CE : integer; attribute C_HAS_QSPO_CE of dist_mem_gen_0_dist_mem_gen_v8_0_10 : entity is 0; attribute C_HAS_QSPO_RST : integer; attribute C_HAS_QSPO_RST of dist_mem_gen_0_dist_mem_gen_v8_0_10 : entity is 0; attribute C_HAS_QSPO_SRST : integer; attribute C_HAS_QSPO_SRST of dist_mem_gen_0_dist_mem_gen_v8_0_10 : entity is 0; attribute C_HAS_SPO : integer; attribute C_HAS_SPO of dist_mem_gen_0_dist_mem_gen_v8_0_10 : entity is 1; attribute C_HAS_WE : integer; attribute C_HAS_WE of dist_mem_gen_0_dist_mem_gen_v8_0_10 : entity is 0; attribute C_MEM_INIT_FILE : string; attribute C_MEM_INIT_FILE of dist_mem_gen_0_dist_mem_gen_v8_0_10 : entity is "dist_mem_gen_0.mif"; attribute C_MEM_TYPE : integer; attribute C_MEM_TYPE of dist_mem_gen_0_dist_mem_gen_v8_0_10 : entity is 0; attribute C_PARSER_TYPE : integer; attribute C_PARSER_TYPE of dist_mem_gen_0_dist_mem_gen_v8_0_10 : entity is 1; attribute C_PIPELINE_STAGES : integer; attribute C_PIPELINE_STAGES of dist_mem_gen_0_dist_mem_gen_v8_0_10 : entity is 0; attribute C_QCE_JOINED : integer; attribute C_QCE_JOINED of dist_mem_gen_0_dist_mem_gen_v8_0_10 : entity is 0; attribute C_QUALIFY_WE : integer; attribute C_QUALIFY_WE of dist_mem_gen_0_dist_mem_gen_v8_0_10 : entity is 0; attribute C_READ_MIF : integer; attribute C_READ_MIF of dist_mem_gen_0_dist_mem_gen_v8_0_10 : entity is 1; attribute C_REG_A_D_INPUTS : integer; attribute C_REG_A_D_INPUTS of dist_mem_gen_0_dist_mem_gen_v8_0_10 : entity is 0; attribute C_REG_DPRA_INPUT : integer; attribute C_REG_DPRA_INPUT of dist_mem_gen_0_dist_mem_gen_v8_0_10 : entity is 0; attribute C_SYNC_ENABLE : integer; attribute C_SYNC_ENABLE of dist_mem_gen_0_dist_mem_gen_v8_0_10 : entity is 1; attribute C_WIDTH : integer; attribute C_WIDTH of dist_mem_gen_0_dist_mem_gen_v8_0_10 : entity is 24; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of dist_mem_gen_0_dist_mem_gen_v8_0_10 : entity is "dist_mem_gen_v8_0_10"; end dist_mem_gen_0_dist_mem_gen_v8_0_10; architecture STRUCTURE of dist_mem_gen_0_dist_mem_gen_v8_0_10 is signal \<const0>\ : STD_LOGIC; signal g0_b1_n_0 : STD_LOGIC; signal g0_b20_n_0 : STD_LOGIC; signal g0_b21_n_0 : STD_LOGIC; signal g0_b23_n_0 : STD_LOGIC; signal g0_b8_n_0 : STD_LOGIC; signal g10_b0_n_0 : STD_LOGIC; signal g10_b10_n_0 : STD_LOGIC; signal g10_b11_n_0 : STD_LOGIC; signal g10_b12_n_0 : STD_LOGIC; signal g10_b13_n_0 : STD_LOGIC; signal g10_b14_n_0 : STD_LOGIC; signal g10_b15_n_0 : STD_LOGIC; signal g10_b16_n_0 : STD_LOGIC; signal g10_b17_n_0 : STD_LOGIC; signal g10_b18_n_0 : STD_LOGIC; signal g10_b19_n_0 : STD_LOGIC; signal g10_b1_n_0 : STD_LOGIC; signal g10_b20_n_0 : STD_LOGIC; signal g10_b21_n_0 : STD_LOGIC; signal g10_b22_n_0 : STD_LOGIC; signal g10_b23_n_0 : STD_LOGIC; signal g10_b2_n_0 : STD_LOGIC; signal g10_b3_n_0 : STD_LOGIC; signal g10_b4_n_0 : STD_LOGIC; signal g10_b5_n_0 : STD_LOGIC; signal g10_b6_n_0 : STD_LOGIC; signal g10_b7_n_0 : STD_LOGIC; signal g10_b8_n_0 : STD_LOGIC; signal g10_b9_n_0 : STD_LOGIC; signal g11_b0_n_0 : STD_LOGIC; signal g11_b10_n_0 : STD_LOGIC; signal g11_b11_n_0 : STD_LOGIC; signal g11_b12_n_0 : STD_LOGIC; signal g11_b13_n_0 : STD_LOGIC; signal g11_b14_n_0 : STD_LOGIC; signal g11_b15_n_0 : STD_LOGIC; signal g11_b16_n_0 : STD_LOGIC; signal g11_b17_n_0 : STD_LOGIC; signal g11_b18_n_0 : STD_LOGIC; signal g11_b19_n_0 : STD_LOGIC; signal g11_b1_n_0 : STD_LOGIC; signal g11_b20_n_0 : STD_LOGIC; signal g11_b21_n_0 : STD_LOGIC; signal g11_b22_n_0 : STD_LOGIC; signal g11_b23_n_0 : STD_LOGIC; signal g11_b2_n_0 : STD_LOGIC; signal g11_b3_n_0 : STD_LOGIC; signal g11_b4_n_0 : STD_LOGIC; signal g11_b5_n_0 : STD_LOGIC; signal g11_b6_n_0 : STD_LOGIC; signal g11_b7_n_0 : STD_LOGIC; signal g11_b8_n_0 : STD_LOGIC; signal g11_b9_n_0 : STD_LOGIC; signal g12_b0_n_0 : STD_LOGIC; signal g12_b10_n_0 : STD_LOGIC; signal g12_b11_n_0 : STD_LOGIC; signal g12_b12_n_0 : STD_LOGIC; signal g12_b13_n_0 : STD_LOGIC; signal g12_b14_n_0 : STD_LOGIC; signal g12_b15_n_0 : STD_LOGIC; signal g12_b16_n_0 : STD_LOGIC; signal g12_b17_n_0 : STD_LOGIC; signal g12_b18_n_0 : STD_LOGIC; signal g12_b19_n_0 : STD_LOGIC; signal g12_b1_n_0 : STD_LOGIC; signal g12_b20_n_0 : STD_LOGIC; signal g12_b21_n_0 : STD_LOGIC; signal g12_b22_n_0 : STD_LOGIC; signal g12_b23_n_0 : STD_LOGIC; signal g12_b2_n_0 : STD_LOGIC; signal g12_b3_n_0 : STD_LOGIC; signal g12_b4_n_0 : STD_LOGIC; signal g12_b5_n_0 : STD_LOGIC; signal g12_b6_n_0 : STD_LOGIC; signal g12_b7_n_0 : STD_LOGIC; signal g12_b8_n_0 : STD_LOGIC; signal g12_b9_n_0 : STD_LOGIC; signal g13_b0_n_0 : STD_LOGIC; signal g13_b10_n_0 : STD_LOGIC; signal g13_b11_n_0 : STD_LOGIC; signal g13_b12_n_0 : STD_LOGIC; signal g13_b13_n_0 : STD_LOGIC; signal g13_b14_n_0 : STD_LOGIC; signal g13_b16_n_0 : STD_LOGIC; signal g13_b17_n_0 : STD_LOGIC; signal g13_b18_n_0 : STD_LOGIC; signal g13_b19_n_0 : STD_LOGIC; signal g13_b1_n_0 : STD_LOGIC; signal g13_b20_n_0 : STD_LOGIC; signal g13_b21_n_0 : STD_LOGIC; signal g13_b22_n_0 : STD_LOGIC; signal g13_b23_n_0 : STD_LOGIC; signal g13_b2_n_0 : STD_LOGIC; signal g13_b3_n_0 : STD_LOGIC; signal g13_b4_n_0 : STD_LOGIC; signal g13_b5_n_0 : STD_LOGIC; signal g13_b6_n_0 : STD_LOGIC; signal g13_b7_n_0 : STD_LOGIC; signal g13_b8_n_0 : STD_LOGIC; signal g13_b9_n_0 : STD_LOGIC; signal g14_b0_n_0 : STD_LOGIC; signal g14_b10_n_0 : STD_LOGIC; signal g14_b11_n_0 : STD_LOGIC; signal g14_b13_n_0 : STD_LOGIC; signal g14_b14_n_0 : STD_LOGIC; signal g14_b16_n_0 : STD_LOGIC; signal g14_b17_n_0 : STD_LOGIC; signal g14_b18_n_0 : STD_LOGIC; signal g14_b19_n_0 : STD_LOGIC; signal g14_b20_n_0 : STD_LOGIC; signal g14_b21_n_0 : STD_LOGIC; signal g14_b22_n_0 : STD_LOGIC; signal g14_b23_n_0 : STD_LOGIC; signal g14_b2_n_0 : STD_LOGIC; signal g14_b3_n_0 : STD_LOGIC; signal g14_b4_n_0 : STD_LOGIC; signal g14_b5_n_0 : STD_LOGIC; signal g14_b6_n_0 : STD_LOGIC; signal g14_b7_n_0 : STD_LOGIC; signal g14_b8_n_0 : STD_LOGIC; signal g14_b9_n_0 : STD_LOGIC; signal g15_b0_n_0 : STD_LOGIC; signal g15_b10_n_0 : STD_LOGIC; signal g15_b11_n_0 : STD_LOGIC; signal g15_b12_n_0 : STD_LOGIC; signal g15_b13_n_0 : STD_LOGIC; signal g15_b14_n_0 : STD_LOGIC; signal g15_b16_n_0 : STD_LOGIC; signal g15_b17_n_0 : STD_LOGIC; signal g15_b18_n_0 : STD_LOGIC; signal g15_b19_n_0 : STD_LOGIC; signal g15_b1_n_0 : STD_LOGIC; signal g15_b20_n_0 : STD_LOGIC; signal g15_b21_n_0 : STD_LOGIC; signal g15_b22_n_0 : STD_LOGIC; signal g15_b23_n_0 : STD_LOGIC; signal g15_b2_n_0 : STD_LOGIC; signal g15_b3_n_0 : STD_LOGIC; signal g15_b4_n_0 : STD_LOGIC; signal g15_b5_n_0 : STD_LOGIC; signal g15_b6_n_0 : STD_LOGIC; signal g15_b7_n_0 : STD_LOGIC; signal g15_b8_n_0 : STD_LOGIC; signal g15_b9_n_0 : STD_LOGIC; signal g16_b0_n_0 : STD_LOGIC; signal g16_b10_n_0 : STD_LOGIC; signal g16_b11_n_0 : STD_LOGIC; signal g16_b12_n_0 : STD_LOGIC; signal g16_b13_n_0 : STD_LOGIC; signal g16_b14_n_0 : STD_LOGIC; signal g16_b15_n_0 : STD_LOGIC; signal g16_b16_n_0 : STD_LOGIC; signal g16_b17_n_0 : STD_LOGIC; signal g16_b18_n_0 : STD_LOGIC; signal g16_b19_n_0 : STD_LOGIC; signal g16_b1_n_0 : STD_LOGIC; signal g16_b20_n_0 : STD_LOGIC; signal g16_b21_n_0 : STD_LOGIC; signal g16_b22_n_0 : STD_LOGIC; signal g16_b23_n_0 : STD_LOGIC; signal g16_b2_n_0 : STD_LOGIC; signal g16_b3_n_0 : STD_LOGIC; signal g16_b4_n_0 : STD_LOGIC; signal g16_b5_n_0 : STD_LOGIC; signal g16_b6_n_0 : STD_LOGIC; signal g16_b7_n_0 : STD_LOGIC; signal g16_b8_n_0 : STD_LOGIC; signal g16_b9_n_0 : STD_LOGIC; signal g17_b0_n_0 : STD_LOGIC; signal g17_b10_n_0 : STD_LOGIC; signal g17_b11_n_0 : STD_LOGIC; signal g17_b12_n_0 : STD_LOGIC; signal g17_b13_n_0 : STD_LOGIC; signal g17_b14_n_0 : STD_LOGIC; signal g17_b15_n_0 : STD_LOGIC; signal g17_b16_n_0 : STD_LOGIC; signal g17_b17_n_0 : STD_LOGIC; signal g17_b18_n_0 : STD_LOGIC; signal g17_b19_n_0 : STD_LOGIC; signal g17_b1_n_0 : STD_LOGIC; signal g17_b20_n_0 : STD_LOGIC; signal g17_b21_n_0 : STD_LOGIC; signal g17_b22_n_0 : STD_LOGIC; signal g17_b23_n_0 : STD_LOGIC; signal g17_b2_n_0 : STD_LOGIC; signal g17_b3_n_0 : STD_LOGIC; signal g17_b4_n_0 : STD_LOGIC; signal g17_b5_n_0 : STD_LOGIC; signal g17_b6_n_0 : STD_LOGIC; signal g17_b7_n_0 : STD_LOGIC; signal g17_b8_n_0 : STD_LOGIC; signal g17_b9_n_0 : STD_LOGIC; signal g18_b0_n_0 : STD_LOGIC; signal g18_b10_n_0 : STD_LOGIC; signal g18_b11_n_0 : STD_LOGIC; signal g18_b12_n_0 : STD_LOGIC; signal g18_b13_n_0 : STD_LOGIC; signal g18_b14_n_0 : STD_LOGIC; signal g18_b15_n_0 : STD_LOGIC; signal g18_b16_n_0 : STD_LOGIC; signal g18_b17_n_0 : STD_LOGIC; signal g18_b18_n_0 : STD_LOGIC; signal g18_b19_n_0 : STD_LOGIC; signal g18_b1_n_0 : STD_LOGIC; signal g18_b20_n_0 : STD_LOGIC; signal g18_b21_n_0 : STD_LOGIC; signal g18_b22_n_0 : STD_LOGIC; signal g18_b23_n_0 : STD_LOGIC; signal g18_b2_n_0 : STD_LOGIC; signal g18_b3_n_0 : STD_LOGIC; signal g18_b4_n_0 : STD_LOGIC; signal g18_b5_n_0 : STD_LOGIC; signal g18_b6_n_0 : STD_LOGIC; signal g18_b7_n_0 : STD_LOGIC; signal g18_b8_n_0 : STD_LOGIC; signal g18_b9_n_0 : STD_LOGIC; signal g19_b0_n_0 : STD_LOGIC; signal g19_b10_n_0 : STD_LOGIC; signal g19_b11_n_0 : STD_LOGIC; signal g19_b12_n_0 : STD_LOGIC; signal g19_b13_n_0 : STD_LOGIC; signal g19_b14_n_0 : STD_LOGIC; signal g19_b15_n_0 : STD_LOGIC; signal g19_b16_n_0 : STD_LOGIC; signal g19_b17_n_0 : STD_LOGIC; signal g19_b18_n_0 : STD_LOGIC; signal g19_b19_n_0 : STD_LOGIC; signal g19_b1_n_0 : STD_LOGIC; signal g19_b20_n_0 : STD_LOGIC; signal g19_b21_n_0 : STD_LOGIC; signal g19_b22_n_0 : STD_LOGIC; signal g19_b23_n_0 : STD_LOGIC; signal g19_b2_n_0 : STD_LOGIC; signal g19_b3_n_0 : STD_LOGIC; signal g19_b4_n_0 : STD_LOGIC; signal g19_b6_n_0 : STD_LOGIC; signal g19_b7_n_0 : STD_LOGIC; signal g19_b8_n_0 : STD_LOGIC; signal g19_b9_n_0 : STD_LOGIC; signal g1_b0_n_0 : STD_LOGIC; signal g1_b10_n_0 : STD_LOGIC; signal g1_b11_n_0 : STD_LOGIC; signal g1_b12_n_0 : STD_LOGIC; signal g1_b13_n_0 : STD_LOGIC; signal g1_b14_n_0 : STD_LOGIC; signal g1_b15_n_0 : STD_LOGIC; signal g1_b16_n_0 : STD_LOGIC; signal g1_b17_n_0 : STD_LOGIC; signal g1_b18_n_0 : STD_LOGIC; signal g1_b19_n_0 : STD_LOGIC; signal g1_b1_n_0 : STD_LOGIC; signal g1_b20_n_0 : STD_LOGIC; signal g1_b21_n_0 : STD_LOGIC; signal g1_b22_n_0 : STD_LOGIC; signal g1_b23_n_0 : STD_LOGIC; signal g1_b2_n_0 : STD_LOGIC; signal g1_b3_n_0 : STD_LOGIC; signal g1_b4_n_0 : STD_LOGIC; signal g1_b5_n_0 : STD_LOGIC; signal g1_b7_n_0 : STD_LOGIC; signal g1_b8_n_0 : STD_LOGIC; signal g1_b9_n_0 : STD_LOGIC; signal g20_b11_n_0 : STD_LOGIC; signal g20_b12_n_0 : STD_LOGIC; signal g20_b14_n_0 : STD_LOGIC; signal g20_b16_n_0 : STD_LOGIC; signal g20_b17_n_0 : STD_LOGIC; signal g20_b18_n_0 : STD_LOGIC; signal g20_b19_n_0 : STD_LOGIC; signal g20_b1_n_0 : STD_LOGIC; signal g20_b20_n_0 : STD_LOGIC; signal g20_b21_n_0 : STD_LOGIC; signal g20_b22_n_0 : STD_LOGIC; signal g20_b23_n_0 : STD_LOGIC; signal g20_b2_n_0 : STD_LOGIC; signal g20_b3_n_0 : STD_LOGIC; signal g20_b5_n_0 : STD_LOGIC; signal g20_b6_n_0 : STD_LOGIC; signal g20_b7_n_0 : STD_LOGIC; signal g20_b8_n_0 : STD_LOGIC; signal g20_b9_n_0 : STD_LOGIC; signal g21_b0_n_0 : STD_LOGIC; signal g21_b10_n_0 : STD_LOGIC; signal g21_b11_n_0 : STD_LOGIC; signal g21_b12_n_0 : STD_LOGIC; signal g21_b13_n_0 : STD_LOGIC; signal g21_b14_n_0 : STD_LOGIC; signal g21_b16_n_0 : STD_LOGIC; signal g21_b17_n_0 : STD_LOGIC; signal g21_b18_n_0 : STD_LOGIC; signal g21_b19_n_0 : STD_LOGIC; signal g21_b1_n_0 : STD_LOGIC; signal g21_b20_n_0 : STD_LOGIC; signal g21_b21_n_0 : STD_LOGIC; signal g21_b22_n_0 : STD_LOGIC; signal g21_b23_n_0 : STD_LOGIC; signal g21_b2_n_0 : STD_LOGIC; signal g21_b3_n_0 : STD_LOGIC; signal g21_b4_n_0 : STD_LOGIC; signal g21_b5_n_0 : STD_LOGIC; signal g21_b6_n_0 : STD_LOGIC; signal g21_b7_n_0 : STD_LOGIC; signal g21_b8_n_0 : STD_LOGIC; signal g21_b9_n_0 : STD_LOGIC; signal g22_b0_n_0 : STD_LOGIC; signal g22_b10_n_0 : STD_LOGIC; signal g22_b11_n_0 : STD_LOGIC; signal g22_b12_n_0 : STD_LOGIC; signal g22_b13_n_0 : STD_LOGIC; signal g22_b14_n_0 : STD_LOGIC; signal g22_b15_n_0 : STD_LOGIC; signal g22_b16_n_0 : STD_LOGIC; signal g22_b17_n_0 : STD_LOGIC; signal g22_b18_n_0 : STD_LOGIC; signal g22_b19_n_0 : STD_LOGIC; signal g22_b1_n_0 : STD_LOGIC; signal g22_b20_n_0 : STD_LOGIC; signal g22_b21_n_0 : STD_LOGIC; signal g22_b22_n_0 : STD_LOGIC; signal g22_b23_n_0 : STD_LOGIC; signal g22_b2_n_0 : STD_LOGIC; signal g22_b3_n_0 : STD_LOGIC; signal g22_b4_n_0 : STD_LOGIC; signal g22_b5_n_0 : STD_LOGIC; signal g22_b6_n_0 : STD_LOGIC; signal g22_b7_n_0 : STD_LOGIC; signal g22_b8_n_0 : STD_LOGIC; signal g22_b9_n_0 : STD_LOGIC; signal g23_b0_n_0 : STD_LOGIC; signal g23_b10_n_0 : STD_LOGIC; signal g23_b11_n_0 : STD_LOGIC; signal g23_b12_n_0 : STD_LOGIC; signal g23_b13_n_0 : STD_LOGIC; signal g23_b14_n_0 : STD_LOGIC; signal g23_b16_n_0 : STD_LOGIC; signal g23_b17_n_0 : STD_LOGIC; signal g23_b18_n_0 : STD_LOGIC; signal g23_b19_n_0 : STD_LOGIC; signal g23_b1_n_0 : STD_LOGIC; signal g23_b20_n_0 : STD_LOGIC; signal g23_b21_n_0 : STD_LOGIC; signal g23_b22_n_0 : STD_LOGIC; signal g23_b23_n_0 : STD_LOGIC; signal g23_b2_n_0 : STD_LOGIC; signal g23_b3_n_0 : STD_LOGIC; signal g23_b4_n_0 : STD_LOGIC; signal g23_b5_n_0 : STD_LOGIC; signal g23_b6_n_0 : STD_LOGIC; signal g23_b7_n_0 : STD_LOGIC; signal g23_b8_n_0 : STD_LOGIC; signal g23_b9_n_0 : STD_LOGIC; signal g24_b10_n_0 : STD_LOGIC; signal g24_b12_n_0 : STD_LOGIC; signal g24_b16_n_0 : STD_LOGIC; signal g24_b18_n_0 : STD_LOGIC; signal g24_b1_n_0 : STD_LOGIC; signal g24_b20_n_0 : STD_LOGIC; signal g24_b21_n_0 : STD_LOGIC; signal g24_b23_n_0 : STD_LOGIC; signal g24_b2_n_0 : STD_LOGIC; signal g2_b0_n_0 : STD_LOGIC; signal g2_b10_n_0 : STD_LOGIC; signal g2_b11_n_0 : STD_LOGIC; signal g2_b12_n_0 : STD_LOGIC; signal g2_b13_n_0 : STD_LOGIC; signal g2_b14_n_0 : STD_LOGIC; signal g2_b15_n_0 : STD_LOGIC; signal g2_b16_n_0 : STD_LOGIC; signal g2_b17_n_0 : STD_LOGIC; signal g2_b18_n_0 : STD_LOGIC; signal g2_b19_n_0 : STD_LOGIC; signal g2_b1_n_0 : STD_LOGIC; signal g2_b20_n_0 : STD_LOGIC; signal g2_b21_n_0 : STD_LOGIC; signal g2_b22_n_0 : STD_LOGIC; signal g2_b23_n_0 : STD_LOGIC; signal g2_b2_n_0 : STD_LOGIC; signal g2_b3_n_0 : STD_LOGIC; signal g2_b4_n_0 : STD_LOGIC; signal g2_b5_n_0 : STD_LOGIC; signal g2_b6_n_0 : STD_LOGIC; signal g2_b7_n_0 : STD_LOGIC; signal g2_b8_n_0 : STD_LOGIC; signal g2_b9_n_0 : STD_LOGIC; signal g3_b0_n_0 : STD_LOGIC; signal g3_b10_n_0 : STD_LOGIC; signal g3_b11_n_0 : STD_LOGIC; signal g3_b12_n_0 : STD_LOGIC; signal g3_b13_n_0 : STD_LOGIC; signal g3_b14_n_0 : STD_LOGIC; signal g3_b15_n_0 : STD_LOGIC; signal g3_b16_n_0 : STD_LOGIC; signal g3_b17_n_0 : STD_LOGIC; signal g3_b18_n_0 : STD_LOGIC; signal g3_b19_n_0 : STD_LOGIC; signal g3_b1_n_0 : STD_LOGIC; signal g3_b20_n_0 : STD_LOGIC; signal g3_b21_n_0 : STD_LOGIC; signal g3_b22_n_0 : STD_LOGIC; signal g3_b23_n_0 : STD_LOGIC; signal g3_b2_n_0 : STD_LOGIC; signal g3_b3_n_0 : STD_LOGIC; signal g3_b4_n_0 : STD_LOGIC; signal g3_b5_n_0 : STD_LOGIC; signal g3_b6_n_0 : STD_LOGIC; signal g3_b7_n_0 : STD_LOGIC; signal g3_b8_n_0 : STD_LOGIC; signal g3_b9_n_0 : STD_LOGIC; signal g4_b0_n_0 : STD_LOGIC; signal g4_b10_n_0 : STD_LOGIC; signal g4_b11_n_0 : STD_LOGIC; signal g4_b12_n_0 : STD_LOGIC; signal g4_b14_n_0 : STD_LOGIC; signal g4_b16_n_0 : STD_LOGIC; signal g4_b17_n_0 : STD_LOGIC; signal g4_b18_n_0 : STD_LOGIC; signal g4_b19_n_0 : STD_LOGIC; signal g4_b1_n_0 : STD_LOGIC; signal g4_b20_n_0 : STD_LOGIC; signal g4_b21_n_0 : STD_LOGIC; signal g4_b22_n_0 : STD_LOGIC; signal g4_b23_n_0 : STD_LOGIC; signal g4_b2_n_0 : STD_LOGIC; signal g4_b3_n_0 : STD_LOGIC; signal g4_b4_n_0 : STD_LOGIC; signal g4_b8_n_0 : STD_LOGIC; signal g4_b9_n_0 : STD_LOGIC; signal g5_b0_n_0 : STD_LOGIC; signal g5_b10_n_0 : STD_LOGIC; signal g5_b11_n_0 : STD_LOGIC; signal g5_b12_n_0 : STD_LOGIC; signal g5_b14_n_0 : STD_LOGIC; signal g5_b16_n_0 : STD_LOGIC; signal g5_b17_n_0 : STD_LOGIC; signal g5_b18_n_0 : STD_LOGIC; signal g5_b19_n_0 : STD_LOGIC; signal g5_b1_n_0 : STD_LOGIC; signal g5_b20_n_0 : STD_LOGIC; signal g5_b21_n_0 : STD_LOGIC; signal g5_b22_n_0 : STD_LOGIC; signal g5_b23_n_0 : STD_LOGIC; signal g5_b2_n_0 : STD_LOGIC; signal g5_b3_n_0 : STD_LOGIC; signal g5_b8_n_0 : STD_LOGIC; signal g5_b9_n_0 : STD_LOGIC; signal g6_b0_n_0 : STD_LOGIC; signal g6_b10_n_0 : STD_LOGIC; signal g6_b11_n_0 : STD_LOGIC; signal g6_b12_n_0 : STD_LOGIC; signal g6_b13_n_0 : STD_LOGIC; signal g6_b14_n_0 : STD_LOGIC; signal g6_b16_n_0 : STD_LOGIC; signal g6_b17_n_0 : STD_LOGIC; signal g6_b18_n_0 : STD_LOGIC; signal g6_b19_n_0 : STD_LOGIC; signal g6_b1_n_0 : STD_LOGIC; signal g6_b20_n_0 : STD_LOGIC; signal g6_b21_n_0 : STD_LOGIC; signal g6_b22_n_0 : STD_LOGIC; signal g6_b23_n_0 : STD_LOGIC; signal g6_b2_n_0 : STD_LOGIC; signal g6_b3_n_0 : STD_LOGIC; signal g6_b4_n_0 : STD_LOGIC; signal g6_b5_n_0 : STD_LOGIC; signal g6_b6_n_0 : STD_LOGIC; signal g6_b7_n_0 : STD_LOGIC; signal g6_b8_n_0 : STD_LOGIC; signal g6_b9_n_0 : STD_LOGIC; signal g7_b0_n_0 : STD_LOGIC; signal g7_b10_n_0 : STD_LOGIC; signal g7_b11_n_0 : STD_LOGIC; signal g7_b12_n_0 : STD_LOGIC; signal g7_b13_n_0 : STD_LOGIC; signal g7_b14_n_0 : STD_LOGIC; signal g7_b15_n_0 : STD_LOGIC; signal g7_b16_n_0 : STD_LOGIC; signal g7_b17_n_0 : STD_LOGIC; signal g7_b18_n_0 : STD_LOGIC; signal g7_b19_n_0 : STD_LOGIC; signal g7_b1_n_0 : STD_LOGIC; signal g7_b20_n_0 : STD_LOGIC; signal g7_b21_n_0 : STD_LOGIC; signal g7_b22_n_0 : STD_LOGIC; signal g7_b23_n_0 : STD_LOGIC; signal g7_b2_n_0 : STD_LOGIC; signal g7_b3_n_0 : STD_LOGIC; signal g7_b4_n_0 : STD_LOGIC; signal g7_b5_n_0 : STD_LOGIC; signal g7_b6_n_0 : STD_LOGIC; signal g7_b7_n_0 : STD_LOGIC; signal g7_b8_n_0 : STD_LOGIC; signal g7_b9_n_0 : STD_LOGIC; signal g8_b0_n_0 : STD_LOGIC; signal g8_b10_n_0 : STD_LOGIC; signal g8_b11_n_0 : STD_LOGIC; signal g8_b12_n_0 : STD_LOGIC; signal g8_b13_n_0 : STD_LOGIC; signal g8_b14_n_0 : STD_LOGIC; signal g8_b15_n_0 : STD_LOGIC; signal g8_b16_n_0 : STD_LOGIC; signal g8_b17_n_0 : STD_LOGIC; signal g8_b18_n_0 : STD_LOGIC; signal g8_b19_n_0 : STD_LOGIC; signal g8_b1_n_0 : STD_LOGIC; signal g8_b20_n_0 : STD_LOGIC; signal g8_b21_n_0 : STD_LOGIC; signal g8_b22_n_0 : STD_LOGIC; signal g8_b23_n_0 : STD_LOGIC; signal g8_b2_n_0 : STD_LOGIC; signal g8_b3_n_0 : STD_LOGIC; signal g8_b4_n_0 : STD_LOGIC; signal g8_b5_n_0 : STD_LOGIC; signal g8_b6_n_0 : STD_LOGIC; signal g8_b7_n_0 : STD_LOGIC; signal g8_b8_n_0 : STD_LOGIC; signal g8_b9_n_0 : STD_LOGIC; signal g9_b0_n_0 : STD_LOGIC; signal g9_b10_n_0 : STD_LOGIC; signal g9_b11_n_0 : STD_LOGIC; signal g9_b12_n_0 : STD_LOGIC; signal g9_b13_n_0 : STD_LOGIC; signal g9_b14_n_0 : STD_LOGIC; signal g9_b15_n_0 : STD_LOGIC; signal g9_b16_n_0 : STD_LOGIC; signal g9_b17_n_0 : STD_LOGIC; signal g9_b18_n_0 : STD_LOGIC; signal g9_b19_n_0 : STD_LOGIC; signal g9_b1_n_0 : STD_LOGIC; signal g9_b20_n_0 : STD_LOGIC; signal g9_b21_n_0 : STD_LOGIC; signal g9_b22_n_0 : STD_LOGIC; signal g9_b23_n_0 : STD_LOGIC; signal g9_b2_n_0 : STD_LOGIC; signal g9_b3_n_0 : STD_LOGIC; signal g9_b4_n_0 : STD_LOGIC; signal g9_b5_n_0 : STD_LOGIC; signal g9_b6_n_0 : STD_LOGIC; signal g9_b7_n_0 : STD_LOGIC; signal g9_b8_n_0 : STD_LOGIC; signal g9_b9_n_0 : STD_LOGIC; signal \spo[0]_INST_0_i_10_n_0\ : STD_LOGIC; signal \spo[0]_INST_0_i_11_n_0\ : STD_LOGIC; signal \spo[0]_INST_0_i_1_n_0\ : STD_LOGIC; signal \spo[0]_INST_0_i_2_n_0\ : STD_LOGIC; signal \spo[0]_INST_0_i_3_n_0\ : STD_LOGIC; signal \spo[0]_INST_0_i_4_n_0\ : STD_LOGIC; signal \spo[0]_INST_0_i_5_n_0\ : STD_LOGIC; signal \spo[0]_INST_0_i_6_n_0\ : STD_LOGIC; signal \spo[0]_INST_0_i_7_n_0\ : STD_LOGIC; signal \spo[0]_INST_0_i_8_n_0\ : STD_LOGIC; signal \spo[0]_INST_0_i_9_n_0\ : STD_LOGIC; signal \spo[10]_INST_0_i_10_n_0\ : STD_LOGIC; signal \spo[10]_INST_0_i_11_n_0\ : STD_LOGIC; signal \spo[10]_INST_0_i_12_n_0\ : STD_LOGIC; signal \spo[10]_INST_0_i_1_n_0\ : STD_LOGIC; signal \spo[10]_INST_0_i_2_n_0\ : STD_LOGIC; signal \spo[10]_INST_0_i_3_n_0\ : STD_LOGIC; signal \spo[10]_INST_0_i_4_n_0\ : STD_LOGIC; signal \spo[10]_INST_0_i_5_n_0\ : STD_LOGIC; signal \spo[10]_INST_0_i_6_n_0\ : STD_LOGIC; signal \spo[10]_INST_0_i_7_n_0\ : STD_LOGIC; signal \spo[10]_INST_0_i_8_n_0\ : STD_LOGIC; signal \spo[10]_INST_0_i_9_n_0\ : STD_LOGIC; signal \spo[11]_INST_0_i_10_n_0\ : STD_LOGIC; signal \spo[11]_INST_0_i_11_n_0\ : STD_LOGIC; signal \spo[11]_INST_0_i_12_n_0\ : STD_LOGIC; signal \spo[11]_INST_0_i_13_n_0\ : STD_LOGIC; signal \spo[11]_INST_0_i_1_n_0\ : STD_LOGIC; signal \spo[11]_INST_0_i_2_n_0\ : STD_LOGIC; signal \spo[11]_INST_0_i_3_n_0\ : STD_LOGIC; signal \spo[11]_INST_0_i_4_n_0\ : STD_LOGIC; signal \spo[11]_INST_0_i_5_n_0\ : STD_LOGIC; signal \spo[11]_INST_0_i_6_n_0\ : STD_LOGIC; signal \spo[11]_INST_0_i_7_n_0\ : STD_LOGIC; signal \spo[11]_INST_0_i_8_n_0\ : STD_LOGIC; signal \spo[11]_INST_0_i_9_n_0\ : STD_LOGIC; signal \spo[12]_INST_0_i_10_n_0\ : STD_LOGIC; signal \spo[12]_INST_0_i_11_n_0\ : STD_LOGIC; signal \spo[12]_INST_0_i_12_n_0\ : STD_LOGIC; signal \spo[12]_INST_0_i_1_n_0\ : STD_LOGIC; signal \spo[12]_INST_0_i_2_n_0\ : STD_LOGIC; signal \spo[12]_INST_0_i_3_n_0\ : STD_LOGIC; signal \spo[12]_INST_0_i_4_n_0\ : STD_LOGIC; signal \spo[12]_INST_0_i_5_n_0\ : STD_LOGIC; signal \spo[12]_INST_0_i_6_n_0\ : STD_LOGIC; signal \spo[12]_INST_0_i_7_n_0\ : STD_LOGIC; signal \spo[12]_INST_0_i_8_n_0\ : STD_LOGIC; signal \spo[12]_INST_0_i_9_n_0\ : STD_LOGIC; signal \spo[13]_INST_0_i_10_n_0\ : STD_LOGIC; signal \spo[13]_INST_0_i_11_n_0\ : STD_LOGIC; signal \spo[13]_INST_0_i_1_n_0\ : STD_LOGIC; signal \spo[13]_INST_0_i_2_n_0\ : STD_LOGIC; signal \spo[13]_INST_0_i_3_n_0\ : STD_LOGIC; signal \spo[13]_INST_0_i_4_n_0\ : STD_LOGIC; signal \spo[13]_INST_0_i_5_n_0\ : STD_LOGIC; signal \spo[13]_INST_0_i_6_n_0\ : STD_LOGIC; signal \spo[13]_INST_0_i_7_n_0\ : STD_LOGIC; signal \spo[13]_INST_0_i_8_n_0\ : STD_LOGIC; signal \spo[13]_INST_0_i_9_n_0\ : STD_LOGIC; signal \spo[14]_INST_0_i_10_n_0\ : STD_LOGIC; signal \spo[14]_INST_0_i_11_n_0\ : STD_LOGIC; signal \spo[14]_INST_0_i_12_n_0\ : STD_LOGIC; signal \spo[14]_INST_0_i_13_n_0\ : STD_LOGIC; signal \spo[14]_INST_0_i_1_n_0\ : STD_LOGIC; signal \spo[14]_INST_0_i_2_n_0\ : STD_LOGIC; signal \spo[14]_INST_0_i_3_n_0\ : STD_LOGIC; signal \spo[14]_INST_0_i_4_n_0\ : STD_LOGIC; signal \spo[14]_INST_0_i_5_n_0\ : STD_LOGIC; signal \spo[14]_INST_0_i_6_n_0\ : STD_LOGIC; signal \spo[14]_INST_0_i_7_n_0\ : STD_LOGIC; signal \spo[14]_INST_0_i_8_n_0\ : STD_LOGIC; signal \spo[14]_INST_0_i_9_n_0\ : STD_LOGIC; signal \spo[15]_INST_0_i_1_n_0\ : STD_LOGIC; signal \spo[15]_INST_0_i_2_n_0\ : STD_LOGIC; signal \spo[15]_INST_0_i_3_n_0\ : STD_LOGIC; signal \spo[15]_INST_0_i_4_n_0\ : STD_LOGIC; signal \spo[15]_INST_0_i_5_n_0\ : STD_LOGIC; signal \spo[15]_INST_0_i_6_n_0\ : STD_LOGIC; signal \spo[15]_INST_0_i_7_n_0\ : STD_LOGIC; signal \spo[15]_INST_0_i_8_n_0\ : STD_LOGIC; signal \spo[16]_INST_0_i_10_n_0\ : STD_LOGIC; signal \spo[16]_INST_0_i_11_n_0\ : STD_LOGIC; signal \spo[16]_INST_0_i_12_n_0\ : STD_LOGIC; signal \spo[16]_INST_0_i_13_n_0\ : STD_LOGIC; signal \spo[16]_INST_0_i_14_n_0\ : STD_LOGIC; signal \spo[16]_INST_0_i_1_n_0\ : STD_LOGIC; signal \spo[16]_INST_0_i_2_n_0\ : STD_LOGIC; signal \spo[16]_INST_0_i_3_n_0\ : STD_LOGIC; signal \spo[16]_INST_0_i_4_n_0\ : STD_LOGIC; signal \spo[16]_INST_0_i_5_n_0\ : STD_LOGIC; signal \spo[16]_INST_0_i_6_n_0\ : STD_LOGIC; signal \spo[16]_INST_0_i_7_n_0\ : STD_LOGIC; signal \spo[16]_INST_0_i_8_n_0\ : STD_LOGIC; signal \spo[16]_INST_0_i_9_n_0\ : STD_LOGIC; signal \spo[17]_INST_0_i_10_n_0\ : STD_LOGIC; signal \spo[17]_INST_0_i_11_n_0\ : STD_LOGIC; signal \spo[17]_INST_0_i_12_n_0\ : STD_LOGIC; signal \spo[17]_INST_0_i_13_n_0\ : STD_LOGIC; signal \spo[17]_INST_0_i_14_n_0\ : STD_LOGIC; signal \spo[17]_INST_0_i_1_n_0\ : STD_LOGIC; signal \spo[17]_INST_0_i_2_n_0\ : STD_LOGIC; signal \spo[17]_INST_0_i_3_n_0\ : STD_LOGIC; signal \spo[17]_INST_0_i_4_n_0\ : STD_LOGIC; signal \spo[17]_INST_0_i_5_n_0\ : STD_LOGIC; signal \spo[17]_INST_0_i_6_n_0\ : STD_LOGIC; signal \spo[17]_INST_0_i_7_n_0\ : STD_LOGIC; signal \spo[17]_INST_0_i_8_n_0\ : STD_LOGIC; signal \spo[17]_INST_0_i_9_n_0\ : STD_LOGIC; signal \spo[18]_INST_0_i_10_n_0\ : STD_LOGIC; signal \spo[18]_INST_0_i_11_n_0\ : STD_LOGIC; signal \spo[18]_INST_0_i_12_n_0\ : STD_LOGIC; signal \spo[18]_INST_0_i_1_n_0\ : STD_LOGIC; signal \spo[18]_INST_0_i_2_n_0\ : STD_LOGIC; signal \spo[18]_INST_0_i_3_n_0\ : STD_LOGIC; signal \spo[18]_INST_0_i_4_n_0\ : STD_LOGIC; signal \spo[18]_INST_0_i_5_n_0\ : STD_LOGIC; signal \spo[18]_INST_0_i_6_n_0\ : STD_LOGIC; signal \spo[18]_INST_0_i_7_n_0\ : STD_LOGIC; signal \spo[18]_INST_0_i_8_n_0\ : STD_LOGIC; signal \spo[18]_INST_0_i_9_n_0\ : STD_LOGIC; signal \spo[19]_INST_0_i_10_n_0\ : STD_LOGIC; signal \spo[19]_INST_0_i_11_n_0\ : STD_LOGIC; signal \spo[19]_INST_0_i_1_n_0\ : STD_LOGIC; signal \spo[19]_INST_0_i_2_n_0\ : STD_LOGIC; signal \spo[19]_INST_0_i_3_n_0\ : STD_LOGIC; signal \spo[19]_INST_0_i_4_n_0\ : STD_LOGIC; signal \spo[19]_INST_0_i_5_n_0\ : STD_LOGIC; signal \spo[19]_INST_0_i_6_n_0\ : STD_LOGIC; signal \spo[19]_INST_0_i_7_n_0\ : STD_LOGIC; signal \spo[19]_INST_0_i_8_n_0\ : STD_LOGIC; signal \spo[19]_INST_0_i_9_n_0\ : STD_LOGIC; signal \spo[1]_INST_0_i_10_n_0\ : STD_LOGIC; signal \spo[1]_INST_0_i_11_n_0\ : STD_LOGIC; signal \spo[1]_INST_0_i_12_n_0\ : STD_LOGIC; signal \spo[1]_INST_0_i_1_n_0\ : STD_LOGIC; signal \spo[1]_INST_0_i_2_n_0\ : STD_LOGIC; signal \spo[1]_INST_0_i_3_n_0\ : STD_LOGIC; signal \spo[1]_INST_0_i_4_n_0\ : STD_LOGIC; signal \spo[1]_INST_0_i_5_n_0\ : STD_LOGIC; signal \spo[1]_INST_0_i_6_n_0\ : STD_LOGIC; signal \spo[1]_INST_0_i_7_n_0\ : STD_LOGIC; signal \spo[1]_INST_0_i_8_n_0\ : STD_LOGIC; signal \spo[1]_INST_0_i_9_n_0\ : STD_LOGIC; signal \spo[20]_INST_0_i_10_n_0\ : STD_LOGIC; signal \spo[20]_INST_0_i_11_n_0\ : STD_LOGIC; signal \spo[20]_INST_0_i_12_n_0\ : STD_LOGIC; signal \spo[20]_INST_0_i_13_n_0\ : STD_LOGIC; signal \spo[20]_INST_0_i_14_n_0\ : STD_LOGIC; signal \spo[20]_INST_0_i_1_n_0\ : STD_LOGIC; signal \spo[20]_INST_0_i_2_n_0\ : STD_LOGIC; signal \spo[20]_INST_0_i_3_n_0\ : STD_LOGIC; signal \spo[20]_INST_0_i_4_n_0\ : STD_LOGIC; signal \spo[20]_INST_0_i_5_n_0\ : STD_LOGIC; signal \spo[20]_INST_0_i_6_n_0\ : STD_LOGIC; signal \spo[20]_INST_0_i_7_n_0\ : STD_LOGIC; signal \spo[20]_INST_0_i_8_n_0\ : STD_LOGIC; signal \spo[20]_INST_0_i_9_n_0\ : STD_LOGIC; signal \spo[21]_INST_0_i_10_n_0\ : STD_LOGIC; signal \spo[21]_INST_0_i_11_n_0\ : STD_LOGIC; signal \spo[21]_INST_0_i_12_n_0\ : STD_LOGIC; signal \spo[21]_INST_0_i_13_n_0\ : STD_LOGIC; signal \spo[21]_INST_0_i_14_n_0\ : STD_LOGIC; signal \spo[21]_INST_0_i_1_n_0\ : STD_LOGIC; signal \spo[21]_INST_0_i_2_n_0\ : STD_LOGIC; signal \spo[21]_INST_0_i_3_n_0\ : STD_LOGIC; signal \spo[21]_INST_0_i_4_n_0\ : STD_LOGIC; signal \spo[21]_INST_0_i_5_n_0\ : STD_LOGIC; signal \spo[21]_INST_0_i_6_n_0\ : STD_LOGIC; signal \spo[21]_INST_0_i_7_n_0\ : STD_LOGIC; signal \spo[21]_INST_0_i_8_n_0\ : STD_LOGIC; signal \spo[21]_INST_0_i_9_n_0\ : STD_LOGIC; signal \spo[22]_INST_0_i_10_n_0\ : STD_LOGIC; signal \spo[22]_INST_0_i_11_n_0\ : STD_LOGIC; signal \spo[22]_INST_0_i_1_n_0\ : STD_LOGIC; signal \spo[22]_INST_0_i_2_n_0\ : STD_LOGIC; signal \spo[22]_INST_0_i_3_n_0\ : STD_LOGIC; signal \spo[22]_INST_0_i_4_n_0\ : STD_LOGIC; signal \spo[22]_INST_0_i_5_n_0\ : STD_LOGIC; signal \spo[22]_INST_0_i_6_n_0\ : STD_LOGIC; signal \spo[22]_INST_0_i_7_n_0\ : STD_LOGIC; signal \spo[22]_INST_0_i_8_n_0\ : STD_LOGIC; signal \spo[22]_INST_0_i_9_n_0\ : STD_LOGIC; signal \spo[23]_INST_0_i_10_n_0\ : STD_LOGIC; signal \spo[23]_INST_0_i_11_n_0\ : STD_LOGIC; signal \spo[23]_INST_0_i_12_n_0\ : STD_LOGIC; signal \spo[23]_INST_0_i_13_n_0\ : STD_LOGIC; signal \spo[23]_INST_0_i_14_n_0\ : STD_LOGIC; signal \spo[23]_INST_0_i_1_n_0\ : STD_LOGIC; signal \spo[23]_INST_0_i_2_n_0\ : STD_LOGIC; signal \spo[23]_INST_0_i_3_n_0\ : STD_LOGIC; signal \spo[23]_INST_0_i_4_n_0\ : STD_LOGIC; signal \spo[23]_INST_0_i_5_n_0\ : STD_LOGIC; signal \spo[23]_INST_0_i_6_n_0\ : STD_LOGIC; signal \spo[23]_INST_0_i_7_n_0\ : STD_LOGIC; signal \spo[23]_INST_0_i_8_n_0\ : STD_LOGIC; signal \spo[23]_INST_0_i_9_n_0\ : STD_LOGIC; signal \spo[2]_INST_0_i_10_n_0\ : STD_LOGIC; signal \spo[2]_INST_0_i_11_n_0\ : STD_LOGIC; signal \spo[2]_INST_0_i_12_n_0\ : STD_LOGIC; signal \spo[2]_INST_0_i_13_n_0\ : STD_LOGIC; signal \spo[2]_INST_0_i_14_n_0\ : STD_LOGIC; signal \spo[2]_INST_0_i_1_n_0\ : STD_LOGIC; signal \spo[2]_INST_0_i_2_n_0\ : STD_LOGIC; signal \spo[2]_INST_0_i_3_n_0\ : STD_LOGIC; signal \spo[2]_INST_0_i_4_n_0\ : STD_LOGIC; signal \spo[2]_INST_0_i_5_n_0\ : STD_LOGIC; signal \spo[2]_INST_0_i_6_n_0\ : STD_LOGIC; signal \spo[2]_INST_0_i_7_n_0\ : STD_LOGIC; signal \spo[2]_INST_0_i_8_n_0\ : STD_LOGIC; signal \spo[2]_INST_0_i_9_n_0\ : STD_LOGIC; signal \spo[3]_INST_0_i_10_n_0\ : STD_LOGIC; signal \spo[3]_INST_0_i_11_n_0\ : STD_LOGIC; signal \spo[3]_INST_0_i_1_n_0\ : STD_LOGIC; signal \spo[3]_INST_0_i_2_n_0\ : STD_LOGIC; signal \spo[3]_INST_0_i_3_n_0\ : STD_LOGIC; signal \spo[3]_INST_0_i_4_n_0\ : STD_LOGIC; signal \spo[3]_INST_0_i_5_n_0\ : STD_LOGIC; signal \spo[3]_INST_0_i_6_n_0\ : STD_LOGIC; signal \spo[3]_INST_0_i_7_n_0\ : STD_LOGIC; signal \spo[3]_INST_0_i_8_n_0\ : STD_LOGIC; signal \spo[3]_INST_0_i_9_n_0\ : STD_LOGIC; signal \spo[4]_INST_0_i_10_n_0\ : STD_LOGIC; signal \spo[4]_INST_0_i_11_n_0\ : STD_LOGIC; signal \spo[4]_INST_0_i_1_n_0\ : STD_LOGIC; signal \spo[4]_INST_0_i_2_n_0\ : STD_LOGIC; signal \spo[4]_INST_0_i_3_n_0\ : STD_LOGIC; signal \spo[4]_INST_0_i_4_n_0\ : STD_LOGIC; signal \spo[4]_INST_0_i_5_n_0\ : STD_LOGIC; signal \spo[4]_INST_0_i_6_n_0\ : STD_LOGIC; signal \spo[4]_INST_0_i_7_n_0\ : STD_LOGIC; signal \spo[4]_INST_0_i_8_n_0\ : STD_LOGIC; signal \spo[4]_INST_0_i_9_n_0\ : STD_LOGIC; signal \spo[5]_INST_0_i_10_n_0\ : STD_LOGIC; signal \spo[5]_INST_0_i_11_n_0\ : STD_LOGIC; signal \spo[5]_INST_0_i_1_n_0\ : STD_LOGIC; signal \spo[5]_INST_0_i_2_n_0\ : STD_LOGIC; signal \spo[5]_INST_0_i_3_n_0\ : STD_LOGIC; signal \spo[5]_INST_0_i_4_n_0\ : STD_LOGIC; signal \spo[5]_INST_0_i_5_n_0\ : STD_LOGIC; signal \spo[5]_INST_0_i_6_n_0\ : STD_LOGIC; signal \spo[5]_INST_0_i_7_n_0\ : STD_LOGIC; signal \spo[5]_INST_0_i_8_n_0\ : STD_LOGIC; signal \spo[5]_INST_0_i_9_n_0\ : STD_LOGIC; signal \spo[6]_INST_0_i_10_n_0\ : STD_LOGIC; signal \spo[6]_INST_0_i_11_n_0\ : STD_LOGIC; signal \spo[6]_INST_0_i_12_n_0\ : STD_LOGIC; signal \spo[6]_INST_0_i_1_n_0\ : STD_LOGIC; signal \spo[6]_INST_0_i_2_n_0\ : STD_LOGIC; signal \spo[6]_INST_0_i_3_n_0\ : STD_LOGIC; signal \spo[6]_INST_0_i_4_n_0\ : STD_LOGIC; signal \spo[6]_INST_0_i_5_n_0\ : STD_LOGIC; signal \spo[6]_INST_0_i_6_n_0\ : STD_LOGIC; signal \spo[6]_INST_0_i_7_n_0\ : STD_LOGIC; signal \spo[6]_INST_0_i_8_n_0\ : STD_LOGIC; signal \spo[6]_INST_0_i_9_n_0\ : STD_LOGIC; signal \spo[7]_INST_0_i_10_n_0\ : STD_LOGIC; signal \spo[7]_INST_0_i_11_n_0\ : STD_LOGIC; signal \spo[7]_INST_0_i_12_n_0\ : STD_LOGIC; signal \spo[7]_INST_0_i_13_n_0\ : STD_LOGIC; signal \spo[7]_INST_0_i_1_n_0\ : STD_LOGIC; signal \spo[7]_INST_0_i_2_n_0\ : STD_LOGIC; signal \spo[7]_INST_0_i_3_n_0\ : STD_LOGIC; signal \spo[7]_INST_0_i_4_n_0\ : STD_LOGIC; signal \spo[7]_INST_0_i_5_n_0\ : STD_LOGIC; signal \spo[7]_INST_0_i_6_n_0\ : STD_LOGIC; signal \spo[7]_INST_0_i_7_n_0\ : STD_LOGIC; signal \spo[7]_INST_0_i_8_n_0\ : STD_LOGIC; signal \spo[7]_INST_0_i_9_n_0\ : STD_LOGIC; signal \spo[8]_INST_0_i_10_n_0\ : STD_LOGIC; signal \spo[8]_INST_0_i_11_n_0\ : STD_LOGIC; signal \spo[8]_INST_0_i_12_n_0\ : STD_LOGIC; signal \spo[8]_INST_0_i_13_n_0\ : STD_LOGIC; signal \spo[8]_INST_0_i_1_n_0\ : STD_LOGIC; signal \spo[8]_INST_0_i_2_n_0\ : STD_LOGIC; signal \spo[8]_INST_0_i_3_n_0\ : STD_LOGIC; signal \spo[8]_INST_0_i_4_n_0\ : STD_LOGIC; signal \spo[8]_INST_0_i_5_n_0\ : STD_LOGIC; signal \spo[8]_INST_0_i_6_n_0\ : STD_LOGIC; signal \spo[8]_INST_0_i_7_n_0\ : STD_LOGIC; signal \spo[8]_INST_0_i_8_n_0\ : STD_LOGIC; signal \spo[8]_INST_0_i_9_n_0\ : STD_LOGIC; signal \spo[9]_INST_0_i_10_n_0\ : STD_LOGIC; signal \spo[9]_INST_0_i_11_n_0\ : STD_LOGIC; signal \spo[9]_INST_0_i_12_n_0\ : STD_LOGIC; signal \spo[9]_INST_0_i_13_n_0\ : STD_LOGIC; signal \spo[9]_INST_0_i_1_n_0\ : STD_LOGIC; signal \spo[9]_INST_0_i_2_n_0\ : STD_LOGIC; signal \spo[9]_INST_0_i_3_n_0\ : STD_LOGIC; signal \spo[9]_INST_0_i_4_n_0\ : STD_LOGIC; signal \spo[9]_INST_0_i_5_n_0\ : STD_LOGIC; signal \spo[9]_INST_0_i_6_n_0\ : STD_LOGIC; signal \spo[9]_INST_0_i_7_n_0\ : STD_LOGIC; signal \spo[9]_INST_0_i_8_n_0\ : STD_LOGIC; signal \spo[9]_INST_0_i_9_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of g0_b1 : label is "soft_lutpair0"; attribute SOFT_HLUTNM of g0_b20 : label is "soft_lutpair119"; attribute SOFT_HLUTNM of g0_b21 : label is "soft_lutpair120"; attribute SOFT_HLUTNM of g0_b23 : label is "soft_lutpair129"; attribute SOFT_HLUTNM of g10_b1 : label is "soft_lutpair70"; attribute SOFT_HLUTNM of g10_b12 : label is "soft_lutpair70"; attribute SOFT_HLUTNM of g10_b15 : label is "soft_lutpair71"; attribute SOFT_HLUTNM of g11_b1 : label is "soft_lutpair71"; attribute SOFT_HLUTNM of g11_b12 : label is "soft_lutpair72"; attribute SOFT_HLUTNM of g11_b15 : label is "soft_lutpair72"; attribute SOFT_HLUTNM of g12_b1 : label is "soft_lutpair73"; attribute SOFT_HLUTNM of g12_b12 : label is "soft_lutpair73"; attribute SOFT_HLUTNM of g12_b15 : label is "soft_lutpair74"; attribute SOFT_HLUTNM of g13_b1 : label is "soft_lutpair74"; attribute SOFT_HLUTNM of g13_b12 : label is "soft_lutpair75"; attribute SOFT_HLUTNM of g15_b1 : label is "soft_lutpair75"; attribute SOFT_HLUTNM of g15_b12 : label is "soft_lutpair76"; attribute SOFT_HLUTNM of g16_b0 : label is "soft_lutpair76"; attribute SOFT_HLUTNM of g16_b10 : label is "soft_lutpair79"; attribute SOFT_HLUTNM of g16_b13 : label is "soft_lutpair79"; attribute SOFT_HLUTNM of g16_b15 : label is "soft_lutpair80"; attribute SOFT_HLUTNM of g16_b18 : label is "soft_lutpair80"; attribute SOFT_HLUTNM of g16_b19 : label is "soft_lutpair81"; attribute SOFT_HLUTNM of g16_b22 : label is "soft_lutpair120"; attribute SOFT_HLUTNM of g16_b3 : label is "soft_lutpair77"; attribute SOFT_HLUTNM of g16_b4 : label is "soft_lutpair77"; attribute SOFT_HLUTNM of g16_b5 : label is "soft_lutpair78"; attribute SOFT_HLUTNM of g16_b8 : label is "soft_lutpair78"; attribute SOFT_HLUTNM of g17_b0 : label is "soft_lutpair81"; attribute SOFT_HLUTNM of g17_b10 : label is "soft_lutpair84"; attribute SOFT_HLUTNM of g17_b13 : label is "soft_lutpair84"; attribute SOFT_HLUTNM of g17_b15 : label is "soft_lutpair85"; attribute SOFT_HLUTNM of g17_b18 : label is "soft_lutpair85"; attribute SOFT_HLUTNM of g17_b19 : label is "soft_lutpair86"; attribute SOFT_HLUTNM of g17_b22 : label is "soft_lutpair86"; attribute SOFT_HLUTNM of g17_b3 : label is "soft_lutpair82"; attribute SOFT_HLUTNM of g17_b4 : label is "soft_lutpair82"; attribute SOFT_HLUTNM of g17_b5 : label is "soft_lutpair83"; attribute SOFT_HLUTNM of g17_b8 : label is "soft_lutpair83"; attribute SOFT_HLUTNM of g18_b0 : label is "soft_lutpair87"; attribute SOFT_HLUTNM of g18_b10 : label is "soft_lutpair89"; attribute SOFT_HLUTNM of g18_b13 : label is "soft_lutpair90"; attribute SOFT_HLUTNM of g18_b15 : label is "soft_lutpair90"; attribute SOFT_HLUTNM of g18_b18 : label is "soft_lutpair91"; attribute SOFT_HLUTNM of g18_b19 : label is "soft_lutpair91"; attribute SOFT_HLUTNM of g18_b22 : label is "soft_lutpair92"; attribute SOFT_HLUTNM of g18_b3 : label is "soft_lutpair87"; attribute SOFT_HLUTNM of g18_b4 : label is "soft_lutpair88"; attribute SOFT_HLUTNM of g18_b5 : label is "soft_lutpair88"; attribute SOFT_HLUTNM of g18_b8 : label is "soft_lutpair89"; attribute SOFT_HLUTNM of g19_b0 : label is "soft_lutpair92"; attribute SOFT_HLUTNM of g19_b10 : label is "soft_lutpair94"; attribute SOFT_HLUTNM of g19_b13 : label is "soft_lutpair95"; attribute SOFT_HLUTNM of g19_b15 : label is "soft_lutpair95"; attribute SOFT_HLUTNM of g19_b18 : label is "soft_lutpair96"; attribute SOFT_HLUTNM of g19_b19 : label is "soft_lutpair96"; attribute SOFT_HLUTNM of g19_b22 : label is "soft_lutpair97"; attribute SOFT_HLUTNM of g19_b3 : label is "soft_lutpair93"; attribute SOFT_HLUTNM of g19_b4 : label is "soft_lutpair93"; attribute SOFT_HLUTNM of g19_b8 : label is "soft_lutpair94"; attribute SOFT_HLUTNM of g1_b0 : label is "soft_lutpair1"; attribute SOFT_HLUTNM of g1_b1 : label is "soft_lutpair2"; attribute SOFT_HLUTNM of g1_b10 : label is "soft_lutpair8"; attribute SOFT_HLUTNM of g1_b11 : label is "soft_lutpair9"; attribute SOFT_HLUTNM of g1_b12 : label is "soft_lutpair122"; attribute SOFT_HLUTNM of g1_b13 : label is "soft_lutpair10"; attribute SOFT_HLUTNM of g1_b14 : label is "soft_lutpair11"; attribute SOFT_HLUTNM of g1_b15 : label is "soft_lutpair123"; attribute SOFT_HLUTNM of g1_b16 : label is "soft_lutpair12"; attribute SOFT_HLUTNM of g1_b17 : label is "soft_lutpair130"; attribute SOFT_HLUTNM of g1_b18 : label is "soft_lutpair13"; attribute SOFT_HLUTNM of g1_b19 : label is "soft_lutpair14"; attribute SOFT_HLUTNM of g1_b2 : label is "soft_lutpair3"; attribute SOFT_HLUTNM of g1_b20 : label is "soft_lutpair15"; attribute SOFT_HLUTNM of g1_b21 : label is "soft_lutpair16"; attribute SOFT_HLUTNM of g1_b22 : label is "soft_lutpair17"; attribute SOFT_HLUTNM of g1_b23 : label is "soft_lutpair18"; attribute SOFT_HLUTNM of g1_b3 : label is "soft_lutpair4"; attribute SOFT_HLUTNM of g1_b4 : label is "soft_lutpair121"; attribute SOFT_HLUTNM of g1_b5 : label is "soft_lutpair5"; attribute SOFT_HLUTNM of g1_b7 : label is "soft_lutpair6"; attribute SOFT_HLUTNM of g1_b9 : label is "soft_lutpair7"; attribute SOFT_HLUTNM of g20_b18 : label is "soft_lutpair121"; attribute SOFT_HLUTNM of g20_b19 : label is "soft_lutpair99"; attribute SOFT_HLUTNM of g20_b22 : label is "soft_lutpair99"; attribute SOFT_HLUTNM of g20_b3 : label is "soft_lutpair97"; attribute SOFT_HLUTNM of g20_b5 : label is "soft_lutpair98"; attribute SOFT_HLUTNM of g20_b8 : label is "soft_lutpair98"; attribute SOFT_HLUTNM of g21_b0 : label is "soft_lutpair100"; attribute SOFT_HLUTNM of g21_b10 : label is "soft_lutpair102"; attribute SOFT_HLUTNM of g21_b13 : label is "soft_lutpair103"; attribute SOFT_HLUTNM of g21_b18 : label is "soft_lutpair103"; attribute SOFT_HLUTNM of g21_b19 : label is "soft_lutpair104"; attribute SOFT_HLUTNM of g21_b22 : label is "soft_lutpair104"; attribute SOFT_HLUTNM of g21_b3 : label is "soft_lutpair100"; attribute SOFT_HLUTNM of g21_b4 : label is "soft_lutpair101"; attribute SOFT_HLUTNM of g21_b5 : label is "soft_lutpair101"; attribute SOFT_HLUTNM of g21_b8 : label is "soft_lutpair102"; attribute SOFT_HLUTNM of g22_b0 : label is "soft_lutpair105"; attribute SOFT_HLUTNM of g22_b10 : label is "soft_lutpair107"; attribute SOFT_HLUTNM of g22_b13 : label is "soft_lutpair108"; attribute SOFT_HLUTNM of g22_b15 : label is "soft_lutpair108"; attribute SOFT_HLUTNM of g22_b18 : label is "soft_lutpair109"; attribute SOFT_HLUTNM of g22_b19 : label is "soft_lutpair109"; attribute SOFT_HLUTNM of g22_b22 : label is "soft_lutpair110"; attribute SOFT_HLUTNM of g22_b3 : label is "soft_lutpair105"; attribute SOFT_HLUTNM of g22_b4 : label is "soft_lutpair106"; attribute SOFT_HLUTNM of g22_b5 : label is "soft_lutpair106"; attribute SOFT_HLUTNM of g22_b8 : label is "soft_lutpair107"; attribute SOFT_HLUTNM of g23_b0 : label is "soft_lutpair110"; attribute SOFT_HLUTNM of g23_b10 : label is "soft_lutpair113"; attribute SOFT_HLUTNM of g23_b13 : label is "soft_lutpair123"; attribute SOFT_HLUTNM of g23_b18 : label is "soft_lutpair113"; attribute SOFT_HLUTNM of g23_b19 : label is "soft_lutpair114"; attribute SOFT_HLUTNM of g23_b22 : label is "soft_lutpair114"; attribute SOFT_HLUTNM of g23_b3 : label is "soft_lutpair111"; attribute SOFT_HLUTNM of g23_b4 : label is "soft_lutpair111"; attribute SOFT_HLUTNM of g23_b5 : label is "soft_lutpair112"; attribute SOFT_HLUTNM of g23_b8 : label is "soft_lutpair112"; attribute SOFT_HLUTNM of g24_b1 : label is "soft_lutpair115"; attribute SOFT_HLUTNM of g24_b10 : label is "soft_lutpair116"; attribute SOFT_HLUTNM of g24_b12 : label is "soft_lutpair116"; attribute SOFT_HLUTNM of g24_b16 : label is "soft_lutpair117"; attribute SOFT_HLUTNM of g24_b18 : label is "soft_lutpair117"; attribute SOFT_HLUTNM of g24_b2 : label is "soft_lutpair115"; attribute SOFT_HLUTNM of g24_b20 : label is "soft_lutpair118"; attribute SOFT_HLUTNM of g24_b21 : label is "soft_lutpair118"; attribute SOFT_HLUTNM of g24_b23 : label is "soft_lutpair119"; attribute SOFT_HLUTNM of g2_b0 : label is "soft_lutpair19"; attribute SOFT_HLUTNM of g2_b1 : label is "soft_lutpair20"; attribute SOFT_HLUTNM of g2_b10 : label is "soft_lutpair26"; attribute SOFT_HLUTNM of g2_b11 : label is "soft_lutpair27"; attribute SOFT_HLUTNM of g2_b12 : label is "soft_lutpair28"; attribute SOFT_HLUTNM of g2_b14 : label is "soft_lutpair29"; attribute SOFT_HLUTNM of g2_b16 : label is "soft_lutpair30"; attribute SOFT_HLUTNM of g2_b17 : label is "soft_lutpair31"; attribute SOFT_HLUTNM of g2_b18 : label is "soft_lutpair32"; attribute SOFT_HLUTNM of g2_b19 : label is "soft_lutpair33"; attribute SOFT_HLUTNM of g2_b2 : label is "soft_lutpair21"; attribute SOFT_HLUTNM of g2_b20 : label is "soft_lutpair34"; attribute SOFT_HLUTNM of g2_b21 : label is "soft_lutpair35"; attribute SOFT_HLUTNM of g2_b22 : label is "soft_lutpair36"; attribute SOFT_HLUTNM of g2_b23 : label is "soft_lutpair37"; attribute SOFT_HLUTNM of g2_b3 : label is "soft_lutpair22"; attribute SOFT_HLUTNM of g2_b4 : label is "soft_lutpair129"; attribute SOFT_HLUTNM of g2_b5 : label is "soft_lutpair23"; attribute SOFT_HLUTNM of g2_b7 : label is "soft_lutpair24"; attribute SOFT_HLUTNM of g2_b9 : label is "soft_lutpair25"; attribute SOFT_HLUTNM of g3_b0 : label is "soft_lutpair38"; attribute SOFT_HLUTNM of g3_b1 : label is "soft_lutpair39"; attribute SOFT_HLUTNM of g3_b10 : label is "soft_lutpair7"; attribute SOFT_HLUTNM of g3_b11 : label is "soft_lutpair6"; attribute SOFT_HLUTNM of g3_b12 : label is "soft_lutpair0"; attribute SOFT_HLUTNM of g3_b14 : label is "soft_lutpair1"; attribute SOFT_HLUTNM of g3_b16 : label is "soft_lutpair2"; attribute SOFT_HLUTNM of g3_b17 : label is "soft_lutpair8"; attribute SOFT_HLUTNM of g3_b18 : label is "soft_lutpair3"; attribute SOFT_HLUTNM of g3_b19 : label is "soft_lutpair10"; attribute SOFT_HLUTNM of g3_b2 : label is "soft_lutpair40"; attribute SOFT_HLUTNM of g3_b20 : label is "soft_lutpair5"; attribute SOFT_HLUTNM of g3_b21 : label is "soft_lutpair14"; attribute SOFT_HLUTNM of g3_b22 : label is "soft_lutpair18"; attribute SOFT_HLUTNM of g3_b23 : label is "soft_lutpair20"; attribute SOFT_HLUTNM of g3_b3 : label is "soft_lutpair41"; attribute SOFT_HLUTNM of g3_b4 : label is "soft_lutpair130"; attribute SOFT_HLUTNM of g3_b5 : label is "soft_lutpair42"; attribute SOFT_HLUTNM of g3_b7 : label is "soft_lutpair43"; attribute SOFT_HLUTNM of g3_b9 : label is "soft_lutpair4"; attribute SOFT_HLUTNM of g4_b0 : label is "soft_lutpair9"; attribute SOFT_HLUTNM of g4_b1 : label is "soft_lutpair22"; attribute SOFT_HLUTNM of g4_b10 : label is "soft_lutpair15"; attribute SOFT_HLUTNM of g4_b11 : label is "soft_lutpair16"; attribute SOFT_HLUTNM of g4_b12 : label is "soft_lutpair17"; attribute SOFT_HLUTNM of g4_b14 : label is "soft_lutpair34"; attribute SOFT_HLUTNM of g4_b16 : label is "soft_lutpair19"; attribute SOFT_HLUTNM of g4_b17 : label is "soft_lutpair41"; attribute SOFT_HLUTNM of g4_b18 : label is "soft_lutpair21"; attribute SOFT_HLUTNM of g4_b19 : label is "soft_lutpair44"; attribute SOFT_HLUTNM of g4_b2 : label is "soft_lutpair11"; attribute SOFT_HLUTNM of g4_b20 : label is "soft_lutpair23"; attribute SOFT_HLUTNM of g4_b21 : label is "soft_lutpair24"; attribute SOFT_HLUTNM of g4_b22 : label is "soft_lutpair45"; attribute SOFT_HLUTNM of g4_b23 : label is "soft_lutpair26"; attribute SOFT_HLUTNM of g4_b3 : label is "soft_lutpair12"; attribute SOFT_HLUTNM of g4_b4 : label is "soft_lutpair13"; attribute SOFT_HLUTNM of g4_b9 : label is "soft_lutpair25"; attribute SOFT_HLUTNM of g5_b0 : label is "soft_lutpair27"; attribute SOFT_HLUTNM of g5_b1 : label is "soft_lutpair28"; attribute SOFT_HLUTNM of g5_b10 : label is "soft_lutpair32"; attribute SOFT_HLUTNM of g5_b11 : label is "soft_lutpair33"; attribute SOFT_HLUTNM of g5_b12 : label is "soft_lutpair46"; attribute SOFT_HLUTNM of g5_b14 : label is "soft_lutpair35"; attribute SOFT_HLUTNM of g5_b16 : label is "soft_lutpair36"; attribute SOFT_HLUTNM of g5_b17 : label is "soft_lutpair37"; attribute SOFT_HLUTNM of g5_b18 : label is "soft_lutpair38"; attribute SOFT_HLUTNM of g5_b19 : label is "soft_lutpair39"; attribute SOFT_HLUTNM of g5_b2 : label is "soft_lutpair29"; attribute SOFT_HLUTNM of g5_b20 : label is "soft_lutpair40"; attribute SOFT_HLUTNM of g5_b21 : label is "soft_lutpair47"; attribute SOFT_HLUTNM of g5_b22 : label is "soft_lutpair42"; attribute SOFT_HLUTNM of g5_b23 : label is "soft_lutpair43"; attribute SOFT_HLUTNM of g5_b3 : label is "soft_lutpair30"; attribute SOFT_HLUTNM of g5_b9 : label is "soft_lutpair31"; attribute SOFT_HLUTNM of g6_b0 : label is "soft_lutpair44"; attribute SOFT_HLUTNM of g6_b1 : label is "soft_lutpair48"; attribute SOFT_HLUTNM of g6_b10 : label is "soft_lutpair50"; attribute SOFT_HLUTNM of g6_b11 : label is "soft_lutpair51"; attribute SOFT_HLUTNM of g6_b12 : label is "soft_lutpair51"; attribute SOFT_HLUTNM of g6_b14 : label is "soft_lutpair52"; attribute SOFT_HLUTNM of g6_b16 : label is "soft_lutpair52"; attribute SOFT_HLUTNM of g6_b17 : label is "soft_lutpair53"; attribute SOFT_HLUTNM of g6_b18 : label is "soft_lutpair53"; attribute SOFT_HLUTNM of g6_b19 : label is "soft_lutpair54"; attribute SOFT_HLUTNM of g6_b2 : label is "soft_lutpair45"; attribute SOFT_HLUTNM of g6_b20 : label is "soft_lutpair54"; attribute SOFT_HLUTNM of g6_b21 : label is "soft_lutpair55"; attribute SOFT_HLUTNM of g6_b22 : label is "soft_lutpair55"; attribute SOFT_HLUTNM of g6_b23 : label is "soft_lutpair56"; attribute SOFT_HLUTNM of g6_b3 : label is "soft_lutpair46"; attribute SOFT_HLUTNM of g6_b4 : label is "soft_lutpair47"; attribute SOFT_HLUTNM of g6_b5 : label is "soft_lutpair48"; attribute SOFT_HLUTNM of g6_b6 : label is "soft_lutpair49"; attribute SOFT_HLUTNM of g6_b7 : label is "soft_lutpair49"; attribute SOFT_HLUTNM of g6_b9 : label is "soft_lutpair50"; attribute SOFT_HLUTNM of g7_b0 : label is "soft_lutpair56"; attribute SOFT_HLUTNM of g7_b1 : label is "soft_lutpair57"; attribute SOFT_HLUTNM of g7_b10 : label is "soft_lutpair61"; attribute SOFT_HLUTNM of g7_b11 : label is "soft_lutpair61"; attribute SOFT_HLUTNM of g7_b12 : label is "soft_lutpair62"; attribute SOFT_HLUTNM of g7_b14 : label is "soft_lutpair62"; attribute SOFT_HLUTNM of g7_b15 : label is "soft_lutpair63"; attribute SOFT_HLUTNM of g7_b16 : label is "soft_lutpair63"; attribute SOFT_HLUTNM of g7_b17 : label is "soft_lutpair64"; attribute SOFT_HLUTNM of g7_b18 : label is "soft_lutpair64"; attribute SOFT_HLUTNM of g7_b19 : label is "soft_lutpair65"; attribute SOFT_HLUTNM of g7_b2 : label is "soft_lutpair57"; attribute SOFT_HLUTNM of g7_b20 : label is "soft_lutpair65"; attribute SOFT_HLUTNM of g7_b21 : label is "soft_lutpair66"; attribute SOFT_HLUTNM of g7_b22 : label is "soft_lutpair66"; attribute SOFT_HLUTNM of g7_b23 : label is "soft_lutpair67"; attribute SOFT_HLUTNM of g7_b3 : label is "soft_lutpair58"; attribute SOFT_HLUTNM of g7_b4 : label is "soft_lutpair58"; attribute SOFT_HLUTNM of g7_b5 : label is "soft_lutpair59"; attribute SOFT_HLUTNM of g7_b6 : label is "soft_lutpair59"; attribute SOFT_HLUTNM of g7_b7 : label is "soft_lutpair60"; attribute SOFT_HLUTNM of g7_b9 : label is "soft_lutpair60"; attribute SOFT_HLUTNM of g8_b1 : label is "soft_lutpair67"; attribute SOFT_HLUTNM of g8_b12 : label is "soft_lutpair68"; attribute SOFT_HLUTNM of g8_b15 : label is "soft_lutpair68"; attribute SOFT_HLUTNM of g9_b1 : label is "soft_lutpair69"; attribute SOFT_HLUTNM of g9_b12 : label is "soft_lutpair69"; attribute SOFT_HLUTNM of g9_b15 : label is "soft_lutpair122"; attribute SOFT_HLUTNM of \spo[10]_INST_0_i_1\ : label is "soft_lutpair125"; attribute SOFT_HLUTNM of \spo[12]_INST_0_i_1\ : label is "soft_lutpair124"; attribute SOFT_HLUTNM of \spo[16]_INST_0_i_1\ : label is "soft_lutpair124"; attribute SOFT_HLUTNM of \spo[17]_INST_0_i_1\ : label is "soft_lutpair128"; attribute SOFT_HLUTNM of \spo[18]_INST_0_i_1\ : label is "soft_lutpair127"; attribute SOFT_HLUTNM of \spo[1]_INST_0_i_1\ : label is "soft_lutpair126"; attribute SOFT_HLUTNM of \spo[20]_INST_0_i_1\ : label is "soft_lutpair127"; attribute SOFT_HLUTNM of \spo[21]_INST_0_i_1\ : label is "soft_lutpair128"; attribute SOFT_HLUTNM of \spo[23]_INST_0_i_1\ : label is "soft_lutpair126"; attribute SOFT_HLUTNM of \spo[2]_INST_0_i_1\ : label is "soft_lutpair125"; begin dpo(23) <= \<const0>\; dpo(22) <= \<const0>\; dpo(21) <= \<const0>\; dpo(20) <= \<const0>\; dpo(19) <= \<const0>\; dpo(18) <= \<const0>\; dpo(17) <= \<const0>\; dpo(16) <= \<const0>\; dpo(15) <= \<const0>\; dpo(14) <= \<const0>\; dpo(13) <= \<const0>\; dpo(12) <= \<const0>\; dpo(11) <= \<const0>\; dpo(10) <= \<const0>\; dpo(9) <= \<const0>\; dpo(8) <= \<const0>\; dpo(7) <= \<const0>\; dpo(6) <= \<const0>\; dpo(5) <= \<const0>\; dpo(4) <= \<const0>\; dpo(3) <= \<const0>\; dpo(2) <= \<const0>\; dpo(1) <= \<const0>\; dpo(0) <= \<const0>\; qdpo(23) <= \<const0>\; qdpo(22) <= \<const0>\; qdpo(21) <= \<const0>\; qdpo(20) <= \<const0>\; qdpo(19) <= \<const0>\; qdpo(18) <= \<const0>\; qdpo(17) <= \<const0>\; qdpo(16) <= \<const0>\; qdpo(15) <= \<const0>\; qdpo(14) <= \<const0>\; qdpo(13) <= \<const0>\; qdpo(12) <= \<const0>\; qdpo(11) <= \<const0>\; qdpo(10) <= \<const0>\; qdpo(9) <= \<const0>\; qdpo(8) <= \<const0>\; qdpo(7) <= \<const0>\; qdpo(6) <= \<const0>\; qdpo(5) <= \<const0>\; qdpo(4) <= \<const0>\; qdpo(3) <= \<const0>\; qdpo(2) <= \<const0>\; qdpo(1) <= \<const0>\; qdpo(0) <= \<const0>\; qspo(23) <= \<const0>\; qspo(22) <= \<const0>\; qspo(21) <= \<const0>\; qspo(20) <= \<const0>\; qspo(19) <= \<const0>\; qspo(18) <= \<const0>\; qspo(17) <= \<const0>\; qspo(16) <= \<const0>\; qspo(15) <= \<const0>\; qspo(14) <= \<const0>\; qspo(13) <= \<const0>\; qspo(12) <= \<const0>\; qspo(11) <= \<const0>\; qspo(10) <= \<const0>\; qspo(9) <= \<const0>\; qspo(8) <= \<const0>\; qspo(7) <= \<const0>\; qspo(6) <= \<const0>\; qspo(5) <= \<const0>\; qspo(4) <= \<const0>\; qspo(3) <= \<const0>\; qspo(2) <= \<const0>\; qspo(1) <= \<const0>\; qspo(0) <= \<const0>\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); g0_b1: unisim.vcomponents.LUT5 generic map( INIT => X"80000800" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g0_b1_n_0 ); g0_b20: unisim.vcomponents.LUT4 generic map( INIT => X"C0B0" ) port map ( I0 => a(2), I1 => a(3), I2 => a(4), I3 => a(5), O => g0_b20_n_0 ); g0_b21: unisim.vcomponents.LUT4 generic map( INIT => X"3F4F" ) port map ( I0 => a(2), I1 => a(3), I2 => a(4), I3 => a(5), O => g0_b21_n_0 ); g0_b23: unisim.vcomponents.LUT3 generic map( INIT => X"73" ) port map ( I0 => a(3), I1 => a(4), I2 => a(5), O => g0_b23_n_0 ); g0_b8: unisim.vcomponents.LUT5 generic map( INIT => X"8FFF38FF" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g0_b8_n_0 ); g10_b0: unisim.vcomponents.LUT5 generic map( INIT => X"FCC7FFCC" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g10_b0_n_0 ); g10_b1: unisim.vcomponents.LUT5 generic map( INIT => X"88D6388D" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g10_b1_n_0 ); g10_b10: unisim.vcomponents.LUT5 generic map( INIT => X"C9660C96" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g10_b10_n_0 ); g10_b11: unisim.vcomponents.LUT5 generic map( INIT => X"F7C9FF7C" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g10_b11_n_0 ); g10_b12: unisim.vcomponents.LUT5 generic map( INIT => X"B1540B15" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g10_b12_n_0 ); g10_b13: unisim.vcomponents.LUT5 generic map( INIT => X"7383F738" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g10_b13_n_0 ); g10_b14: unisim.vcomponents.LUT5 generic map( INIT => X"B5EFFB5E" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g10_b14_n_0 ); g10_b15: unisim.vcomponents.LUT5 generic map( INIT => X"08000080" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g10_b15_n_0 ); g10_b16: unisim.vcomponents.LUT5 generic map( INIT => X"7C8A37C8" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g10_b16_n_0 ); g10_b17: unisim.vcomponents.LUT5 generic map( INIT => X"7B6C07B6" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g10_b17_n_0 ); g10_b18: unisim.vcomponents.LUT5 generic map( INIT => X"F6D00F6D" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g10_b18_n_0 ); g10_b19: unisim.vcomponents.LUT5 generic map( INIT => X"4421F442" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g10_b19_n_0 ); g10_b2: unisim.vcomponents.LUT5 generic map( INIT => X"3E1DE3E1" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g10_b2_n_0 ); g10_b20: unisim.vcomponents.LUT5 generic map( INIT => X"0167F016" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g10_b20_n_0 ); g10_b21: unisim.vcomponents.LUT5 generic map( INIT => X"887BF887" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g10_b21_n_0 ); g10_b22: unisim.vcomponents.LUT5 generic map( INIT => X"7BA007BA" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g10_b22_n_0 ); g10_b23: unisim.vcomponents.LUT5 generic map( INIT => X"BDCFFBDC" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g10_b23_n_0 ); g10_b3: unisim.vcomponents.LUT5 generic map( INIT => X"0F97F0F9" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g10_b3_n_0 ); g10_b4: unisim.vcomponents.LUT5 generic map( INIT => X"3B2403B2" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g10_b4_n_0 ); g10_b5: unisim.vcomponents.LUT5 generic map( INIT => X"442C0442" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g10_b5_n_0 ); g10_b6: unisim.vcomponents.LUT5 generic map( INIT => X"B9C3FB9C" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g10_b6_n_0 ); g10_b7: unisim.vcomponents.LUT5 generic map( INIT => X"00080000" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g10_b7_n_0 ); g10_b8: unisim.vcomponents.LUT5 generic map( INIT => X"405A2405" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g10_b8_n_0 ); g10_b9: unisim.vcomponents.LUT5 generic map( INIT => X"0853E085" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g10_b9_n_0 ); g11_b0: unisim.vcomponents.LUT5 generic map( INIT => X"0303B07F" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g11_b0_n_0 ); g11_b1: unisim.vcomponents.LUT5 generic map( INIT => X"2207E263" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g11_b1_n_0 ); g11_b10: unisim.vcomponents.LUT5 generic map( INIT => X"C1F8DC60" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g11_b10_n_0 ); g11_b11: unisim.vcomponents.LUT5 generic map( INIT => X"FDFF7F9F" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g11_b11_n_0 ); g11_b12: unisim.vcomponents.LUT5 generic map( INIT => X"82001840" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g11_b12_n_0 ); g11_b13: unisim.vcomponents.LUT5 generic map( INIT => X"0003103F" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g11_b13_n_0 ); g11_b14: unisim.vcomponents.LUT5 generic map( INIT => X"1C0011FF" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g11_b14_n_0 ); g11_b15: unisim.vcomponents.LUT5 generic map( INIT => X"0000E000" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g11_b15_n_0 ); g11_b16: unisim.vcomponents.LUT5 generic map( INIT => X"5FFB85A3" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g11_b16_n_0 ); g11_b17: unisim.vcomponents.LUT5 generic map( INIT => X"FDFFAFC0" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g11_b17_n_0 ); g11_b18: unisim.vcomponents.LUT5 generic map( INIT => X"42F82400" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g11_b18_n_0 ); g11_b19: unisim.vcomponents.LUT5 generic map( INIT => X"0303301F" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g11_b19_n_0 ); g11_b2: unisim.vcomponents.LUT5 generic map( INIT => X"BC078BDE" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g11_b2_n_0 ); g11_b20: unisim.vcomponents.LUT5 generic map( INIT => X"E3FC5E7F" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g11_b20_n_0 ); g11_b21: unisim.vcomponents.LUT5 generic map( INIT => X"9C00E9BF" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g11_b21_n_0 ); g11_b22: unisim.vcomponents.LUT4 generic map( INIT => X"01C0" ) port map ( I0 => a(2), I1 => a(3), I2 => a(4), I3 => a(5), O => g11_b22_n_0 ); g11_b23: unisim.vcomponents.LUT5 generic map( INIT => X"1C00F1FF" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g11_b23_n_0 ); g11_b3: unisim.vcomponents.LUT5 generic map( INIT => X"43FB947F" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g11_b3_n_0 ); g11_b4: unisim.vcomponents.LUT5 generic map( INIT => X"80039840" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g11_b4_n_0 ); g11_b5: unisim.vcomponents.LUT5 generic map( INIT => X"1C0061C0" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g11_b5_n_0 ); g11_b6: unisim.vcomponents.LUT4 generic map( INIT => X"00C7" ) port map ( I0 => a(2), I1 => a(3), I2 => a(4), I3 => a(5), O => g11_b6_n_0 ); g11_b7: unisim.vcomponents.LUT5 generic map( INIT => X"1C000180" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g11_b7_n_0 ); g11_b8: unisim.vcomponents.LUT5 generic map( INIT => X"1D0301A2" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g11_b8_n_0 ); g11_b9: unisim.vcomponents.LUT5 generic map( INIT => X"0003B03E" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g11_b9_n_0 ); g12_b0: unisim.vcomponents.LUT5 generic map( INIT => X"D3CC303B" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g12_b0_n_0 ); g12_b1: unisim.vcomponents.LUT5 generic map( INIT => X"30B0207E" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g12_b1_n_0 ); g12_b10: unisim.vcomponents.LUT5 generic map( INIT => X"33801F8D" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g12_b10_n_0 ); g12_b11: unisim.vcomponents.LUT5 generic map( INIT => X"33FBDFF7" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g12_b11_n_0 ); g12_b12: unisim.vcomponents.LUT5 generic map( INIT => X"0B302001" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g12_b12_n_0 ); g12_b13: unisim.vcomponents.LUT5 generic map( INIT => X"00D00031" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g12_b13_n_0 ); g12_b14: unisim.vcomponents.LUT5 generic map( INIT => X"07E3C001" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g12_b14_n_0 ); g12_b15: unisim.vcomponents.LUT5 generic map( INIT => X"0000000E" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g12_b15_n_0 ); g12_b16: unisim.vcomponents.LUT5 generic map( INIT => X"E06FFFB8" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g12_b16_n_0 ); g12_b17: unisim.vcomponents.LUT5 generic map( INIT => X"C3DFDFFA" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g12_b17_n_0 ); g12_b18: unisim.vcomponents.LUT5 generic map( INIT => X"28E82F82" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g12_b18_n_0 ); g12_b19: unisim.vcomponents.LUT5 generic map( INIT => X"0B103033" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g12_b19_n_0 ); g12_b2: unisim.vcomponents.LUT5 generic map( INIT => X"3B5BC078" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g12_b2_n_0 ); g12_b20: unisim.vcomponents.LUT5 generic map( INIT => X"03403FC5" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g12_b20_n_0 ); g12_b21: unisim.vcomponents.LUT5 generic map( INIT => X"043BC00E" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g12_b21_n_0 ); g12_b22: unisim.vcomponents.LUT5 generic map( INIT => X"04E0003F" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g12_b22_n_0 ); g12_b23: unisim.vcomponents.LUT4 generic map( INIT => X"1D83" ) port map ( I0 => a(2), I1 => a(3), I2 => a(4), I3 => a(5), O => g12_b23_n_0 ); g12_b3: unisim.vcomponents.LUT5 generic map( INIT => X"37403FB9" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g12_b3_n_0 ); g12_b4: unisim.vcomponents.LUT5 generic map( INIT => X"0C800039" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g12_b4_n_0 ); g12_b5: unisim.vcomponents.LUT5 generic map( INIT => X"0413C006" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g12_b5_n_0 ); g12_b6: unisim.vcomponents.LUT5 generic map( INIT => X"03E0000F" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g12_b6_n_0 ); g12_b7: unisim.vcomponents.LUT4 generic map( INIT => X"0180" ) port map ( I0 => a(2), I1 => a(3), I2 => a(4), I3 => a(5), O => g12_b7_n_0 ); g12_b8: unisim.vcomponents.LUT5 generic map( INIT => X"0F53D030" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g12_b8_n_0 ); g12_b9: unisim.vcomponents.LUT5 generic map( INIT => X"CB94003B" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g12_b9_n_0 ); g13_b0: unisim.vcomponents.LUT5 generic map( INIT => X"D00D3CC0" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g13_b0_n_0 ); g13_b1: unisim.vcomponents.LUT5 generic map( INIT => X"00030B00" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g13_b1_n_0 ); g13_b10: unisim.vcomponents.LUT5 generic map( INIT => X"30033800" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g13_b10_n_0 ); g13_b11: unisim.vcomponents.LUT5 generic map( INIT => X"A7F33FBF" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g13_b11_n_0 ); g13_b12: unisim.vcomponents.LUT5 generic map( INIT => X"0000B300" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g13_b12_n_0 ); g13_b13: unisim.vcomponents.LUT5 generic map( INIT => X"80000D00" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g13_b13_n_0 ); g13_b14: unisim.vcomponents.LUT5 generic map( INIT => X"C7F07E3F" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g13_b14_n_0 ); g13_b16: unisim.vcomponents.LUT5 generic map( INIT => X"2FFE06FF" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g13_b16_n_0 ); g13_b17: unisim.vcomponents.LUT5 generic map( INIT => X"5FFC3DFF" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g13_b17_n_0 ); g13_b18: unisim.vcomponents.LUT5 generic map( INIT => X"B0028E80" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g13_b18_n_0 ); g13_b19: unisim.vcomponents.LUT5 generic map( INIT => X"5800B100" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g13_b19_n_0 ); g13_b2: unisim.vcomponents.LUT5 generic map( INIT => X"67F3B5BF" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g13_b2_n_0 ); g13_b20: unisim.vcomponents.LUT5 generic map( INIT => X"10003400" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g13_b20_n_0 ); g13_b21: unisim.vcomponents.LUT5 generic map( INIT => X"DFF043BF" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g13_b21_n_0 ); g13_b22: unisim.vcomponents.LUT5 generic map( INIT => X"A0004E00" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g13_b22_n_0 ); g13_b23: unisim.vcomponents.LUT5 generic map( INIT => X"7FF03F3F" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g13_b23_n_0 ); g13_b3: unisim.vcomponents.LUT5 generic map( INIT => X"60037400" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g13_b3_n_0 ); g13_b4: unisim.vcomponents.LUT5 generic map( INIT => X"C000C800" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g13_b4_n_0 ); g13_b5: unisim.vcomponents.LUT5 generic map( INIT => X"C7F0413F" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g13_b5_n_0 ); g13_b6: unisim.vcomponents.LUT5 generic map( INIT => X"80003E00" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g13_b6_n_0 ); g13_b7: unisim.vcomponents.LUT5 generic map( INIT => X"07F0003F" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g13_b7_n_0 ); g13_b8: unisim.vcomponents.LUT5 generic map( INIT => X"27F0F53F" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g13_b8_n_0 ); g13_b9: unisim.vcomponents.LUT5 generic map( INIT => X"A00CB940" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g13_b9_n_0 ); g14_b0: unisim.vcomponents.LUT5 generic map( INIT => X"020D0020" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g14_b0_n_0 ); g14_b10: unisim.vcomponents.LUT5 generic map( INIT => X"00730007" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g14_b10_n_0 ); g14_b11: unisim.vcomponents.LUT5 generic map( INIT => X"FFFA7FFF" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g14_b11_n_0 ); g14_b13: unisim.vcomponents.LUT5 generic map( INIT => X"03F8003F" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g14_b13_n_0 ); g14_b14: unisim.vcomponents.LUT5 generic map( INIT => X"FFFC7FFF" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g14_b14_n_0 ); g14_b16: unisim.vcomponents.LUT5 generic map( INIT => X"FD82FFD8" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g14_b16_n_0 ); g14_b17: unisim.vcomponents.LUT5 generic map( INIT => X"FDC5FFDC" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g14_b17_n_0 ); g14_b18: unisim.vcomponents.LUT5 generic map( INIT => X"024B0024" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g14_b18_n_0 ); g14_b19: unisim.vcomponents.LUT5 generic map( INIT => X"00758007" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g14_b19_n_0 ); g14_b2: unisim.vcomponents.LUT5 generic map( INIT => X"FF867FF8" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g14_b2_n_0 ); g14_b20: unisim.vcomponents.LUT5 generic map( INIT => X"03C1003C" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g14_b20_n_0 ); g14_b21: unisim.vcomponents.LUT5 generic map( INIT => X"FE3DFFE3" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g14_b21_n_0 ); g14_b22: unisim.vcomponents.LUT4 generic map( INIT => X"0200" ) port map ( I0 => a(1), I1 => a(3), I2 => a(4), I3 => a(5), O => g14_b22_n_0 ); g14_b23: unisim.vcomponents.LUT5 generic map( INIT => X"FC07FFC0" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g14_b23_n_0 ); g14_b3: unisim.vcomponents.LUT5 generic map( INIT => X"01860018" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g14_b3_n_0 ); g14_b4: unisim.vcomponents.LUT5 generic map( INIT => X"038C0038" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g14_b4_n_0 ); g14_b5: unisim.vcomponents.LUT5 generic map( INIT => X"FF8C7FF8" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g14_b5_n_0 ); g14_b6: unisim.vcomponents.LUT5 generic map( INIT => X"03880038" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g14_b6_n_0 ); g14_b7: unisim.vcomponents.LUT5 generic map( INIT => X"FC707FC7" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g14_b7_n_0 ); g14_b8: unisim.vcomponents.LUT5 generic map( INIT => X"FE027FE0" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g14_b8_n_0 ); g14_b9: unisim.vcomponents.LUT5 generic map( INIT => X"01FA001F" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g14_b9_n_0 ); g15_b0: unisim.vcomponents.LUT5 generic map( INIT => X"43405434" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g15_b0_n_0 ); g15_b1: unisim.vcomponents.LUT5 generic map( INIT => X"8E8068E8" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g15_b1_n_0 ); g15_b10: unisim.vcomponents.LUT5 generic map( INIT => X"BB800BB8" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g15_b10_n_0 ); g15_b11: unisim.vcomponents.LUT5 generic map( INIT => X"4DFFD4DF" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g15_b11_n_0 ); g15_b12: unisim.vcomponents.LUT5 generic map( INIT => X"35802358" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g15_b12_n_0 ); g15_b13: unisim.vcomponents.LUT5 generic map( INIT => X"BA005BA0" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g15_b13_n_0 ); g15_b14: unisim.vcomponents.LUT5 generic map( INIT => X"3C7FC3C7" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g15_b14_n_0 ); g15_b16: unisim.vcomponents.LUT5 generic map( INIT => X"B7FFFB7F" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g15_b16_n_0 ); g15_b17: unisim.vcomponents.LUT5 generic map( INIT => X"017F9017" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g15_b17_n_0 ); g15_b18: unisim.vcomponents.LUT5 generic map( INIT => X"84806848" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g15_b18_n_0 ); g15_b19: unisim.vcomponents.LUT5 generic map( INIT => X"8B8048B8" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g15_b19_n_0 ); g15_b2: unisim.vcomponents.LUT5 generic map( INIT => X"37FFF37F" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g15_b2_n_0 ); g15_b20: unisim.vcomponents.LUT5 generic map( INIT => X"B0C02B0C" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g15_b20_n_0 ); g15_b21: unisim.vcomponents.LUT5 generic map( INIT => X"4CFFF4CF" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g15_b21_n_0 ); g15_b22: unisim.vcomponents.LUT5 generic map( INIT => X"03400034" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g15_b22_n_0 ); g15_b23: unisim.vcomponents.LUT5 generic map( INIT => X"07BF807B" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g15_b23_n_0 ); g15_b3: unisim.vcomponents.LUT5 generic map( INIT => X"B5807B58" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g15_b3_n_0 ); g15_b4: unisim.vcomponents.LUT5 generic map( INIT => X"85803858" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g15_b4_n_0 ); g15_b5: unisim.vcomponents.LUT5 generic map( INIT => X"C23FDC23" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g15_b5_n_0 ); g15_b6: unisim.vcomponents.LUT5 generic map( INIT => X"04404044" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g15_b6_n_0 ); g15_b7: unisim.vcomponents.LUT5 generic map( INIT => X"F83FBF83" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g15_b7_n_0 ); g15_b8: unisim.vcomponents.LUT5 generic map( INIT => X"303F8303" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g15_b8_n_0 ); g15_b9: unisim.vcomponents.LUT5 generic map( INIT => X"CEC01CEC" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g15_b9_n_0 ); g16_b0: unisim.vcomponents.LUT5 generic map( INIT => X"806F7805" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g16_b0_n_0 ); g16_b1: unisim.vcomponents.LUT5 generic map( INIT => X"C0B05C06" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g16_b1_n_0 ); g16_b10: unisim.vcomponents.LUT5 generic map( INIT => X"40E08400" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g16_b10_n_0 ); g16_b11: unisim.vcomponents.LUT5 generic map( INIT => X"7F7C17FD" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g16_b11_n_0 ); g16_b12: unisim.vcomponents.LUT5 generic map( INIT => X"40E0B402" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g16_b12_n_0 ); g16_b13: unisim.vcomponents.LUT5 generic map( INIT => X"8068C805" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g16_b13_n_0 ); g16_b14: unisim.vcomponents.LUT5 generic map( INIT => X"7F50B7FC" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g16_b14_n_0 ); g16_b15: unisim.vcomponents.LUT5 generic map( INIT => X"803F7803" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g16_b15_n_0 ); g16_b16: unisim.vcomponents.LUT5 generic map( INIT => X"7F1897FF" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g16_b16_n_0 ); g16_b17: unisim.vcomponents.LUT5 generic map( INIT => X"7F2B37F9" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g16_b17_n_0 ); g16_b18: unisim.vcomponents.LUT5 generic map( INIT => X"80130806" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g16_b18_n_0 ); g16_b19: unisim.vcomponents.LUT5 generic map( INIT => X"80A32804" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g16_b19_n_0 ); g16_b2: unisim.vcomponents.LUT5 generic map( INIT => X"BFA8EBFF" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g16_b2_n_0 ); g16_b20: unisim.vcomponents.LUT5 generic map( INIT => X"40731402" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g16_b20_n_0 ); g16_b21: unisim.vcomponents.LUT5 generic map( INIT => X"7F7CF7FF" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g16_b21_n_0 ); g16_b22: unisim.vcomponents.LUT4 generic map( INIT => X"8060" ) port map ( I0 => a(2), I1 => a(3), I2 => a(4), I3 => a(5), O => g16_b22_n_0 ); g16_b23: unisim.vcomponents.LUT5 generic map( INIT => X"BF007BF8" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g16_b23_n_0 ); g16_b3: unisim.vcomponents.LUT5 generic map( INIT => X"407B9407" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g16_b3_n_0 ); g16_b4: unisim.vcomponents.LUT5 generic map( INIT => X"409B3403" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g16_b4_n_0 ); g16_b5: unisim.vcomponents.LUT5 generic map( INIT => X"BF0C4BFD" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g16_b5_n_0 ); g16_b6: unisim.vcomponents.LUT5 generic map( INIT => X"40303404" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g16_b6_n_0 ); g16_b7: unisim.vcomponents.LUT5 generic map( INIT => X"BF7FFBFB" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g16_b7_n_0 ); g16_b8: unisim.vcomponents.LUT5 generic map( INIT => X"3F40A3F8" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g16_b8_n_0 ); g16_b9: unisim.vcomponents.LUT5 generic map( INIT => X"C02FAC01" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g16_b9_n_0 ); g17_b0: unisim.vcomponents.LUT5 generic map( INIT => X"57AC06F7" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g17_b0_n_0 ); g17_b1: unisim.vcomponents.LUT5 generic map( INIT => X"13380B05" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g17_b1_n_0 ); g17_b10: unisim.vcomponents.LUT5 generic map( INIT => X"1F900E08" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g17_b10_n_0 ); g17_b11: unisim.vcomponents.LUT5 generic map( INIT => X"307BF7C1" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g17_b11_n_0 ); g17_b12: unisim.vcomponents.LUT5 generic map( INIT => X"DF680E0B" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g17_b12_n_0 ); g17_b13: unisim.vcomponents.LUT5 generic map( INIT => X"77FC068C" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g17_b13_n_0 ); g17_b14: unisim.vcomponents.LUT5 generic map( INIT => X"4797F50B" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g17_b14_n_0 ); g17_b15: unisim.vcomponents.LUT5 generic map( INIT => X"383803F7" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g17_b15_n_0 ); g17_b16: unisim.vcomponents.LUT5 generic map( INIT => X"ACF3F189" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g17_b16_n_0 ); g17_b17: unisim.vcomponents.LUT5 generic map( INIT => X"C473F2B3" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g17_b17_n_0 ); g17_b18: unisim.vcomponents.LUT5 generic map( INIT => X"8C900130" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g17_b18_n_0 ); g17_b19: unisim.vcomponents.LUT5 generic map( INIT => X"4CF00A32" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g17_b19_n_0 ); g17_b2: unisim.vcomponents.LUT5 generic map( INIT => X"F46FFA8E" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g17_b2_n_0 ); g17_b20: unisim.vcomponents.LUT5 generic map( INIT => X"33240731" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g17_b20_n_0 ); g17_b21: unisim.vcomponents.LUT5 generic map( INIT => X"7077F7CF" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g17_b21_n_0 ); g17_b22: unisim.vcomponents.LUT5 generic map( INIT => X"001C0003" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g17_b22_n_0 ); g17_b23: unisim.vcomponents.LUT5 generic map( INIT => X"003BF007" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g17_b23_n_0 ); g17_b3: unisim.vcomponents.LUT5 generic map( INIT => X"7FEC07B9" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g17_b3_n_0 ); g17_b4: unisim.vcomponents.LUT5 generic map( INIT => X"E0FC09B3" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g17_b4_n_0 ); g17_b5: unisim.vcomponents.LUT5 generic map( INIT => X"68FBF0C4" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g17_b5_n_0 ); g17_b6: unisim.vcomponents.LUT5 generic map( INIT => X"50940303" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g17_b6_n_0 ); g17_b7: unisim.vcomponents.LUT5 generic map( INIT => X"3F3BF7FF" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g17_b7_n_0 ); g17_b8: unisim.vcomponents.LUT5 generic map( INIT => X"6C13F40A" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g17_b8_n_0 ); g17_b9: unisim.vcomponents.LUT5 generic map( INIT => X"843C02FA" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g17_b9_n_0 ); g18_b0: unisim.vcomponents.LUT5 generic map( INIT => X"18057AC0" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g18_b0_n_0 ); g18_b1: unisim.vcomponents.LUT5 generic map( INIT => X"A4013380" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g18_b1_n_0 ); g18_b10: unisim.vcomponents.LUT5 generic map( INIT => X"B401F900" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g18_b10_n_0 ); g18_b11: unisim.vcomponents.LUT5 generic map( INIT => X"2FF307BF" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g18_b11_n_0 ); g18_b12: unisim.vcomponents.LUT5 generic map( INIT => X"9C0DF680" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g18_b12_n_0 ); g18_b13: unisim.vcomponents.LUT5 generic map( INIT => X"50077FC0" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g18_b13_n_0 ); g18_b14: unisim.vcomponents.LUT5 generic map( INIT => X"87F4797F" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g18_b14_n_0 ); g18_b15: unisim.vcomponents.LUT5 generic map( INIT => X"38038380" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g18_b15_n_0 ); g18_b16: unisim.vcomponents.LUT5 generic map( INIT => X"EFFACF3F" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g18_b16_n_0 ); g18_b17: unisim.vcomponents.LUT5 generic map( INIT => X"07FC473F" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g18_b17_n_0 ); g18_b18: unisim.vcomponents.LUT5 generic map( INIT => X"7008C900" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g18_b18_n_0 ); g18_b19: unisim.vcomponents.LUT5 generic map( INIT => X"E804CF00" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g18_b19_n_0 ); g18_b2: unisim.vcomponents.LUT5 generic map( INIT => X"8BFF46FF" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g18_b2_n_0 ); g18_b20: unisim.vcomponents.LUT5 generic map( INIT => X"64033240" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g18_b20_n_0 ); g18_b21: unisim.vcomponents.LUT5 generic map( INIT => X"0FF7077F" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g18_b21_n_0 ); g18_b22: unisim.vcomponents.LUT5 generic map( INIT => X"140001C0" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g18_b22_n_0 ); g18_b23: unisim.vcomponents.LUT5 generic map( INIT => X"3BF003BF" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g18_b23_n_0 ); g18_b3: unisim.vcomponents.LUT5 generic map( INIT => X"1C07FEC0" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g18_b3_n_0 ); g18_b4: unisim.vcomponents.LUT5 generic map( INIT => X"3C0E0FC0" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g18_b4_n_0 ); g18_b5: unisim.vcomponents.LUT5 generic map( INIT => X"D3F68FBF" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g18_b5_n_0 ); g18_b6: unisim.vcomponents.LUT5 generic map( INIT => X"84050940" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g18_b6_n_0 ); g18_b7: unisim.vcomponents.LUT5 generic map( INIT => X"3BF3F3BF" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g18_b7_n_0 ); g18_b8: unisim.vcomponents.LUT5 generic map( INIT => X"D3F6C13F" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g18_b8_n_0 ); g18_b9: unisim.vcomponents.LUT5 generic map( INIT => X"2C0843C0" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g18_b9_n_0 ); g19_b0: unisim.vcomponents.LUT5 generic map( INIT => X"03F1803F" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g19_b0_n_0 ); g19_b1: unisim.vcomponents.LUT5 generic map( INIT => X"010A4010" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g19_b1_n_0 ); g19_b10: unisim.vcomponents.LUT5 generic map( INIT => X"070B4070" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g19_b10_n_0 ); g19_b11: unisim.vcomponents.LUT5 generic map( INIT => X"FE02FFE0" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g19_b11_n_0 ); g19_b12: unisim.vcomponents.LUT5 generic map( INIT => X"0709C070" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g19_b12_n_0 ); g19_b13: unisim.vcomponents.LUT5 generic map( INIT => X"01F5001F" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g19_b13_n_0 ); g19_b14: unisim.vcomponents.LUT5 generic map( INIT => X"FBF87FBF" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g19_b14_n_0 ); g19_b15: unisim.vcomponents.LUT5 generic map( INIT => X"00038000" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g19_b15_n_0 ); g19_b16: unisim.vcomponents.LUT5 generic map( INIT => X"F8FEFF8F" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g19_b16_n_0 ); g19_b17: unisim.vcomponents.LUT5 generic map( INIT => X"FA007FA0" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g19_b17_n_0 ); g19_b18: unisim.vcomponents.LUT5 generic map( INIT => X"02070020" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g19_b18_n_0 ); g19_b19: unisim.vcomponents.LUT5 generic map( INIT => X"06FE806F" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g19_b19_n_0 ); g19_b2: unisim.vcomponents.LUT5 generic map( INIT => X"FCF8BFCF" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g19_b2_n_0 ); g19_b20: unisim.vcomponents.LUT5 generic map( INIT => X"01064010" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g19_b20_n_0 ); g19_b21: unisim.vcomponents.LUT5 generic map( INIT => X"F800FF80" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g19_b21_n_0 ); g19_b22: unisim.vcomponents.LUT5 generic map( INIT => X"00014000" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g19_b22_n_0 ); g19_b23: unisim.vcomponents.LUT5 generic map( INIT => X"F803BF80" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g19_b23_n_0 ); g19_b3: unisim.vcomponents.LUT5 generic map( INIT => X"0101C010" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g19_b3_n_0 ); g19_b4: unisim.vcomponents.LUT5 generic map( INIT => X"02F3C02F" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g19_b4_n_0 ); g19_b6: unisim.vcomponents.LUT5 generic map( INIT => X"02F8402F" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g19_b6_n_0 ); g19_b7: unisim.vcomponents.LUT5 generic map( INIT => X"F903BF90" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g19_b7_n_0 ); g19_b8: unisim.vcomponents.LUT5 generic map( INIT => X"FEFD3FEF" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g19_b8_n_0 ); g19_b9: unisim.vcomponents.LUT5 generic map( INIT => X"0602C060" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g19_b9_n_0 ); g1_b0: unisim.vcomponents.LUT5 generic map( INIT => X"00980000" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g1_b0_n_0 ); g1_b1: unisim.vcomponents.LUT5 generic map( INIT => X"00D00000" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g1_b1_n_0 ); g1_b10: unisim.vcomponents.LUT5 generic map( INIT => X"00280003" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g1_b10_n_0 ); g1_b11: unisim.vcomponents.LUT5 generic map( INIT => X"FF701FF0" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g1_b11_n_0 ); g1_b12: unisim.vcomponents.LUT4 generic map( INIT => X"0401" ) port map ( I0 => a(2), I1 => a(3), I2 => a(4), I3 => a(5), O => g1_b12_n_0 ); g1_b13: unisim.vcomponents.LUT5 generic map( INIT => X"00480000" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g1_b13_n_0 ); g1_b14: unisim.vcomponents.LUT5 generic map( INIT => X"FE001FF0" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g1_b14_n_0 ); g1_b15: unisim.vcomponents.LUT4 generic map( INIT => X"0400" ) port map ( I0 => a(2), I1 => a(3), I2 => a(4), I3 => a(5), O => g1_b15_n_0 ); g1_b16: unisim.vcomponents.LUT5 generic map( INIT => X"FFC49FF0" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g1_b16_n_0 ); g1_b17: unisim.vcomponents.LUT3 generic map( INIT => X"EF" ) port map ( I0 => a(2), I1 => a(4), I2 => a(5), O => g1_b17_n_0 ); g1_b18: unisim.vcomponents.LUT5 generic map( INIT => X"017F600C" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g1_b18_n_0 ); g1_b19: unisim.vcomponents.LUT5 generic map( INIT => X"01B7600C" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g1_b19_n_0 ); g1_b2: unisim.vcomponents.LUT5 generic map( INIT => X"FE001FF3" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g1_b2_n_0 ); g1_b20: unisim.vcomponents.LUT5 generic map( INIT => X"0034E00C" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g1_b20_n_0 ); g1_b21: unisim.vcomponents.LUT5 generic map( INIT => X"FF879FF3" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g1_b21_n_0 ); g1_b22: unisim.vcomponents.LUT5 generic map( INIT => X"00780000" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g1_b22_n_0 ); g1_b23: unisim.vcomponents.LUT5 generic map( INIT => X"FEFF1FF0" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g1_b23_n_0 ); g1_b3: unisim.vcomponents.LUT5 generic map( INIT => X"01200000" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g1_b3_n_0 ); g1_b4: unisim.vcomponents.LUT4 generic map( INIT => X"0001" ) port map ( I0 => a(2), I1 => a(3), I2 => a(4), I3 => a(5), O => g1_b4_n_0 ); g1_b5: unisim.vcomponents.LUT5 generic map( INIT => X"FE481FF0" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g1_b5_n_0 ); g1_b7: unisim.vcomponents.LUT5 generic map( INIT => X"FE301FF0" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g1_b7_n_0 ); g1_b8: unisim.vcomponents.LUT5 generic map( INIT => X"FEB81FF3" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g1_b8_n_0 ); g1_b9: unisim.vcomponents.LUT5 generic map( INIT => X"00B80003" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g1_b9_n_0 ); g20_b1: unisim.vcomponents.LUT5 generic map( INIT => X"7C8037C8" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g20_b1_n_0 ); g20_b11: unisim.vcomponents.LUT3 generic map( INIT => X"BD" ) port map ( I0 => a(3), I1 => a(4), I2 => a(5), O => g20_b11_n_0 ); g20_b12: unisim.vcomponents.LUT4 generic map( INIT => X"D074" ) port map ( I0 => a(2), I1 => a(3), I2 => a(4), I3 => a(5), O => g20_b12_n_0 ); g20_b14: unisim.vcomponents.LUT5 generic map( INIT => X"07FFC07F" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g20_b14_n_0 ); g20_b16: unisim.vcomponents.LUT5 generic map( INIT => X"0CFFD0CF" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g20_b16_n_0 ); g20_b17: unisim.vcomponents.LUT5 generic map( INIT => X"047FD047" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g20_b17_n_0 ); g20_b18: unisim.vcomponents.LUT4 generic map( INIT => X"E078" ) port map ( I0 => a(2), I1 => a(3), I2 => a(4), I3 => a(5), O => g20_b18_n_0 ); g20_b19: unisim.vcomponents.LUT5 generic map( INIT => X"77802778" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g20_b19_n_0 ); g20_b2: unisim.vcomponents.LUT5 generic map( INIT => X"7C7FE7C7" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g20_b2_n_0 ); g20_b20: unisim.vcomponents.LUT5 generic map( INIT => X"F0803F08" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g20_b20_n_0 ); g20_b21: unisim.vcomponents.LUT4 generic map( INIT => X"2F8B" ) port map ( I0 => a(2), I1 => a(3), I2 => a(4), I3 => a(5), O => g20_b21_n_0 ); g20_b22: unisim.vcomponents.LUT5 generic map( INIT => X"04800048" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g20_b22_n_0 ); g20_b23: unisim.vcomponents.LUT5 generic map( INIT => X"037FC037" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g20_b23_n_0 ); g20_b3: unisim.vcomponents.LUT5 generic map( INIT => X"FB003FB0" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g20_b3_n_0 ); g20_b5: unisim.vcomponents.LUT5 generic map( INIT => X"047FC047" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g20_b5_n_0 ); g20_b6: unisim.vcomponents.LUT5 generic map( INIT => X"03800038" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g20_b6_n_0 ); g20_b7: unisim.vcomponents.LUT5 generic map( INIT => X"007FC007" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g20_b7_n_0 ); g20_b8: unisim.vcomponents.LUT5 generic map( INIT => X"FB7FFFB7" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g20_b8_n_0 ); g20_b9: unisim.vcomponents.LUT5 generic map( INIT => X"73803738" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g20_b9_n_0 ); g21_b0: unisim.vcomponents.LUT5 generic map( INIT => X"00072003" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g21_b0_n_0 ); g21_b1: unisim.vcomponents.LUT5 generic map( INIT => X"006F8003" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g21_b1_n_0 ); g21_b10: unisim.vcomponents.LUT5 generic map( INIT => X"00585002" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g21_b10_n_0 ); g21_b11: unisim.vcomponents.LUT5 generic map( INIT => X"7F9007FF" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g21_b11_n_0 ); g21_b12: unisim.vcomponents.LUT5 generic map( INIT => X"80700803" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g21_b12_n_0 ); g21_b13: unisim.vcomponents.LUT5 generic map( INIT => X"0020E000" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g21_b13_n_0 ); g21_b14: unisim.vcomponents.LUT5 generic map( INIT => X"7FB8F7FC" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g21_b14_n_0 ); g21_b16: unisim.vcomponents.LUT5 generic map( INIT => X"FFF7CFFD" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g21_b16_n_0 ); g21_b17: unisim.vcomponents.LUT5 generic map( INIT => X"FF873FFD" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g21_b17_n_0 ); g21_b18: unisim.vcomponents.LUT5 generic map( INIT => X"8077C803" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g21_b18_n_0 ); g21_b19: unisim.vcomponents.LUT5 generic map( INIT => X"80477802" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g21_b19_n_0 ); g21_b2: unisim.vcomponents.LUT5 generic map( INIT => X"FF974FFE" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g21_b2_n_0 ); g21_b20: unisim.vcomponents.LUT5 generic map( INIT => X"805F1803" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g21_b20_n_0 ); g21_b21: unisim.vcomponents.LUT5 generic map( INIT => X"7FAFF7FC" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g21_b21_n_0 ); g21_b22: unisim.vcomponents.LUT5 generic map( INIT => X"00081000" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g21_b22_n_0 ); g21_b23: unisim.vcomponents.LUT5 generic map( INIT => X"7FB7E7FC" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g21_b23_n_0 ); g21_b3: unisim.vcomponents.LUT5 generic map( INIT => X"8038E803" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g21_b3_n_0 ); g21_b4: unisim.vcomponents.LUT5 generic map( INIT => X"00681003" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g21_b4_n_0 ); g21_b5: unisim.vcomponents.LUT5 generic map( INIT => X"7F8F17FC" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g21_b5_n_0 ); g21_b6: unisim.vcomponents.LUT5 generic map( INIT => X"0037E000" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g21_b6_n_0 ); g21_b7: unisim.vcomponents.LUT5 generic map( INIT => X"7F8007FC" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g21_b7_n_0 ); g21_b8: unisim.vcomponents.LUT5 generic map( INIT => X"FFF8AFFF" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g21_b8_n_0 ); g21_b9: unisim.vcomponents.LUT5 generic map( INIT => X"001FE003" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g21_b9_n_0 ); g22_b0: unisim.vcomponents.LUT5 generic map( INIT => X"23100072" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g22_b0_n_0 ); g22_b1: unisim.vcomponents.LUT5 generic map( INIT => X"1C8806F8" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g22_b1_n_0 ); g22_b10: unisim.vcomponents.LUT5 generic map( INIT => X"64600585" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g22_b10_n_0 ); g22_b11: unisim.vcomponents.LUT5 generic map( INIT => X"FC6FF900" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g22_b11_n_0 ); g22_b12: unisim.vcomponents.LUT5 generic map( INIT => X"04800700" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g22_b12_n_0 ); g22_b13: unisim.vcomponents.LUT5 generic map( INIT => X"0300020E" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g22_b13_n_0 ); g22_b14: unisim.vcomponents.LUT5 generic map( INIT => X"B7F7FB8F" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g22_b14_n_0 ); g22_b15: unisim.vcomponents.LUT5 generic map( INIT => X"00000070" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g22_b15_n_0 ); g22_b16: unisim.vcomponents.LUT5 generic map( INIT => X"C0F7FF7C" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g22_b16_n_0 ); g22_b17: unisim.vcomponents.LUT5 generic map( INIT => X"EF0FF873" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g22_b17_n_0 ); g22_b18: unisim.vcomponents.LUT5 generic map( INIT => X"4470077C" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g22_b18_n_0 ); g22_b19: unisim.vcomponents.LUT5 generic map( INIT => X"03600477" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g22_b19_n_0 ); g22_b2: unisim.vcomponents.LUT5 generic map( INIT => X"981FF974" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g22_b2_n_0 ); g22_b20: unisim.vcomponents.LUT5 generic map( INIT => X"4C9805F1" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g22_b20_n_0 ); g22_b21: unisim.vcomponents.LUT5 generic map( INIT => X"8317FAFF" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g22_b21_n_0 ); g22_b22: unisim.vcomponents.LUT5 generic map( INIT => X"00100081" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g22_b22_n_0 ); g22_b23: unisim.vcomponents.LUT5 generic map( INIT => X"B7E7FB7E" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g22_b23_n_0 ); g22_b3: unisim.vcomponents.LUT5 generic map( INIT => X"63F0038E" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g22_b3_n_0 ); g22_b4: unisim.vcomponents.LUT5 generic map( INIT => X"30E00681" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g22_b4_n_0 ); g22_b5: unisim.vcomponents.LUT5 generic map( INIT => X"B0F7F8F1" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g22_b5_n_0 ); g22_b6: unisim.vcomponents.LUT5 generic map( INIT => X"0700037E" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g22_b6_n_0 ); g22_b7: unisim.vcomponents.LUT5 generic map( INIT => X"8007F800" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g22_b7_n_0 ); g22_b8: unisim.vcomponents.LUT5 generic map( INIT => X"8367FF8A" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g22_b8_n_0 ); g22_b9: unisim.vcomponents.LUT5 generic map( INIT => X"03E001FE" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g22_b9_n_0 ); g23_b0: unisim.vcomponents.LUT5 generic map( INIT => X"F0023100" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g23_b0_n_0 ); g23_b1: unisim.vcomponents.LUT5 generic map( INIT => X"F801C880" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g23_b1_n_0 ); g23_b10: unisim.vcomponents.LUT5 generic map( INIT => X"F0064600" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g23_b10_n_0 ); g23_b11: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFC6FF" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g23_b11_n_0 ); g23_b12: unisim.vcomponents.LUT5 generic map( INIT => X"F0004800" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g23_b12_n_0 ); g23_b13: unisim.vcomponents.LUT4 generic map( INIT => X"0040" ) port map ( I0 => a(2), I1 => a(3), I2 => a(4), I3 => a(5), O => g23_b13_n_0 ); g23_b14: unisim.vcomponents.LUT5 generic map( INIT => X"07FB7F7F" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g23_b14_n_0 ); g23_b16: unisim.vcomponents.LUT5 generic map( INIT => X"F7FC0F7F" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g23_b16_n_0 ); g23_b17: unisim.vcomponents.LUT5 generic map( INIT => X"FFFEF0FF" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g23_b17_n_0 ); g23_b18: unisim.vcomponents.LUT5 generic map( INIT => X"00044700" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g23_b18_n_0 ); g23_b19: unisim.vcomponents.LUT5 generic map( INIT => X"00003600" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g23_b19_n_0 ); g23_b2: unisim.vcomponents.LUT5 generic map( INIT => X"FFF981FF" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g23_b2_n_0 ); g23_b20: unisim.vcomponents.LUT5 generic map( INIT => X"F804C980" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g23_b20_n_0 ); g23_b21: unisim.vcomponents.LUT5 generic map( INIT => X"F7F8317F" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g23_b21_n_0 ); g23_b22: unisim.vcomponents.LUT5 generic map( INIT => X"00000100" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g23_b22_n_0 ); g23_b23: unisim.vcomponents.LUT5 generic map( INIT => X"07FB7E7F" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g23_b23_n_0 ); g23_b3: unisim.vcomponents.LUT5 generic map( INIT => X"00063F00" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g23_b3_n_0 ); g23_b4: unisim.vcomponents.LUT5 generic map( INIT => X"F0030E00" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g23_b4_n_0 ); g23_b5: unisim.vcomponents.LUT5 generic map( INIT => X"07FB0F7F" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g23_b5_n_0 ); g23_b6: unisim.vcomponents.LUT5 generic map( INIT => X"00007000" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g23_b6_n_0 ); g23_b7: unisim.vcomponents.LUT5 generic map( INIT => X"07F8007F" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g23_b7_n_0 ); g23_b8: unisim.vcomponents.LUT5 generic map( INIT => X"F7F8367F" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g23_b8_n_0 ); g23_b9: unisim.vcomponents.LUT5 generic map( INIT => X"F0003E00" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g23_b9_n_0 ); g24_b1: unisim.vcomponents.LUT5 generic map( INIT => X"03FF803F" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g24_b1_n_0 ); g24_b10: unisim.vcomponents.LUT5 generic map( INIT => X"077F0077" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g24_b10_n_0 ); g24_b12: unisim.vcomponents.LUT5 generic map( INIT => X"037F0037" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g24_b12_n_0 ); g24_b16: unisim.vcomponents.LUT5 generic map( INIT => X"FF7F7FF7" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g24_b16_n_0 ); g24_b18: unisim.vcomponents.LUT5 generic map( INIT => X"04000040" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g24_b18_n_0 ); g24_b2: unisim.vcomponents.LUT5 generic map( INIT => X"FBFFFFBF" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g24_b2_n_0 ); g24_b20: unisim.vcomponents.LUT5 generic map( INIT => X"07FF807F" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g24_b20_n_0 ); g24_b21: unisim.vcomponents.LUT5 generic map( INIT => X"FB7F7FB7" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g24_b21_n_0 ); g24_b23: unisim.vcomponents.LUT5 generic map( INIT => X"F8007F80" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g24_b23_n_0 ); g2_b0: unisim.vcomponents.LUT5 generic map( INIT => X"2F000980" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g2_b0_n_0 ); g2_b1: unisim.vcomponents.LUT5 generic map( INIT => X"7F000D00" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g2_b1_n_0 ); g2_b10: unisim.vcomponents.LUT5 generic map( INIT => X"10000280" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g2_b10_n_0 ); g2_b11: unisim.vcomponents.LUT5 generic map( INIT => X"F007F701" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g2_b11_n_0 ); g2_b12: unisim.vcomponents.LUT5 generic map( INIT => X"84000300" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g2_b12_n_0 ); g2_b13: unisim.vcomponents.LUT5 generic map( INIT => X"10000480" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g2_b13_n_0 ); g2_b14: unisim.vcomponents.LUT5 generic map( INIT => X"2007E001" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g2_b14_n_0 ); g2_b15: unisim.vcomponents.LUT5 generic map( INIT => X"78000300" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g2_b15_n_0 ); g2_b16: unisim.vcomponents.LUT5 generic map( INIT => X"285FFC49" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g2_b16_n_0 ); g2_b17: unisim.vcomponents.LUT5 generic map( INIT => X"44DFFCCF" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g2_b17_n_0 ); g2_b18: unisim.vcomponents.LUT5 generic map( INIT => X"076017F6" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g2_b18_n_0 ); g2_b19: unisim.vcomponents.LUT5 generic map( INIT => X"C8681B76" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g2_b19_n_0 ); g2_b2: unisim.vcomponents.LUT5 generic map( INIT => X"FC07E001" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g2_b2_n_0 ); g2_b20: unisim.vcomponents.LUT5 generic map( INIT => X"CB58034E" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g2_b20_n_0 ); g2_b21: unisim.vcomponents.LUT5 generic map( INIT => X"B077F879" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g2_b21_n_0 ); g2_b22: unisim.vcomponents.LUT5 generic map( INIT => X"7F800780" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g2_b22_n_0 ); g2_b23: unisim.vcomponents.LUT5 generic map( INIT => X"FFE7EFF1" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g2_b23_n_0 ); g2_b3: unisim.vcomponents.LUT5 generic map( INIT => X"0C001200" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g2_b3_n_0 ); g2_b4: unisim.vcomponents.LUT3 generic map( INIT => X"80" ) port map ( I0 => a(3), I1 => a(4), I2 => a(5), O => g2_b4_n_0 ); g2_b5: unisim.vcomponents.LUT5 generic map( INIT => X"6807E481" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g2_b5_n_0 ); g2_b6: unisim.vcomponents.LUT5 generic map( INIT => X"48000000" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g2_b6_n_0 ); g2_b7: unisim.vcomponents.LUT5 generic map( INIT => X"3007E301" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g2_b7_n_0 ); g2_b8: unisim.vcomponents.LUT5 generic map( INIT => X"7B87EB81" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g2_b8_n_0 ); g2_b9: unisim.vcomponents.LUT5 generic map( INIT => X"1F000B80" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g2_b9_n_0 ); g3_b0: unisim.vcomponents.LUT5 generic map( INIT => X"A002F000" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g3_b0_n_0 ); g3_b1: unisim.vcomponents.LUT5 generic map( INIT => X"2007F000" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g3_b1_n_0 ); g3_b10: unisim.vcomponents.LUT5 generic map( INIT => X"80010000" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g3_b10_n_0 ); g3_b11: unisim.vcomponents.LUT5 generic map( INIT => X"03FF007F" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g3_b11_n_0 ); g3_b12: unisim.vcomponents.LUT5 generic map( INIT => X"00084000" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g3_b12_n_0 ); g3_b13: unisim.vcomponents.LUT5 generic map( INIT => X"00110001" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g3_b13_n_0 ); g3_b14: unisim.vcomponents.LUT5 generic map( INIT => X"03E2007E" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g3_b14_n_0 ); g3_b15: unisim.vcomponents.LUT5 generic map( INIT => X"00078000" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g3_b15_n_0 ); g3_b16: unisim.vcomponents.LUT5 generic map( INIT => X"1FF285FF" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g3_b16_n_0 ); g3_b17: unisim.vcomponents.LUT5 generic map( INIT => X"DBF44DFF" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g3_b17_n_0 ); g3_b18: unisim.vcomponents.LUT5 generic map( INIT => X"A4007600" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g3_b18_n_0 ); g3_b19: unisim.vcomponents.LUT5 generic map( INIT => X"880C8680" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g3_b19_n_0 ); g3_b2: unisim.vcomponents.LUT5 generic map( INIT => X"03EFC07E" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g3_b2_n_0 ); g3_b20: unisim.vcomponents.LUT5 generic map( INIT => X"A41CB581" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g3_b20_n_0 ); g3_b21: unisim.vcomponents.LUT5 generic map( INIT => X"8FFB077F" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g3_b21_n_0 ); g3_b22: unisim.vcomponents.LUT5 generic map( INIT => X"7017F801" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g3_b22_n_0 ); g3_b23: unisim.vcomponents.LUT5 generic map( INIT => X"FBEFFE7E" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g3_b23_n_0 ); g3_b3: unisim.vcomponents.LUT5 generic map( INIT => X"0010C001" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g3_b3_n_0 ); g3_b4: unisim.vcomponents.LUT3 generic map( INIT => X"10" ) port map ( I0 => a(3), I1 => a(4), I2 => a(5), O => g3_b4_n_0 ); g3_b5: unisim.vcomponents.LUT5 generic map( INIT => X"03F6807F" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g3_b5_n_0 ); g3_b6: unisim.vcomponents.LUT5 generic map( INIT => X"00048000" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g3_b6_n_0 ); g3_b7: unisim.vcomponents.LUT5 generic map( INIT => X"03E3007E" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g3_b7_n_0 ); g3_b8: unisim.vcomponents.LUT5 generic map( INIT => X"63F7B87F" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g3_b8_n_0 ); g3_b9: unisim.vcomponents.LUT5 generic map( INIT => X"2011F001" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g3_b9_n_0 ); g4_b0: unisim.vcomponents.LUT5 generic map( INIT => X"677A0677" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g4_b0_n_0 ); g4_b1: unisim.vcomponents.LUT5 generic map( INIT => X"16B2016B" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g4_b1_n_0 ); g4_b10: unisim.vcomponents.LUT5 generic map( INIT => X"0EF800EF" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g4_b10_n_0 ); g4_b11: unisim.vcomponents.LUT5 generic map( INIT => X"93003930" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g4_b11_n_0 ); g4_b12: unisim.vcomponents.LUT5 generic map( INIT => X"07B0007B" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g4_b12_n_0 ); g4_b14: unisim.vcomponents.LUT5 generic map( INIT => X"80003800" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g4_b14_n_0 ); g4_b16: unisim.vcomponents.LUT5 generic map( INIT => X"F6C1FF6C" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g4_b16_n_0 ); g4_b17: unisim.vcomponents.LUT5 generic map( INIT => X"FB0DBFB0" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g4_b17_n_0 ); g4_b18: unisim.vcomponents.LUT5 generic map( INIT => X"17CA417C" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g4_b18_n_0 ); g4_b19: unisim.vcomponents.LUT5 generic map( INIT => X"1FF881FF" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g4_b19_n_0 ); g4_b2: unisim.vcomponents.LUT5 generic map( INIT => X"99703997" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g4_b2_n_0 ); g4_b20: unisim.vcomponents.LUT5 generic map( INIT => X"0F8A40F8" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g4_b20_n_0 ); g4_b21: unisim.vcomponents.LUT5 generic map( INIT => X"8078F807" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g4_b21_n_0 ); g4_b22: unisim.vcomponents.LUT5 generic map( INIT => X"00070000" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g4_b22_n_0 ); g4_b23: unisim.vcomponents.LUT5 generic map( INIT => X"803FB803" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g4_b23_n_0 ); g4_b3: unisim.vcomponents.LUT5 generic map( INIT => X"02300023" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g4_b3_n_0 ); g4_b4: unisim.vcomponents.LUT5 generic map( INIT => X"07800078" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g4_b4_n_0 ); g4_b8: unisim.vcomponents.LUT5 generic map( INIT => X"9A8639A8" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g4_b8_n_0 ); g4_b9: unisim.vcomponents.LUT5 generic map( INIT => X"64420644" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g4_b9_n_0 ); g5_b0: unisim.vcomponents.LUT5 generic map( INIT => X"032F8032" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g5_b0_n_0 ); g5_b1: unisim.vcomponents.LUT5 generic map( INIT => X"87E0787E" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g5_b1_n_0 ); g5_b10: unisim.vcomponents.LUT5 generic map( INIT => X"03A0003A" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g5_b10_n_0 ); g5_b11: unisim.vcomponents.LUT5 generic map( INIT => X"70505705" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g5_b11_n_0 ); g5_b12: unisim.vcomponents.LUT5 generic map( INIT => X"02000020" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g5_b12_n_0 ); g5_b14: unisim.vcomponents.LUT5 generic map( INIT => X"00100001" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g5_b14_n_0 ); g5_b16: unisim.vcomponents.LUT5 generic map( INIT => X"88DFF88D" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g5_b16_n_0 ); g5_b17: unisim.vcomponents.LUT5 generic map( INIT => X"79DFD79D" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g5_b17_n_0 ); g5_b18: unisim.vcomponents.LUT5 generic map( INIT => X"F4C07F4C" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g5_b18_n_0 ); g5_b19: unisim.vcomponents.LUT5 generic map( INIT => X"0EC050EC" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g5_b19_n_0 ); g5_b2: unisim.vcomponents.LUT5 generic map( INIT => X"02505025" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g5_b2_n_0 ); g5_b20: unisim.vcomponents.LUT5 generic map( INIT => X"48200482" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g5_b20_n_0 ); g5_b21: unisim.vcomponents.LUT5 generic map( INIT => X"36700367" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g5_b21_n_0 ); g5_b22: unisim.vcomponents.LUT5 generic map( INIT => X"01800018" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g5_b22_n_0 ); g5_b23: unisim.vcomponents.LUT5 generic map( INIT => X"03D0003D" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g5_b23_n_0 ); g5_b3: unisim.vcomponents.LUT5 generic map( INIT => X"72000720" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g5_b3_n_0 ); g5_b8: unisim.vcomponents.LUT5 generic map( INIT => X"F4307F43" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g5_b8_n_0 ); g5_b9: unisim.vcomponents.LUT5 generic map( INIT => X"F40FAF40" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g5_b9_n_0 ); g6_b0: unisim.vcomponents.LUT5 generic map( INIT => X"C0331CF8" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g6_b0_n_0 ); g6_b1: unisim.vcomponents.LUT5 generic map( INIT => X"002FD007" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g6_b1_n_0 ); g6_b10: unisim.vcomponents.LUT5 generic map( INIT => X"20002200" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g6_b10_n_0 ); g6_b11: unisim.vcomponents.LUT5 generic map( INIT => X"FFF85F05" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g6_b11_n_0 ); g6_b12: unisim.vcomponents.LUT5 generic map( INIT => X"006C8000" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g6_b12_n_0 ); g6_b13: unisim.vcomponents.LUT4 generic map( INIT => X"8020" ) port map ( I0 => a(1), I1 => a(3), I2 => a(4), I3 => a(5), O => g6_b13_n_0 ); g6_b14: unisim.vcomponents.LUT5 generic map( INIT => X"5FD70500" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g6_b14_n_0 ); g6_b16: unisim.vcomponents.LUT5 generic map( INIT => X"5FE715FF" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g6_b16_n_0 ); g6_b17: unisim.vcomponents.LUT5 generic map( INIT => X"7FA367FD" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g6_b17_n_0 ); g6_b18: unisim.vcomponents.LUT5 generic map( INIT => X"001C8007" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g6_b18_n_0 ); g6_b19: unisim.vcomponents.LUT5 generic map( INIT => X"803C3805" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g6_b19_n_0 ); g6_b2: unisim.vcomponents.LUT5 generic map( INIT => X"3F93F305" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g6_b2_n_0 ); g6_b20: unisim.vcomponents.LUT5 generic map( INIT => X"00547000" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g6_b20_n_0 ); g6_b21: unisim.vcomponents.LUT5 generic map( INIT => X"FFB89F00" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g6_b21_n_0 ); g6_b22: unisim.vcomponents.LUT5 generic map( INIT => X"40100400" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g6_b22_n_0 ); g6_b23: unisim.vcomponents.LUT5 generic map( INIT => X"FFC70F00" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g6_b23_n_0 ); g6_b3: unisim.vcomponents.LUT5 generic map( INIT => X"E0078E00" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g6_b3_n_0 ); g6_b4: unisim.vcomponents.LUT5 generic map( INIT => X"E03C0E00" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g6_b4_n_0 ); g6_b5: unisim.vcomponents.LUT5 generic map( INIT => X"5F970500" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g6_b5_n_0 ); g6_b6: unisim.vcomponents.LUT5 generic map( INIT => X"00400000" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g6_b6_n_0 ); g6_b7: unisim.vcomponents.LUT5 generic map( INIT => X"1F800100" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g6_b7_n_0 ); g6_b8: unisim.vcomponents.LUT5 generic map( INIT => X"3F8FB307" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g6_b8_n_0 ); g6_b9: unisim.vcomponents.LUT5 generic map( INIT => X"407094FA" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g6_b9_n_0 ); g7_b0: unisim.vcomponents.LUT5 generic map( INIT => X"BB750331" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g7_b0_n_0 ); g7_b1: unisim.vcomponents.LUT5 generic map( INIT => X"A4C702FD" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g7_b1_n_0 ); g7_b10: unisim.vcomponents.LUT5 generic map( INIT => X"AC280002" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g7_b10_n_0 ); g7_b11: unisim.vcomponents.LUT5 generic map( INIT => X"5BBFFF85" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g7_b11_n_0 ); g7_b12: unisim.vcomponents.LUT5 generic map( INIT => X"37D406C8" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g7_b12_n_0 ); g7_b13: unisim.vcomponents.LUT5 generic map( INIT => X"2F5A0000" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g7_b13_n_0 ); g7_b14: unisim.vcomponents.LUT5 generic map( INIT => X"178BFD70" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g7_b14_n_0 ); g7_b15: unisim.vcomponents.LUT5 generic map( INIT => X"C0040000" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g7_b15_n_0 ); g7_b16: unisim.vcomponents.LUT5 generic map( INIT => X"5C7AFE71" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g7_b16_n_0 ); g7_b17: unisim.vcomponents.LUT5 generic map( INIT => X"8CF2FA36" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g7_b17_n_0 ); g7_b18: unisim.vcomponents.LUT5 generic map( INIT => X"98DD01C8" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g7_b18_n_0 ); g7_b19: unisim.vcomponents.LUT5 generic map( INIT => X"88AA03C3" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g7_b19_n_0 ); g7_b2: unisim.vcomponents.LUT5 generic map( INIT => X"E48CF93F" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g7_b2_n_0 ); g7_b20: unisim.vcomponents.LUT5 generic map( INIT => X"64350547" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g7_b20_n_0 ); g7_b21: unisim.vcomponents.LUT5 generic map( INIT => X"13D4FB89" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g7_b21_n_0 ); g7_b22: unisim.vcomponents.LUT5 generic map( INIT => X"EF5E0100" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g7_b22_n_0 ); g7_b23: unisim.vcomponents.LUT5 generic map( INIT => X"D78FFC70" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g7_b23_n_0 ); g7_b3: unisim.vcomponents.LUT5 generic map( INIT => X"83BF0078" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g7_b3_n_0 ); g7_b4: unisim.vcomponents.LUT5 generic map( INIT => X"878103C0" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g7_b4_n_0 ); g7_b5: unisim.vcomponents.LUT5 generic map( INIT => X"68D5F970" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g7_b5_n_0 ); g7_b6: unisim.vcomponents.LUT5 generic map( INIT => X"D70E0400" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g7_b6_n_0 ); g7_b7: unisim.vcomponents.LUT5 generic map( INIT => X"0000F800" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g7_b7_n_0 ); g7_b8: unisim.vcomponents.LUT5 generic map( INIT => X"1FF1F8FB" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g7_b8_n_0 ); g7_b9: unisim.vcomponents.LUT5 generic map( INIT => X"50010709" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g7_b9_n_0 ); g8_b0: unisim.vcomponents.LUT5 generic map( INIT => X"4B2BB752" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g8_b0_n_0 ); g8_b1: unisim.vcomponents.LUT5 generic map( INIT => X"0E2A4C72" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g8_b1_n_0 ); g8_b10: unisim.vcomponents.LUT5 generic map( INIT => X"503AC283" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g8_b10_n_0 ); g8_b11: unisim.vcomponents.LUT5 generic map( INIT => X"3AD5BBFD" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g8_b11_n_0 ); g8_b12: unisim.vcomponents.LUT5 generic map( INIT => X"C4137D41" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g8_b12_n_0 ); g8_b13: unisim.vcomponents.LUT5 generic map( INIT => X"0212F5A1" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g8_b13_n_0 ); g8_b14: unisim.vcomponents.LUT5 generic map( INIT => X"93D178BD" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g8_b14_n_0 ); g8_b15: unisim.vcomponents.LUT5 generic map( INIT => X"0C2C0042" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g8_b15_n_0 ); g8_b16: unisim.vcomponents.LUT5 generic map( INIT => X"17C5C7AC" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g8_b16_n_0 ); g8_b17: unisim.vcomponents.LUT5 generic map( INIT => X"79F8CF2F" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g8_b17_n_0 ); g8_b18: unisim.vcomponents.LUT5 generic map( INIT => X"FE398DD3" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g8_b18_n_0 ); g8_b19: unisim.vcomponents.LUT5 generic map( INIT => X"48288AA2" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g8_b19_n_0 ); g8_b2: unisim.vcomponents.LUT5 generic map( INIT => X"C1FE48CF" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g8_b2_n_0 ); g8_b20: unisim.vcomponents.LUT5 generic map( INIT => X"34064350" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g8_b20_n_0 ); g8_b21: unisim.vcomponents.LUT5 generic map( INIT => X"CCC13D4C" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g8_b21_n_0 ); g8_b22: unisim.vcomponents.LUT5 generic map( INIT => X"0E3EF5E3" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g8_b22_n_0 ); g8_b23: unisim.vcomponents.LUT5 generic map( INIT => X"9FFD78FF" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g8_b23_n_0 ); g8_b3: unisim.vcomponents.LUT5 generic map( INIT => X"76383BF3" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g8_b3_n_0 ); g8_b4: unisim.vcomponents.LUT5 generic map( INIT => X"91387813" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g8_b4_n_0 ); g8_b5: unisim.vcomponents.LUT5 generic map( INIT => X"9DC68D5C" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g8_b5_n_0 ); g8_b6: unisim.vcomponents.LUT5 generic map( INIT => X"0E3D70E3" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g8_b6_n_0 ); g8_b7: unisim.vcomponents.LUT4 generic map( INIT => X"0802" ) port map ( I0 => a(2), I1 => a(3), I2 => a(4), I3 => a(5), O => g8_b7_n_0 ); g8_b8: unisim.vcomponents.LUT5 generic map( INIT => X"A5D1FF1D" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g8_b8_n_0 ); g8_b9: unisim.vcomponents.LUT5 generic map( INIT => X"FD150011" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g8_b9_n_0 ); g9_b0: unisim.vcomponents.LUT5 generic map( INIT => X"4234B423" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g9_b0_n_0 ); g9_b1: unisim.vcomponents.LUT5 generic map( INIT => X"3C00E3C0" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g9_b1_n_0 ); g9_b10: unisim.vcomponents.LUT5 generic map( INIT => X"04C5004C" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g9_b10_n_0 ); g9_b11: unisim.vcomponents.LUT5 generic map( INIT => X"DC03ADC0" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g9_b11_n_0 ); g9_b12: unisim.vcomponents.LUT5 generic map( INIT => X"410C4410" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g9_b12_n_0 ); g9_b13: unisim.vcomponents.LUT5 generic map( INIT => X"7E0027E0" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g9_b13_n_0 ); g9_b14: unisim.vcomponents.LUT5 generic map( INIT => X"C0093C00" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g9_b14_n_0 ); g9_b15: unisim.vcomponents.LUT4 generic map( INIT => X"7C9F" ) port map ( I0 => a(2), I1 => a(3), I2 => a(4), I3 => a(5), O => g9_b15_n_0 ); g9_b16: unisim.vcomponents.LUT5 generic map( INIT => X"A5317A53" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g9_b16_n_0 ); g9_b17: unisim.vcomponents.LUT5 generic map( INIT => X"9B3799B3" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g9_b17_n_0 ); g9_b18: unisim.vcomponents.LUT5 generic map( INIT => X"04FFE04F" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g9_b18_n_0 ); g9_b19: unisim.vcomponents.LUT5 generic map( INIT => X"21C4821C" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g9_b19_n_0 ); g9_b2: unisim.vcomponents.LUT5 generic map( INIT => X"DBFC1DBF" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g9_b2_n_0 ); g9_b20: unisim.vcomponents.LUT5 generic map( INIT => X"5CC345CC" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g9_b20_n_0 ); g9_b21: unisim.vcomponents.LUT4 generic map( INIT => X"F6BD" ) port map ( I0 => a(2), I1 => a(3), I2 => a(4), I3 => a(5), O => g9_b21_n_0 ); g9_b22: unisim.vcomponents.LUT5 generic map( INIT => X"3FF0E3FF" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g9_b22_n_0 ); g9_b23: unisim.vcomponents.LUT5 generic map( INIT => X"FFF9FFFF" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g9_b23_n_0 ); g9_b3: unisim.vcomponents.LUT5 generic map( INIT => X"7B3767B3" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g9_b3_n_0 ); g9_b4: unisim.vcomponents.LUT5 generic map( INIT => X"7A3917A3" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g9_b4_n_0 ); g9_b5: unisim.vcomponents.LUT5 generic map( INIT => X"BBC9DBBC" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g9_b5_n_0 ); g9_b6: unisim.vcomponents.LUT5 generic map( INIT => X"7BF0E7BF" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g9_b6_n_0 ); g9_b7: unisim.vcomponents.LUT5 generic map( INIT => X"84000840" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g9_b7_n_0 ); g9_b8: unisim.vcomponents.LUT5 generic map( INIT => X"F80A5F80" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g9_b8_n_0 ); g9_b9: unisim.vcomponents.LUT5 generic map( INIT => X"61FFD61F" ) port map ( I0 => a(1), I1 => a(2), I2 => a(3), I3 => a(4), I4 => a(5), O => g9_b9_n_0 ); \spo[0]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[12]_INST_0_i_1_n_0\, I1 => \spo[0]_INST_0_i_1_n_0\, I2 => a(10), I3 => \spo[0]_INST_0_i_2_n_0\, I4 => a(9), I5 => \spo[0]_INST_0_i_3_n_0\, O => spo(0) ); \spo[0]_INST_0_i_1\: unisim.vcomponents.MUXF7 port map ( I0 => \spo[0]_INST_0_i_4_n_0\, I1 => \spo[0]_INST_0_i_5_n_0\, O => \spo[0]_INST_0_i_1_n_0\, S => a(8) ); \spo[0]_INST_0_i_10\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g3_b0_n_0, I1 => g2_b0_n_0, I2 => a(7), I3 => g1_b0_n_0, I4 => a(6), I5 => g0_b1_n_0, O => \spo[0]_INST_0_i_10_n_0\ ); \spo[0]_INST_0_i_11\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g7_b0_n_0, I1 => g6_b0_n_0, I2 => a(7), I3 => g5_b0_n_0, I4 => a(6), I5 => g4_b0_n_0, O => \spo[0]_INST_0_i_11_n_0\ ); \spo[0]_INST_0_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[0]_INST_0_i_6_n_0\, I1 => \spo[0]_INST_0_i_7_n_0\, I2 => a(8), I3 => \spo[0]_INST_0_i_8_n_0\, I4 => a(7), I5 => \spo[0]_INST_0_i_9_n_0\, O => \spo[0]_INST_0_i_2_n_0\ ); \spo[0]_INST_0_i_3\: unisim.vcomponents.MUXF7 port map ( I0 => \spo[0]_INST_0_i_10_n_0\, I1 => \spo[0]_INST_0_i_11_n_0\, O => \spo[0]_INST_0_i_3_n_0\, S => a(8) ); \spo[0]_INST_0_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g19_b0_n_0, I1 => g18_b0_n_0, I2 => a(7), I3 => g17_b0_n_0, I4 => a(6), I5 => g16_b0_n_0, O => \spo[0]_INST_0_i_4_n_0\ ); \spo[0]_INST_0_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g23_b0_n_0, I1 => g22_b0_n_0, I2 => a(7), I3 => g21_b0_n_0, I4 => a(6), I5 => g20_b3_n_0, O => \spo[0]_INST_0_i_5_n_0\ ); \spo[0]_INST_0_i_6\: unisim.vcomponents.MUXF7 port map ( I0 => g14_b0_n_0, I1 => g15_b0_n_0, O => \spo[0]_INST_0_i_6_n_0\, S => a(6) ); \spo[0]_INST_0_i_7\: unisim.vcomponents.MUXF7 port map ( I0 => g12_b0_n_0, I1 => g13_b0_n_0, O => \spo[0]_INST_0_i_7_n_0\, S => a(6) ); \spo[0]_INST_0_i_8\: unisim.vcomponents.MUXF7 port map ( I0 => g10_b0_n_0, I1 => g11_b0_n_0, O => \spo[0]_INST_0_i_8_n_0\, S => a(6) ); \spo[0]_INST_0_i_9\: unisim.vcomponents.MUXF7 port map ( I0 => g8_b0_n_0, I1 => g9_b0_n_0, O => \spo[0]_INST_0_i_9_n_0\, S => a(6) ); \spo[10]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[10]_INST_0_i_1_n_0\, I1 => \spo[10]_INST_0_i_2_n_0\, I2 => a(10), I3 => \spo[10]_INST_0_i_3_n_0\, I4 => a(9), I5 => \spo[10]_INST_0_i_4_n_0\, O => spo(10) ); \spo[10]_INST_0_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"0004" ) port map ( I0 => a(7), I1 => g24_b10_n_0, I2 => a(6), I3 => a(8), O => \spo[10]_INST_0_i_1_n_0\ ); \spo[10]_INST_0_i_10\: unisim.vcomponents.MUXF7 port map ( I0 => g8_b10_n_0, I1 => g9_b10_n_0, O => \spo[10]_INST_0_i_10_n_0\, S => a(6) ); \spo[10]_INST_0_i_11\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g3_b10_n_0, I1 => g2_b10_n_0, I2 => a(7), I3 => g1_b10_n_0, I4 => a(6), I5 => g23_b13_n_0, O => \spo[10]_INST_0_i_11_n_0\ ); \spo[10]_INST_0_i_12\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g7_b10_n_0, I1 => g6_b10_n_0, I2 => a(7), I3 => g5_b10_n_0, I4 => a(6), I5 => g4_b10_n_0, O => \spo[10]_INST_0_i_12_n_0\ ); \spo[10]_INST_0_i_2\: unisim.vcomponents.MUXF7 port map ( I0 => \spo[10]_INST_0_i_5_n_0\, I1 => \spo[10]_INST_0_i_6_n_0\, O => \spo[10]_INST_0_i_2_n_0\, S => a(8) ); \spo[10]_INST_0_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[10]_INST_0_i_7_n_0\, I1 => \spo[10]_INST_0_i_8_n_0\, I2 => a(8), I3 => \spo[10]_INST_0_i_9_n_0\, I4 => a(7), I5 => \spo[10]_INST_0_i_10_n_0\, O => \spo[10]_INST_0_i_3_n_0\ ); \spo[10]_INST_0_i_4\: unisim.vcomponents.MUXF7 port map ( I0 => \spo[10]_INST_0_i_11_n_0\, I1 => \spo[10]_INST_0_i_12_n_0\, O => \spo[10]_INST_0_i_4_n_0\, S => a(8) ); \spo[10]_INST_0_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g19_b10_n_0, I1 => g18_b10_n_0, I2 => a(7), I3 => g17_b10_n_0, I4 => a(6), I5 => g16_b10_n_0, O => \spo[10]_INST_0_i_5_n_0\ ); \spo[10]_INST_0_i_6\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g23_b10_n_0, I1 => g22_b10_n_0, I2 => a(7), I3 => g21_b10_n_0, I4 => a(6), I5 => g20_b19_n_0, O => \spo[10]_INST_0_i_6_n_0\ ); \spo[10]_INST_0_i_7\: unisim.vcomponents.MUXF7 port map ( I0 => g14_b10_n_0, I1 => g15_b10_n_0, O => \spo[10]_INST_0_i_7_n_0\, S => a(6) ); \spo[10]_INST_0_i_8\: unisim.vcomponents.MUXF7 port map ( I0 => g12_b10_n_0, I1 => g13_b10_n_0, O => \spo[10]_INST_0_i_8_n_0\, S => a(6) ); \spo[10]_INST_0_i_9\: unisim.vcomponents.MUXF7 port map ( I0 => g10_b10_n_0, I1 => g11_b10_n_0, O => \spo[10]_INST_0_i_9_n_0\, S => a(6) ); \spo[11]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[17]_INST_0_i_1_n_0\, I1 => \spo[11]_INST_0_i_1_n_0\, I2 => a(10), I3 => \spo[11]_INST_0_i_2_n_0\, I4 => a(9), I5 => \spo[11]_INST_0_i_3_n_0\, O => spo(11) ); \spo[11]_INST_0_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[11]_INST_0_i_4_n_0\, I1 => \spo[11]_INST_0_i_5_n_0\, I2 => a(8), I3 => \spo[11]_INST_0_i_6_n_0\, I4 => a(7), I5 => \spo[11]_INST_0_i_7_n_0\, O => \spo[11]_INST_0_i_1_n_0\ ); \spo[11]_INST_0_i_10\: unisim.vcomponents.MUXF7 port map ( I0 => g10_b11_n_0, I1 => g11_b11_n_0, O => \spo[11]_INST_0_i_10_n_0\, S => a(6) ); \spo[11]_INST_0_i_11\: unisim.vcomponents.MUXF7 port map ( I0 => g8_b11_n_0, I1 => g9_b11_n_0, O => \spo[11]_INST_0_i_11_n_0\, S => a(6) ); \spo[11]_INST_0_i_12\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g3_b11_n_0, I1 => g2_b11_n_0, I2 => a(7), I3 => g1_b11_n_0, I4 => a(6), I5 => g0_b23_n_0, O => \spo[11]_INST_0_i_12_n_0\ ); \spo[11]_INST_0_i_13\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g7_b11_n_0, I1 => g6_b11_n_0, I2 => a(7), I3 => g5_b11_n_0, I4 => a(6), I5 => g4_b11_n_0, O => \spo[11]_INST_0_i_13_n_0\ ); \spo[11]_INST_0_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[11]_INST_0_i_8_n_0\, I1 => \spo[11]_INST_0_i_9_n_0\, I2 => a(8), I3 => \spo[11]_INST_0_i_10_n_0\, I4 => a(7), I5 => \spo[11]_INST_0_i_11_n_0\, O => \spo[11]_INST_0_i_2_n_0\ ); \spo[11]_INST_0_i_3\: unisim.vcomponents.MUXF7 port map ( I0 => \spo[11]_INST_0_i_12_n_0\, I1 => \spo[11]_INST_0_i_13_n_0\, O => \spo[11]_INST_0_i_3_n_0\, S => a(8) ); \spo[11]_INST_0_i_4\: unisim.vcomponents.MUXF7 port map ( I0 => g22_b11_n_0, I1 => g23_b11_n_0, O => \spo[11]_INST_0_i_4_n_0\, S => a(6) ); \spo[11]_INST_0_i_5\: unisim.vcomponents.MUXF7 port map ( I0 => g20_b11_n_0, I1 => g21_b11_n_0, O => \spo[11]_INST_0_i_5_n_0\, S => a(6) ); \spo[11]_INST_0_i_6\: unisim.vcomponents.MUXF7 port map ( I0 => g18_b11_n_0, I1 => g19_b11_n_0, O => \spo[11]_INST_0_i_6_n_0\, S => a(6) ); \spo[11]_INST_0_i_7\: unisim.vcomponents.MUXF7 port map ( I0 => g16_b11_n_0, I1 => g17_b11_n_0, O => \spo[11]_INST_0_i_7_n_0\, S => a(6) ); \spo[11]_INST_0_i_8\: unisim.vcomponents.MUXF7 port map ( I0 => g14_b11_n_0, I1 => g15_b11_n_0, O => \spo[11]_INST_0_i_8_n_0\, S => a(6) ); \spo[11]_INST_0_i_9\: unisim.vcomponents.MUXF7 port map ( I0 => g12_b11_n_0, I1 => g13_b11_n_0, O => \spo[11]_INST_0_i_9_n_0\, S => a(6) ); \spo[12]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[12]_INST_0_i_1_n_0\, I1 => \spo[12]_INST_0_i_2_n_0\, I2 => a(10), I3 => \spo[12]_INST_0_i_3_n_0\, I4 => a(9), I5 => \spo[12]_INST_0_i_4_n_0\, O => spo(12) ); \spo[12]_INST_0_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"0004" ) port map ( I0 => a(7), I1 => g24_b12_n_0, I2 => a(6), I3 => a(8), O => \spo[12]_INST_0_i_1_n_0\ ); \spo[12]_INST_0_i_10\: unisim.vcomponents.LUT5 generic map( INIT => X"B833B800" ) port map ( I0 => g15_b12_n_0, I1 => a(7), I2 => g13_b12_n_0, I3 => a(6), I4 => g12_b12_n_0, O => \spo[12]_INST_0_i_10_n_0\ ); \spo[12]_INST_0_i_11\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g3_b12_n_0, I1 => g2_b12_n_0, I2 => a(7), I3 => g1_b12_n_0, I4 => a(6), I5 => g23_b13_n_0, O => \spo[12]_INST_0_i_11_n_0\ ); \spo[12]_INST_0_i_12\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g7_b12_n_0, I1 => g6_b12_n_0, I2 => a(7), I3 => g5_b12_n_0, I4 => a(6), I5 => g4_b12_n_0, O => \spo[12]_INST_0_i_12_n_0\ ); \spo[12]_INST_0_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[12]_INST_0_i_5_n_0\, I1 => \spo[12]_INST_0_i_6_n_0\, I2 => a(8), I3 => \spo[12]_INST_0_i_7_n_0\, I4 => a(7), I5 => \spo[12]_INST_0_i_8_n_0\, O => \spo[12]_INST_0_i_2_n_0\ ); \spo[12]_INST_0_i_3\: unisim.vcomponents.MUXF7 port map ( I0 => \spo[12]_INST_0_i_9_n_0\, I1 => \spo[12]_INST_0_i_10_n_0\, O => \spo[12]_INST_0_i_3_n_0\, S => a(8) ); \spo[12]_INST_0_i_4\: unisim.vcomponents.MUXF7 port map ( I0 => \spo[12]_INST_0_i_11_n_0\, I1 => \spo[12]_INST_0_i_12_n_0\, O => \spo[12]_INST_0_i_4_n_0\, S => a(8) ); \spo[12]_INST_0_i_5\: unisim.vcomponents.MUXF7 port map ( I0 => g22_b12_n_0, I1 => g23_b12_n_0, O => \spo[12]_INST_0_i_5_n_0\, S => a(6) ); \spo[12]_INST_0_i_6\: unisim.vcomponents.MUXF7 port map ( I0 => g20_b12_n_0, I1 => g21_b12_n_0, O => \spo[12]_INST_0_i_6_n_0\, S => a(6) ); \spo[12]_INST_0_i_7\: unisim.vcomponents.MUXF7 port map ( I0 => g18_b12_n_0, I1 => g19_b12_n_0, O => \spo[12]_INST_0_i_7_n_0\, S => a(6) ); \spo[12]_INST_0_i_8\: unisim.vcomponents.MUXF7 port map ( I0 => g16_b12_n_0, I1 => g17_b12_n_0, O => \spo[12]_INST_0_i_8_n_0\, S => a(6) ); \spo[12]_INST_0_i_9\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g11_b12_n_0, I1 => g10_b12_n_0, I2 => a(7), I3 => g9_b12_n_0, I4 => a(6), I5 => g8_b12_n_0, O => \spo[12]_INST_0_i_9_n_0\ ); \spo[13]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"30BB3088" ) port map ( I0 => \spo[13]_INST_0_i_1_n_0\, I1 => a(10), I2 => \spo[13]_INST_0_i_2_n_0\, I3 => a(9), I4 => \spo[13]_INST_0_i_3_n_0\, O => spo(13) ); \spo[13]_INST_0_i_1\: unisim.vcomponents.MUXF7 port map ( I0 => \spo[13]_INST_0_i_4_n_0\, I1 => \spo[13]_INST_0_i_5_n_0\, O => \spo[13]_INST_0_i_1_n_0\, S => a(8) ); \spo[13]_INST_0_i_10\: unisim.vcomponents.MUXF7 port map ( I0 => g6_b13_n_0, I1 => g7_b13_n_0, O => \spo[13]_INST_0_i_10_n_0\, S => a(6) ); \spo[13]_INST_0_i_11\: unisim.vcomponents.MUXF7 port map ( I0 => g2_b13_n_0, I1 => g3_b13_n_0, O => \spo[13]_INST_0_i_11_n_0\, S => a(6) ); \spo[13]_INST_0_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[13]_INST_0_i_6_n_0\, I1 => \spo[13]_INST_0_i_7_n_0\, I2 => a(8), I3 => \spo[13]_INST_0_i_8_n_0\, I4 => a(7), I5 => \spo[13]_INST_0_i_9_n_0\, O => \spo[13]_INST_0_i_2_n_0\ ); \spo[13]_INST_0_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"B833B800B800B800" ) port map ( I0 => \spo[13]_INST_0_i_10_n_0\, I1 => a(8), I2 => \spo[13]_INST_0_i_11_n_0\, I3 => a(7), I4 => a(6), I5 => g1_b13_n_0, O => \spo[13]_INST_0_i_3_n_0\ ); \spo[13]_INST_0_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g19_b13_n_0, I1 => g18_b13_n_0, I2 => a(7), I3 => g17_b13_n_0, I4 => a(6), I5 => g16_b13_n_0, O => \spo[13]_INST_0_i_4_n_0\ ); \spo[13]_INST_0_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g23_b13_n_0, I1 => g22_b13_n_0, I2 => a(7), I3 => g21_b13_n_0, I4 => a(6), I5 => g10_b15_n_0, O => \spo[13]_INST_0_i_5_n_0\ ); \spo[13]_INST_0_i_6\: unisim.vcomponents.MUXF7 port map ( I0 => g14_b13_n_0, I1 => g15_b13_n_0, O => \spo[13]_INST_0_i_6_n_0\, S => a(6) ); \spo[13]_INST_0_i_7\: unisim.vcomponents.MUXF7 port map ( I0 => g12_b13_n_0, I1 => g13_b13_n_0, O => \spo[13]_INST_0_i_7_n_0\, S => a(6) ); \spo[13]_INST_0_i_8\: unisim.vcomponents.MUXF7 port map ( I0 => g10_b13_n_0, I1 => g11_b13_n_0, O => \spo[13]_INST_0_i_8_n_0\, S => a(6) ); \spo[13]_INST_0_i_9\: unisim.vcomponents.MUXF7 port map ( I0 => g8_b13_n_0, I1 => g9_b13_n_0, O => \spo[13]_INST_0_i_9_n_0\, S => a(6) ); \spo[14]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[23]_INST_0_i_1_n_0\, I1 => \spo[14]_INST_0_i_1_n_0\, I2 => a(10), I3 => \spo[14]_INST_0_i_2_n_0\, I4 => a(9), I5 => \spo[14]_INST_0_i_3_n_0\, O => spo(14) ); \spo[14]_INST_0_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[14]_INST_0_i_4_n_0\, I1 => \spo[14]_INST_0_i_5_n_0\, I2 => a(8), I3 => \spo[14]_INST_0_i_6_n_0\, I4 => a(7), I5 => \spo[14]_INST_0_i_7_n_0\, O => \spo[14]_INST_0_i_1_n_0\ ); \spo[14]_INST_0_i_10\: unisim.vcomponents.MUXF7 port map ( I0 => g10_b14_n_0, I1 => g11_b14_n_0, O => \spo[14]_INST_0_i_10_n_0\, S => a(6) ); \spo[14]_INST_0_i_11\: unisim.vcomponents.MUXF7 port map ( I0 => g8_b14_n_0, I1 => g9_b14_n_0, O => \spo[14]_INST_0_i_11_n_0\, S => a(6) ); \spo[14]_INST_0_i_12\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g3_b14_n_0, I1 => g2_b14_n_0, I2 => a(7), I3 => g1_b14_n_0, I4 => a(6), I5 => g0_b23_n_0, O => \spo[14]_INST_0_i_12_n_0\ ); \spo[14]_INST_0_i_13\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g7_b14_n_0, I1 => g6_b14_n_0, I2 => a(7), I3 => g5_b14_n_0, I4 => a(6), I5 => g4_b14_n_0, O => \spo[14]_INST_0_i_13_n_0\ ); \spo[14]_INST_0_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[14]_INST_0_i_8_n_0\, I1 => \spo[14]_INST_0_i_9_n_0\, I2 => a(8), I3 => \spo[14]_INST_0_i_10_n_0\, I4 => a(7), I5 => \spo[14]_INST_0_i_11_n_0\, O => \spo[14]_INST_0_i_2_n_0\ ); \spo[14]_INST_0_i_3\: unisim.vcomponents.MUXF7 port map ( I0 => \spo[14]_INST_0_i_12_n_0\, I1 => \spo[14]_INST_0_i_13_n_0\, O => \spo[14]_INST_0_i_3_n_0\, S => a(8) ); \spo[14]_INST_0_i_4\: unisim.vcomponents.MUXF7 port map ( I0 => g22_b14_n_0, I1 => g23_b14_n_0, O => \spo[14]_INST_0_i_4_n_0\, S => a(6) ); \spo[14]_INST_0_i_5\: unisim.vcomponents.MUXF7 port map ( I0 => g20_b14_n_0, I1 => g21_b14_n_0, O => \spo[14]_INST_0_i_5_n_0\, S => a(6) ); \spo[14]_INST_0_i_6\: unisim.vcomponents.MUXF7 port map ( I0 => g18_b14_n_0, I1 => g19_b14_n_0, O => \spo[14]_INST_0_i_6_n_0\, S => a(6) ); \spo[14]_INST_0_i_7\: unisim.vcomponents.MUXF7 port map ( I0 => g16_b14_n_0, I1 => g17_b14_n_0, O => \spo[14]_INST_0_i_7_n_0\, S => a(6) ); \spo[14]_INST_0_i_8\: unisim.vcomponents.MUXF7 port map ( I0 => g14_b14_n_0, I1 => g15_b14_n_0, O => \spo[14]_INST_0_i_8_n_0\, S => a(6) ); \spo[14]_INST_0_i_9\: unisim.vcomponents.MUXF7 port map ( I0 => g12_b14_n_0, I1 => g13_b14_n_0, O => \spo[14]_INST_0_i_9_n_0\, S => a(6) ); \spo[15]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"30BB3088" ) port map ( I0 => \spo[15]_INST_0_i_1_n_0\, I1 => a(10), I2 => \spo[15]_INST_0_i_2_n_0\, I3 => a(9), I4 => \spo[15]_INST_0_i_3_n_0\, O => spo(15) ); \spo[15]_INST_0_i_1\: unisim.vcomponents.MUXF7 port map ( I0 => \spo[15]_INST_0_i_4_n_0\, I1 => \spo[15]_INST_0_i_5_n_0\, O => \spo[15]_INST_0_i_1_n_0\, S => a(8) ); \spo[15]_INST_0_i_2\: unisim.vcomponents.MUXF7 port map ( I0 => \spo[15]_INST_0_i_6_n_0\, I1 => \spo[15]_INST_0_i_7_n_0\, O => \spo[15]_INST_0_i_2_n_0\, S => a(8) ); \spo[15]_INST_0_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"B8333000B8003000" ) port map ( I0 => g7_b15_n_0, I1 => a(8), I2 => \spo[15]_INST_0_i_8_n_0\, I3 => a(7), I4 => a(6), I5 => g1_b15_n_0, O => \spo[15]_INST_0_i_3_n_0\ ); \spo[15]_INST_0_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g19_b15_n_0, I1 => g18_b15_n_0, I2 => a(7), I3 => g17_b15_n_0, I4 => a(6), I5 => g16_b15_n_0, O => \spo[15]_INST_0_i_4_n_0\ ); \spo[15]_INST_0_i_5\: unisim.vcomponents.LUT4 generic map( INIT => X"3808" ) port map ( I0 => g22_b15_n_0, I1 => a(7), I2 => a(6), I3 => g4_b22_n_0, O => \spo[15]_INST_0_i_5_n_0\ ); \spo[15]_INST_0_i_6\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g11_b15_n_0, I1 => g10_b15_n_0, I2 => a(7), I3 => g9_b15_n_0, I4 => a(6), I5 => g8_b15_n_0, O => \spo[15]_INST_0_i_6_n_0\ ); \spo[15]_INST_0_i_7\: unisim.vcomponents.LUT4 generic map( INIT => X"8830" ) port map ( I0 => g16_b22_n_0, I1 => a(7), I2 => g12_b15_n_0, I3 => a(6), O => \spo[15]_INST_0_i_7_n_0\ ); \spo[15]_INST_0_i_8\: unisim.vcomponents.MUXF7 port map ( I0 => g2_b15_n_0, I1 => g3_b15_n_0, O => \spo[15]_INST_0_i_8_n_0\, S => a(6) ); \spo[16]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[16]_INST_0_i_1_n_0\, I1 => \spo[16]_INST_0_i_2_n_0\, I2 => a(10), I3 => \spo[16]_INST_0_i_3_n_0\, I4 => a(9), I5 => \spo[16]_INST_0_i_4_n_0\, O => spo(16) ); \spo[16]_INST_0_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"0004" ) port map ( I0 => a(7), I1 => g24_b16_n_0, I2 => a(6), I3 => a(8), O => \spo[16]_INST_0_i_1_n_0\ ); \spo[16]_INST_0_i_10\: unisim.vcomponents.MUXF7 port map ( I0 => g12_b16_n_0, I1 => g13_b16_n_0, O => \spo[16]_INST_0_i_10_n_0\, S => a(6) ); \spo[16]_INST_0_i_11\: unisim.vcomponents.MUXF7 port map ( I0 => g10_b16_n_0, I1 => g11_b16_n_0, O => \spo[16]_INST_0_i_11_n_0\, S => a(6) ); \spo[16]_INST_0_i_12\: unisim.vcomponents.MUXF7 port map ( I0 => g8_b16_n_0, I1 => g9_b16_n_0, O => \spo[16]_INST_0_i_12_n_0\, S => a(6) ); \spo[16]_INST_0_i_13\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g3_b16_n_0, I1 => g2_b16_n_0, I2 => a(7), I3 => g1_b16_n_0, I4 => a(6), I5 => g0_b23_n_0, O => \spo[16]_INST_0_i_13_n_0\ ); \spo[16]_INST_0_i_14\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g7_b16_n_0, I1 => g6_b16_n_0, I2 => a(7), I3 => g5_b16_n_0, I4 => a(6), I5 => g4_b16_n_0, O => \spo[16]_INST_0_i_14_n_0\ ); \spo[16]_INST_0_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[16]_INST_0_i_5_n_0\, I1 => \spo[16]_INST_0_i_6_n_0\, I2 => a(8), I3 => \spo[16]_INST_0_i_7_n_0\, I4 => a(7), I5 => \spo[16]_INST_0_i_8_n_0\, O => \spo[16]_INST_0_i_2_n_0\ ); \spo[16]_INST_0_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[16]_INST_0_i_9_n_0\, I1 => \spo[16]_INST_0_i_10_n_0\, I2 => a(8), I3 => \spo[16]_INST_0_i_11_n_0\, I4 => a(7), I5 => \spo[16]_INST_0_i_12_n_0\, O => \spo[16]_INST_0_i_3_n_0\ ); \spo[16]_INST_0_i_4\: unisim.vcomponents.MUXF7 port map ( I0 => \spo[16]_INST_0_i_13_n_0\, I1 => \spo[16]_INST_0_i_14_n_0\, O => \spo[16]_INST_0_i_4_n_0\, S => a(8) ); \spo[16]_INST_0_i_5\: unisim.vcomponents.MUXF7 port map ( I0 => g22_b16_n_0, I1 => g23_b16_n_0, O => \spo[16]_INST_0_i_5_n_0\, S => a(6) ); \spo[16]_INST_0_i_6\: unisim.vcomponents.MUXF7 port map ( I0 => g20_b16_n_0, I1 => g21_b16_n_0, O => \spo[16]_INST_0_i_6_n_0\, S => a(6) ); \spo[16]_INST_0_i_7\: unisim.vcomponents.MUXF7 port map ( I0 => g18_b16_n_0, I1 => g19_b16_n_0, O => \spo[16]_INST_0_i_7_n_0\, S => a(6) ); \spo[16]_INST_0_i_8\: unisim.vcomponents.MUXF7 port map ( I0 => g16_b16_n_0, I1 => g17_b16_n_0, O => \spo[16]_INST_0_i_8_n_0\, S => a(6) ); \spo[16]_INST_0_i_9\: unisim.vcomponents.MUXF7 port map ( I0 => g14_b16_n_0, I1 => g15_b16_n_0, O => \spo[16]_INST_0_i_9_n_0\, S => a(6) ); \spo[17]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[17]_INST_0_i_1_n_0\, I1 => \spo[17]_INST_0_i_2_n_0\, I2 => a(10), I3 => \spo[17]_INST_0_i_3_n_0\, I4 => a(9), I5 => \spo[17]_INST_0_i_4_n_0\, O => spo(17) ); \spo[17]_INST_0_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"01" ) port map ( I0 => a(6), I1 => a(7), I2 => a(8), O => \spo[17]_INST_0_i_1_n_0\ ); \spo[17]_INST_0_i_10\: unisim.vcomponents.MUXF7 port map ( I0 => g12_b17_n_0, I1 => g13_b17_n_0, O => \spo[17]_INST_0_i_10_n_0\, S => a(6) ); \spo[17]_INST_0_i_11\: unisim.vcomponents.MUXF7 port map ( I0 => g10_b17_n_0, I1 => g11_b17_n_0, O => \spo[17]_INST_0_i_11_n_0\, S => a(6) ); \spo[17]_INST_0_i_12\: unisim.vcomponents.MUXF7 port map ( I0 => g8_b17_n_0, I1 => g9_b17_n_0, O => \spo[17]_INST_0_i_12_n_0\, S => a(6) ); \spo[17]_INST_0_i_13\: unisim.vcomponents.LUT5 generic map( INIT => X"AFA0CFCF" ) port map ( I0 => g3_b17_n_0, I1 => g2_b17_n_0, I2 => a(7), I3 => g1_b17_n_0, I4 => a(6), O => \spo[17]_INST_0_i_13_n_0\ ); \spo[17]_INST_0_i_14\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g7_b17_n_0, I1 => g6_b17_n_0, I2 => a(7), I3 => g5_b17_n_0, I4 => a(6), I5 => g4_b17_n_0, O => \spo[17]_INST_0_i_14_n_0\ ); \spo[17]_INST_0_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[17]_INST_0_i_5_n_0\, I1 => \spo[17]_INST_0_i_6_n_0\, I2 => a(8), I3 => \spo[17]_INST_0_i_7_n_0\, I4 => a(7), I5 => \spo[17]_INST_0_i_8_n_0\, O => \spo[17]_INST_0_i_2_n_0\ ); \spo[17]_INST_0_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[17]_INST_0_i_9_n_0\, I1 => \spo[17]_INST_0_i_10_n_0\, I2 => a(8), I3 => \spo[17]_INST_0_i_11_n_0\, I4 => a(7), I5 => \spo[17]_INST_0_i_12_n_0\, O => \spo[17]_INST_0_i_3_n_0\ ); \spo[17]_INST_0_i_4\: unisim.vcomponents.MUXF7 port map ( I0 => \spo[17]_INST_0_i_13_n_0\, I1 => \spo[17]_INST_0_i_14_n_0\, O => \spo[17]_INST_0_i_4_n_0\, S => a(8) ); \spo[17]_INST_0_i_5\: unisim.vcomponents.MUXF7 port map ( I0 => g22_b17_n_0, I1 => g23_b17_n_0, O => \spo[17]_INST_0_i_5_n_0\, S => a(6) ); \spo[17]_INST_0_i_6\: unisim.vcomponents.MUXF7 port map ( I0 => g20_b17_n_0, I1 => g21_b17_n_0, O => \spo[17]_INST_0_i_6_n_0\, S => a(6) ); \spo[17]_INST_0_i_7\: unisim.vcomponents.MUXF7 port map ( I0 => g18_b17_n_0, I1 => g19_b17_n_0, O => \spo[17]_INST_0_i_7_n_0\, S => a(6) ); \spo[17]_INST_0_i_8\: unisim.vcomponents.MUXF7 port map ( I0 => g16_b17_n_0, I1 => g17_b17_n_0, O => \spo[17]_INST_0_i_8_n_0\, S => a(6) ); \spo[17]_INST_0_i_9\: unisim.vcomponents.MUXF7 port map ( I0 => g14_b17_n_0, I1 => g15_b17_n_0, O => \spo[17]_INST_0_i_9_n_0\, S => a(6) ); \spo[18]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[18]_INST_0_i_1_n_0\, I1 => \spo[18]_INST_0_i_2_n_0\, I2 => a(10), I3 => \spo[18]_INST_0_i_3_n_0\, I4 => a(9), I5 => \spo[18]_INST_0_i_4_n_0\, O => spo(18) ); \spo[18]_INST_0_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"0004" ) port map ( I0 => a(7), I1 => g24_b18_n_0, I2 => a(6), I3 => a(8), O => \spo[18]_INST_0_i_1_n_0\ ); \spo[18]_INST_0_i_10\: unisim.vcomponents.MUXF7 port map ( I0 => g8_b18_n_0, I1 => g9_b18_n_0, O => \spo[18]_INST_0_i_10_n_0\, S => a(6) ); \spo[18]_INST_0_i_11\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g3_b18_n_0, I1 => g2_b18_n_0, I2 => a(7), I3 => g1_b18_n_0, I4 => a(6), I5 => g0_b20_n_0, O => \spo[18]_INST_0_i_11_n_0\ ); \spo[18]_INST_0_i_12\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g7_b18_n_0, I1 => g6_b18_n_0, I2 => a(7), I3 => g5_b18_n_0, I4 => a(6), I5 => g4_b18_n_0, O => \spo[18]_INST_0_i_12_n_0\ ); \spo[18]_INST_0_i_2\: unisim.vcomponents.MUXF7 port map ( I0 => \spo[18]_INST_0_i_5_n_0\, I1 => \spo[18]_INST_0_i_6_n_0\, O => \spo[18]_INST_0_i_2_n_0\, S => a(8) ); \spo[18]_INST_0_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[18]_INST_0_i_7_n_0\, I1 => \spo[18]_INST_0_i_8_n_0\, I2 => a(8), I3 => \spo[18]_INST_0_i_9_n_0\, I4 => a(7), I5 => \spo[18]_INST_0_i_10_n_0\, O => \spo[18]_INST_0_i_3_n_0\ ); \spo[18]_INST_0_i_4\: unisim.vcomponents.MUXF7 port map ( I0 => \spo[18]_INST_0_i_11_n_0\, I1 => \spo[18]_INST_0_i_12_n_0\, O => \spo[18]_INST_0_i_4_n_0\, S => a(8) ); \spo[18]_INST_0_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g19_b18_n_0, I1 => g18_b18_n_0, I2 => a(7), I3 => g17_b18_n_0, I4 => a(6), I5 => g16_b18_n_0, O => \spo[18]_INST_0_i_5_n_0\ ); \spo[18]_INST_0_i_6\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g23_b18_n_0, I1 => g22_b18_n_0, I2 => a(7), I3 => g21_b18_n_0, I4 => a(6), I5 => g20_b18_n_0, O => \spo[18]_INST_0_i_6_n_0\ ); \spo[18]_INST_0_i_7\: unisim.vcomponents.MUXF7 port map ( I0 => g14_b18_n_0, I1 => g15_b18_n_0, O => \spo[18]_INST_0_i_7_n_0\, S => a(6) ); \spo[18]_INST_0_i_8\: unisim.vcomponents.MUXF7 port map ( I0 => g12_b18_n_0, I1 => g13_b18_n_0, O => \spo[18]_INST_0_i_8_n_0\, S => a(6) ); \spo[18]_INST_0_i_9\: unisim.vcomponents.MUXF7 port map ( I0 => g10_b18_n_0, I1 => g11_b18_n_0, O => \spo[18]_INST_0_i_9_n_0\, S => a(6) ); \spo[19]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"30BB3088" ) port map ( I0 => \spo[19]_INST_0_i_1_n_0\, I1 => a(10), I2 => \spo[19]_INST_0_i_2_n_0\, I3 => a(9), I4 => \spo[19]_INST_0_i_3_n_0\, O => spo(19) ); \spo[19]_INST_0_i_1\: unisim.vcomponents.MUXF7 port map ( I0 => \spo[19]_INST_0_i_4_n_0\, I1 => \spo[19]_INST_0_i_5_n_0\, O => \spo[19]_INST_0_i_1_n_0\, S => a(8) ); \spo[19]_INST_0_i_10\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g3_b19_n_0, I1 => g2_b19_n_0, I2 => a(7), I3 => g1_b19_n_0, I4 => a(6), I5 => g0_b20_n_0, O => \spo[19]_INST_0_i_10_n_0\ ); \spo[19]_INST_0_i_11\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g7_b19_n_0, I1 => g6_b19_n_0, I2 => a(7), I3 => g5_b19_n_0, I4 => a(6), I5 => g4_b19_n_0, O => \spo[19]_INST_0_i_11_n_0\ ); \spo[19]_INST_0_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[19]_INST_0_i_6_n_0\, I1 => \spo[19]_INST_0_i_7_n_0\, I2 => a(8), I3 => \spo[19]_INST_0_i_8_n_0\, I4 => a(7), I5 => \spo[19]_INST_0_i_9_n_0\, O => \spo[19]_INST_0_i_2_n_0\ ); \spo[19]_INST_0_i_3\: unisim.vcomponents.MUXF7 port map ( I0 => \spo[19]_INST_0_i_10_n_0\, I1 => \spo[19]_INST_0_i_11_n_0\, O => \spo[19]_INST_0_i_3_n_0\, S => a(8) ); \spo[19]_INST_0_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g19_b19_n_0, I1 => g18_b19_n_0, I2 => a(7), I3 => g17_b19_n_0, I4 => a(6), I5 => g16_b19_n_0, O => \spo[19]_INST_0_i_4_n_0\ ); \spo[19]_INST_0_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g23_b19_n_0, I1 => g22_b19_n_0, I2 => a(7), I3 => g21_b19_n_0, I4 => a(6), I5 => g20_b19_n_0, O => \spo[19]_INST_0_i_5_n_0\ ); \spo[19]_INST_0_i_6\: unisim.vcomponents.MUXF7 port map ( I0 => g14_b19_n_0, I1 => g15_b19_n_0, O => \spo[19]_INST_0_i_6_n_0\, S => a(6) ); \spo[19]_INST_0_i_7\: unisim.vcomponents.MUXF7 port map ( I0 => g12_b19_n_0, I1 => g13_b19_n_0, O => \spo[19]_INST_0_i_7_n_0\, S => a(6) ); \spo[19]_INST_0_i_8\: unisim.vcomponents.MUXF7 port map ( I0 => g10_b19_n_0, I1 => g11_b19_n_0, O => \spo[19]_INST_0_i_8_n_0\, S => a(6) ); \spo[19]_INST_0_i_9\: unisim.vcomponents.MUXF7 port map ( I0 => g8_b19_n_0, I1 => g9_b19_n_0, O => \spo[19]_INST_0_i_9_n_0\, S => a(6) ); \spo[1]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[1]_INST_0_i_1_n_0\, I1 => \spo[1]_INST_0_i_2_n_0\, I2 => a(10), I3 => \spo[1]_INST_0_i_3_n_0\, I4 => a(9), I5 => \spo[1]_INST_0_i_4_n_0\, O => spo(1) ); \spo[1]_INST_0_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"0004" ) port map ( I0 => a(7), I1 => g24_b1_n_0, I2 => a(6), I3 => a(8), O => \spo[1]_INST_0_i_1_n_0\ ); \spo[1]_INST_0_i_10\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g15_b1_n_0, I1 => g1_b12_n_0, I2 => a(7), I3 => g13_b1_n_0, I4 => a(6), I5 => g12_b1_n_0, O => \spo[1]_INST_0_i_10_n_0\ ); \spo[1]_INST_0_i_11\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g3_b1_n_0, I1 => g2_b1_n_0, I2 => a(7), I3 => g1_b1_n_0, I4 => a(6), I5 => g0_b1_n_0, O => \spo[1]_INST_0_i_11_n_0\ ); \spo[1]_INST_0_i_12\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g7_b1_n_0, I1 => g6_b1_n_0, I2 => a(7), I3 => g5_b1_n_0, I4 => a(6), I5 => g4_b1_n_0, O => \spo[1]_INST_0_i_12_n_0\ ); \spo[1]_INST_0_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[1]_INST_0_i_5_n_0\, I1 => \spo[1]_INST_0_i_6_n_0\, I2 => a(8), I3 => \spo[1]_INST_0_i_7_n_0\, I4 => a(7), I5 => \spo[1]_INST_0_i_8_n_0\, O => \spo[1]_INST_0_i_2_n_0\ ); \spo[1]_INST_0_i_3\: unisim.vcomponents.MUXF7 port map ( I0 => \spo[1]_INST_0_i_9_n_0\, I1 => \spo[1]_INST_0_i_10_n_0\, O => \spo[1]_INST_0_i_3_n_0\, S => a(8) ); \spo[1]_INST_0_i_4\: unisim.vcomponents.MUXF7 port map ( I0 => \spo[1]_INST_0_i_11_n_0\, I1 => \spo[1]_INST_0_i_12_n_0\, O => \spo[1]_INST_0_i_4_n_0\, S => a(8) ); \spo[1]_INST_0_i_5\: unisim.vcomponents.MUXF7 port map ( I0 => g22_b1_n_0, I1 => g23_b1_n_0, O => \spo[1]_INST_0_i_5_n_0\, S => a(6) ); \spo[1]_INST_0_i_6\: unisim.vcomponents.MUXF7 port map ( I0 => g20_b1_n_0, I1 => g21_b1_n_0, O => \spo[1]_INST_0_i_6_n_0\, S => a(6) ); \spo[1]_INST_0_i_7\: unisim.vcomponents.MUXF7 port map ( I0 => g18_b1_n_0, I1 => g19_b1_n_0, O => \spo[1]_INST_0_i_7_n_0\, S => a(6) ); \spo[1]_INST_0_i_8\: unisim.vcomponents.MUXF7 port map ( I0 => g16_b1_n_0, I1 => g17_b1_n_0, O => \spo[1]_INST_0_i_8_n_0\, S => a(6) ); \spo[1]_INST_0_i_9\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g11_b1_n_0, I1 => g10_b1_n_0, I2 => a(7), I3 => g9_b1_n_0, I4 => a(6), I5 => g8_b1_n_0, O => \spo[1]_INST_0_i_9_n_0\ ); \spo[20]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[20]_INST_0_i_1_n_0\, I1 => \spo[20]_INST_0_i_2_n_0\, I2 => a(10), I3 => \spo[20]_INST_0_i_3_n_0\, I4 => a(9), I5 => \spo[20]_INST_0_i_4_n_0\, O => spo(20) ); \spo[20]_INST_0_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"0004" ) port map ( I0 => a(7), I1 => g24_b20_n_0, I2 => a(6), I3 => a(8), O => \spo[20]_INST_0_i_1_n_0\ ); \spo[20]_INST_0_i_10\: unisim.vcomponents.MUXF7 port map ( I0 => g12_b20_n_0, I1 => g13_b20_n_0, O => \spo[20]_INST_0_i_10_n_0\, S => a(6) ); \spo[20]_INST_0_i_11\: unisim.vcomponents.MUXF7 port map ( I0 => g10_b20_n_0, I1 => g11_b20_n_0, O => \spo[20]_INST_0_i_11_n_0\, S => a(6) ); \spo[20]_INST_0_i_12\: unisim.vcomponents.MUXF7 port map ( I0 => g8_b20_n_0, I1 => g9_b20_n_0, O => \spo[20]_INST_0_i_12_n_0\, S => a(6) ); \spo[20]_INST_0_i_13\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g3_b20_n_0, I1 => g2_b20_n_0, I2 => a(7), I3 => g1_b20_n_0, I4 => a(6), I5 => g0_b20_n_0, O => \spo[20]_INST_0_i_13_n_0\ ); \spo[20]_INST_0_i_14\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g7_b20_n_0, I1 => g6_b20_n_0, I2 => a(7), I3 => g5_b20_n_0, I4 => a(6), I5 => g4_b20_n_0, O => \spo[20]_INST_0_i_14_n_0\ ); \spo[20]_INST_0_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[20]_INST_0_i_5_n_0\, I1 => \spo[20]_INST_0_i_6_n_0\, I2 => a(8), I3 => \spo[20]_INST_0_i_7_n_0\, I4 => a(7), I5 => \spo[20]_INST_0_i_8_n_0\, O => \spo[20]_INST_0_i_2_n_0\ ); \spo[20]_INST_0_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[20]_INST_0_i_9_n_0\, I1 => \spo[20]_INST_0_i_10_n_0\, I2 => a(8), I3 => \spo[20]_INST_0_i_11_n_0\, I4 => a(7), I5 => \spo[20]_INST_0_i_12_n_0\, O => \spo[20]_INST_0_i_3_n_0\ ); \spo[20]_INST_0_i_4\: unisim.vcomponents.MUXF7 port map ( I0 => \spo[20]_INST_0_i_13_n_0\, I1 => \spo[20]_INST_0_i_14_n_0\, O => \spo[20]_INST_0_i_4_n_0\, S => a(8) ); \spo[20]_INST_0_i_5\: unisim.vcomponents.MUXF7 port map ( I0 => g22_b20_n_0, I1 => g23_b20_n_0, O => \spo[20]_INST_0_i_5_n_0\, S => a(6) ); \spo[20]_INST_0_i_6\: unisim.vcomponents.MUXF7 port map ( I0 => g20_b20_n_0, I1 => g21_b20_n_0, O => \spo[20]_INST_0_i_6_n_0\, S => a(6) ); \spo[20]_INST_0_i_7\: unisim.vcomponents.MUXF7 port map ( I0 => g18_b20_n_0, I1 => g19_b20_n_0, O => \spo[20]_INST_0_i_7_n_0\, S => a(6) ); \spo[20]_INST_0_i_8\: unisim.vcomponents.MUXF7 port map ( I0 => g16_b20_n_0, I1 => g17_b20_n_0, O => \spo[20]_INST_0_i_8_n_0\, S => a(6) ); \spo[20]_INST_0_i_9\: unisim.vcomponents.MUXF7 port map ( I0 => g14_b20_n_0, I1 => g15_b20_n_0, O => \spo[20]_INST_0_i_9_n_0\, S => a(6) ); \spo[21]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[21]_INST_0_i_1_n_0\, I1 => \spo[21]_INST_0_i_2_n_0\, I2 => a(10), I3 => \spo[21]_INST_0_i_3_n_0\, I4 => a(9), I5 => \spo[21]_INST_0_i_4_n_0\, O => spo(21) ); \spo[21]_INST_0_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"0004" ) port map ( I0 => a(7), I1 => g24_b21_n_0, I2 => a(6), I3 => a(8), O => \spo[21]_INST_0_i_1_n_0\ ); \spo[21]_INST_0_i_10\: unisim.vcomponents.MUXF7 port map ( I0 => g12_b21_n_0, I1 => g13_b21_n_0, O => \spo[21]_INST_0_i_10_n_0\, S => a(6) ); \spo[21]_INST_0_i_11\: unisim.vcomponents.MUXF7 port map ( I0 => g10_b21_n_0, I1 => g11_b21_n_0, O => \spo[21]_INST_0_i_11_n_0\, S => a(6) ); \spo[21]_INST_0_i_12\: unisim.vcomponents.MUXF7 port map ( I0 => g8_b21_n_0, I1 => g9_b21_n_0, O => \spo[21]_INST_0_i_12_n_0\, S => a(6) ); \spo[21]_INST_0_i_13\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g3_b21_n_0, I1 => g2_b21_n_0, I2 => a(7), I3 => g1_b21_n_0, I4 => a(6), I5 => g0_b21_n_0, O => \spo[21]_INST_0_i_13_n_0\ ); \spo[21]_INST_0_i_14\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g7_b21_n_0, I1 => g6_b21_n_0, I2 => a(7), I3 => g5_b21_n_0, I4 => a(6), I5 => g4_b21_n_0, O => \spo[21]_INST_0_i_14_n_0\ ); \spo[21]_INST_0_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[21]_INST_0_i_5_n_0\, I1 => \spo[21]_INST_0_i_6_n_0\, I2 => a(8), I3 => \spo[21]_INST_0_i_7_n_0\, I4 => a(7), I5 => \spo[21]_INST_0_i_8_n_0\, O => \spo[21]_INST_0_i_2_n_0\ ); \spo[21]_INST_0_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[21]_INST_0_i_9_n_0\, I1 => \spo[21]_INST_0_i_10_n_0\, I2 => a(8), I3 => \spo[21]_INST_0_i_11_n_0\, I4 => a(7), I5 => \spo[21]_INST_0_i_12_n_0\, O => \spo[21]_INST_0_i_3_n_0\ ); \spo[21]_INST_0_i_4\: unisim.vcomponents.MUXF7 port map ( I0 => \spo[21]_INST_0_i_13_n_0\, I1 => \spo[21]_INST_0_i_14_n_0\, O => \spo[21]_INST_0_i_4_n_0\, S => a(8) ); \spo[21]_INST_0_i_5\: unisim.vcomponents.MUXF7 port map ( I0 => g22_b21_n_0, I1 => g23_b21_n_0, O => \spo[21]_INST_0_i_5_n_0\, S => a(6) ); \spo[21]_INST_0_i_6\: unisim.vcomponents.MUXF7 port map ( I0 => g20_b21_n_0, I1 => g21_b21_n_0, O => \spo[21]_INST_0_i_6_n_0\, S => a(6) ); \spo[21]_INST_0_i_7\: unisim.vcomponents.MUXF7 port map ( I0 => g18_b21_n_0, I1 => g19_b21_n_0, O => \spo[21]_INST_0_i_7_n_0\, S => a(6) ); \spo[21]_INST_0_i_8\: unisim.vcomponents.MUXF7 port map ( I0 => g16_b21_n_0, I1 => g17_b21_n_0, O => \spo[21]_INST_0_i_8_n_0\, S => a(6) ); \spo[21]_INST_0_i_9\: unisim.vcomponents.MUXF7 port map ( I0 => g14_b21_n_0, I1 => g15_b21_n_0, O => \spo[21]_INST_0_i_9_n_0\, S => a(6) ); \spo[22]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"30BB3088" ) port map ( I0 => \spo[22]_INST_0_i_1_n_0\, I1 => a(10), I2 => \spo[22]_INST_0_i_2_n_0\, I3 => a(9), I4 => \spo[22]_INST_0_i_3_n_0\, O => spo(22) ); \spo[22]_INST_0_i_1\: unisim.vcomponents.MUXF7 port map ( I0 => \spo[22]_INST_0_i_4_n_0\, I1 => \spo[22]_INST_0_i_5_n_0\, O => \spo[22]_INST_0_i_1_n_0\, S => a(8) ); \spo[22]_INST_0_i_10\: unisim.vcomponents.LUT5 generic map( INIT => X"AFC0A0C0" ) port map ( I0 => g3_b22_n_0, I1 => g2_b22_n_0, I2 => a(7), I3 => a(6), I4 => g1_b22_n_0, O => \spo[22]_INST_0_i_10_n_0\ ); \spo[22]_INST_0_i_11\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g7_b22_n_0, I1 => g6_b22_n_0, I2 => a(7), I3 => g5_b22_n_0, I4 => a(6), I5 => g4_b22_n_0, O => \spo[22]_INST_0_i_11_n_0\ ); \spo[22]_INST_0_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[22]_INST_0_i_6_n_0\, I1 => \spo[22]_INST_0_i_7_n_0\, I2 => a(8), I3 => \spo[22]_INST_0_i_8_n_0\, I4 => a(7), I5 => \spo[22]_INST_0_i_9_n_0\, O => \spo[22]_INST_0_i_2_n_0\ ); \spo[22]_INST_0_i_3\: unisim.vcomponents.MUXF7 port map ( I0 => \spo[22]_INST_0_i_10_n_0\, I1 => \spo[22]_INST_0_i_11_n_0\, O => \spo[22]_INST_0_i_3_n_0\, S => a(8) ); \spo[22]_INST_0_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g19_b22_n_0, I1 => g18_b22_n_0, I2 => a(7), I3 => g17_b22_n_0, I4 => a(6), I5 => g16_b22_n_0, O => \spo[22]_INST_0_i_4_n_0\ ); \spo[22]_INST_0_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g23_b22_n_0, I1 => g22_b22_n_0, I2 => a(7), I3 => g21_b22_n_0, I4 => a(6), I5 => g20_b22_n_0, O => \spo[22]_INST_0_i_5_n_0\ ); \spo[22]_INST_0_i_6\: unisim.vcomponents.MUXF7 port map ( I0 => g14_b22_n_0, I1 => g15_b22_n_0, O => \spo[22]_INST_0_i_6_n_0\, S => a(6) ); \spo[22]_INST_0_i_7\: unisim.vcomponents.MUXF7 port map ( I0 => g12_b22_n_0, I1 => g13_b22_n_0, O => \spo[22]_INST_0_i_7_n_0\, S => a(6) ); \spo[22]_INST_0_i_8\: unisim.vcomponents.MUXF7 port map ( I0 => g10_b22_n_0, I1 => g11_b22_n_0, O => \spo[22]_INST_0_i_8_n_0\, S => a(6) ); \spo[22]_INST_0_i_9\: unisim.vcomponents.MUXF7 port map ( I0 => g8_b22_n_0, I1 => g9_b22_n_0, O => \spo[22]_INST_0_i_9_n_0\, S => a(6) ); \spo[23]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[23]_INST_0_i_1_n_0\, I1 => \spo[23]_INST_0_i_2_n_0\, I2 => a(10), I3 => \spo[23]_INST_0_i_3_n_0\, I4 => a(9), I5 => \spo[23]_INST_0_i_4_n_0\, O => spo(23) ); \spo[23]_INST_0_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"0004" ) port map ( I0 => a(7), I1 => g24_b23_n_0, I2 => a(6), I3 => a(8), O => \spo[23]_INST_0_i_1_n_0\ ); \spo[23]_INST_0_i_10\: unisim.vcomponents.MUXF7 port map ( I0 => g12_b23_n_0, I1 => g13_b23_n_0, O => \spo[23]_INST_0_i_10_n_0\, S => a(6) ); \spo[23]_INST_0_i_11\: unisim.vcomponents.MUXF7 port map ( I0 => g10_b23_n_0, I1 => g11_b23_n_0, O => \spo[23]_INST_0_i_11_n_0\, S => a(6) ); \spo[23]_INST_0_i_12\: unisim.vcomponents.MUXF7 port map ( I0 => g8_b23_n_0, I1 => g9_b23_n_0, O => \spo[23]_INST_0_i_12_n_0\, S => a(6) ); \spo[23]_INST_0_i_13\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g3_b23_n_0, I1 => g2_b23_n_0, I2 => a(7), I3 => g1_b23_n_0, I4 => a(6), I5 => g0_b23_n_0, O => \spo[23]_INST_0_i_13_n_0\ ); \spo[23]_INST_0_i_14\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g7_b23_n_0, I1 => g6_b23_n_0, I2 => a(7), I3 => g5_b23_n_0, I4 => a(6), I5 => g4_b23_n_0, O => \spo[23]_INST_0_i_14_n_0\ ); \spo[23]_INST_0_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[23]_INST_0_i_5_n_0\, I1 => \spo[23]_INST_0_i_6_n_0\, I2 => a(8), I3 => \spo[23]_INST_0_i_7_n_0\, I4 => a(7), I5 => \spo[23]_INST_0_i_8_n_0\, O => \spo[23]_INST_0_i_2_n_0\ ); \spo[23]_INST_0_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[23]_INST_0_i_9_n_0\, I1 => \spo[23]_INST_0_i_10_n_0\, I2 => a(8), I3 => \spo[23]_INST_0_i_11_n_0\, I4 => a(7), I5 => \spo[23]_INST_0_i_12_n_0\, O => \spo[23]_INST_0_i_3_n_0\ ); \spo[23]_INST_0_i_4\: unisim.vcomponents.MUXF7 port map ( I0 => \spo[23]_INST_0_i_13_n_0\, I1 => \spo[23]_INST_0_i_14_n_0\, O => \spo[23]_INST_0_i_4_n_0\, S => a(8) ); \spo[23]_INST_0_i_5\: unisim.vcomponents.MUXF7 port map ( I0 => g22_b23_n_0, I1 => g23_b23_n_0, O => \spo[23]_INST_0_i_5_n_0\, S => a(6) ); \spo[23]_INST_0_i_6\: unisim.vcomponents.MUXF7 port map ( I0 => g20_b23_n_0, I1 => g21_b23_n_0, O => \spo[23]_INST_0_i_6_n_0\, S => a(6) ); \spo[23]_INST_0_i_7\: unisim.vcomponents.MUXF7 port map ( I0 => g18_b23_n_0, I1 => g19_b23_n_0, O => \spo[23]_INST_0_i_7_n_0\, S => a(6) ); \spo[23]_INST_0_i_8\: unisim.vcomponents.MUXF7 port map ( I0 => g16_b23_n_0, I1 => g17_b23_n_0, O => \spo[23]_INST_0_i_8_n_0\, S => a(6) ); \spo[23]_INST_0_i_9\: unisim.vcomponents.MUXF7 port map ( I0 => g14_b23_n_0, I1 => g15_b23_n_0, O => \spo[23]_INST_0_i_9_n_0\, S => a(6) ); \spo[2]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[2]_INST_0_i_1_n_0\, I1 => \spo[2]_INST_0_i_2_n_0\, I2 => a(10), I3 => \spo[2]_INST_0_i_3_n_0\, I4 => a(9), I5 => \spo[2]_INST_0_i_4_n_0\, O => spo(2) ); \spo[2]_INST_0_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"0004" ) port map ( I0 => a(7), I1 => g24_b2_n_0, I2 => a(6), I3 => a(8), O => \spo[2]_INST_0_i_1_n_0\ ); \spo[2]_INST_0_i_10\: unisim.vcomponents.MUXF7 port map ( I0 => g12_b2_n_0, I1 => g13_b2_n_0, O => \spo[2]_INST_0_i_10_n_0\, S => a(6) ); \spo[2]_INST_0_i_11\: unisim.vcomponents.MUXF7 port map ( I0 => g10_b2_n_0, I1 => g11_b2_n_0, O => \spo[2]_INST_0_i_11_n_0\, S => a(6) ); \spo[2]_INST_0_i_12\: unisim.vcomponents.MUXF7 port map ( I0 => g8_b2_n_0, I1 => g9_b2_n_0, O => \spo[2]_INST_0_i_12_n_0\, S => a(6) ); \spo[2]_INST_0_i_13\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g3_b2_n_0, I1 => g2_b2_n_0, I2 => a(7), I3 => g1_b2_n_0, I4 => a(6), I5 => g0_b21_n_0, O => \spo[2]_INST_0_i_13_n_0\ ); \spo[2]_INST_0_i_14\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g7_b2_n_0, I1 => g6_b2_n_0, I2 => a(7), I3 => g5_b2_n_0, I4 => a(6), I5 => g4_b2_n_0, O => \spo[2]_INST_0_i_14_n_0\ ); \spo[2]_INST_0_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[2]_INST_0_i_5_n_0\, I1 => \spo[2]_INST_0_i_6_n_0\, I2 => a(8), I3 => \spo[2]_INST_0_i_7_n_0\, I4 => a(7), I5 => \spo[2]_INST_0_i_8_n_0\, O => \spo[2]_INST_0_i_2_n_0\ ); \spo[2]_INST_0_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[2]_INST_0_i_9_n_0\, I1 => \spo[2]_INST_0_i_10_n_0\, I2 => a(8), I3 => \spo[2]_INST_0_i_11_n_0\, I4 => a(7), I5 => \spo[2]_INST_0_i_12_n_0\, O => \spo[2]_INST_0_i_3_n_0\ ); \spo[2]_INST_0_i_4\: unisim.vcomponents.MUXF7 port map ( I0 => \spo[2]_INST_0_i_13_n_0\, I1 => \spo[2]_INST_0_i_14_n_0\, O => \spo[2]_INST_0_i_4_n_0\, S => a(8) ); \spo[2]_INST_0_i_5\: unisim.vcomponents.MUXF7 port map ( I0 => g22_b2_n_0, I1 => g23_b2_n_0, O => \spo[2]_INST_0_i_5_n_0\, S => a(6) ); \spo[2]_INST_0_i_6\: unisim.vcomponents.MUXF7 port map ( I0 => g20_b2_n_0, I1 => g21_b2_n_0, O => \spo[2]_INST_0_i_6_n_0\, S => a(6) ); \spo[2]_INST_0_i_7\: unisim.vcomponents.MUXF7 port map ( I0 => g18_b2_n_0, I1 => g19_b2_n_0, O => \spo[2]_INST_0_i_7_n_0\, S => a(6) ); \spo[2]_INST_0_i_8\: unisim.vcomponents.MUXF7 port map ( I0 => g16_b2_n_0, I1 => g17_b2_n_0, O => \spo[2]_INST_0_i_8_n_0\, S => a(6) ); \spo[2]_INST_0_i_9\: unisim.vcomponents.MUXF7 port map ( I0 => g14_b2_n_0, I1 => g15_b2_n_0, O => \spo[2]_INST_0_i_9_n_0\, S => a(6) ); \spo[3]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[18]_INST_0_i_1_n_0\, I1 => \spo[3]_INST_0_i_1_n_0\, I2 => a(10), I3 => \spo[3]_INST_0_i_2_n_0\, I4 => a(9), I5 => \spo[3]_INST_0_i_3_n_0\, O => spo(3) ); \spo[3]_INST_0_i_1\: unisim.vcomponents.MUXF7 port map ( I0 => \spo[3]_INST_0_i_4_n_0\, I1 => \spo[3]_INST_0_i_5_n_0\, O => \spo[3]_INST_0_i_1_n_0\, S => a(8) ); \spo[3]_INST_0_i_10\: unisim.vcomponents.LUT5 generic map( INIT => X"AFC0A0C0" ) port map ( I0 => g3_b3_n_0, I1 => g2_b3_n_0, I2 => a(7), I3 => a(6), I4 => g1_b3_n_0, O => \spo[3]_INST_0_i_10_n_0\ ); \spo[3]_INST_0_i_11\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g7_b3_n_0, I1 => g6_b3_n_0, I2 => a(7), I3 => g5_b3_n_0, I4 => a(6), I5 => g4_b3_n_0, O => \spo[3]_INST_0_i_11_n_0\ ); \spo[3]_INST_0_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[3]_INST_0_i_6_n_0\, I1 => \spo[3]_INST_0_i_7_n_0\, I2 => a(8), I3 => \spo[3]_INST_0_i_8_n_0\, I4 => a(7), I5 => \spo[3]_INST_0_i_9_n_0\, O => \spo[3]_INST_0_i_2_n_0\ ); \spo[3]_INST_0_i_3\: unisim.vcomponents.MUXF7 port map ( I0 => \spo[3]_INST_0_i_10_n_0\, I1 => \spo[3]_INST_0_i_11_n_0\, O => \spo[3]_INST_0_i_3_n_0\, S => a(8) ); \spo[3]_INST_0_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g19_b3_n_0, I1 => g18_b3_n_0, I2 => a(7), I3 => g17_b3_n_0, I4 => a(6), I5 => g16_b3_n_0, O => \spo[3]_INST_0_i_4_n_0\ ); \spo[3]_INST_0_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g23_b3_n_0, I1 => g22_b3_n_0, I2 => a(7), I3 => g21_b3_n_0, I4 => a(6), I5 => g20_b3_n_0, O => \spo[3]_INST_0_i_5_n_0\ ); \spo[3]_INST_0_i_6\: unisim.vcomponents.MUXF7 port map ( I0 => g14_b3_n_0, I1 => g15_b3_n_0, O => \spo[3]_INST_0_i_6_n_0\, S => a(6) ); \spo[3]_INST_0_i_7\: unisim.vcomponents.MUXF7 port map ( I0 => g12_b3_n_0, I1 => g13_b3_n_0, O => \spo[3]_INST_0_i_7_n_0\, S => a(6) ); \spo[3]_INST_0_i_8\: unisim.vcomponents.MUXF7 port map ( I0 => g10_b3_n_0, I1 => g11_b3_n_0, O => \spo[3]_INST_0_i_8_n_0\, S => a(6) ); \spo[3]_INST_0_i_9\: unisim.vcomponents.MUXF7 port map ( I0 => g8_b3_n_0, I1 => g9_b3_n_0, O => \spo[3]_INST_0_i_9_n_0\, S => a(6) ); \spo[4]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[12]_INST_0_i_1_n_0\, I1 => \spo[4]_INST_0_i_1_n_0\, I2 => a(10), I3 => \spo[4]_INST_0_i_2_n_0\, I4 => a(9), I5 => \spo[4]_INST_0_i_3_n_0\, O => spo(4) ); \spo[4]_INST_0_i_1\: unisim.vcomponents.MUXF7 port map ( I0 => \spo[4]_INST_0_i_4_n_0\, I1 => \spo[4]_INST_0_i_5_n_0\, O => \spo[4]_INST_0_i_1_n_0\, S => a(8) ); \spo[4]_INST_0_i_10\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g3_b4_n_0, I1 => g2_b4_n_0, I2 => a(7), I3 => g1_b4_n_0, I4 => a(6), I5 => g23_b13_n_0, O => \spo[4]_INST_0_i_10_n_0\ ); \spo[4]_INST_0_i_11\: unisim.vcomponents.LUT5 generic map( INIT => X"A0A0CFC0" ) port map ( I0 => g7_b4_n_0, I1 => g6_b4_n_0, I2 => a(7), I3 => g4_b4_n_0, I4 => a(6), O => \spo[4]_INST_0_i_11_n_0\ ); \spo[4]_INST_0_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[4]_INST_0_i_6_n_0\, I1 => \spo[4]_INST_0_i_7_n_0\, I2 => a(8), I3 => \spo[4]_INST_0_i_8_n_0\, I4 => a(7), I5 => \spo[4]_INST_0_i_9_n_0\, O => \spo[4]_INST_0_i_2_n_0\ ); \spo[4]_INST_0_i_3\: unisim.vcomponents.MUXF7 port map ( I0 => \spo[4]_INST_0_i_10_n_0\, I1 => \spo[4]_INST_0_i_11_n_0\, O => \spo[4]_INST_0_i_3_n_0\, S => a(8) ); \spo[4]_INST_0_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g19_b4_n_0, I1 => g18_b4_n_0, I2 => a(7), I3 => g17_b4_n_0, I4 => a(6), I5 => g16_b4_n_0, O => \spo[4]_INST_0_i_4_n_0\ ); \spo[4]_INST_0_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g23_b4_n_0, I1 => g22_b4_n_0, I2 => a(7), I3 => g21_b4_n_0, I4 => a(6), I5 => g20_b18_n_0, O => \spo[4]_INST_0_i_5_n_0\ ); \spo[4]_INST_0_i_6\: unisim.vcomponents.MUXF7 port map ( I0 => g14_b4_n_0, I1 => g15_b4_n_0, O => \spo[4]_INST_0_i_6_n_0\, S => a(6) ); \spo[4]_INST_0_i_7\: unisim.vcomponents.MUXF7 port map ( I0 => g12_b4_n_0, I1 => g13_b4_n_0, O => \spo[4]_INST_0_i_7_n_0\, S => a(6) ); \spo[4]_INST_0_i_8\: unisim.vcomponents.MUXF7 port map ( I0 => g10_b4_n_0, I1 => g11_b4_n_0, O => \spo[4]_INST_0_i_8_n_0\, S => a(6) ); \spo[4]_INST_0_i_9\: unisim.vcomponents.MUXF7 port map ( I0 => g8_b4_n_0, I1 => g9_b4_n_0, O => \spo[4]_INST_0_i_9_n_0\, S => a(6) ); \spo[5]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[23]_INST_0_i_1_n_0\, I1 => \spo[5]_INST_0_i_1_n_0\, I2 => a(10), I3 => \spo[5]_INST_0_i_2_n_0\, I4 => a(9), I5 => \spo[5]_INST_0_i_3_n_0\, O => spo(5) ); \spo[5]_INST_0_i_1\: unisim.vcomponents.MUXF7 port map ( I0 => \spo[5]_INST_0_i_4_n_0\, I1 => \spo[5]_INST_0_i_5_n_0\, O => \spo[5]_INST_0_i_1_n_0\, S => a(8) ); \spo[5]_INST_0_i_10\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g3_b5_n_0, I1 => g2_b5_n_0, I2 => a(7), I3 => g1_b5_n_0, I4 => a(6), I5 => g0_b23_n_0, O => \spo[5]_INST_0_i_10_n_0\ ); \spo[5]_INST_0_i_11\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g7_b5_n_0, I1 => g6_b5_n_0, I2 => a(7), I3 => g5_b14_n_0, I4 => a(6), I5 => g4_b14_n_0, O => \spo[5]_INST_0_i_11_n_0\ ); \spo[5]_INST_0_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[5]_INST_0_i_6_n_0\, I1 => \spo[5]_INST_0_i_7_n_0\, I2 => a(8), I3 => \spo[5]_INST_0_i_8_n_0\, I4 => a(7), I5 => \spo[5]_INST_0_i_9_n_0\, O => \spo[5]_INST_0_i_2_n_0\ ); \spo[5]_INST_0_i_3\: unisim.vcomponents.MUXF7 port map ( I0 => \spo[5]_INST_0_i_10_n_0\, I1 => \spo[5]_INST_0_i_11_n_0\, O => \spo[5]_INST_0_i_3_n_0\, S => a(8) ); \spo[5]_INST_0_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g19_b8_n_0, I1 => g18_b5_n_0, I2 => a(7), I3 => g17_b5_n_0, I4 => a(6), I5 => g16_b5_n_0, O => \spo[5]_INST_0_i_4_n_0\ ); \spo[5]_INST_0_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g23_b5_n_0, I1 => g22_b5_n_0, I2 => a(7), I3 => g21_b5_n_0, I4 => a(6), I5 => g20_b5_n_0, O => \spo[5]_INST_0_i_5_n_0\ ); \spo[5]_INST_0_i_6\: unisim.vcomponents.MUXF7 port map ( I0 => g14_b5_n_0, I1 => g15_b5_n_0, O => \spo[5]_INST_0_i_6_n_0\, S => a(6) ); \spo[5]_INST_0_i_7\: unisim.vcomponents.MUXF7 port map ( I0 => g12_b5_n_0, I1 => g13_b5_n_0, O => \spo[5]_INST_0_i_7_n_0\, S => a(6) ); \spo[5]_INST_0_i_8\: unisim.vcomponents.MUXF7 port map ( I0 => g10_b5_n_0, I1 => g11_b5_n_0, O => \spo[5]_INST_0_i_8_n_0\, S => a(6) ); \spo[5]_INST_0_i_9\: unisim.vcomponents.MUXF7 port map ( I0 => g8_b5_n_0, I1 => g9_b5_n_0, O => \spo[5]_INST_0_i_9_n_0\, S => a(6) ); \spo[6]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"30BB3088" ) port map ( I0 => \spo[6]_INST_0_i_1_n_0\, I1 => a(10), I2 => \spo[6]_INST_0_i_2_n_0\, I3 => a(9), I4 => \spo[6]_INST_0_i_3_n_0\, O => spo(6) ); \spo[6]_INST_0_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[6]_INST_0_i_4_n_0\, I1 => \spo[6]_INST_0_i_5_n_0\, I2 => a(8), I3 => \spo[6]_INST_0_i_6_n_0\, I4 => a(7), I5 => \spo[6]_INST_0_i_7_n_0\, O => \spo[6]_INST_0_i_1_n_0\ ); \spo[6]_INST_0_i_10\: unisim.vcomponents.MUXF7 port map ( I0 => g10_b6_n_0, I1 => g11_b6_n_0, O => \spo[6]_INST_0_i_10_n_0\, S => a(6) ); \spo[6]_INST_0_i_11\: unisim.vcomponents.MUXF7 port map ( I0 => g8_b6_n_0, I1 => g9_b6_n_0, O => \spo[6]_INST_0_i_11_n_0\, S => a(6) ); \spo[6]_INST_0_i_12\: unisim.vcomponents.MUXF7 port map ( I0 => g2_b6_n_0, I1 => g3_b6_n_0, O => \spo[6]_INST_0_i_12_n_0\, S => a(6) ); \spo[6]_INST_0_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[6]_INST_0_i_8_n_0\, I1 => \spo[6]_INST_0_i_9_n_0\, I2 => a(8), I3 => \spo[6]_INST_0_i_10_n_0\, I4 => a(7), I5 => \spo[6]_INST_0_i_11_n_0\, O => \spo[6]_INST_0_i_2_n_0\ ); \spo[6]_INST_0_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"E2FF0000E2000000" ) port map ( I0 => g6_b6_n_0, I1 => a(6), I2 => g7_b6_n_0, I3 => a(8), I4 => a(7), I5 => \spo[6]_INST_0_i_12_n_0\, O => \spo[6]_INST_0_i_3_n_0\ ); \spo[6]_INST_0_i_4\: unisim.vcomponents.MUXF7 port map ( I0 => g22_b6_n_0, I1 => g23_b6_n_0, O => \spo[6]_INST_0_i_4_n_0\, S => a(6) ); \spo[6]_INST_0_i_5\: unisim.vcomponents.MUXF7 port map ( I0 => g20_b6_n_0, I1 => g21_b6_n_0, O => \spo[6]_INST_0_i_5_n_0\, S => a(6) ); \spo[6]_INST_0_i_6\: unisim.vcomponents.MUXF7 port map ( I0 => g18_b6_n_0, I1 => g19_b6_n_0, O => \spo[6]_INST_0_i_6_n_0\, S => a(6) ); \spo[6]_INST_0_i_7\: unisim.vcomponents.MUXF7 port map ( I0 => g16_b6_n_0, I1 => g17_b6_n_0, O => \spo[6]_INST_0_i_7_n_0\, S => a(6) ); \spo[6]_INST_0_i_8\: unisim.vcomponents.MUXF7 port map ( I0 => g14_b6_n_0, I1 => g15_b6_n_0, O => \spo[6]_INST_0_i_8_n_0\, S => a(6) ); \spo[6]_INST_0_i_9\: unisim.vcomponents.MUXF7 port map ( I0 => g12_b6_n_0, I1 => g13_b6_n_0, O => \spo[6]_INST_0_i_9_n_0\, S => a(6) ); \spo[7]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[23]_INST_0_i_1_n_0\, I1 => \spo[7]_INST_0_i_1_n_0\, I2 => a(10), I3 => \spo[7]_INST_0_i_2_n_0\, I4 => a(9), I5 => \spo[7]_INST_0_i_3_n_0\, O => spo(7) ); \spo[7]_INST_0_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[7]_INST_0_i_4_n_0\, I1 => \spo[7]_INST_0_i_5_n_0\, I2 => a(8), I3 => \spo[7]_INST_0_i_6_n_0\, I4 => a(7), I5 => \spo[7]_INST_0_i_7_n_0\, O => \spo[7]_INST_0_i_1_n_0\ ); \spo[7]_INST_0_i_10\: unisim.vcomponents.MUXF7 port map ( I0 => g10_b7_n_0, I1 => g11_b7_n_0, O => \spo[7]_INST_0_i_10_n_0\, S => a(6) ); \spo[7]_INST_0_i_11\: unisim.vcomponents.MUXF7 port map ( I0 => g8_b7_n_0, I1 => g9_b7_n_0, O => \spo[7]_INST_0_i_11_n_0\, S => a(6) ); \spo[7]_INST_0_i_12\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g3_b7_n_0, I1 => g2_b7_n_0, I2 => a(7), I3 => g1_b7_n_0, I4 => a(6), I5 => g0_b23_n_0, O => \spo[7]_INST_0_i_12_n_0\ ); \spo[7]_INST_0_i_13\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g7_b7_n_0, I1 => g6_b7_n_0, I2 => a(7), I3 => g5_b14_n_0, I4 => a(6), I5 => g4_b14_n_0, O => \spo[7]_INST_0_i_13_n_0\ ); \spo[7]_INST_0_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[7]_INST_0_i_8_n_0\, I1 => \spo[7]_INST_0_i_9_n_0\, I2 => a(8), I3 => \spo[7]_INST_0_i_10_n_0\, I4 => a(7), I5 => \spo[7]_INST_0_i_11_n_0\, O => \spo[7]_INST_0_i_2_n_0\ ); \spo[7]_INST_0_i_3\: unisim.vcomponents.MUXF7 port map ( I0 => \spo[7]_INST_0_i_12_n_0\, I1 => \spo[7]_INST_0_i_13_n_0\, O => \spo[7]_INST_0_i_3_n_0\, S => a(8) ); \spo[7]_INST_0_i_4\: unisim.vcomponents.MUXF7 port map ( I0 => g22_b7_n_0, I1 => g23_b7_n_0, O => \spo[7]_INST_0_i_4_n_0\, S => a(6) ); \spo[7]_INST_0_i_5\: unisim.vcomponents.MUXF7 port map ( I0 => g20_b7_n_0, I1 => g21_b7_n_0, O => \spo[7]_INST_0_i_5_n_0\, S => a(6) ); \spo[7]_INST_0_i_6\: unisim.vcomponents.MUXF7 port map ( I0 => g18_b7_n_0, I1 => g19_b7_n_0, O => \spo[7]_INST_0_i_6_n_0\, S => a(6) ); \spo[7]_INST_0_i_7\: unisim.vcomponents.MUXF7 port map ( I0 => g16_b7_n_0, I1 => g17_b7_n_0, O => \spo[7]_INST_0_i_7_n_0\, S => a(6) ); \spo[7]_INST_0_i_8\: unisim.vcomponents.MUXF7 port map ( I0 => g14_b7_n_0, I1 => g15_b7_n_0, O => \spo[7]_INST_0_i_8_n_0\, S => a(6) ); \spo[7]_INST_0_i_9\: unisim.vcomponents.MUXF7 port map ( I0 => g12_b7_n_0, I1 => g13_b7_n_0, O => \spo[7]_INST_0_i_9_n_0\, S => a(6) ); \spo[8]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[21]_INST_0_i_1_n_0\, I1 => \spo[8]_INST_0_i_1_n_0\, I2 => a(10), I3 => \spo[8]_INST_0_i_2_n_0\, I4 => a(9), I5 => \spo[8]_INST_0_i_3_n_0\, O => spo(8) ); \spo[8]_INST_0_i_1\: unisim.vcomponents.MUXF7 port map ( I0 => \spo[8]_INST_0_i_4_n_0\, I1 => \spo[8]_INST_0_i_5_n_0\, O => \spo[8]_INST_0_i_1_n_0\, S => a(8) ); \spo[8]_INST_0_i_10\: unisim.vcomponents.MUXF7 port map ( I0 => g6_b8_n_0, I1 => g7_b8_n_0, O => \spo[8]_INST_0_i_10_n_0\, S => a(6) ); \spo[8]_INST_0_i_11\: unisim.vcomponents.MUXF7 port map ( I0 => g4_b8_n_0, I1 => g5_b8_n_0, O => \spo[8]_INST_0_i_11_n_0\, S => a(6) ); \spo[8]_INST_0_i_12\: unisim.vcomponents.MUXF7 port map ( I0 => g2_b8_n_0, I1 => g3_b8_n_0, O => \spo[8]_INST_0_i_12_n_0\, S => a(6) ); \spo[8]_INST_0_i_13\: unisim.vcomponents.MUXF7 port map ( I0 => g0_b8_n_0, I1 => g1_b8_n_0, O => \spo[8]_INST_0_i_13_n_0\, S => a(6) ); \spo[8]_INST_0_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[8]_INST_0_i_6_n_0\, I1 => \spo[8]_INST_0_i_7_n_0\, I2 => a(8), I3 => \spo[8]_INST_0_i_8_n_0\, I4 => a(7), I5 => \spo[8]_INST_0_i_9_n_0\, O => \spo[8]_INST_0_i_2_n_0\ ); \spo[8]_INST_0_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[8]_INST_0_i_10_n_0\, I1 => \spo[8]_INST_0_i_11_n_0\, I2 => a(8), I3 => \spo[8]_INST_0_i_12_n_0\, I4 => a(7), I5 => \spo[8]_INST_0_i_13_n_0\, O => \spo[8]_INST_0_i_3_n_0\ ); \spo[8]_INST_0_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g19_b8_n_0, I1 => g18_b8_n_0, I2 => a(7), I3 => g17_b8_n_0, I4 => a(6), I5 => g16_b8_n_0, O => \spo[8]_INST_0_i_4_n_0\ ); \spo[8]_INST_0_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g23_b8_n_0, I1 => g22_b8_n_0, I2 => a(7), I3 => g21_b8_n_0, I4 => a(6), I5 => g20_b8_n_0, O => \spo[8]_INST_0_i_5_n_0\ ); \spo[8]_INST_0_i_6\: unisim.vcomponents.MUXF7 port map ( I0 => g14_b8_n_0, I1 => g15_b8_n_0, O => \spo[8]_INST_0_i_6_n_0\, S => a(6) ); \spo[8]_INST_0_i_7\: unisim.vcomponents.MUXF7 port map ( I0 => g12_b8_n_0, I1 => g13_b8_n_0, O => \spo[8]_INST_0_i_7_n_0\, S => a(6) ); \spo[8]_INST_0_i_8\: unisim.vcomponents.MUXF7 port map ( I0 => g10_b8_n_0, I1 => g11_b8_n_0, O => \spo[8]_INST_0_i_8_n_0\, S => a(6) ); \spo[8]_INST_0_i_9\: unisim.vcomponents.MUXF7 port map ( I0 => g8_b8_n_0, I1 => g9_b8_n_0, O => \spo[8]_INST_0_i_9_n_0\, S => a(6) ); \spo[9]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[12]_INST_0_i_1_n_0\, I1 => \spo[9]_INST_0_i_1_n_0\, I2 => a(10), I3 => \spo[9]_INST_0_i_2_n_0\, I4 => a(9), I5 => \spo[9]_INST_0_i_3_n_0\, O => spo(9) ); \spo[9]_INST_0_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[9]_INST_0_i_4_n_0\, I1 => \spo[9]_INST_0_i_5_n_0\, I2 => a(8), I3 => \spo[9]_INST_0_i_6_n_0\, I4 => a(7), I5 => \spo[9]_INST_0_i_7_n_0\, O => \spo[9]_INST_0_i_1_n_0\ ); \spo[9]_INST_0_i_10\: unisim.vcomponents.MUXF7 port map ( I0 => g10_b9_n_0, I1 => g11_b9_n_0, O => \spo[9]_INST_0_i_10_n_0\, S => a(6) ); \spo[9]_INST_0_i_11\: unisim.vcomponents.MUXF7 port map ( I0 => g8_b9_n_0, I1 => g9_b9_n_0, O => \spo[9]_INST_0_i_11_n_0\, S => a(6) ); \spo[9]_INST_0_i_12\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g3_b9_n_0, I1 => g2_b9_n_0, I2 => a(7), I3 => g1_b9_n_0, I4 => a(6), I5 => g4_b14_n_0, O => \spo[9]_INST_0_i_12_n_0\ ); \spo[9]_INST_0_i_13\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => g7_b9_n_0, I1 => g6_b9_n_0, I2 => a(7), I3 => g5_b9_n_0, I4 => a(6), I5 => g4_b9_n_0, O => \spo[9]_INST_0_i_13_n_0\ ); \spo[9]_INST_0_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \spo[9]_INST_0_i_8_n_0\, I1 => \spo[9]_INST_0_i_9_n_0\, I2 => a(8), I3 => \spo[9]_INST_0_i_10_n_0\, I4 => a(7), I5 => \spo[9]_INST_0_i_11_n_0\, O => \spo[9]_INST_0_i_2_n_0\ ); \spo[9]_INST_0_i_3\: unisim.vcomponents.MUXF7 port map ( I0 => \spo[9]_INST_0_i_12_n_0\, I1 => \spo[9]_INST_0_i_13_n_0\, O => \spo[9]_INST_0_i_3_n_0\, S => a(8) ); \spo[9]_INST_0_i_4\: unisim.vcomponents.MUXF7 port map ( I0 => g22_b9_n_0, I1 => g23_b9_n_0, O => \spo[9]_INST_0_i_4_n_0\, S => a(6) ); \spo[9]_INST_0_i_5\: unisim.vcomponents.MUXF7 port map ( I0 => g20_b9_n_0, I1 => g21_b9_n_0, O => \spo[9]_INST_0_i_5_n_0\, S => a(6) ); \spo[9]_INST_0_i_6\: unisim.vcomponents.MUXF7 port map ( I0 => g18_b9_n_0, I1 => g19_b9_n_0, O => \spo[9]_INST_0_i_6_n_0\, S => a(6) ); \spo[9]_INST_0_i_7\: unisim.vcomponents.MUXF7 port map ( I0 => g16_b9_n_0, I1 => g17_b9_n_0, O => \spo[9]_INST_0_i_7_n_0\, S => a(6) ); \spo[9]_INST_0_i_8\: unisim.vcomponents.MUXF7 port map ( I0 => g14_b9_n_0, I1 => g15_b9_n_0, O => \spo[9]_INST_0_i_8_n_0\, S => a(6) ); \spo[9]_INST_0_i_9\: unisim.vcomponents.MUXF7 port map ( I0 => g12_b9_n_0, I1 => g13_b9_n_0, O => \spo[9]_INST_0_i_9_n_0\, S => a(6) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity dist_mem_gen_0 is port ( a : in STD_LOGIC_VECTOR ( 10 downto 0 ); spo : out STD_LOGIC_VECTOR ( 23 downto 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of dist_mem_gen_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of dist_mem_gen_0 : entity is "dist_mem_gen_0,dist_mem_gen_v8_0_10,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of dist_mem_gen_0 : entity is "yes"; attribute x_core_info : string; attribute x_core_info of dist_mem_gen_0 : entity is "dist_mem_gen_v8_0_10,Vivado 2016.1"; end dist_mem_gen_0; architecture STRUCTURE of dist_mem_gen_0 is signal NLW_U0_dpo_UNCONNECTED : STD_LOGIC_VECTOR ( 23 downto 0 ); signal NLW_U0_qdpo_UNCONNECTED : STD_LOGIC_VECTOR ( 23 downto 0 ); signal NLW_U0_qspo_UNCONNECTED : STD_LOGIC_VECTOR ( 23 downto 0 ); attribute C_FAMILY : string; attribute C_FAMILY of U0 : label is "artix7"; attribute C_HAS_D : integer; attribute C_HAS_D of U0 : label is 0; attribute C_HAS_DPO : integer; attribute C_HAS_DPO of U0 : label is 0; attribute C_HAS_DPRA : integer; attribute C_HAS_DPRA of U0 : label is 0; attribute C_HAS_I_CE : integer; attribute C_HAS_I_CE of U0 : label is 0; attribute C_HAS_QDPO : integer; attribute C_HAS_QDPO of U0 : label is 0; attribute C_HAS_QDPO_CE : integer; attribute C_HAS_QDPO_CE of U0 : label is 0; attribute C_HAS_QDPO_CLK : integer; attribute C_HAS_QDPO_CLK of U0 : label is 0; attribute C_HAS_QDPO_RST : integer; attribute C_HAS_QDPO_RST of U0 : label is 0; attribute C_HAS_QDPO_SRST : integer; attribute C_HAS_QDPO_SRST of U0 : label is 0; attribute C_HAS_WE : integer; attribute C_HAS_WE of U0 : label is 0; attribute C_MEM_TYPE : integer; attribute C_MEM_TYPE of U0 : label is 0; attribute C_PIPELINE_STAGES : integer; attribute C_PIPELINE_STAGES of U0 : label is 0; attribute C_QCE_JOINED : integer; attribute C_QCE_JOINED of U0 : label is 0; attribute C_QUALIFY_WE : integer; attribute C_QUALIFY_WE of U0 : label is 0; attribute C_REG_DPRA_INPUT : integer; attribute C_REG_DPRA_INPUT of U0 : label is 0; attribute KEEP_HIERARCHY : string; attribute KEEP_HIERARCHY of U0 : label is "true"; attribute c_addr_width : integer; attribute c_addr_width of U0 : label is 11; attribute c_default_data : string; attribute c_default_data of U0 : label is "0"; attribute c_depth : integer; attribute c_depth of U0 : label is 1600; attribute c_elaboration_dir : string; attribute c_elaboration_dir of U0 : label is "./"; attribute c_has_clk : integer; attribute c_has_clk of U0 : label is 0; attribute c_has_qspo : integer; attribute c_has_qspo of U0 : label is 0; attribute c_has_qspo_ce : integer; attribute c_has_qspo_ce of U0 : label is 0; attribute c_has_qspo_rst : integer; attribute c_has_qspo_rst of U0 : label is 0; attribute c_has_qspo_srst : integer; attribute c_has_qspo_srst of U0 : label is 0; attribute c_has_spo : integer; attribute c_has_spo of U0 : label is 1; attribute c_mem_init_file : string; attribute c_mem_init_file of U0 : label is "dist_mem_gen_0.mif"; attribute c_parser_type : integer; attribute c_parser_type of U0 : label is 1; attribute c_read_mif : integer; attribute c_read_mif of U0 : label is 1; attribute c_reg_a_d_inputs : integer; attribute c_reg_a_d_inputs of U0 : label is 0; attribute c_sync_enable : integer; attribute c_sync_enable of U0 : label is 1; attribute c_width : integer; attribute c_width of U0 : label is 24; begin U0: entity work.dist_mem_gen_0_dist_mem_gen_v8_0_10 port map ( a(10 downto 0) => a(10 downto 0), clk => '0', d(23 downto 0) => B"000000000000000000000000", dpo(23 downto 0) => NLW_U0_dpo_UNCONNECTED(23 downto 0), dpra(10 downto 0) => B"00000000000", i_ce => '1', qdpo(23 downto 0) => NLW_U0_qdpo_UNCONNECTED(23 downto 0), qdpo_ce => '1', qdpo_clk => '0', qdpo_rst => '0', qdpo_srst => '0', qspo(23 downto 0) => NLW_U0_qspo_UNCONNECTED(23 downto 0), qspo_ce => '1', qspo_rst => '0', qspo_srst => '0', spo(23 downto 0) => spo(23 downto 0), we => '0' ); end STRUCTURE;
mit
3180e8c7b3f6f5ee70c2a21d8589869e
0.466513
2.371461
false
false
false
false